-- dump date 20170509_025335 -- class Genbank::CDS -- table cds_translation -- id translation PHYBLDRAFT_137810 MFLFPSDASSSQWPSGLAKDISPKIFSTAKHDHQHDEQPSLKHA MNQKLLSHAAVIDMSKESKFRSFTCNILLFGLCTG PHYBLDRAFT_160916 MKADLHLSDYKNINNNTMAIQRRQLALRPVEITNEQLMEILSTL KTNMTAMGTNMAADMSIVQEQIGTVEDTLLNMNSRIGVLATSSTETITAIDSLSRAPL VSPTNTMANVSQPAFNAPSEFSKKASNDVYAHIRNLMWDPKLKTRNQADILANESKPR WNTNVFFYKSPNKELVVRLLENLKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEE IKATNTRSRRAGRARDNHTRRLLAYTDNKEAIDLQMKRDCDFTMQMAAMSDGESADED FENRTKSIVKIVRPGWRSDEFNTLIKLVDEYVIEAMGSSASQMKERVFTSVSNTAVPD DITPKFPQWALRDGY PHYBLDRAFT_160917 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEAA MRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPKNEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACN CNLTKSISSGALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHNLFLGTSK RLMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSWVLV YSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNACNVDYTA TILTCNMHLHLHLHECIRDFGPVYGYWLFGFERYNGILKNFKTNGKDGFEATYMKNFV QNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDP YNPPKGNEPLPPSTFPLKYKKPSVMDDSDYLHLLEYYQVAYNLPDLASYQDTSYNRPA LDNQIIKLKSIDILGQHYRGTNNSTISRGSLVQAKFVGSNGNIILGFAGQIQYLFTHS FQLPPTHNLHLTRMVHDHQHVFAFIKWFRTSSDRSREDDGVEFCLPTFSPDSYHSIIP VHRILLEVATATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_74144 MCVACDIPAARKTAGFTGFASTNACHICKRHFTVVAGTSKINYS GFDHENWVSRTKEENATEAEMWFCAESDAERAVLEKQHGTRFSELHRLHYFDPVRCTI VDPMHNLFLGTAKRMISVWKDLRYLPTAVLVRMQRLADGILVPPGYAILSTKIESGFP YMKADEWQSWCLIYSLVVLKDALPEDDYKNWTLFVKACRKLTGPSVTYSEIDSAHQLL GEFGKECETLYGESSITPNMHLHMHLRESMLNFGPVYAFWLYSFERYNGKLKNIKTNR RNGLEVTFMRVFLEKAFI PHYBLDRAFT_160919 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYR LPTVSRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEVKSVSSAVVE EKTQRHISYMLQRAKALPEKIAQQNRISRRRSRKRNILADYKAIHLADKANLESKFGE TVVDLLDYDMLSDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRLANKGPDVIGNSV YPIILRNTELSNEKKARVAAWIHTRQQ PHYBLDRAFT_160920 MSCLNRDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSRSSFFDYTPTLILYRQTNNVIVFCVCQLFCFLNCLAKKACRSKQWNTVGVDCTRGG PMDVRKE PHYBLDRAFT_160921 MLKRKSSAFLAQLAERLPILINLPLEGIKMLPLNLAILVKASEW EKCLERINVLCSTKWNKKHKYSGKGLVFGETKKCHCAGQYITNRQLRLAQKDTKACSC TAALKIIQHLNNPNVVTFCQTRAHVNHVPGDWDEVRTLPLPSEAIKIIEDQLKSGSSC RSTRISVLRQIDSWGVGVRKPNYEEIYNRMRKMTTLLYMFASDENAFISIWLNVKLAE QNYCIFEINLSVYNDGKKQFAFGFQLPMQVSIMRISQSFCLDTAHSISSRSDEVLYTL VTRHSQTGKGFLVAYMVTNNQTAIPIKLWLDHLRIKSSFVPINITIDCSIMEVNAIKE HNLDNKIKLNASYTSEQLGNYKTALKNYLRHILIESNEDMFLRAIEDFKRIVQEQPQF LKYFEKKWTENEELLQRWRCPYISQQHQRYVTNNYVESWHNQLKTIYFGRTRIRRLDR LIFILTNDVEFYFEQEVERIHFNNGKMGPIDNELARNSFVASKIQNDMLPSMILNPLG ETGNSMDDYNDEWQIRSFVTEDKWYTVNISNDLIQSCTCLNFLTCQIPCKHSHLLKHY CEAKFSFIEQREIAGVVLNQQDAVNANENEVEKEVEQELESGGTAEDRGVYVFDEIAA YSATMHHSFEDLQTLKTIPGLDQIKTDLIKKVLANAVRLIDEYRSENPSYFRNLNTQR PHYBLDRAFT_73886 MATLNSVGACRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQD IKVLESIQDKCLRMIVGGHATSSTIVLKHICNLPSMKFRADALMAKFCIRSRFLPAQC LLSLLHRHHTVYSSLVSLGKTHLLSNLPPTLKLRSPSAVKNHFESIREAGFATFLQSN TQVLIQACRPVLGVDPILFLPASRVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLL DCPLVPMALFEQLPQPDQDQIHRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICN PDGDYSHETEHGALWI PHYBLDRAFT_137818 MSPDLALFLGNMQAQFMSLQQRTNELESLAATNARLTAQLVNAE KLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTEASTWATTAAAAHNSVVVPTAL SVCKTPRPPSVRQVAASARMFAIPTGPKGYQYVYIPRSRRLTHREVRNSLKTLGVDTG RILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPKNIADPKYKSLSD SELEEVAAELHSDRCMKALKYLRPHVAVPVGHFFCDQGWISKEDIPVHSVSGPGAGIH DFQSPSRRTSVAMSE PHYBLDRAFT_137820 MANLQIGNIQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELEHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WASKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLHPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKRQRSSSVTMSE PHYBLDRAFT_137821 MLFITETWLLSPSHLPTSWSQIHLYGSPVAGTYRGSMGVSVLIS PHCPYAVPQIPMPSKYALAVKIGSLRIVCLYLPPTMPTHDVLHVLSSIPLTHDTILCG DFNARLGSVTGDYASNSRGLALSLEK PHYBLDRAFT_160923 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSISGVNSMTVYKESDVVPECCNFERLSGRECGNALFFATSRALTIPKK IYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDPMHNLYLGTAKRIMEKWRSSG LITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMKADEWKSWCLVYSPVLLRGCL PEAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAFCRECEKLYKAPFLSPNMHLH LHLRETVLNFGPVYGYWLFSFERCNATSTSTATSFQFDINAFLDSPEINFDIVKGNEP LPPSALPLALKGEISMDESKYEHLLEYYRETYDDQTLVHYHQAGHSDNFVNNQIQKFE SINLLRQIYKSKTKNQRGSFMQALFETSDGRSTKSYAGQIQYLFVNTAVNSFAGHASQ HVFAYVRWYKEVLLQPRAGEGVEVNEVGLEDDSMNSILPVHRICYPVAVGKHLGLEGE VQMCVVPLPQKIYI PHYBLDRAFT_137824 MTKRIPTAPRRPNLRMNAVLNSTIAGVIAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPVDSSSSMDDDLDLGVKHHPLISQLINSYIKKP NFVSTDLLKVTENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQLLDRCIITYQTYTEAIHEGMNRCDCGNILSIDVMSDGKSDGDNKVRAYRP SWRTDELQTFISTIDELTVIRLKKNSESLKKRIPYEKEVSIPKNLAVTLPDWCFSK PHYBLDRAFT_73964 MIRISTIDDIVPLLKMIYHKNCDDIGSIIYIFGTFDPDEITLFF QYLTPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLLLLSFTY DLQHSTNMPPLLRKTWNLSRLNEPDVHALYAHIFTQNSTSLLSTLQEIVQNLPLTRPN IDAITDEFNSLIYDSLNSSIGH PHYBLDRAFT_9972 YEVEDIRDHRGTAGQREYLVKWAGYGERTNTWQKAEDFTDSTIV QKYWKK PHYBLDRAFT_160927 MATLNSVGACRSGFSLLLSSRLYKTFVRLKFEYGLAISTLLKQD IKVLESIQDKCLCMIVGGHATSSTIVLKHICNLPSMKFCADALMAKFCIRSRFLPAQC LLSLLHRHHTVYSSLVSLRKTHLLSNLPPTLKLRSPSVVKNHFESIREAGFATFLQSN TQVLIQACHPVLGVDPILFLPASRVERGRLIRWRMGWLPGKPKECPCGSDHTSRRHLL NCPLVPATLFEQLPQPDHDQIHRLDFAISSLPLSSQEPRHAYWIPLLTILWHIDVICN PDGNYSYETEHGV PHYBLDRAFT_137828 MSTLLPSSVMQGMSPDLASFLGNMQAQFMSLQQRTNKLESLAAT NARLTTQLVNAEKLIADLRSQLASQGNCQITTNASISSAPTTPKEPGTEASTWATTAA AAHNPVVVPTALSVCKTPRPPSVHRVAASARMFAILTGPKGYQYMYIPRSRRLTDREV RNSLKTLGVDTGRILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAIDPLDPK NIVDPKYKSLSDSELEEVAAELHSDRCLKALKYLCPHVAVPVGHFFCDQGWISKEDIP VHSVSGPDAGIHDFQSPSRCTSVAMSE PHYBLDRAFT_137829 MGVSVLISPSCPYPVTQIPMSSNYALAIKIGSLRIVCLYLPPSM STHDALAVLSSIPLTNDTIICGDFNSRLGSLTGDYATNTRGLALCQWLEEHALTVVNG QLSPCTPTFISFHQNVEISSIIDLFITNMSFTNATLNIHTDLSLNSDHRLLSLSFIYA INPTSHAPPPSPLEKRTGITMYKVKL PHYBLDRAFT_160929 MFTIPELYNEKCYCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPRALEESYTQTNSPVWEGASMSDTEDVSITNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARSSCNCQLMKTSASGALVAKRSYLYQSVVNTLRIF ENWINFVDACRLLIKPTITFDEVNTAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRD TIRDFGPVYGYWLFGFERFNGLLKNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQS TCQTSAFPLLTKLTSSFTPTTIPSIRQRTFRIQSFVEASEDPNVLVKGNEPLPPSAFP LSLKSATTMSDIHYVHLLQYYKVAYNNEQLVHFQQALESPYFVDNTITLLKYINILGQ VYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLHTH RRPTQLLHNSQHTFAFIKWYTPENDKSREYEHVETCFPTFSPDDFQCVLPVHRIMLEV ATAEHTTHRKVKKMLVIPLPKKQYI PHYBLDRAFT_160930 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSVAPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELKKHNF KSNKPELVAANDSKHSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADISDCIY TNFCGTRCRVKESYEARKKTNSRSRKAGRETDHFDRRELTYHTFKAEIDMKVGKSCDG LLQKEAMSEGKSEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDFNSCQ MLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_160931 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMIGEVYGSKQYKKKSLRLDKINSNT TKPCKNWHIHKLNNDILQQNVILSCRFSACGKNPYTENANTSYYPAVLTFSYVRKLVL PPMTQLATVLPILPTGKILEFSTSSSCRAPQFLLQEMALCMCGSILPDQRPPPPLHST GLPFASANSSFVSSVVEECMQFMPNRKAPGPDHIRAEMLKVIRPQIAPLLSLLFTICI FGPSVVTHHRSGALAAMATLTAVGACRSGFSLLLSSCLFKTFIQPKFEYGLAITCLLQ KDVLLLEKIQDKCLRMIVGGHATSSTAVLKHICNLPSMAFRVDILKTKFCLWAHTLPS GCLLSLLHSHHLQASTLSTLHTNLLFARIPPDLNCSSRIKLSKHFESFRQEKFAHFHL TNTKILIQACRPLLEVDPVLFLSATHIERGRLVRWRMCWLPGKPKECACGFDHTSRRH LQFRITIPSQLFSQLSAPPTDEDNIIDFAISALPISSTHPSPLYWKALLTIL PHYBLDRAFT_160932 MSQLLPANCMQSLPAELVTLLTSMQSQFNALNERTAHLESLAAE NVQLHAQLANVWQENANLRSQLLQNNVTGPVPSSASLPAPHLILPAKTPQLPSAHRVA VSQRLFSDKTSPDGFEYVYIPRSRRIMHSEVHRSLRTLGVDTGHLLDINFPARGVIGI LLHVQYLEEFKSQLASAKVSLVSNFDPLDPKNVADPKFVNLSVSGLETQALVLQNACC LQALKFLRSHLVLPVSHFFVQSGWIGLAEIPVQPVAEHFGLWNTNGLQPRAIKDVLNH CQSLHMLFITETWLLSPARLLTSWSQFHLYGSSVAGNYCGSMGVSLLVSPSCPYAVTQ IPMPNNYALAVKIGTLRLICLYLPPSMPTHEALDILSVIPLTDDTIICGDFNARLGSV TGDYACNSRGIALEQWFEERSLTVLNRVLSPCTPTYISFRNEVEISSIIDLFITNTNF ANPSLHVATELSLGSDHRLLSLSFTYDLQHSPPAPPPMRQTWNLSRLYEDDVRSLYVT TFVNKSASLLTTLQDLVQNPPTICPPIDALTNSFNALIYDSLSSSIGSRPPRPSHWKS FWTPALQAAADHRDGCYKQWR PHYBLDRAFT_137835 MLHLATQHSFALGFRWSPSKCAIIYPPSRSANHPDLSLYDEILP AVEEFIYLGVPFRNKGIHGPSIVTHCRSGALATMATLNAVGACWSGFSLLLSSRLYRT FIRPKFEYGLAILPLKRTDTIQLEKIQDKCLRMIVGGHRTSSTTVLKHICHLPSMSFR ADVLITKFCIRAHYLPSGCLLSLLHCHHSQSSSLVTLRHNTLLQSIPIDLNFKTDQLR LSSNQVLFLACRPLLEVDPILFLPATRVERSRLVRWRMGWLPGTPKDCPCGTDHTSRR HLAVCSLVPVHLLACLPIPSDQNYNPIDFAITALPNSSQALCPSYWVALLTILWHFDK LCSPDSDYTHETHFGTLWVGLS PHYBLDRAFT_160934 MPSAFSIPMANLQIGNMQGLPTELTSFLTTLQAQIMHVQNRTDQ LERLAAENARLTTELDQARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKHE EPGLEASTWASKASVSLPVTAPKMSAVPSARRIAASVRMFALPSGPSGYEYHKEVRSS LHTLGVDSSQLLDINFPARDVIGVLVHVQYADAFKAKLTTASVEILDAFDPLDPDNVA DPKYTSLSTHELANTAAMLHHDRCLQALQFLCPHVAIPVGHFFCEAG PHYBLDRAFT_160935 MAPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIAPRPENM SIPVSEFNDVVSLLATLNDKMTAVSSDVSELKVQCQVGAQSTGMQAVLDSDMDPQDII SSSRHPKISSIIWGRLRDINLKIDDLELIRENDDKPTWDINVGLSDEFNKNLASDLML YIRRQPVAAMVPPKELCGIIVNSYYNRLATICGISECIYCLTSVGVTIMTSSHKSALG LPTKLAPYRSDTVYPALNKRRKRTYTKHKDAVTEKFNWDYNGVFYRDATSGDETETNT SVVASRPDWRSDELNTVFNFLDELARDDLGKRATQLKSRSHVLVHETIPCGLVTKMPT WSKRV PHYBLDRAFT_160936 MFSIANPDEVRCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAEVNTGEADMDVDQIEEHIEYDNYSNGAPSPEQYVNTHLPLLVEESL FETEEYTSEYESEYESSDEFEQEEQNREQEQESTENLPENIWHRVIAVFTVIFISSFI VDEGAVILITFINTILEHYGEDFRLPTSIPGLRKMTGYNDLTNSVSKYVACSNCHTLY DYSNNTHTSCNFKRVGSKTHCKNDLYKSSMKNAMIPKCTFVYNSLTTTLKKMFTRPSF EMRATIIDPMHNLFLGTAKQMMDIWIANNLLDDKDFVDMQEEANRMTRLRDDLLGNWI HFVDACRELTKPSITKNGIKKAHESLEEFCVGCEDFYKPDVFTQNMHLHLHLKETIED FGPIYGFWLFSFERYNGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQAHLRNVTSP LLLSLFLKLSGHKIYNPALSPHPLIPSFFHLPTFLQSAEKPSKQTFGNEPLPLSALPL CLKPPTTMRKSEYDCLLDFYKIEYDNDSLCSAKTTIRNCWFVNDRIQKISSINLLGQV YTGGEDLVVRGSHIQAKFIEKSGDSEERYAGRIKYLFLHDFTPNLTHTNLSPCHNPQH VFAFVEWYKIPRHQPRIKQGIELYEPEFLKYDYNNILPVHRTLSPIAIGSHVSGSGAA KVVVIPLPRKLYT PHYBLDRAFT_160937 MSNLNIGLWNANGLQPRAIHDTLQHCQSLHMLFITETWLLSPSR LLTSWSQIHLYGSLVAGTYRGSMGVSVLISPHCPYAVTQIPMPSKYVLAVKIGSLRIV CLYLPPTMPTHDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSRGLALCSWIEE RSLSVVNADLTPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLFLGSDHCL LSLSFTYDLQHSTNMPPLLRKTWNLSHLNEPDVHALYAHTFNQNSISLLSTLQDIVQN PPLTRPNIDAITDEFISLIYDSLNSSIGHRLSHPKHWKSFWNVALQTAANRRNQCYKK WRLAIGIDKVVWWTKHKHAQAEFRSHIQQAKRQSWHIFCQSMERDFSKATSKINGHIL PATQPLAPMTTSNSVPFASDDSPFISPIVEEFMQFMPNRKASGPEHIRAEMLKPFAGN GHMFQSIATHRRSGTLATMATLNSVGACRSGFSLLLSSQLYKTFVRPKFEYGLVISTL LKQDIKVLESIQDKCLRMIVGGHATSSTTVLKHIWNLSSMKFRANALMAKFCIRSRFL PAQCLLSLLHRHHTVYSSLVSLGKTHLLSNLPPTLKLRSPSAVKNHFESIREAGFATF LQSNTQVLIQACRPVLGVDPILFLPASRVERGRLIRWRMGWLPGKPKECSCGSDHTSR RHLLDCPLVPVALFEQLSQPDQDQIHRIDFAITSLPLSSQEPRPAYWMPLLTILWHID IICNPDGDYSHD PHYBLDRAFT_61335 MGILILRNGIILEGFGYRGIGSKISQETWETTLVPQNTSLGRLT VDVNAHESSITRHGSPPDQTTKGIHRPVSLTRNWPKLHMPTTHVTKEKCLLGSDHIAS KVQKEDIKKS PHYBLDRAFT_137842 MFPSIQMHNTDCHCTRCNNNDQGVSRVSRPEKRSMEVDTEIIPT YQSDSVEAMDGQANSPILDVISTFDNDIFVGNDYNGNESDTTDDNDSDDNGKEDTAKI YVEEFNNEDQF PHYBLDRAFT_160940 MTNTRRECTIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNVNGRIGVLATTSTNTISAIDSLARTPLAAPVRAKLTVAAPVVISNHESTREES NAVYAHIHNLMWKPKLSLRTPENILASNLKPRWDTNVAFNKSPNREIAERLLSNLERR FGSSSMRRSDLRKRLHTNFTSRTRRERMSDDEIVETNALTRRAARADDNECHCVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKHVIHIVQPGWRSDECNRFIA LVDTYAVQAMGSSANQRICRITTSVSNSAVPDNISPNFPWWALRDGL PHYBLDRAFT_61339 MNTLKDICLKFSKQYMTRHIIDGGSWIGKNGLRETCGKAIAKYM QQNSDRKFYETLLGASREFADNNGTGLTPGRMLKDNTFALFRQSNGHIIIGIVLFSKV YHLYIKYPSAHAVNNNYCLALKYADNIYMPLDELKVVCLLDMHLKNIPISLSHFLVLF CYTRNTFVDVCTASIPL PHYBLDRAFT_137847 MLSIEKCCSACKMTGHKCSNHRSCPMNRKNCTLLIPQKRTSNVV STEVEYPAESSRSTNMRVRRESPEDQVVLQEATSPVIPETESEKIESEKIDTVDTDIK PSDDSEESDNDKEEIVVPAAIVRFCPTCHREDHRHNTNRLCPFNSRYTRNDSSNQRLA TENIARLPALCEPEVDNKREMNVECSSCRALMWMDKRNGKASLRNPTFSMCCGNRTSV LPSLEPTPPAIAELLNNRTRDEKQFLSKIRSYNGTLSFTSLGANIDHSVANNKSGAYN FQIHRTVCHRIGSITPSQVQHWQHQASYLSREVLEKLQSVLEETNPFISLFRSMEQVS HNNGHTADLTLRLIAEGPQNQRQYNAPTTNEVAVLIMNNDICTTRDIVLHTHTNDLQS INDRLMYCCNSQHHLHLFGHLFQQFIVDMYVKVEHNRLHYINANQDRLRVDIYSGIQD AISLNDNDIANIRKKVILLSSFIGSPRHMRQLYQDAMSIVHHFGKPDLFITFTCNPIW PEITSSLLSGQKANG PHYBLDRAFT_160943 MKEHYKIAAADPTHKEKAIPGILPNADNLYLKMEKPTNKALFLL ILDIVILSFDPALDTPVEILHTVALGVCKYLVNHLFKEVLKGNTASQVKLSDLLEQKK GSRDFTRTFRKKLRHSGSYLGKEFKILVQVLPPILNTEFTDNTEVKVDSDFDQYLNNV DNTACRLVKPLYEYDIYANTKFSLTLKTHLLLHLKEDIKRFGCALHFETEKGKQFNKF IRTHLVYSNRHADNRDLALKFGKQDMPRHIASGSSWIDKCGSDIFALLHDQGIKFSDN FFGKHQEFVDNNHVKTKIVAGVSVAFSYSNNANRMFVGRVVESNSVLRIQHYQLFSPN LNLVTVGCQPIEHYCNLEDVKIECMLHLTSGSETQNYHFYTRISLGVIHSILPLKNYI LQKSHDI PHYBLDRAFT_137849 MSHLPGVLFFWKDPERPIDMILLKSDQSKSFATSSDSLTENALS LNVRSILNSKREFNVVKDNQEALFQADISIMIEEEQEEVMGENISSLKERIFNMILST INSNEESDLPTLAFEDCQSLLDFSATSDEWNRSVMYTINLLFELKKRADETKADFKLP KVGTLLNYQHNKFNKVPLFPTTIKKVTIKATSNLGSGITSQVKTIDYYFNLPSDHLCL LLANPQKAPYLSALSDYTENQCLSVQQGEKWRRNPLFQHPFIQTNGKDFWIGDVAVLH SGQSILVERFYMKDMLALFDGYLVEQGSDSEGHALHKVQSSPVTYEIKYLVSAWLPPM FYSHPKNWSSSGEASLNPTHHGLLFKSHPMKKPVFNSANQFIRFQKAIITPLAIFSDD TSGNLMKTHGMYDSVLVNFPAMPYSMRNRCENNFFVTAVSQQARFKSTHLMPIIATDL KTLENGVDMYSSTYDETVTVCAPLLFITADNVQHAELVGLKHLTSNFPCRRYYYQNLS RFDFDNFDSEYLVW PHYBLDRAFT_184236 MTHSTVQDPDTPSMQLDNASPAEMDLDGDLENGLIRPLDSEELV LALEEARILVIGAGGLGCEILKNLALTGFKNLDVIDMDTIDVSNLNRQFLFRRKDIGK PKAIVAAEFVMQRVPGVQVTPYFGKIQDKDDDYYRQFQTVICGLDSIEARRWINAKLV DMVDDEDPTSLKPLIDGGTEGFKGQARVILPTINSCYECSLDMFNKPTTFPICTIANT PRLPEHCIEWASVLEWPRVWGKEVKYDTDNPEHITWLYQQAQTRAEKFNITGVTYSLT QGVVKNIIPAIASTNAVIAAACCNEVFKIATSTAPYLNNYMMYTGNDGIYTYTFEHQK KPECPVCGNLTSSVTLDPNMRLDEMIDWLKDKPDAQMKKPSLRIEGLSLYMQAPPALE IATRPNLSKTLSELLEEGDIITVTDASLPVSLQMKVNWK PHYBLDRAFT_137851 MSRHQRDSRTFVPTNVHHNALTKQLLTLFPGGDPDYFEECLAYY EHNHVERIAEKICANGGHYPSIPNDCLKNRVKQLNHSLRILAVDLFPDCDISYLRDKV LGYNHSHIEQVTEDIVQYRWPERLESGKINRWDFIKSESYKAQAQEQLSIDFPQIWRS SIRAVLAENNWDYLNTRDQLEEMGSGSIWRSIRNFFLHWSIRRSFRPIFENEHRSELE NELDELRMRHLRNQSQNDQKFAHQINEEEYTNHNQLITCLCCCDDFAFESLAFCSEGD HTFCYSCVSRFMSEGLFGQGSLRGVQRIQCISPTDCAGCLSPLMLEQVLSPDVWMAYE KSVVEANLNQAHLTVVQCPNCTYAEIDETIPPFNQILRRTTPLFRLARWLMVVTIVLS FYYTLSLVLPTLLTTLPWLVRQQWDLENDMKLVYDRIAQARRGKAFQCRNPTCAKLSC LTCMRPIRGLHNCREQEQDGLRLYVEKAMADAVKRTCPVCSLSFQKEDGCNKVVCKCG YAMCYVCRKDIGKESYSHFCDHFRVIPGSQCKKCTKCDLYKTDPEDEAIQKAEADARK EYLRAHPNLAQQSVRADIAIGPMTTLEKMDSWRREKILQTLQFGMDLCI PHYBLDRAFT_61350 MSHTVIDHQIIPFYIFIKFHDIKQNIHESDKVHSYAILRRLSFM ACNPKVRVDNKEITIRHKYTISITSYAERYELLSLKCDAISLDTLLFIGLFTHIKSFS FFRLLIFPEFGSTSRSPIELFHDGKKATICDPIEPKYYPPPGNVVLKLASRLSRLSRQ AVRKVPQNLKALSSI PHYBLDRAFT_137853 MSPNIKTIQSNLVPNEPKIPVKGSFSWEQVYYYVSTNQIKQIHR SKAVQAEYDNWIKDTLSRYGTIENYLLSTKLHFPSDEPADHPATIILPNDFPYDVQEG ISHILIWSRLPLTRSQIQQILEEKYGQESWEWVFWVNPPEIQSVRKLPHVHVFLRCRF NS PHYBLDRAFT_178533 MPWRQKSCSILCRKFGVAIVGQKCLLLDQQQQHNERGCSSLWKA NLKMPTETLYYGVKVDRPKMHTSETYTPPAEPDIKNDTIEAVFSEDFLLMHCDSQEPL SSPPMVGFFPDLSDVAQF PHYBLDRAFT_104965 MQRSQTTPDTPSKKSAKLKKFFGDDAPAAAAAAAKPAVNERPWF LHYDYDVNEIVYNMEGYVKGGSLPALVERLTLHDFLDMNFINTFLLTYRSFCTSMELL NLLEARYNLEPPPGLSEEDLEVWTQKKLKLVRLRVFNVLKNWLELFYNEEDHIILDQL SKFIDTRIRSTLSFSCDQLERLIRKRKESESHGGLKKMTLSLPDPPEPILPRNRKKFR LLDIEPLEMARQLTIMDFKLYSSIRPVECLDKAWSRDTNDENGSVAVNIRASIEYCNQ ITAWVSEAILSQSDIKKRSAYIKYWVHVAEKCRLLNNFNTCMAVLSAFDNSSVGRLKR TWDMVGARTNLTLSQIRKLMGANRNFTEYRAMIHSINPPCIPFLGIYLQDLTFIEDGN ANYLKKTKELINFAKRAKTAEVIREIQQYQSSLYQLKPVEELQSFIQGSLRSTRDEDQ LYKESLKLEPREREDEKIARLLQESGFL PHYBLDRAFT_76644 MAHKLSDYEKQRLKNIENNAKLLKELHVPLAGQKRPAPTSIKKH VPKKKIEPKAPTRVSARLRGAAPETVESLPTEKEEKNNQTDLRVDRLEEADQKEFLQM LKKFPNTQPTTKKELEAEENSDAEVSKALQELQIRHSWQTVKVVTERITNCVFHPSPG KLLGCGADVIGQLGFWDVEGVKETEDGDEPVVYKYRPHTRSITDLRFSPVDSTKLYSS SYDGTLQYFDMEKAVFTEVSLGDDSLPLTSMVITQDGHSAWLATSMGEIAHKDLRSNS KISVYSLRTKKIGTIDLNPVHEHLLAIGSNDRTATVWDHRSFSTETDSITPLHELEHG YAVTSAYWSPRGDRLATASYDDFIRLFTLKNEDMTLHSAIPHNNHTGKWVTNFRARWN TSPLGYRHQHMAVGNMKHPVNIYSGESGNLIGDLYDSDRITAVPSVVQFHPNTTSMAV LCGNGSGRMVCWT PHYBLDRAFT_160955 MSDTLQTSGSTTQNSPAKDSSVDSSVDFMSLFKKLEETWEVALH DKRQIMDDASIWQQSWFKEIEFGPQSWIFLQRLTNAPYLTLFLGECVFLMHTILDEID GPNTKSKSPDESMKSNGEQNITDIKLSNNGDIDIKNSSIVDRSPLILDQQRSEKCLAL WAQQGQSVIDWLEDQPTLEAIECLVECMFRIVRAIDDPKEKWTVETSEKFAILKQFTG QTSKQSDIENLARVLKSVRIPDSVINGVCDFWQDFKNGNKDGASFFHYLGAIIVYANG SRHALAQKAVYYVENLISPFPGGFSPTVSHYSKQLGKLVFWNNSQSCAAFNTAQYEAA KLAFNSQSSEDYEYILQNIQLNLSEAFPKSIKMKEIDMDIAVPPKAWPDTTQPQSEQH KHYSKVVYEIIGLKVICSGVDYNYRTKTGIPLYSDYGHAVATASDICVSISVSPSGND PFSYKVDKCICTIGDFDISFQETRHSLLNRLSIKKFKKNIKHVLIENIQRGVATIFSQ LFSHQ PHYBLDRAFT_87361 ETIVKLLYNIGSRKEVEQYLRHFSSVESQKFAVIKVGGAVLTEE LETLASALTFLNRVGLSPIVLHGAGPQMNDLLEKAGVEPQYEGGIRITDANTLEIARK VFMAENLKLVDALERLGTRARPIPSGVFMADYLDKSTYGYVGKITGVNKEPIESAIRA GALPILTSLAETPDGQFLNVNADVAAAELATVIEPLKIVFLNEKNGLYHGVTGEKLDV INLDEEYEDLLKEPWVRYGTKLKLTQCKELLENLPRSSSVAILSASNLHKELFTDSGA GTLIRRGHKLFKYDSLKQVDPDKLRRIMEAEDLTIKRHEQSVASYLQSLQQRQKVTIY TDEPGQVLAIIGQDLNDPSKPAVLEKFLASKTAVLNNVTDNLWSAIQKDHPKLTWKVN ASEFANGMDRSWHFERSDGSLRTPQDDSTTFFYGINDPAQVKTLFD PHYBLDRAFT_129059 MVCLPEPPTTVGSAIPAHTPHAVSVMFPTWQDNVYYEQGDPRVT SKMECGYPRFFIHPFIQKLCTRLVQKFGKPTEAGMLFPSRKVAERCRNFMKRTYLPAQ QTSGLIRIAEFEIASVDETSELQRVPMYIVLFPKDAFPVAKQFWQHAGDNVSSRMAEY CLRILDANDSIASSQNSSAMYEPKRGNRRYVRASHPHNIEAQLKKETKEETCEAEHSA YVEERYGRNLPVIYADRAKRALRRRIAGVLTEAESGEMMEQFSQTTAEDLQHQRQLKG ARGIRGVSEEDVYLFPCGMSAIFHAHRLALAVGDQSLKSVCFGFPYTDTLKILQKFGA GCYFYGIGEDHNIDELEERLKGGERILSLFCELPSNPLLKSPNLKRLRQLADAYNFFI VVDETIGNFCNVGVLEWADMAVSSLTKVFSGDSNVMGGSMILNPNRQFYDKLKKELAN DYEDIVWAEDAVFLERNSRTFKERAKSINIDAETLCDFLIKHPKVVSIFYPKYTCREN YDAVKTPDGGYGGLFSIILKSEKHAAQFYDNLGCAKGPSLGTNFTLASPYTILAHFTE LDWAEKFGVPKHLVRVSVGLEGKERLLAMFKKALDAVDDL PHYBLDRAFT_178537 MLLSEFPQELISNIPPFLALKDKGSCSLVCKTWRIPFQESMHSD LIICNKYQLHEMFEIPKGNETPKQDTSHLLRFLTLRQNMDLSDEQLYTLQYKFQNIKY LHVGADSLSSVNFGITADWYLWRLLRELSINLGDERIPDAENAYLEIILRLPLLTYLK IVRGPLDPTRLTFTLNDLETIHTHLLHLNRLELSSDLLPLSETDLTRITKISPANKMT LFGINTKSTDHRWLCYFARKYPNIRTLNFSTSNCEPELERQRDSIITLFKHVSHPFQH LKTVNIGNYNCPRKEYLLFWDMIAPCQIPLKNISLIARKLSNTSYSSEELEGLSIDIM KLCLQQFSNTLESVSIEYDYNDAIPIDLNEIVGTTCNLVHLKLDTALVSFKLDLLLDK TPCLKSLGLKKAHVEIDSITFSNPKRHALQSLKIFRSQIVSDVLRYLSFHCRELNVLD LVNTKVFGSISSTTGCNFIDMTYTRFKSLLIRNTEFIIKENEVCNENIDITVITHPVN DMPPKDDNEPSNSPVSIGLPHIKARYHWFYRERSCTMKAFSKSQGSRVGKFFAEFERK KENALKTNFYLDQVNKKKVNWKRNCVYGYTKIKCGYVADCHIEAK PHYBLDRAFT_178538 MLLSEFPQELISNIPPFLALKDKGSCSLVCKTWRIPFQESMHSD LIICNKYQLQELCKIPKENETPKQDTSHLLRSLTLGKNLFVFDKLLYTLQFKFQNIKY LYIEKYGLPPIYFGVTADWHLWKLLRELNIDLDDERIPAENPYLEIILRLPLLRRLKI ARSPTHSKQLTFTLNDLETIHTHLLHLNDLELSSDLLPLSETDLIRLTKVLPAKKMTV FGKYTRSTDHRWLCYFVRKYPNIRTLNFSISHYEPELKRQEGDMVSFFTQIPHPFQHL RTLNIGINDFQRREYLLLWDIIATCQISLKNISIMSCKLNKDSYFSYSSEEFGSLNLD IMKLFWRQFSKTLESFSIDYDYRDVIPIDIMEIVGTTCNLVHLKLDTALVSFKLDLFL GKTPSLKSLDLGKSHVEIDSITFSNPKRHALQSLKIFRSQIVSDVLRYLSFHCRELNV LDLVNTKVFGSISSTTGCNFIDMTYTRFKSLLIQNTEFIIKENEVCNENIDITVITHP VNDMPPKDDNEPSNSPVSIGLPHIKARYHWFYRERSCTMKAFSKSQGSSVGKFFAEFE RKKENALKTNFYLDQVNKKKANWKRNCVYGYTKIKCGYVADCHIEAK PHYBLDRAFT_137862 MFFCFTFGFEQFKRKSRNQVYTCPHCSAQDVYLVKANDCFTFCF IPLIPCSGTKQLYECYTCGWVNVNQPPF PHYBLDRAFT_160960 MVSLNDPSCLSRLSILFRETATYCQITQDEVWVIMTITVATVAV VATIVVVKETLIKILPDHEIWVFEILLEYGVLVPLNDKFLVCTHPVNSVLTPLTACYS PGCLPTKTCYAPLCPHRPPGPLCLYPLQLTSIIDPLIDYPAHLKRAKSLLSNPQQFKQ QAAIAELVASEQHYLADLHILHHTYAKPILEGLSGIEEMRRKKFHDITFGNHVRIASL HQHLYTDLTRQWDPDQKLFSGCVGTVLTKHLAGLVDPYVLYASSHAKGAFVITAELHK NSAFTAFVSCQDNHPKTRRLGLRHYLTLPTLRMGRLRLLVQAILKHTTQPDHRLALEA SLAGLHKLLETLNQVSGKATQQARILQLASALTVPAGTNARTHCLPDNPTLLHEGQLQ LVKSVHSLGPIQVHLFLFAHGVLVTRRQVSPEDGYEEFTLVDAIPTKMLHVGPISLSR ISSMLNTKPRRTGLFSSLRRRSGDGTLSRWSRFRTSLKRQKTAPASLVNHYTHTQTHT QSHSNDHDHDHQNQNQNQSVSAAIPQSDSRGLFGRARRLTLCHRAYPENSLRFMCSSV DEYKLWQAQLRLALVDQNKGPFVLKGLCDLPTSSNQPIIVNGASMFPTSCGKVWCTLG FQITGDSKPRIALGTQHGLWTGPCDGSEEFQLVLSHHDCQQMAMLDNQTMIIRSRREN KALYAYDVDKLANPRLRDQAGLVVKQSSVLCFGIGRHGSQTILCYLKYTRMGRVVAVV LVKTASTDAAMLPSFGWTKKTKEYGVSIKDPLSVLVDRGRLLIRSLTEGVECIVLDGK ESERIILPPKQTNYSGSISSTKPSLRLEDPALMTIEYIPLAANGHGLICSGLTAWPVF YSHSQMKTRPMTDRLSAFHFESRATAVVLVYPYLVIFSHFVIEIRHLPTCELVQTIGG NQIRCVYSSPLQATGEDGTMHITMLHPDDRVTRVYQLSLAPL PHYBLDRAFT_160961 MPVSATARDHLSPLHNLMNYSIPLQDSSSMPSLPEVDLPKRTIQ NGDSDTRQRQLGNEIEHLTLSNVRLLRANRILKLDCDRLVEQQTAQLKQDMEELRIHN IRLQRSNRLLQDDLLAKSQELNQLKEDQIRQMKNVGPEYEYLVQIVNLLYRQLEGQAG CNKTCCYTDQPLSQGFSVLTLPPESEEQKPEPQHICRPVIHSNISGGTMASALEQENA QLREGLQALHADQEQLYDLLRDKEEDAEMLKCELKLKDDIVTQLEKDFERMELEVVGI QKDWRYSDRFKSESSFADIHDFPTPPPQSDDDGQTL PHYBLDRAFT_156846 MTLGAWFLLLSTINILLLLLLLLLRLLLLLLLLLLLLWLLLLLL LLLLFLLGLDDEAA PHYBLDRAFT_160962 MMMQNRGEPQAYTLFPATKPTFFPSQSAFACPTLPLTCAGTGTG AGAVITNNRIQSNNNNINGNRHSITNRNRNRNSGSSISSISSINSLSSLNSLNSISMN GTIGRNINNNVSTTTATATATATTTTTTTTTMTTSIILLLLTVTVVICTNRRHSSLCP NHIHQYMSKHKHKHNLELEELKDKKEEAKKEDYEQQRKPNSYAPCLPPAIVHVSNDTV DTMIPSLAEFAASMVYLMWHARQPLRHGISSRSAASANPAFKRFVLQVLTATRLTESV VYLAMKFIAILLYSNPTIEGDEGSEYRLLIVALMLSNKFLDDITFTNKTWAEVSGMKL QDLNVMEAEFLDALDYNIFVRDTEYNHWKTVLDRCRERARMTCFESSPEREEFIRVTL VSLGLKEDARKQEERYRREQQEERQKRESQLQKERLAWEVLQQSNYHRRDYGSNTMNL HFSPLNSSHNRHHRIQKQTHLPSPSRFVTPPPPISRHSLPKVPIHHHHHHHHQEEGEQ VNNNDGTYYFRRCQEFNPIRANRFHSQPFAATWDPFNTEQDLFSSHPLVQPQNVNTFL SWRNYN PHYBLDRAFT_129062 MAEAPKPLPFHYQFLCGAIAGISEILTMYPLDVVKTRAQLNTGA SVSIGQSFKQIIKTEGVGALYRGIIPPILVEAPKRATKFAANEQYTALYKRVFGFDKL TQSLAIMTGVSAGITEAFLIVPFELVKIRMQDKANVGKYNGTMDTFGKIIKKEGPLAL FNGLEATIWRHASWNGGYFGVIFGVKGLLPQPKDKNQQLAVNFAAGSTGGFVGTMINT PFDVVKTRIQGHSGDGPRRYNWTLPSIALVAREEGVRALYKGFVPKVLRLGPGGGILL VVFETVSNFIRRNVLKD PHYBLDRAFT_156848 MEETPKEDSQTTATAQTLSPSIISSHITLPTALPTFASTASTAA TVATATSATTATTASAHIPAINSADTLFTASAPSHAATDSTVNSAETVESEQVRTGPV LIQHKQQNTTVTNELRRRIVVTSLNEDTTGEGGSMPTDASLPTSQEHDDTINGGGFYE CNICFDTATYPVLTLCGHLFCWSCLGQWLNQQSRNPTCPVCKAGCDREKVIPIYGRGK EEKDPRNDPSIPTRPAGQRPPPLRDPNMPSHSFFGQPLRGRGFNTGNVAITAGVGFFP FGIAFNMPFNGSTAIHGQPHSNGFLSRLFLMIMSLFIVGLIFS PHYBLDRAFT_17446 IGNIPVSTTPNCLLSLFSPFGSIESARVLTHKNCGFVNFELQED AVRAKKALIGKEIMGPGSGPVRIGFAKEPSKLPVILSSPSSVSATSTTTATTIKGKAT KTAKSTKSTKASASASASASASASASAPTVLGQSRRVDISRLREFRKKLESPYISLNE LDVIAVDCTEEIVELCSDYIGNTVVQRLFERCSETAKSHMLETIAPYLASVGIHKNGT WAAQKIIDTARLPTQINTVCVHIRPYVPALLLDQFGNYVVQCCLGLGPDINQFIFDAI VDNCWEIAQGRFGSRAVRATLESPHVTKRQQKYVASTLVQHALLLATNANGALLLIWF LDTSGIPGRYSILAPRLTPHLARLCTHKLASLTVLKLVNQRQEPEACTVILDTLFFSP TDTVIDEVLQDQVHGVSLVQKVLSSSYVDLKERQRIAERVKQLLAKLKLQHVQGYKRL VEEINMVMGDSNPGAS PHYBLDRAFT_61366 MDRNSSDHTTLLQSSMPKLALSLAQRNRLFFYSGVNFGLNTGVL CLILEQRLLTSVTLSFFGILDPAFVLAVSVVSQRFYCVEYCNSRNVHMVCDFSWSMLG L PHYBLDRAFT_137874 MFDHVSISVLFVNLSSQSFTPTTDVLQGSVLSPHSYSIYINSLP PLLRTVTTSSSALYIPSPSPSSLDDYNTLLSPSGVTGFGHIMTPTSVNSLLFADDVAI FGSTRDVQDMLDLVVQHSFSLGYRWSPSKCAIIYLKTRSPQPPALTLYGEFLPAVEEF IYLDMPFRDKGIYAPSIVTHRCSGTIATMATLNSVGACRSEFSLLLSSRLYKTFVCPK FEYGLAISSMLLKDIANLEKIQNKFLRMIVGGHATSSTVVLKHICNLPSIQFRWDTLI IKFCIRANSLPSGCLLSLIHQHHPQFSSLPLLCQNKLHFSITARVNPRLPTALQKYFD KFRQEKYDAFRLDNNKILLQACHPIL PHYBLDRAFT_137876 MNSPADLFPAGLTPVPEEATLSPMSADELFSDLSELILFLDAAP GSGSPPIGREVATASSCVAVLKAELGNHTEAFSAAHVANNEQAADDALCRIECTRLAI TSLEKCSKMLASPGTNTERAGREGGLTLNRRDLPK PHYBLDRAFT_137877 MDLKKCIDWKVAKSCFNKRHGSSLVSRQFTDQVFTMMMKNTESI GDYSNKFLHAVYNAGLFKDSACITNHLLALLTLSVQTLVRVTTACSGPNGESKRDWTV EQITQIGRDILGDDNRLYAEATQLIPGSHGQPEKNNKEHPRKKLHHSNKITKHEKTFF CSHHGKNLTHESSKCFTLINRKNKASSSNSRNPCRSCGENYYHGHVCKSKNNEPILMV FQSPTKDKSEQMLKTIQDRIDEDMKEISFEYILAKMNIELINVAYDIKGEYVHSDNVK DYAAIYENLNIDKEKKFEPNNSPAESIIRLPTKEGVTAYRWQYSIPHTLRSTLDKQVK EWLETGTIVKSKTNTFFNSPMLLVPKRNKAVLGVLLKNKSEDRYLFLNSKRNMQMFTK IKSPPMKKFKKHLLNMPKTMSRKGNE PHYBLDRAFT_137878 MTLALMIVLCRIVFPTCLVDLSLLFGKEKSTLSVIFNEMIEKIY IKFYSVLKFDYCQFRESNLLRFSQAIRERSPAMHCVGFIDGTFNKIARLIVDQEGAYN SHYQGHGLKYQTVVIPDGITSSIMRLDSSRNHDMCMYCKSQLDVMMCIAFDFTSINGP CYYLYGDPAYTTSDHMMIPFRRQTADEQELAINKSMSAVQISIEHKFAE PHYBLDRAFT_160972 MPMYKNETEEFVFEAEEEYQLWLTNNSACHANWVVQNSNKSKRK VPVGALINPNLIKVTQYIKCDYSGTKAKSSKKQAVENDELPVVKHRNTTGNSIKVGCL AALVVKFLNGGKINEHFEKNLDWKAIKGLLRLEDVKLEEMENSMSMTSIPSAMMIQYK NVHNAIVARITNSTRKHYKDEIKKGYQTMFDTYNSVGPLLFLENAEEWYLDSTHKTCK SFLDNKDCYLMSVAIYNPVTNKGVPVAFFVISIECSYTIARWLNWLKDTNSLKVKQIM IDCSPIEQKAIRDTFGPSVTISNAHLETKNVRANICAALNLIMHSNNEADYNAHWQKF RLDYGMQFPVLMSYMEVTWEPKKKSWDAVCHTNNLIESYHTQLKSFYLGWSHDCRIDR IIYILSQLAERDYRQDTLETYFGIKSIRLSVADTEQKRKASVIAIERANGLVEEVEPQ ELQAYKMYSCKSFGERCELVYFIKFTTHLHDCSCPDSARLCKHIFLVSRVFDLPVTVR RNVVLDSAVLFGLDNIALLENQMSKDEQKANLLLMNESLERIWKQVYTSSKTFEQKEV LLDLMKKTSNFYSLL PHYBLDRAFT_137880 MIATTLSQYEYVLICDKSNIRVSGSPQIAWEQHVTPRMTMGVML SVSPETIRHLIASIHQLIQMDLTNNDRRIGGIDANGQSIIVEIDESKFGKRKYHRGHR VEGVWVLGGIEKTAERKTFLVTVFQRDAATLLQVIKKYVKPNSIIHTNCWAAYGGLAS VVDMNYTHRTVTHNHWKNRNDCQSANYGN PHYBLDRAFT_61374 MMVVIFFWSITFYSLHFVRLNTKYVSLCLAILCLIYQDKISFSY RSLLLIILFVSPLLLISIESHHLLIEHDVMSDIMQEDNVSLFKDYWYCLDNSEEHVYI NFMSSLLRLHSRY PHYBLDRAFT_137881 MNAVLNSTIAGVISPIDTPTPEVAVDTAPEVQVAVTPMDHVLTL LAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSILQLMTENAEIKKEMT SQNSVMPSAVPADSSSAINDDLDLGVKHHPLISQPINSYIKKPNFVSTDPLKVAENNN RSAWLMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNIIKNYYQNQVRVFRIS AEKIMARNKAGRRRNRKKTLLDHRIITYQTYTEAIHESMNRYDCRNILSIDVMSDGEL DEITKCGHIVPAGELMSTIDELTVIRLKKNLESLKKHIPYEKEVSIPKNLAVTLPD PHYBLDRAFT_102485 NLPRSEWYKKENVVLIGLMPGPKEAKTSEINHYLHSLVAELNQL YGSVVMPTVQCPSGALVCAALLLVACDIPAAHKTCRFTSHSSTCACNKCNQQFSCLPD SNAVDYSGFVPCTDAKNRRDAELWRMASSDAQRKRLERENDVRWSELHDLVYFNLVEC TVIDPIHNLYL PHYBLDRAFT_160977 MSNNNNNSECKCSKCSSNSRGFVLVSIQTLRRHAQQDIMRQYQS ESSSSVVEVMLNDNDMEIDFEDNVDAEDQVEAKDLPLFDIDSLFDSESKDEGVIEATI LDISDDESDDVRG PHYBLDRAFT_160978 MYPARIEPDSLHQQTVTVTSDHKLSKSGFAVIIGQIFAEQASKF EVHGHKLFHKNLVSPIVQKLLHEGIVKEVIKRVHKEGHYGVNNIYSQLCLQYTGPCLF ETDFVQGNPVEEIACHTKVIQHMIDELRPEARNCANEKKQKYKAQYDLQVFPRRRFSP GKQVLMRNQKPPHKFLDRWLGPMTVTHVNNNGTYHLTGPNYCCLQGAVNGDFLIPFNN HKLMVPDVQVKHVDH PHYBLDRAFT_137885 MTDVSEGYREQDFPRNLGEPLLSLETHHLVDSPSTSMSTSLVPP VTAHHRTKPPKESTIWYPSPEAV PHYBLDRAFT_61381 MSQVSRIEDLLHELNECRKKTLLKSSKVPRISRTSDPASLATGI TVLAMSEVPDNELDTLPPVLFHPEYGILCIIGIGGNEQKQDFLKAQLTLLDFLAYFIP KAGLSLQPYWDSIKKCCLKLSFTKSARLRNAAINVISALINAKKNGLDITVLVCKDLY EHFAKEFTLSASHVPLSTKGKVIILLGSIGKFYPDALDERKAHTYLGWCIDDLDKELF KNEISNNQYIADILEGLTAFISSPLYPSVSDSLDSEKLYKAVLALLNMPEDLTRYAAP RAVLELIANHMNIFGKYLVKDSKLIYMYLATLGNSKNKDIAKSAHLAMESFLEQVAAS LSKSATKSEEGQEILKFLLEKLFNTIDAKQTETWFTGLSMIIRSIGYFAKACTIYTSP KEVKELYETLIEKSGWFYSDENRYKARLIRYIPPFVQTLCQFCHAMDVIPDSIMVTIT KLMNLYIVNYTRQYHAYRASGKEATQDLLWMLYEKGEGTLKRFAEKFFYNAMMCTSSN KYTLQEYNAAYLIFYGFWRAILNQEGREDKFSRVDKASRKHFADILYDEFLNTFLRIV KTFNLEVKKIENGDENIQEAEEEGQIITPTLNSLVPVNEEDFVLFQNLVDFWCYLIPY LNNERMASCIYIVETNLIELSGKHPLVSGIYKMIATVLSTSEKLGIFNGYKEVYMKEQ QERSDIVFMSTETQTYTYSAFVDLRRYLKEVWHRLQQYKDELLASCLRLVLACPREFF EISELVPPMQTALRLGLSYSPLATTAMDAFEKLFESGTEISEDLIHVSALLPLMNEYL MLDLRANSKINFKGRRYRHIRPATIKAQQKSQDRMLPEFHKPWAEFEVLQETQLRMMR SLGRLGGLNKLMLTPQGPEDSIQRGHKSHSGLNDQEAVRDTSISQLLAWDSNRNLKLC IPFPNANIELTLDELLPRICELAELSPDRQTKVAACELLHGIVLVMVGRNAFEKRSRH QKEESQYHKIYTQIFPVILRLAIDSDQISRAMFRSLTPQLIHWLTDNSQSESPETITL LQVCIDATCSTNAGLCDYGADCLYEFAKSSSQRSGKHSKEVPMNIKSLLNRLHALASH PSASKRLAAALIFNRIYPLFQEEYDLVNEYTLELFGRFMLSLRLAEDDHPSIGTQEQT KTAISNIKETLRKHISMFLNESPVRRPFVTLEKTDLAYAVEWSFRESAQPQKEYSNAC MEFFDQFVTLASNVSAKEWLEKQQSKTNAFVTDIYETSRLKNSSNSKSMRVSSYILWI RQLNCAMNGYTWLIEREILDSKKLFQDETSVLLSTLIFFVENPPHRVIENWKTENSSN RSKVKALYAYGVFRLCAFLHSIVYVGGHESISILEKSGLLLSSGMARMIAEMLLLPKR IIEPLHTEQGGLLAHVNYKIIQNMLKSLLYQMKGKASIEFTHSFAAAIASVLQLSGVD LNDLELDQASLSDIQQKVEGIKILQLSGLLDIVCKELHKRGANVDSAADYCCQLLDKF IELCSTDEPTWIDILGNILKIAFNQKGLATSQGSALLGLTGVLSQKTHTEKLTIYQKY SDYINYCISSNIIEFAPIIIAQIKDEFTCDVVLGLFDYLKTCQSEYWNIMKTFLQNLT TGTTFLKDLFYAWKNTAHLKDLVTIFKRIFEAYPKIMSTVKDTPIFDIFCDALYSFLD IDQPLSLNSEAFDILPMYLCLESPKINKQIEENVAEILKLRAVSSNDISMKTQAFCEY MDALYKLLDDISVHQTRSLFKIVIPIIIQDNYIQSKIMKLKVDSATHEMALQDFDDAT QFCFSYFKDPKLEDNARKNALDITISMLSVVPEDQILSFYKKNIIYIMENIIKEDPQN EEEQNATHTNCSVDNVYGATSEVAIEWEKYRNKSAGGKLMSIDLVRAANRVKLQKHED CAENDLAANKHLFYRQTAYNTIAAAVMRTQTAESFYVGFLFQDKPNEPLWTSIIPINQ KLSLTAQLRQYFKSRQLKGFDDSPDITYSISSLSKSSLSTASFSEMFGIVQNFKHGYS GLDNYIETDLNNEDTQNPEIGDVSTRPLEIEMDQFNLNPCMEYLVELIWILHTKITPP SKDNNDMPAWMANMHTDFNARGNVPISPERTPIAIKLTFAKIIMNCPEAFENYATHWI RPLIKLVTEGEEYGEPMNYFVRDICTVIVFWREKAKLTDSVEDRYILFAFLRYLMQHV FHEDLYIRNENIRIVKEVFDCWHSKMIIPTREIYNQISNPEISSPIIQTGLILAQIVL SHGINPFYSGPEVDLEGLTKIAFFSKLVDIISNTYVCNYGDAAEVSGLALKYLKEKEP PIASFIREKLVEQLQISQKSYDKLVVCLHRIIPHDKDVCSPFIEKVVWILPLITGPRY PLALEILATCSDMAHNVFQSLQSNGLLERLQFKTYAVQIKTLQLLNEIAENLIGEEVK YAVDRLTPVILENVNIDCRTTYYSFLKRVYSTTELTIVLKAHCRAHLIRGLMDSDKSI QEDIVSFLQNQHNMTADIYKRLQIVLRYLLFFILKDIIYNYNNASKESDDYSKPVFDK PLPDARFNDKYQKINTSWQKNLSMVPLFVKVQDLPEVDISKIASDVKETQRVLAYGPI ESDEIPTYSTFTQSFNLPENNPDDIILNENYGEDFHTTELLSTPMKRRELMYNQLHRI LPESATSKSPVFLRHKQEKLHIRLERYQAFQKKARERKLTMYRNYRIGELPDIQIKYM ELIDPLQALARKDNEIARLLHTNLVVSISNYADNNKSITGYVSEIMSTVRENLEKSTM FFSPTVGSFLRVSFELDANCIDSSLINLVSEKSFNQHIGIALVEKQVEIEEVSEREKQ RDKVLRCLHIETKKPRTGYENKLRDTKLKWINLATMYKSIDEPEFFQSIYKINVATEK LPKEAIDFEVRGDYETAIKKYTESFNKLKQNTDTREVSVWAQEQLKCHENLTQWEEIG KSVKSVLKDGDYNSLWDSDIQDPYLRYFVRTFTKIRKGIHDEDGQLVPWTSNNPNPLF EFISSAIRSPKKSNYLIHSHPCDISLASIYKRDYHKARQYIANAYTTLLSLWTSLHPL AHVTRLSKLAVLHRTVELEDFLNVMADNQKSNGDFESLQKYINSLSARYPDHILDPMD AWDDIIEARLVFIDHLENLTDSSEDGYDIRPYFFKGRKQFLKEMTSAAKQQNNFSVAI NRFQRLENLGMSPLEKVHSSMQITLQLASFSNDITTRMELITNALGKIMRRQELEGSS QEHYAEYLITTAKAFEMARIELQTVPSVYSEILKSTQVSNILDKRNFKDAKMVANHFT KSGYAALRDAYSVSIEGSSMQSECYWNLGEYCDNALRSNLNGTTKILAIQYSKVVIDS YFKAMDRGNKHAIERLPRLLEIIELSPVTGEDFKLAAESFGATWVYIRWIPQLVALLG SSLAEYVFPALVKMSKSYPNALYYPFQISREQFGIKDKLVSESQERILAIKDIIYSPL IEEFTTELRRLTNPEHITKDFIDFVRAISKGEDINPDIIESEFKQFDELVLNPSNKRM GKISKAFAVKHASQLREFMGSNGKKIAKMSEKEAEKMRVYFNNYITNEKLPGSPNLLQ SYSPWLSDFQSTNYEEDIEIPGQYTGLGIPHPEHHAKVASFEENIMVLQSLRKPKKIC IYGSDEKEYNFLVKGGEDLRLDQRIQQLFTVMNEMIRKNTFCARQDISVTTYKVIPMA SSIGMIEWLDNTKTLKSCIEEQLGDKKALTKAYNEYRSYVASFKGNLMGYLNLFKAPR SDVVGRFQRIQALFEKDILKKYLFKMAASPEAFLFIRKDFAHSFSAICIVGYLLVRVS LVLKICSGRLICIDFGYAFGAASELLPVPEIIPFRLTNQLVGVLQPLGVSGVLEVAMT NILQAIQKDTQILLNTMNVFIKEPLLDWKRAAKKQAVSQKNTSKATDSASLPLSNKDT SEWYPQQKLENARRKLTGENPTTIVLSELAMGHKDKYYYSGLETVVRGSPLLNMRAKT GTVCQNTKEQVQCLLDLATDPNILGRMWVGWASYL PHYBLDRAFT_61382 MFIMPKIQNGYTNGSRIRLPYLIITEVNLRKFAAFYKQYTDVSF FGDVIRGKNQGKISLCGMQSAYGQIFIALYDNCDGFHDISRSYTREYYACARSRRLRQ ICRRRHIWEEVYIVCGHQMGVTDVLYQQLKNRQELGSVLMETVDLLTPCPFTLSKNQS HFYYHHYLR PHYBLDRAFT_178542 MPMEPSKWIDVTVEKNHYSLDHFVIPTHYEKDVSSILIPHGVIM DRVDKLARMIVDEATGPLVVCCILKGGHQYFADLVNSIKKLTTKAGTSVPLSLEFIRV KSYRNDQSEGVTISLTENECRDFEGKNLLIVEDIIDTGATMVKLLERLSQYQPKSVKV TSLLVKKTPKSNGYIPDYVGFAIPDEFVVGYALDYNEYFRDLDHICVISEHGKKAYAV PHYBLDRAFT_93225 LIIKVIQSTTFNMNANKQQTKKIPRPMNCFLAFRLEKQGEILTR CPGANHRDISKIIAKWWKETPEHEKEPYREKARISKIEHSKLHPDYKYSPNKRPGHKP RKYV PHYBLDRAFT_61385 MAEITPPRPYKLYGPVYLIRIRIIPTVAELCTNLNCLENMDGSE VMHRLWNQDLAAVLNFRHILNNLRYDGIIPVRFTRVIRIGRIRRQAEEDLQEGRRLRQ RLTRIQRR PHYBLDRAFT_100201 DYEKILSDLDEAIQKSQLRIADIKIRQRRALALWVLYSSILWAV YLVYCIFTLYNQNKGIRAIVNAGIPLILFPFVIYYMRIALAWFYSRKQTAEESRLSTM MTHKNQKLDELKNKTSYYTTHSLLERYDLSESKKSQSVGAVTGDYPQRRPGKQLSTLS LPAQRPQPLPELPTPLNARNDTQKGNMMSQFSTVPRQPRWFDKMFEALVGEEKSEERY ALICDHCFSHNGLVLPRERDSIQYVCPQCHKFNPS PHYBLDRAFT_76654 MSERIQQYLHRRQCYGDRYSPYGGIPKNLFAQNIYADRYTLSRM TLDKKLEGHSGCVNTLFWSSRGDKLVSGSDDAKAIIWFPQENYRKACSIETGHRANIF SAKFMPSTSDSILVTASADSEVRVFDINDGNNGGLKSTLRHVYYCHINSVKSIAIENG NPHEFLTCAEDGTVRHFDIRQPHKCTPTVSHSSFAPSRETRQHHQACTGRNIREGCPA PLLDYGQHFRLNSLALNQIN PHYBLDRAFT_137897 MPAADISTEIKVQTIKLSHVSTPRCPTLFANLGGTNNSRIVVYV SFQRSFLLSFSLASQCCPASSFFSINSISMEPSLINQTRGESDLMEMDRTIFPSYMNT LGRPSKAGLLRRQSMFNYSDRFNDEVDSGFTISRPIAPPPSPQEPSMLDSLFGASLSA KLQAVSASNDGTVFHKDTPPVEHRAFESTEEHALTLDKLLRRTFTQQSRGVMKIKSKR PVSQSFASQHGKFIRRRRTRTRPSRIPLSATRIQQRSSIQNATNELADSISMVQITPE DEQLQWCHGVSSPSSWRADAIKQKLKGITEAAEKMDQLKRARGQSQNSTKHSDHKIGS VETIIQDLHEMGL PHYBLDRAFT_178545 MGISRDSRHKRSETGAKRAQYRKKRKFELGRPPAMTKLGGRRIH VVRVRGGNVKHRALRLESGNFSWGSEGIARKTRVLTVVYNASNNELVRTNTLVKGAVV QIDATPFRQWHEAHYASSLGKKKANAEEVAVEKKSNSVQKKIAARKADAVVETLLDDQ FTSGRLYAVIASRPGQSGRCDGYILEGKELEFYVKKIKSRK PHYBLDRAFT_61391 MKCINLICGLFLVLCARNTSANTEKLIFQVNQNIPACSFETRSI FGETIQPNSVLIPPFTTLVDTIKTIHPSNSSVVSSHRLYQLAGLTRGSNYELRLSYPA ILMSGCDEHLAESLSDDFTVNYWVVVTGTHTGVSTIQDTTTIEPVVYNLVLEKLYGGF LFYNVYKIVFLIAGLLALGSWVVVPAIERIVKP PHYBLDRAFT_160990 MSSRALRRLQKQQLEIEEPEISEEESEDEVVTQAPKKAQNLFAL LNGDDEVEEEEEEEEEEEKEVEIKDKKAVAVSTENLKKKAENREEAAEHVSPTTSKKN KKKSKKKNKKVQPKKDISDISMQELDAALKEVGNRQPYSPHDSSSKISSDYAAIEKCR QLITINTRFLDAEAEMKRMFGSRVVNTEGRGVGAGRILKKSKLCTPKADWRPYAKDGL SMELIETKDGISYFAFKHHDRYQDIQLDFLNAVAMHDPNGLVLLNRRHPYHVDTLLQL SEIAKQSGDWSMAGECIEQALYACERAFHPHFSYGSGNVRLSYTRSENRSFFLAIFRH IQFLTRRGCWRTAFEFNKLLFSLDPTADALGAILSMDYYALNAKDYDYVLRLTSEWKM DGKIYPTSLTSLPNFAFSSAYSKFKLSGEAEGRESECSKMLQKAIQRFPLVASRLLEK LGDSEPSMHSSDLFKENLTNNYLDLLIWTFVERSDSLWKEPEVIQWLKYNIKAVVQMP AKSRISAYTRVPCVEKGIPLSISRHIVMADVQRLLRYLPSSITSASYHMYDPLPPPDS ISSYDINERMSSRGRGTQNNSAPGGWIAAMQDLLRGQGLGGGGRQITPETADMVRRLM EDMNAAQDRLPGTFPEDDDADFEEASEVGHGVGYANEDEDEDDADNDNEFDDEDHEIH DVYDSHPPQLGNTPLTTEELGQILNAVEDDDMDLQIALAQTYEQNRRH PHYBLDRAFT_99199 DNILNDEESDRLNSEDQTRQQPNRNNESSEAHQSTGTNRQRNSL DQAELRKKIIQIQHDTSVDPKQKARMMQHLMSHGVLPATPTADTHKNKQPQVQTEDIY AVSYHKKEDGILGCQHYQRNCKLQAACCEKIFVCRFCHDEASDHAIVRVETKNMLCML CKTIQPAGKDCHKCGEQMAAYYCDKCKLWDNIPNKEIYHCDDCGICRRGKGLGEDFFH CMKCNICMVISIKDKHRCIERNLESDCPICGEYMFTSTAKVIFMPCGHCIHKHCYETY LQRAYQCPTCLKSLCNMEDYFNRLDQELQRQPMPAEYENYLSYIFCNDCEEKSVAKYH FFYHKCKNCKSYNTTV PHYBLDRAFT_160992 MINELKIIIHLIFMSRQFIRLKKWYINQTQERDTFLGFLKDASD FARQHRAFRNAMRIGYATKRTVIAPMLRLGKHHNWTPFEEAARLYEAQDKNLLRRICA TEEQTNESWRTKLEPCETMHEWTEVPWSSIFDLAPFKTEFNITILERVDGHGWGTHES VLGRIPSEDVVVVDPLSFETNGTEWDTTTKKPPVKKTWYQRMFAKPVPQGRRQLKRVM KPYQIDAIKHRFIQFGDISSSGRFQTRSSPGQTTLNRAMMKHLFLAPDQLKGLKVEAD KVIATLGGPEGFNSLHLSLNKLVAMDSRFTSRSLEVSVADLDAWERKELMNSVMLELV GDIPIDQAVSAAMPIRPSWLKEIFNTQNMTVMNRRPMLDACLEYRQSVDPHYPIHYLV NDVGDPEAHIGLFRPLFELFPCTFSLYEINQWRITDMSWTRLHPELKDTVDYATMFEP ILDILVASKGYSFFEMPETPLTRLIAWQPK PHYBLDRAFT_104822 QAPGSYGKGIKDIYFVCFWVIVITFARNTLMNHVCHPLAQRGGM HSFAKRQKLAEQTSMCVYYSIFWVVGMYLMYHSPHWMNTSQYWIDYPHTLISRGMKTY YLIQVSFYIQQTYAINVESRRKDHYAMIIHHLITGTLLVASYYSNFTRIGNAVLCCMD LADVLLPLAKILKYTHRNILCDITFGLFAIVWPVTRHGFFTIIVWATAVEPPQYIDMK WEPEKEKYFTPLTQKIYLSLFMSLNIIMLYWFAMILKVIFGVLSGKNAEDVRSDDEDN G PHYBLDRAFT_153860 MKSIIVFASALVLATTVSAEVFLYETFSDKEGWQKRWAPSTYRE DLGIFKVSPGQWFTDKDEKAGLQTTEDYRFYAVSTPMKPFNNLEKDLVVQFDVKNEQN IDCGGSYIKLFSPKFDPKTFNGDSEYNIMFGPDICGTKAIVHVIFSKNGSNHSLKKTV IAPKDTFTHTYTLHVKPDQSYRVIVDGIEQLSGSLLEDWDMVPSKTIPDPHAKKPVDW VNSPKINDPEDKPTEQDNIPEFVSDPSAERPDDWDDEMDGEWEAPSIPNPEFKGEWSP KKIPNPDYKGPWVHPEIPNPLYKLETDLHAYDFGYLGIDIWQVKSGTIFDNLLVTDDI EEAEKIRLATAALEEEKEALKVYEENQSKKNQADVEVSEGIGLDDIDLDEEINAELED KNDQIRDEL PHYBLDRAFT_129079 MTEARTATVNRKTNETDIKLSINLDSKFDQRIEINTGIGFLDHM YHALAKHGGWSLNLTCNGDLHIDDHHTAEDTAIALGMAFKQALGTPRGIKRFGSAYCP LDEALARSVVDISGRPFADINLGLKREMIGTMSTEMLPHVLVSFAFGAGITLHVDVLK GTNDHHRAESAFKSLAVAIRTAVERTGTDDVPSTKGVL PHYBLDRAFT_129081 MDTSKTPVKLAKVTKVLGRTGSRGGVTQVRVEFMDDTNRSIIRN VKGPVRENDILCLLESEREARRLR PHYBLDRAFT_178552 MDATQDILFDFESFGSPESPLDESTNYKKDGFCTPANLASSANH VNIQLTAQGYPVPLVFKSNQPEDACKIINCLHDLLQDKKNDEEQCNELSNTISQLKRD REVLQQKFDLQSQELTKIKREKELLGSKVDSIQKNLKTEKEQTKSMKDELNKAKNNMQ YIKAQYAHETRRHELEHAKTRDRLSKLMREKIKPVLPSIIVNDPPPGLAGHPKENAAE EERAMFEDLIIKTSAREMDARKESEAFRKAFVAVYSAVRNLLDRQILEDESHTGKESK LSRKDMSAFRLPFDFGGSEAVQHIHDLLVRLEEEWGNQTRNQTVYTAEDVLKRDRMIR QLEHDIEILIKTFDEATVEYEEKTRMYKRFEEGGFFDVILPAIPNEQSDSEDFALEIQ LDSQNRLERLRKTALKDQRRVTDAAIRLGNERKMLKAERWAFEEMKRELKMKDILSER ESSPEDTVRPQHPLPSPSRPRKRHRPGLGKAPTT PHYBLDRAFT_160999 MAFRQNHLKIHSFLLTNVTLSQGSITPMKMGLTFYDLIPSKYFA LFGTQMISTEYVISIYKNQIAHKMTHTTVHKCLLQYDFSPIVTVSTDVEMRSEVQDTV MQLSCNLANCDGVRVGQTFGSIWA PHYBLDRAFT_61401 MAPPHTILPKEPSNLPRRASYPIQDRIRIYSPATMARQLNKQKH QSKLIVHGVNILNKISLDSDIAMDRIQHRRETHNLVERRRRDKLNTLVNELTQVIPPS EAVSEKWHRAKTLRQAIDYIKSLQMENNGLRTQLGLPNIVLPARSSSSRAEIPSGSGS GESSNESDVPDVSESTPCFS PHYBLDRAFT_76662 MALFGLGKSRNRSSTSKLSKLAIRRTKSTGNIESSKPSTTKGTF LKRYTSVNRPPVPRSLHGQSDQEEDTSNSSRPSSLSSESSESNDNSPTKNVSNPTIKI TSQDADELNEKMKRLVTNDLELLLSMETQARIDAQEERERNAAAEAAREAAVATTPRP SRLKFELPVTPPRSRSPAAANAYPRARPIRSLPEDNLINRERLEDKRKKRKSRWTRIM GSDQDSSSSESDEEDEPIRLGTKVRLIRRPLPTIGHVRYIGPLESNEEYIGVELESRV GNGDGSVNGKYYFHTDPHRGIFVKRNELKVV PHYBLDRAFT_100240 DLYTKEAYRINQHISSLKRFLLSIRRAYLSTDVRHSTRKQPPKP IKPAQDGSLFSMFPADVQQLTDRERDEIDFQAKLVIRQSMDRIKDLEEAEKIRQETEA AKPSTRLMSLFNTLSNTQSTTEDILGIHRSSITWLLNKRLMEVSNLQKNQQEIRLRRE IEKSENQLFRSTALAAPSAQDQASSSSFKPTKSTVAETWQSTPVPDPEPEEMDAFEEQ ISQEQLQMLEKENSVMLEDLNNTLNQVRTAEKALLEISNLQSQLTHHLAVQTLQTERL YGDSIATTERVEQGNLQLIQSRER PHYBLDRAFT_137911 MDGSEVMHRLWNQDLAAVLNFRHILNNLRYDGIIPVRFTRVIRI GRIRRQAEEDLQEGRRPTQATAEKKKNKVRTCYKLYMIRDVPFGVTTALVSIQSTITT EV PHYBLDRAFT_176481 MEESIDQLILVDYRYVRLAYHPLFHKYLIVGFWKDQAWTMTKTM KAGLQAEKCYERLAIFGPNLINIREKPTAKLLTDEVLNPFYVFQIGSIILWSMDDYYY YAFCIFFISAISIITTLVETKQTMKRMREMSRFECSVRVFRSGAWRTVSSTELVPGDL IDIADLHTVPCDAMLISGDCILNESMLTGESVPVSKAPITDLTLRKVNLSGPTIPAEV AKHFLFMGTKVVRVRSGINVSAATAVVVRTGFNTAKGALIRSMLFPKPNNFKFYRDSF RFIGVLSIIAVFGFMLSSVNFIRLGIDTTTMILRALDLITIVVPPALPATMSIGTSFA ISRLKKIGIFCISPPRVNMGGKIDCMCFDKTGTLTEDGLDIYGIRAVTTRTDGTKLFG EETSTVAEVDPNSESEDSTESRILRAMTTCHSLKIVGGELLGDPLDLKMFEFTRWELE ESSGISSSDLKPTTSELLGSQAKKIAKVGIMPTVVRPPGGRHELVLPSDRLEVPPIEF GIIHSFEFVSALRRMSVIVRRLANPCMEVFVKGAPEVMVDICRPDTMPADFQERLYWY THRGYRVIACASRQLEDVKWHKLHKLKRQDVESNLTFLGFIIFENKLKPRTISAITTL RSANIRQIMCTGDNVLTAVSVSRECGLVDQNSEIYIPKFLKGSSTEPDSQLTWESVLH EGDELDRDTLQSYHLAVTGEAFRWVVDHAPVELLHRMLVKGAVYARMSPDEKAELVVE LQNIGYCVGFCGDGANDCGALKAGDIGISLSEAEASVAAPFTSNTMDINCVIDVIKEG RAALVTSFSCFKYMALYSIIQFTSVTLLYAFGSNLGDFQFLYIDLFLILPIAVYMGYT AAWPHLYQKRPTASLVSKKVLTSLVGQIVINSGFQFIAYWAVHQQDWYVPPVFDPDGE NIMCYENTVLFLLSSFQYILVAVVFSVGPPYRKPLWTNGRLVLTLLVLVALTVWCVLY PNEFLKELLELETIPFSFRVFVLSLAAFNLVISYTCEKYMFIRLAGWLSQIMRFLRSR QTGYSPVGKVQRKIYKKVGEEMGIITF PHYBLDRAFT_184259 MTLYNIPHIVDPGNHEDVQYFADPLPRISANTSPFQSTQFSQPL APDNLIPLTPRSYYQQHHQHQHQHQHQHQHHSHQNQHQHQHQHQQNQHYQHHTHLQKR DRNSRPIQISNFGMYTSFAMDCHPDLESPTESYCRQAPEVGSNEALDRDNFLLSNRHH PTTNQNLPVQSLPPYWQISDCAMDNSFADLHSQEMNTTERGIAGFVSKLYQSLQAPDE GQKYAHWCNHNGVDMFIIECIPKFTENVLPKLFKHCKFASFVRQLNIYGFQRDTDARK SKDSKDKETCRWYHPYFRPGRRDLFYLIRRKTPRYSRRKRVKAAEDVETIINVESGDE SGTEVDYGSHANEISGNEGGSRRSPSSDRLSGTALQPSGLEFESQVEGTQLFRQGSCP ELISQDTRSLSAPHSVPDTDINIGSDSMMLLQYTPAQHKPASLTFRELQLCKQVIYQK RTYEAMQHAFTTQMQETHSKLEAQQAQIDDLCAAIQSMDQSQEQIIKQNTICGTTNPT IGTSSTAYVGQPTTDDCTMTSTDTVTAATVTASTANSHYPQSVKIGYLPKHHSENMKH ESTIQSRPSHITLTEPVPLSSYDVYTPLSDFTPFQTMADSPFGGQANSVHFRSQASGS TIVPAKQNSSSPSPPSPPPYQHQASWPIQSTQCVVSNASRIATAAAMTAAATTNSNIS TKLEVSMTPNIHTNCL PHYBLDRAFT_137914 MLKRAFSLFTRDPSRIIYTSQKHIKLPVIYFTKRYESNTTLTDS EYLERLNEWVETVNKTTSVNEKQKIVTESTWCHPILIRIYDPHLRHHVKSKRILDHIN EQTKKGKTSDTLPYTLLDLLDALSSRKLSGNTALDAVSNFYKHHCHTEAQQQMFWRVI DRNLKMGVSTTMITRLIEKEDSQENNLDIFAPTTMKVALAKSAVNGKEDKIWSQAALK DSPGWYGSRKLDGVRCITFVQKTARGHKIHFFSRTGKPFNSLEKVEAAIRQRLKPEDE EFVLDGEVCVYRADNPEQEDFLAAMGQIRTRNQPMEDPVYQVFDMIDIAEFRLGSGHI PFCKRQEKLEKSIGKPQKHLRMIKQVKLDSFDEFLKLRESSIRNGWEGLILRKNVPYE GKRSRNMLKVKEWEDEDYTVKGIETGLMRMPDTGEDKLVMTNLVVEHKGNPVSVGTGL SIQQRISFAEDPSLIIGNIVTVRYFQESGGDGGVKSLRFPSIKAVYDSEARPI PHYBLDRAFT_61408 MKVSKRALLVIDQEVRLKCESSIVCECMNKLQYCLPCRHSLPAG RDIYISDIPERWVIDPRNVKPRDNTCRENFQLEERPEVWMEEVIKLESLFRSCEGSQQ VANLQNKIKKVTSEFEGKTGHPSINFQAPEKIKYPGRRKGSARPKYLPKDFGRANWRK ISVSSGHAGLKAMVRLRAKMREGKPAATQKNKKQNKNKQEPLDPVDATKNKIKQIKQE PLDPVDAPQKNGFKRPATALEDYRYDNRTSVGKRVKFQPGFPVSHEIVDDVKGGFSPT ADGWCGFRVLAHLIYKDQNKFSLVKRDMLAALPKYKTLYTNTFGTDTSQLEKIIQHGS QLDYSNTSNTNTNFISVCSDASMWFNTPDCAQLAADTYTRPVCVYSDNPSTPSTTFLP FALPNNKTKQRQPLIFNHVNSNHWTTVDFSRNISRKWPTVPELFFLGCARNKIDDNFD TYWNKFKEFNKHDRRNAMLSLHSDLDQPIDLTPK PHYBLDRAFT_137916 MPLEHDVSYTDTFGTRHFASRARRFLHSHVWDTTFCLSGMTDQI KLLDAGQQVTFYEAAILEQKVHRPLQSSLQIAFRNLYSTFRVIATPIKTKPFIS PHYBLDRAFT_137917 MFFYSYKRIKSITPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTETMPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_137918 MANISTDSNSEQPCKKTSPFADLKTSDDTILYATSDRQKCPTCS RMLKYFCYRCFTVIGMDRSQVPSITLPVPLDVIKHEQELDGKSTAIHARVLAENDVAI YNWQEIPTYENPERVLMLFPGPDAKTLDQIPRESFDRIIVIDGTWRQAKRMVRETPQL GLMQKVTIEPRQTYFWRYQQLSENYLATIEAIYYLYREFAETYETPDGYDGRYDNLMF YYRFFYELIQDYYKEKTQKKFTHRHKRDYIQYESNEKEASEPK PHYBLDRAFT_55743 MSSHTAFTMAALSATGGIAGFARTRSFPSLIAGVGIGSLYGVAG YLIKENKDYGHETAVVASTLLAGGMIPRAIKTQFKKPVPVALSVISIAAGAYYVKKVI DYN PHYBLDRAFT_17947 VLKRLVKTSLRSALFLSLYMSVAFGVPCGLRRLFRTEGRWIYAV SGLAAGSMSVVEAKGRQLELGLYFLPRAMEALWQMMAKRGYVTRVPYGEVVLFMGSVG TLMTLYQTDKSSVGSTYLGTMFRFFGEN PHYBLDRAFT_156861 MISKNENDTQQPRRGPGRPRKIPREGDVIGVSGKTIASPAKIPR PTSSTDGPRKRGRPPKKATPPQPAFLLSSTTSTSTSHHSHSLHHHPASILASKNDILD NLDDDVSDSGLHRRGRSFKKETDTLDEFEIEALSLSLVAKEVAPIIKKRGRPRKLDGI SKKPKIQTSSDQSGTKRGPGRPKKIQV PHYBLDRAFT_36860 MSSITAFDTAHEDLVHDIAYDFYGKRLVTCSSDQRLKVWDFVEH EDSAAWEINDTWKAHDSSILKAIWANPEYGQVIASCSFDRQVKIWEELSVEPKNSQKR WAERFRLVESRGAVLDIAFSPIPGALRLATCSVDGIVRVYEALEPTNLAQWSQMEEFE IASHHRPIDADSGYCIDWCPNRTATALMVVGLGKEIGARASLSRIFKHDGHNRWYPAE CLPEHTQEVHDVSWAPSMARSYQLIATACKDHHVRIFKITEMPLTGLAPSQRAQSMSN NGRQGGRIGHRPLQVEMIGDFDDHHAEVWRVEWNVTGTILSSSGDDGKLRLWKAGYDG QWRQMAVLASTHVSS PHYBLDRAFT_161012 MLRTTLLKNIHLLTKSSIGTATATATATTTTTITLCKYSQARVL AIGGCQRQLSTQSKDTRPSEYELRVGYAIAILQDDLPNFFENGLENDTIYSNNIVLSD PHYTRLSIQGRTTYLHIAQALRWSLRMYFDAIQFEITRMRVLPDIPADSSPDDTNNRD DQSQEEDSGENQGWDPQNKDDMPDIHPLRDVLATKGVVRSLEVRWRLQGTHRPSFGLN QLLGMNVDIPVRHVEGVFVYAFDSEGFIGEHRIQRIVPPPSRRVLWVHSWGARLHAYL EALRRRPELNPGIGVGCRTTTPPP PHYBLDRAFT_120337 MNSTLTDSTPYQLNWLEIQWAQMYEGRNPLVVTGIFAFLLHELV YFGRFIPFLICDFIPYFQRYKLQPKKINSKDDYWKCTKSVLYQHFAFEGPLILLFHPM ASLLGIDIDVPFPSWSVIAVQMGIFFFIEDFYHYVVHRIMHWPPLYKKVHKIHHEYSA PFGIAAEYAHPIETSILGLGTIGGPLFYHAVVKYFFSSSPGWNLHLFSMLVWIVLRLV QAIDAHSGYDFPWSLCHWIPFWAGAEHHDYHHQAFVGNYASSFRWWDYIFGTDKKYRA YRKQQAAAKRSLDKKKAL PHYBLDRAFT_61417 MQRFNRLIQSTTTATTKAHWTPFRLFTTEAESTRPGYAPGFAPP PNSRDTPKLSSKRRNLGTSLPSHLGNASQRTAAETTSPKKHHREELRTRRHQYAQELL EKHGRREAAAAAKRMLNQEKIEAVRAELIKEQEEQKALEDEVVSMLSLNATESLADTR TKRDATRVANRLQFEANQKESRLKLISKLYASTENFVTLDNLDERVDAVVKTKQQLPY AGSLHELLSAQSVDRAEIEKRKEMLKEAVGL PHYBLDRAFT_129099 MRESIEDEVTPLETLDSVKDTAALLGDQPRFGKTVSWSDLPAWL QDNVYITDGYRPPMPNYKDCFNSLFYLHNESVNIWSHLLAFFVFIGLGISFLWNQPFA DSLTRFDYAYFFAFIIGALVCLGFSSSFHCLSCHSEPVAAAWNRCDYAGITSLIVGSF FPIIHYGFHCHTRIQTFYLVVITVLGSFTAAITLMKHFRTPAYRWIRTSLFLALGLFG VVPTLHGIYIYGFDRAIHTVSLANLLLMAVSYVTGALIYGHRLPERYYPGTFNIWFAS HQIFHIFVVIALISHYLGVMKAMEYWHKHGNNVCLDFQ PHYBLDRAFT_178562 MSTCMAPTDRNLRSFKPLILFHDHKFVRDSTGSLEHIRIPYHHP QHASSIDSHRMRVKQMLRNNVRFWTDVGVETDLHRSSSTSSVSSTSSLHDLPSPPSTL PSPKQTSRSLMSCTTNPHAVPAGRAPASPPLLKIRKRRGNLPKTTTAILRDWLTKHKK HPYPNEEEKEALAGKTNLSFNQVSNWFINARRRILLPMLEKEDEDGLEVYAYHNIGNK PHYBLDRAFT_184267 MATMTAPPPEQRIEYTKKARHGHNQDMTDENNIRQVAGCLPIDH QAKRFLLISSRKNADAWVLPKGGWEINETKEQAALRETWEEAGVKGIIYKHIGVFADK TNKGKVKAHHWVYELEIQEVAKKFPERKKRERRWFTYEEALLAAQSPFIREAIRMSSL NPANSPEPSPRVSDESQPTLTGTPPPTIKAIPRSNTENRRSFGAKLRALFS PHYBLDRAFT_161018 MLHLIISAIQAVLQVMFIVIFGYGLTKLDYFTPEKQKWLSRLNL VFFTPCLMFNNIASVISFNKLLTLWPIPVFYFTFTLLAYLISRLVMRFTRLDPYYRPF VLACAMFANTNSLPVAIMSSLAVSEAGKVLFWSADDTQETVSARGISYILFFSMFCNF VRWSYGYSLLQKHDSDDSSSLDNKDEESVSPYGATSSRSLSSLSSLDSEVEYSKKIDR RRSSHTIAFNTSKCLKGETQSLLSFPNIDLDNRSVSTQHWVNKHIFSKVVSVASTFHS YMSPPLYAAFFGLLIGLVPSFKDLMYNRSGFLYPCLTKAIESCGRAAVPLTMVCLGSQ LTSIAESQAPTETNSKKPVSLAILIKMFIVPLVVIPMVIMFALYGKVLSDVATDPCFL CVMILVSCSPTAVNLSQITQVSGMYEEEMLRLLFWSYGVFCVPVCTFVVFISLLIVDH LL PHYBLDRAFT_137930 MRRRPVGLANATHRKQLDKDFQQVGDSIAAKELEQLQSQIEVFK SNLEEFAWKHRKDIRKDPTFRSHFQRMCATIGVDPLASNKGFWADLLGVGDFYYELGI QIIEGCMATRTRDGGLTELGDLKRRLDNARSKEGRQEKQDITMDDIVRAIKTLKPLSG GFEVLEIGERKMVRSMPKELDKDQSALLLLAQKQGYVNRPMIQQSLGWEDARTQTGLQ HLLQDGLAWIDDQAEVDTYWIPSYFKLDE PHYBLDRAFT_61423 MASHRVFSQKFLQKLTKPLVEADVTPQLVFNEAKQKSFWRPPQV SLRRQNDLRKACLQEGIDASSIGLAPVAPAKPMRYKPNKLEKHERMRAERQANIRKNL EKMPQTIQAWKEDKLKAIAKQKTSMPF PHYBLDRAFT_61424 MYSAFDINLLKKRKQKVEYIKVNFAFETIENSKGGEYGNRGDKR NLFENRYLVRAPYSQHGSAKNRSSRKDWIDSMNEESAFKCQESETERHRAGLGRPEGS IMQAGLTKDFLVYETEQNMMTTVREDRKMERTNDVKVRREQAHSMVVVLRRLGGMLQE VIGGKDVEQANQYCSIKSITFFYCFNFRFIGTQFALLCDPSLVSVMFKLRFCHGEWNN ILVEQFCTFEDWN PHYBLDRAFT_105061 SFVVLSRSQATPPNQTSTSGQPLLQPQTVPATDEKQWQTQRNNN LTHRLRVAKRLFDLMSSKSSVDHPMCHECTDMLLESLEKQLEDVGRERDYYIDFLKKV KDGKVSQDEEKELVKQVKDLVVQEEKVTDLLKEEEDKRDALAKQLAAMEEEMKELNKR DEAFWEKSNSYQLKLQSFQNQRDSINLKYDHDVKQYERLQKTVVYNDAFSISHDGPFG TINGFRLGRLGSHSVEWAEVNAAWGQTLLLLYTVANKLKFQFQTYRLVPMGSFSRVEK IDGDSVLSYELYGSGDFGINRMFLNRRFDHAMVAILNCLKQLTDFAEERDKSLRLPYR INKDKIGELSIRLQFNQEELWTKALKYMLTNMKWILAFASRTIVTADAPTPST PHYBLDRAFT_153869 MLLPTALEAQVESFISRLKRRQVIGSYEVAKETAVLLRQAVSTT RWKDVNSIIETITELGGRLAMAQPKELAVGNIVRRVLKVIREVAQGELETDGVADVDE NESEGYTSEDEDVPVKGKIQSSTSSIVSSLNERPPLITQSSMFRLLADVGPKSDEKKA NYNLKPLIIQEINEEIIADLESVYKGIADQAVDYIHANEVIMTIGRSRTVEKFLRRAA EHRKFQVIVAETSPTYQGHQMAIALSAAGIDTTVIVDSAIFAAMPRVNKVVLSAHAVL ANGGLVSVTGSHLLAAAAKHHSTPVLVCTALYKLSPLFAYDADAFNVTVAPNSVLDFQ QGSLVDKVAVSNPYYDYVSPELVSLFVHNLGSAPPTYVYRLINDNYDPEDTTL PHYBLDRAFT_95212 KRRRGNLPKAVTAILREWLARHKKHPYPTEEEKASLARETNLTL NQISNWFINARRR PHYBLDRAFT_161025 MGVAERKNITEDSAAQHNTAQHSRAQLQHKKTKIKQNKYIVYKL GIKYLFGSKPYINHLTCLSCPICVALQRNKMPFNTDRSSLQIQVEYYWAMTDCIKKHK KQVDEETGVVVKKIGLDIQSTTVIKTKHWPLIRSCDFSSADLV PHYBLDRAFT_61429 MAHKPFTDASDCLSGGFYYTQRIPTVRPHCVMMGLPKFFFIHKH NYKYKYKYKYKHKYSCKCKCKRKCTFITLMFNKINTLMSLLLGFGLNKPKQPPIADIS VHPIQFCLVIIITIHRPKIRQVLL PHYBLDRAFT_61430 MPYSVLLPHCFLNISISICLSVSYITLTDIDSYKTTSFNYTQEK LLQSNGPSSFYQLFFLESNTNPRKSSFTNSVSAVVSVNWCSGRSSRAESESSTDKSCL FTHELYSNLSLGWPWKQCIHFEYFGLERMYADIMLMIAPRKTIGTVAKVVGFRPEIAT NEKFAYDLLNLD PHYBLDRAFT_178566 MSKMIPSTKNSSESISNERRFLETDDDDVARIGDSLGYAAQILY RFGIPLGSDKNIAGDRKKKNPKSGNGTSKMKTTGRIDIPIENKYEDAPEIQQTPQAES DATKLTSKETENKRPKRGITFRRGRGRPLKFSLGRGRPRKLYSGTTEKNEAKAQSLQA NYLILYS PHYBLDRAFT_161028 MNHYLIRKLGLLFHIKRALRKQYKSHIWSMVYLDCEKLTCTSHH VHHAITVESVIRPREYIPGGLCRSNKKNKPFTYLDNKNNAVANNKLVRSDINSVIPVI LIVTRELSKNALLIFTRIQVLQRDLNNVNTALC PHYBLDRAFT_178567 MASTSYQTSSNSGNSSVPWESLMDSQELQIYADLFRKVDVDNKG ILLKDEAMTFFRKSDVPNNILAEIWEAADGDNKGFLTDKEFCIALKLIACAQHGTLTG SPILSTTVAKVALPQFDGVTLKNLSKPNRPTPNAPLSPNMNMGAGGNNSADQLTPEER NKYINMFQANNPIDGVLGGDSVKNILIRSKLSPETLHAIWNLADTRKSGTLNQTEFII AMHYVSQMMKKSIQSLPATLPVHIYTAAAGRFGPSRQFSAVSPVMRQMSTQNTHSITT RQMTGPSAVFTGDQSHHPTRGDLDVSPEELAKYSVFFENLNTNGTGYVSGVDAVHFFR HSKLPESDLAKIWDLADTNSSGQLSKQEFGLAMHLINKRMAGGQIPTSLPGLQRAPTQ VVNVTGQSELSGPQYNGQQRSLLETELSSVKNDVRAERDRAERLASQHSSEAQAVHAL QEQLSKEKQSLESWKKKAEESEKLLEQEKKKRQELSKELHLCSQETKHYQQRSESAKK ETEQVHSEVQEMQKDSFSNNSVFALSNTPSNELFTNIGEKSTPEHSFSHPGSAASSTI QSPSMNPTQFNKSFDPFSGMKSDKGNTADSPKISLNRIKQEHEAHQKRSSSPNVDISD IEVKFPDLNTMEEKFNMSSPKASPAISEAKIPTNSASPVQKPYKPSLDHPFGPNQSPA PTTAFSPKQSKSVAKYGFDLSAFETPSTPENEGTSSVKDELSSLFGSPQPEAAKKEPT TNFDDIFSVTPSSVPAPPTENKKTFADIFF PHYBLDRAFT_17694 GAFHWNLERASSVVLIPLISTQLVFGAFPVVDGLLGVLLRYLNV GLESCITDYIPKRVYPRLNKAANWTLFGSTGLVMWGCYEFNTNDVGLTEFAQRIWGA PHYBLDRAFT_129105 AFRFLVKPVGIQVSRPQIYRASAWSASVGRKPYSAAAPASTSST TTPVVSSTATTTTPASTQSPTSEKKDEAIVSSEEKK PHYBLDRAFT_178569 MSEKVIDKVELTEAVETTNFTSITSQTEPKQESEKNLKRSRPDS PFDGLKTSDDSILYETKERQMCPRCKKTVKYFCYRCFDVSGMDRSQIPTVNLPVHLDV IKHERELDGKSTAIHARVIAPEDVSIYTWKNAPTYEHPERTLLLFPGPDAKTLAEIPR DSFDRVVVIDGTWSQANAIARDTPSLQNLRKVTIAPRITHFWRFQQMSENHLATIEAI YYLYHEYCQTYETPEGYDGRYDNLMFYYKFFYDLIQDKYRQTKKTFTHRHKQNYIKYA ENKPKDQKADDVETVTDKNVPEETDAQKNVETVKKQ PHYBLDRAFT_104926 PPLTVENITRLREKATASSNPLELLEFAMFLVEAAPHVYADEGD QRITQKRRNSLLHEAQKIVKRLAANANIAKSGCSEAQFFLANCYGTGVMKTPIDPEKA FNFYLQGSKQNHLQCCYRVAVCYEIGTGTKRDNNRAIQFYRKAAKLGDPLSMYKLGMI LLNGFLGVHKNEREGVSWIRRAAQSADQDHPQALHELGVIYEQTNIVYILPDIDYSRE LFTQAAQYGFAPSQRRLGLAYENGSLNCPINPRRSIAWYSRAAEQGDVESELALSGWY LTGAEGILQQDSFQAYLWARRAADKGFSKAEYAVGYYTETGVGVQESLKEAKRWYLRS ASSGNQLAKIRLDNICTPDNETSKQKMKICNSNGKFNAKSRECIIM PHYBLDRAFT_95352 SRNKDHHYNILGIHRNAEKKTIRSQYYRLSKKYHPDLNPNNPEA HKAFLEINEAYAVLGNEASRRQYD PHYBLDRAFT_153870 MVLEASMIVVDNSEWMRNGDYSPTRLVAQNEAVNLVFSSKTQAN PESTVGLMTMAGKSPEVLVTLTSDVGRILSALHGVRVGGESDLLTGIQIAQLALKHRQ NKNQRQRIIVFVGSPVETDERTLIRLAKKMKKNNVAVDIVNFGEEASNTSKLEAFINS VNNSDNSHLVTIPPGPHLLSDMLISTPIISGEEGSSANFGSSGGGAFEFGIDPSLDPE LALALRISMEEEKARQEAEAAKKGESSAPTAASSTSMPVDQPHMSDEDAELQAALAMS MGQDEDTEMGDSNDQDELQKALALSMNDAQSNDASMSDILGSLPGVDTNDPRFKEALE EMEKSKDKAKDKK PHYBLDRAFT_176486 MTESDSEQQRSLKDMKLLERWTKSFQYMTNRMAPEEQTKFKAQL DGEIEDYQCKQCETWKDNLVKNSAPVRFMMDELRKEGKPITKDNFVCSPCDEDRAGGY NPEIGVLLCQNKLNSKAHQERTMVHEMVHMYDDQKFKVDWMNLRHHACSEVRAASLGG DCGMLAEFGRGFYSFTKQHQACVKRRAVLSVLSNPSCKSREDAERAVASVFDSCFADT RPFDEIY PHYBLDRAFT_104675 FIGYGSHGTVVYKGEFDGRSVAVKRLLIDFYDVALQEVKLLQES DDHANVIRYFYKEETDRFLFIALELCYGSLHDCMERSLPIADMQLFDQINPANILQQM MAGIQHLHSLKIVHRDIKPHNILLAPSKTNRKDKKPSLRILISDFGLCKKLDGEQSSF HYTAQSPAGTSGWRAPEFSKGGNDPNTIGSVKATRAIDIFSAGCVFYYVLSGGEHPFG NRFGRENNILKGVYDLSRLQSMGEDGVEAMDLIERMLSVQPHIRPKADIVLAHPFFWS PSKRLGFLQDASDRFEVEQRDPPSDLLRRLESDGERVIGQDWYRRIDRVLANDLGKFR KYDGKRVRDLLRALRNKKHHWQDLPDPVKKTLGEPPNDYLYYFTARFPYLLLHAYYVI LNDPSLTSEGSLRQYF PHYBLDRAFT_137947 MASLFRSWTGLIRQPTITRTIQPGVMAGMTLKASPFDQRRFAGH NKWTGGPDAAMNSRLAAVLNRAKAASMPKDNVEGALKKANNKDKDTVEDIIYECYGPG GIAMIIETVTDKKSRTVKEVKEVLNRLGQKGKIVFASGETGHSLEEMMDSAIEVGAED MEDEEDLVEITCDYAQLNSISQALTQQKYEVQQMEATYVPNTSVEITDKDTLEQVVRC LDDMENLDDVVKIHCNVILPGQDE PHYBLDRAFT_137948 MTRNPKRSILRKEQSERDRMKHIIESSGYQQSLQDDLCTRRIPL ELIDLAGAWMASWNDENILVQSAVHAYESWRSHVNFIRRTLVRAQTSCSSLVVCLWYM DQLCHGSRHVVQWKQPRDLFMACIVVADKFLDDVTWTNLDWVDHTLGAYSLQQINNLE RQLMGAMQYRLFVPHTHYDDFCAYLDFRVHARQLNPFLATGLSYHDINVLSQSLVPLY ADRLKFTLRPMEAMMLLAKIVTGICVVYAATVAAMATVVVSTEYMLAQSQWTMAGWET HLQEHIVTLAVDWMIRTDGLAGSILENPQACLGITCL PHYBLDRAFT_61444 MTVTDTPDGCYATSTLLTRWVDTVNQQSPIHLALISLPITRPCV FRLRSLEDVYAKSALFRFLIRKGLPPVPLFLSTTAAAAWAMKRSYDHSRFLVVQIVGT LYPLWKCWQLVKQTDHQEPDTYKAWLTYWMIYGVFQVLDHWSIQMHHHCPNYTLYRLA ILYWAQSSKAYGASLIHRHVIQKPEDEDDDVPALSPSSRSHVVNELSYRILDGYQPNL GRKTSVSSGSHDSQASFRINEPAYTVDHCPPQEGELIVRGENRHSEGRWKDKLNGRHK NFLLLFDKEDLCRFESTG PHYBLDRAFT_137950 MSFLKSNQPLQTTGSNLVGLWRPSFVKVDQRLFVFGGGGNVTND LHVLHLTDMRWETIQNIKGTSPCKRYGHTATLWNNCILVFGGCNEFQEYCNDLHIFDL EKLTWFQPKTTGVIPARYLHSAVAYDDKLFIYGGFAKNADCTFVLDELNVIDLKSMNW SKHGTVPPRYNHSATIIGNKMYIYAGKDEQGCTVSDLFSIHLECPPYVPHLVLNGSHS NSTNQMVLLKSQHFCEAVCGKLLVFGRYLAGGQPDTPSDATYGLWMLNLDRLEWERQE CNANFQVGGWNYFTVLSENMQSSETGETDVKINNLFFLGNTDPFRPQGYDHFRDALII NGESLGLYDIPALACMNEFGHLLNCPELSDFVIVPAGGQALHVHQVILMTRWPHFRNI YKSGMVEVQQGKMEMPEPPEVVLAFLTYLYSDNLDPDTSCQIVCEVLILANMYLLHRL KKICCERLYRQHLTVEHCGLIFEKAILSEEHGLRLLVLDFIFRNYGAVLKSNVLLEMP LMIRQEFLQAVPDEAVLEVTRSRFFSHASPSKTALTSVAAAMTSHTGNISITPLSHPY PINYSFGSNTFSGTNNSPSANVARMRHNRTNVVSTSILSSNTTPTSGMSVGV PHYBLDRAFT_129115 MPKVSISGTKYRMTLGLPVGAVMNCADNSGAKNLYVIAVRNIKG RLNRLPAAGAGDMVVASVKKGKPELRKKVMPAVVIRQRKAWRRRDGVFLYFEDNAGVI VNPKGEMKGSAITGPVAKECADLWPRIASASGTVV PHYBLDRAFT_178573 MSSYNYIIKYIIVGDSGVGKSCLLLQFTDKRFYSGRELTIGVEF GTKFITVDGKQVKLQIWDTAGQESFRSITQSYYRGAAGALLVYDISRRESFEHIPMWL ADVRKHANPHTTIMLIGNKSDLDPRQRQVTHEEAAKFAKDNDIPLFLETSAKSSDNVE EAFIKTAEDVYAKIKDGVFANNETSGIRLGPQASALGEETQSGACCS PHYBLDRAFT_153875 MATKQINMGVLALQGAFLEHINMLTGIPEVTSVITVRTAEELNS VDALIIPGGESTAMALIAERCNMLEPLRAFVRAKPTWGTCAGMIMLADEATGAKKGGQ QLLGGLDICVNRNQFGTQKESFETMLHLPEILGEELFSAVFIRAPVISQIKSDKVKVV GRLERQIGETKAETIVAVRQEHLFATAFHPELTRDNRLHQFFVSMALTYKQ PHYBLDRAFT_56856 MTVTNKYVVSGSFNMVFLLLSVQSITTVGFLQLFKFLNLIKFRD YNQEEAKKWLPITVFLVAMIYTGSKALQFLPIPVYTIFKNMTIIFIAYGEVLWFGGNV TPMMMVSFGLMTLSSIIAGGNDVSMALGSIFQPYTSSGDINTSITMLGYFWMCFNCVS SAAFVLYMRKRIKLTNFKDFDTVYYNNLLSIPLLIVPSLLLEDWSNENLLINFPPGQR KVRIWAMIFSGASAFGMSYASAWCVRTTSSTTYSMVGSLNKLPIAVSGIVFFGDPATF SNITAIFTGFVAGIVYSFAKAYPSVFLGSRPNSPSVSSASSQSYSDANKYDEKSREP PHYBLDRAFT_17957 MVFVSPHAKVDVPNVDICSFVFGPNPYNTVYPHDRVLSVDGNTG QSMTYAQIKDVSSRLGAGWIENAGLKQGDVVAVFAPNQYNHTSLFLSLLSAKLVITPG NPAYTEGEFHHQISSSGAVALVTVPGLLPVLVKVCEKIGIPKSRIFLFGDQEVAGCRP FKSIISTSKAIEYPLKGINPIEDTAFLCYSSGTTGVAKGVMLTHQNFVSQVMIGGHFD EMGAGPDDIALGFLPYYHIFGLCSLILSPIYKMSVVVTMTAFDLELLCQLVEKHRITL AAIVPPIALLLAKHPIVTKYDMTSLRLLGCGAAPLNREQIASLSRRMPAVLTQGYGMT ETTSGKINRLTLYSWEIGSVGTLFPNCECRIVDENMNDLGDDQDGELLFRGPIVMKGY LNNPKANEETFVDGGWMRTGDVGRFDSKSKDFFIVDRIKELIKYKGFQVAPAELEALL LTREDILDCCVVGKYDESQATELPVAFVVLDAASVASDEKAKEIHAYVAENVTNHKYL RGGIRFVAQIPKSPTGKILRREVKDIVKKEQEADKLKAKL PHYBLDRAFT_161047 MKSINILDNDFSTYYCMLYSGIALMAKRLLILSEHEFHFGSSGA QRGNWVGKTKIVNGPVYVKKTKIFEISDHSIGSLSNIYKDLILILIQGGKDSFQVRDF GDKNGVPISLGAFSSYYSPETSFQVNLDIESKITIGTSTLFSIM PHYBLDRAFT_178574 MIWHSYCLGLLLLLFNSKVDAVIPAARSMQGCVLIKKEIYCYGG FGAALNSPYATSYTDHFALDVSNNFTVSASLTNWREIPATPGFALESVYSLRANPIIP QNSYVVNGCGKDYNNTLVNSTIVFNVNTQQWSSVTDGLSGNTSDASSVSLNNGTIKMW GGVSLANISSPVRYWYKNFSWGQSWINIPSAAPSFIYPRSGHVSTLSPDGSTIYYIGG IKYFKQTTTTNIYDQKDAPMSEILSFNTSTSTWSVSATLGDLTPSVRHSFTLNFVPNT NSIFMYGGESYAAQTTIVNDYSWVIDLSTLTWTQKTLPNSPGCLKGHSAISVNNYLFV LFGNDNSLTSQNSFHVLNFDTWEWTDSYGPNWDVLQSTPGSTNTGSKGLSTGAIVGIA VGAAAAVIIALVVLFFLRRRNKKGKHEKVQSETLPIGYSEKPQAYESPKEYRNVNTSD KTAVLNASSGPMQVPHSFDNTPSAGNTQIFQDSSKHIVPDTTKPDYLSRLGSPNGVIL LQPVKPDLES PHYBLDRAFT_156870 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ PLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAAS VFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_137959 MRPMALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYS QVATQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPPKTSPNFDPFWRAL LAAYPREVNMGITLGSRYSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGT IVRRVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHETYGFFDGSGYVVLANTPTD EVPSDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCTKRPAETRTCFGCNK IGHLQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPLIPTALSLTYGGSEA SKHNPHKPALLESAKLTLPATLPAITTISATTTSSGPRPRSRSVDTPVKGWDDEIDDD MITDFTDRVEARTLRLQNASRLSHLRFSRTVRPIGRNTSLSPPRFTPPHSKKALDAEA KINQ PHYBLDRAFT_61455 MSHFKDSIYFASSGDLRLPQIIHQDSTRRSALRPAKLTLYDEFE RFERSGVAKFEKYLANARALSFGEQIQSLSVMDKGNSKSNDPTHSKPKQRKRSEHYRD KPKDHSRTPFKRQDRNTIGSDGFSILADLEKIPLVADMRPPFENNTEHGLSDVSVHSD NDILGDVLKRTENETDSWEDHHEHNMVDDDYNVKPLRNRVGTHRNSDKDEDLDENGRS IWDQESSNLKGVPERLMSPGQYFGARSLPLQSINDLWNEPAMASPTSFTSQNSSQNEP KKGSWQKGTRVRTMRDISRDQYTINSKISLSHEELNMPSIPKPKGIKNSKFADPLWTT FKALPDSLTHTPRFRINYDRINSPTELREVANRLKQLHNDTNIPLTTAIHVTKRRDYM NKLESDMENLSTNSVRSRGVPIPVARCDDNGNASGVTGSVRLHRIDTKRSRPIAQHTP LPDISEDEGSYIVQPDHLLLQYQVKSTGIRLRDAAFEISNSFSNRSRTFSLFSARGRL SFSPRKGNLYANASVGITVKIRESTVGNQLASMAASGFPYKPLSDTILVLIDGKHTKQ IQVKIDIQSTDEEGSSINSNSFSRSANGFQDRRTSHDRSNSGIHNSQHPSQTTSHQQE THQEYNSYQKTPQDEQPECRFCAIEKNYL PHYBLDRAFT_29138 MRCRVLRDIAARQSVANNELTRQAYRFVTRNETLPARVRHQAQL MLNAMPKQSSPTYIHNRCVATGHGSGILREFKLCRFQFRLKALNGELPGVKKSSW PHYBLDRAFT_61456 MPERLQVKNKNPAPVQITAEQILREAHDRRLEPAHSIPKQKITD LEELSEFRQRKRKEFEDNIRKNRLNISNWLKYAAWEDSQMESQRARSVYERALDVEWR NIAIWLRYVETEMKNRNVNHARNILDRATTLLPRVDQFWYKYTYMEETLGDVPKARNV FERWMKWEPEESAWQAYIKMELRYNEHDRARSIYERFVSIHPEPKNWIKWAKFEEEHN NLEKCREIYTEAVQYLGDEKMDQKVLVAFAKFEIKLKEFDRARVIFKYGLDRLPKSKS ESLYNQYTNFEKQYGDKEGIENVVVGKRRVQYEEEIESNPKNYDIWFDYAKLEESVGD PTRVREIYERAISQVPPAQEKRYWRRYIYLWINYALYEELETEDVNRTREIYKECIKL IPHKIFTFAKIWLLYAQFEIRQLDVHAARKILGTAIGMCPKDKLFKGYIELEMQLREF DRCRTIYTKYLEYNQANCAGWIKFAELEKLLKEEDRCRAIFELAISQPTLDMPELLWK AYIDFEIGEEEYERTRELYLRLLERTAHVKVYISFAQFELSIPYEEGSDEGVKRTRKI FTDAYDSMKQKELKEERVLLLEAWNDFEDNYGSNETRDVVKKKMPKVVKKRRRAEDST EDNIIWEEYFDYIFPDDDVQSGNLKLLAMAQAWEKMKAQAASSGADKNNDEDDDEEDE EENEEEKEDEE PHYBLDRAFT_137964 MEHWATDKLSIFLGLDTLTLETQVIPYLMTLDTPDALTQHLTDM LGTSSDCLDFIYEFANRRFTQKQPKVQTSSQSSKGLPFPSLPAGEVNSQWPANINIHD KSNDEMLFSGSRKPKKQNKPKASSSSSDKQQQQKKKKEMTLETALKELDIKAGDGKRK PCQCQATKHPLLDIAPNCLNCGKIICTLEGPGPCTFCGTEVLSKEQEVHLISEAKKKR AELKNQQNQQQQPRRVKNPVRKHGYASMLSGDFGSGQTTQEEEEAQRRAEEHKEKLLS FQKTSSKRNTVIDQAADLVLPIDQASSWASPQERASMLKKQQANLRRIEKADQPRRRV MTLDIKTKQVRLEEMSDTDEESAEEEEEEVAINRSRESSSGGTFANNPLLKDLKAPTF VRKSKASSSKQSSGKKGIQLNNDDVIEFKHPRNKRNGQSD PHYBLDRAFT_137965 MALIDYDDDEIPITLSAWGDQSAQKPSWESLKDPNLSVTAGQIG SGGLHRRGRNFIPVSEDHILRQRLKGGPPKKSSNATSKTMSSAANAPKPKKTFPQSTT FSRPKPNSKSYSEPPRPSSTQRPPPSTTRPPPPNSTWNTQSLVDVPFWEKKPEPASST LITPITTPPSRARYPSQNSSSNGWNQQDRPSQNSSSNGWNQQDYPSQNSNSNGWGLQD YSQQNKHQQPQIQEQKNNYQQQNYQQQSYQQQTIYEQQQQQHALLNQSSKPLQNQTNQ LSGRKENTSPGRPDWLPNLPTAKPAWVDQPMTEAKKPSWIKETSWTPNRGSAPQTNYR PNNSDNRNFNANNPRSPPGLSKPPSHNLAENNPVIITISIELESGIMASVSVRLLDDP AKLSREFGVRHNITDPVVLNALLSLFIRQKETTLQKRNYL PHYBLDRAFT_105039 LEESAVWVYKHADAYRRLAISPSKGVLMHGPPGTGKTLLAKAVA TESAANFLPVRSADLIKGHVGESEKAVARIFQIARRCSPCVIFLDELETLFGKRETSG DVGKKLITQFLIEIDHLDTLQHTVLVLGATNHPESIDASLLRPGRLDRMVYVGTPNCG ERLEILKVLQRKTPCANQVDLSIIANKTDNYTGADLKAVFRKAGLLTLKRRTHNTDSP LEVTQEDLESALSHVGPSRN PHYBLDRAFT_161056 MTKYIVVSGGVISGIGKGVIASSTGTLLKTLGLKVTAIKIDPYL NIDAGLMSPLDHGEVFVLNDGGEVDLDLGNYERFLDVELSRINNITTGKIYSEVIEKE RRGDYLGKTVQVVPHITNAVQEWIERVAAMPVDNSGEKPDVCIIELGGTVGDIESAPF VEAMRQFQFRVGHENFCLIHVSLVPVVGSVGEQKTKPTQMSIRDLRGAGLTPDLIACR SSKPLDDSVASKISMFCHVAPEQVLAVHDVSSVYHVPILMKEKGVVDFFRRRLNLDAI KITPECRLAGEELWKKWANLAVSYERLHDTVTIALVGKYTNLHDAYISVYKALEHAAL AGNRKLEIKWIEASDLEPEVLKSNPIKFHESWQSLCSADGILVPGGFGGRGIEGMIMA AKWARENKVPYLGICLGMQISVIEFARHVCGMSEAHSAEIEPETKTPVVVYMPEISKT HMGATMRLGVRPTVFQPGSENSRVRKLYGNKPTVDERHRHRYEVNPEYVNTIEANGLR FIGKDETGERMEIVEMDDHPYFVGCQYHPEYLTRPLKPSPLFMGLILAASGQLEKAL PHYBLDRAFT_156872 MSLRTAALVFTNDFKSALPTMTRSYATKAAVVHPPRRSYLHQQY QSLVNDNRVLFVFQHNNLTVKEFTQLRQELSLIDGPSKLTVVRSSVFGSVLRETKFSN LQPLVSGPTCVLSTNASEKEYPELLKSVVQTLGKNKKLVLLGGKLDNSLLNQADVTQV VQLPGLDMVRAELLGTIEQPARQILGVLESPAKQLHSVLDRRV PHYBLDRAFT_184284 MPKQRTKLGSFSCVRLMDNGCDVLLLNPRQIICKKGVLGWLPPS RSISSSFTPSVLKFRSHPTTEASQDIRITCQNSIIKGASATSVDGHPWRTWKIKLVAM DGNKEKKGKLTALLDNVEYILHPTFENPHRVTTKEPYVLQEKGWGEFDMRIVLNFAHK LADPETILFDLNFTQPSYTVNHRVVFQNPSPELEDLLALDVPADVSSNGSIHSKKRRS STSSSSGKGLVKKQKTNYSPAFIKHEVHTSASPSTPSFYSNKTVQYPPLSSYSGSPPS PSFDSPALPISPAYDYGQVKTPQAYSEHDSVPYLSGMSDESQRKSGSSGSSVKRGYES EDYDFPEYQHPFRPYESSDGEVDREDAIVDDVYDESDLKNVNPIHSMPLDAETRRAWG IPEGLDMMELARRLTHMTEDQAEEFHEIVKQSMTDDMAIEEKEGELVLELYSLGPTLL NRLWEYSEKISVSYNPLSISPHINTYDQDSEAED PHYBLDRAFT_103082 KKIFSHEHTLYTYSQLQKHHKQGDKGLAKDDDTGFKGHPECYFC RTSFYDNDELYTHCRDKHEQCHLCVRRGVQHEYFANYDSMEKHFKKEHYICQYRECLD KKFVVFESDIDLKAHEVSL PHYBLDRAFT_137971 MTTQSYGYYTPLEEDNQSHSGESVKSDSSIGGDSAYYVEQENTK EFHHLNSNVDDDDFLAPQYCDNSHRESSPWSFMHRLVSIPIIQDSLIGTQQMLSQHML GRVALKQAENTLQTMIPLLENRCLKSPLALVNTIGHRSLDIVSDRFPLVNAPTEAIAH AVHPQTISLELKDQLDQAVSRIKAPAKAASRNVNRRLENAVDRFEATLDRYLPQDQRP RPRKSPTIVLRTEEPPEHLRQAMRFYHLANSLTDRLAHNFSDSGATEPTRPSPVWLIE QAESLWPTISTHSNTYVERLPEPVQRHLISPFVEIAKQEYETIRQTIESVDRPVFERA RQVITISHTRIMMPFLQHSVETLQSQVAVYRAAAQKNRSKVVSELTHRLATISSQDAP TNLLE PHYBLDRAFT_178582 MSRPVDVFGLSNIFRELGYPSCSTLENAMRVMENRELNPMAIAR VLTMMVGTHNSLTPTSDATTWNIENFVAAVKKKEPALDWTAVMYGLDIPDFYVFDSTG LKILVDAWNYSQKDTHLSFPAYVFMQPWRNVRGHLSVLYQMIYGSPDVLDLSRLPLRK IIRDVHIQALPMSVRASLLHLASQQLNCLDLVEAVIKITDTSVIEDVKLLMDQLVRHV PELLLLGLAQIQPIKNELHRDLLLRLFSIFVIGHTNSVLVVMLLWNIHSTLLLGGFLD MYKNDPTSISRILDLSQEAKILAHVLRADMPFFTLDMASLAARRQHLNMEKWLNERIS EDGMMFIVACINFLEAKYTIEVSRRSGANSVRTLQLSSEVIKTFFRVLSEKPLSPTDS LKVSKLIQVYTQLYPQLSESHPNHKKDPEEVTETERSYSRDVEEAVRGYFEKLYTNAI SPSSFAIALKNCQDSKEQRQVDFFLCTLHTLLDEARFFNQYPENELMATGELLGLLID QRSISFDRLRVALKLVLDALNYPPGSKMFNFGAQALAQFQGRLSEWPQYTFLLSRIGG LSDYPALTDNVADTLQKMSLQDADVSATNASSKEPKIEQPDHRASAVARREDDELAPN VGTLLQRAPNKNYQDPPLKVQERVSFLINNLSISNLESKKTELEQLLQEPTWGWFSHY LVVRRVTIEPNNHELYATLLKSLQKSSLIDNVVEETFDNIRILIQSDNNIETTNRDML KNLGSWLGRMTLARNRPIRHKDLSFKGLLLDAYYKDRSIVAIPLVCKILQHTADNKVF KPPNPWLMSILKLLAELYWTDNLKLNMKFEIELLFKALDIDLKKIEPSSELSKRSPPG IDVDTDKRRPPKIEPEQIVNPGSPETVSDSVPDFDITALLSKLNFTPGIAHFMLQQPV AKTAVYRAISEAFEEIVPPIIDTSSNIAVASTKELVLKDFATDPNEIKVRQAAHAMVQ PLAANLAMITCKEPLYKNMLAFIRIHLMQVGFLENLSEEIATLVTSDNIDTACLFVEH IARARATVSVDRALSPAYFNRIGYRDQRNPAPYFDSLSLHGSPHDIQLPELLRPIGSI NPQQMNVYESFHNMYTGEPSYIGYVGPESQGDTGQPLVDPNQDGFAEKLEQVLLELDR LVRECSIPSCAQLPPNHDICLLIRQIPLLITQSVTPLKTMLSFVEKLVFVLYQSTTPF ALEIYTVFLQSLFELSGDAAKETLAWIIYSNDDRKYNAPVIAMLICHELLPLEEYDVQ LAKKIDIKNNTIIEFSVHLIRLCLFNNPTTLLEDHILTVASLNELAKNEKDVPESVVA LMQDLKQQISHPYTEISPNLDCLEMRILLAEWVRLCQHPMATEGIYRTLAKKVLQATQ DEEGRCFFFRLCTETCVNQSLMFSPNNTSHQRRVGVTIDSFSKLVRYTIMVEPSKDDK AKIKHLSDTLSIVILVLANHHQTRGTNFYQKPFLRLLSSMFSEISKIGIKSVESGMII VFSNTLYTLQPMNFPGFAYSWLQLISHRNLLPQLMVVNDSKGSIMCQKLILSLLRFLR PLLEKRSLPSSTKTFYQGTLRVLVVLLHDFPEFFCNNYMVFSQAIPHSCIQMRNLVLS AFPKAMLLPDPFKPDSQSDGLPEYKEPPVLDTGYARVLQEKGFKDDIDQYVQGKGKDK AFLKRALDHLKNDTKDSETHLEILAAFVLYIGSKVAENPEPKVSMEDNVAVGVFKYLL ANFESEGRYILINDIADHLRYPNSHTHFFSKALLFLFDDQSESVKEQITRVLLERLIV NRPHPWGLLASFMELIKDPDFWNHDFIRCSPDIERLFENVYRSINQTL PHYBLDRAFT_178583 MLEKTINIIVDQYVHINLMRAKGLSFLRAARKDNDGKILYQEYR VKYYKPKLADEHHKSQKSYKKDNSNHICLTLYTLLSIHFNSNYHTMDPGYSLLQHIKT IPNLINEDPSMVTPENTSFKDVPWCDLAYLSNQRSFLNKSAFPSASSSDSSVLDEPSE N PHYBLDRAFT_137974 MDGSEVMHRLWNRDLAAVLNFRNILKNLRRQAEEDLQEGRRLRQ RLTRIQRR PHYBLDRAFT_129134 MVYWSLVPLLLALPWSQAADSPQQPFTAFKKKTTDLDRAYRILN HHPLIDTHNDFPMILAFEKYGKINDYNITHLDSGHTDIERLRKGRLTGQFWSIYYDCD SADNQLLKAMESIDVTKRMVALYPETFQLVTSSKQFQRAFNKGRIGSMLGMEGGQMID SSMAALRSFYKLGIRYMTLTHNCHTPWAESCCDPNPPAFEKGLGLTEFGKKIVLEMNR VGMMVDISHVSHATMHAVLNTTRAPVLFSHSSSHALCPIERNVPDEVLKRLYETDGVV MINFYNSFVQCNPDIPATLNDVADHIEHIARVAGRHRVGLGADFDGIERTPEGLEDVS KYPDLFVELIRRGWTDKELVGLAGKNFLRVWRHVEVVRNLLSDELPQESRIDDVIDDE E PHYBLDRAFT_161062 MVQNNLAVFDFDWSLIEEDSDHWAISRLSPEQWEICLAQRGRVS IDTMDEAICKLQDQGITKSQFEKVLKTIPMLWVNLKLNANNTRVLIVSDANTFYIETI LEAYNVRNLVTDIITNRFYTDSHGRFRIDRRTPASGPQHNCPQGCSANLCKGMELTRY IETHGPFSKVMYVGDGKNDYCPCTHLRDTDRVFARSGRALSNLLAPGSEHAGKVKANI TFWETSDTVLDRVNKEGL PHYBLDRAFT_120377 MTTAEVKRPVSVKETQTGTLPRMAPLNVPFLRRIQTMALLTYSL ETTILLSLFSFVCAIPLFWPLVIGYIIFSIMDESSENGSRRWEWLRNLTVWKHFVDYF PVRLIKEADLDPTKNYIFGYHPHGIIAFGAAAGFMTEGAGISKLFPGITATLLTLSSN FKIPFHRDFLLWMGACSVSRKSCTNILQSKPGNAIVIAVGGASESLNARPGVINLTLK RRLGFVRIAVETGARLVPVLSFGENEIYNQLNNESGSSIRHFQKKLQQTFGFTTPFFH GRGVFNYDIGLLPHRRPINVIIGEPIVPPVGISEKDKEEAVRRLHGQYMQALRDLYDK YKDTYATNRIKDMEFIE PHYBLDRAFT_161064 MTNDIHDTLQALLARMEALEARSAAPPVALDGSSADEDDTMLPT DHIVERPIASDLTPFPELIKAIPGMERDFFRQPLDEASRRRFLLNCPRNVLRQYQAPV LNYSGVGTHTKRTDAQLADIQFRLSGLTRPIDLFAHDVLVEGSIQVTQALGFANTMHE LLSDLASVVTQMRSDNICRDANLPITPIVTNSALEPKPLLDSQRIVEQAKLQRALHDA ARPSRTRKGKRTGQPNRTNKPTQSDPTFAIPSPTAVQVSDNQYTTVGGRLQLFRNAWT KLTNEHWIRRTVEQGYDIPFTRLPPISSSGPLTNHNWMDSNVIEQEIMSLLCKKAIEE QGYDTNHPSLQVERYPERSISPSPQPDNHLETAFLVHRQGPDNHFGSPSRAPANPATD IHTQPSLVPRIAMDQSDTPLFHGSAGTSVVDRPAEGMEWTLVPPRTSDVHGMEMGSAS ICDGRTFCTLDNLASPISLSAVEPTSPHTAETTTREGSRYVDNAQLVERPLVSSPPPA VVPPANPHPSSPGPSRTRLRRPRSPEEPTLEHDRVGHKLRRLEDQGFDDNANIIILNR DRNHSRRSYNRIQRAYIDWAHHHDVDPFIPNPVHIVNYLAYGATHLKWKASTCQAYRS AILDLYSDKDSIVKDSTYIEFFSALNEQNLLSFHRPTYDIAPVIRFIHNLGPNDTMNA IDLTRKLCWLLAICGFLRPADLERVDDRRTSRDNGILRLVIVAPKEKRSGRRIERVVA IQPHEDPLLCPVATYLAYKSNIAFSVCVRPHPVLSQVTLQRLVRDVRNYDRPIGSERI SKHIQFLMEKIPRPSGALLPKARALGPTLALASGASVEDILVHGSWASSAVFDTFYRL SRQTVSNFSTMTLTSSSGYLGTQPESLAVPSLPFPSLL PHYBLDRAFT_156876 MMIPPPPPPPGRPMFPHMHPVMNQRPHYDPRPNRTHQRPQNRRA RQMDPMLKDMQEVEAEELAAELLPIAGATPEPSSSTTAGVYTPAATISAEPQLRNLQK ELLGFVPAALRRKQAASRKTASLPKGARPNINAAPEVDGDDGDDE PHYBLDRAFT_95221 RRRRGNLPKPVTAILKQWLVGHYDNPYPSEDEKGALKESTHLTL SQISNWFINARRR PHYBLDRAFT_105105 MGKKKKSKSLRPWCWYCEKDFEDDKVLVTHQRAKHFKCEVCNKK LTTAGGMAVHAQQVHKVDITQ PHYBLDRAFT_184290 MPTILKHQPRRVLAVNLDQTLSHTLEALVKWHNDTYSTHLTIED FDTYDFAAVWGGSQESTCDKIRAFYDSEYFERIPPIQDFALEALKMFKKRKFTLVIIT SRQQFVAAQTKQFVDRHYPGLFESIYFCNLGLSNAEQLEYVSKSKATICKEVGVDVLI DDSLDHALDCAQLGIKVLLYDRKGQYTWNHLEPNTQASAASAASPVEVEGRHSTNSRL TLTSTSRKLYPPTKTTVRALPSNVKRVTSWKDILAQLPKPRSPLSACYFPEDFECNAN AESEESEVSEYEEDFYDGSTFVDQSNQGYETIEIDELTEDELEDEDEDEISQAKHLYA DDSWNDTIVWA PHYBLDRAFT_161070 MYKGKCANDKYIGSITRYNLDQERKEGKKKLLLLMLSSSLSLSL WWGNRSRVLPHHHIYIHRLILILTLILILIFNININININIGIGIGINVNTMIIMSIR LC PHYBLDRAFT_61476 MPPMYNQTKNSHHSKATMPKQTRTSPVYYCSVLLPENTHARLLN PIEKQNKIELAERVAKAEAIYHQPASRSKEDFYLVSLPRGVHRIPIVNLSNSKKSQPS SPPIDSWKDTSSTLAKESTPNSPPTSYLASPPLEPKQNNKPYHINTAPCSLGQRTPVQ SPAESSFDLFRPNSSQTLPKITPPQRFSYNESLPVSPLCPPPHLRRERRTSRAASVPE FAHPHRPSIDYSSRRGSQSSVSHSIVNRPRPKSMLSRMATQDLPEERLSVSQSMWLDQ LERQIKIRLQSTVYPSLSSVTQIQQEARQFWEDQRRAMQAFSDSLIEKIEDRFELSET NPSLQIASKQTELEKEVIENRREILHLQRQLVEFDLLKPRHAELEAQYEAMGQQAAYF QKEWQESRVQQSRVVELEAHLKILTDVVEEDCTKQRLDEILMEKAQWKAEMDEQIKAK QTLKDRVDELQVEVCRNHKEMNRLGSRNQQLETDLECHQRELERLQAKCEYRTVERPE IGMADCGEEFGQGLVSQCLPPPTNKLPETPAEMMETIGRLKEENRKIKQSESVNKIQL DYMQRELNQLTPAGLKRHLERKDEEILKLKRALESHTKQTQPTTISSIPINSPISLPL PSVPVSVPSEPEPEHDHEHQSISTTSVPTDLAVPIAVPVTIPLPLSTIIPTHVTGSTT LGGKSSPQTSRTCSPCSSFCRTPPPERHPERLSYRMDTSGPSNELDGHVTFMTQINGK LSHYTVKVSSNTHPMSQNTNPRRRSQKPLNPEAAAWKPPTTSSRSGSSHKGSPTL PHYBLDRAFT_178590 MPSELLNGDDATALVALTLSENVHASRTPLVSTSYTLSGQNAKV TSDVSVDANRAVSASLLSSAELLQAIPHLYQLAATQTGQVLHVSAGESTEKSFGDYSH IMAVRQAGLGLLSSSTVQEAYDLAIIAHAVAIQTSTPFIHFYDSQRVSSEYATVQTVA PEILQQLITPEQIESYKQKSLPAPQTAYLRYKASQDQSADAVVVDVSETVKNVMAQFG TLTRRHYSPVEYTGHPDAELVIVAMGAGAAVVEQTLAHLQSVSPQKIGVLNIRLYRPW SDADLLAHLPESVRRLAVLEPVQDHTATWNPLFLDITAAFQSSQRDDVEILSGQYGVH SLDFNPAMVNAVLRQLRQPTIERRFVVDEIVKAEDALDIKTEAEQFIIVGDAGLSKKY VQSQPESSVQLYTIEKDDTTHSHIRISTRPGSLLPSLVQQAKAVVVVDASVNSVDSIN RLAPHGLVAFGGVSAIHLDVTLSSAVKKAVATKGAKVVLVDSKALSHSNFDEILKTAE LVEVPASWATIDVSAPQVRSKAPQSKLPIETPYIRLLDQVFQDRLEIANAVHSASVWS ASDAQPSASSPEFGYGKLVSKIQQQTRLIDTVENLIKDTTIPSETFKALSNWLLLVKS PSTKPNQLTDAANAVVSVLSANPIASISAEDQAALFPKSNWLIGSDSWSADLGASGVH HVISSGENINMLIVDTTSYSTEAEREQHKKDIGLYAMSFGSVYVASVAVYSSYTGVLH ALIEADAYQGPSVVLAYLPVDNQVFDPVKTLKETKVAVDSGAWPLYRWNPVLEAQNKE AFTLDSQRIKKDLEKFLERENHFSQIVLSQPALSKTLVSSLESDVKKRQEDLKRKARE DYAKLLSGLGGAEGPPLTVLFGSDNGNAEGLAKKIATRAKARNLKVKFMAMDDYEDIQ ELANETNLLIVCSTAGQGELPSNGREFWKALNALLVGEVQLSDLNFAVFGLGDRNYWP RAEDASFYNRPGKIIEAKLEALGANRLLALGLGDDQDADGYETGFQAWQPEFWKALGV KDVGGDEEPPKITDDQMKINSNYLRGTIAEDLLDTSRGDISETNGKLLKFHGSYGQDD RDLREERKKMGLEKAYSFMIRVRMPGGVSTPAQWIVMDKLADSHANGAIKMTTRQAFQ LHGIIKSKLRETIRGINHSLLSTLAACGDVNRNIMVTPVTEIPEVHAQVQKFSEEVML HLAPRTNAYHEIWLADELVAGHAVQDHEPIYGPTYLPRKFKIVIAVPPNNDVDIYAHD LGYIAIVKDKKVIGYNVMIGGGMGATHGNKKTYPRPSSMLGYVPADVAVKVGEAVMTT QRDYGDRTNRKHARLKYTVDTYGLDFFKSEIEKRSGATFAEPAEYEFKDNADRYGWTK GEDDKWHFCMFVENGKIKDTPEFQVRTGLRELAEWHTGEFRLSPNQHLVIANVPEADL EKTKAHLAKYKMDNLSFSGLRLNAMACVALPTCGLAMAESERYLPTLVGLLEQTMEEA GLKDDAITIRMTGCPNGCARPYLAELAFVGKAPGAYNVYLGGGHKGERLNKLYKESLR EAEILAEIKPIIKRYALERNAGEPFGDWVIRAGYVKKTITGLDFHD PHYBLDRAFT_137985 MSLSIVQLPPLSRDRHAKHCTLPSLRSVCPDERPLPVRHHHNRT TSLPLRQQALSLDILVDAIDLDRRLENKYRQHCSHSHFRQALHSPYSASLFRKRIHSA PSRLSNPETCSTSSTCGTSTHWRVPLAEGEQSVCPEAAAAAIVQQHIARCLKR PHYBLDRAFT_156877 MPNQSAYGQPSHGHNYMNKNYPIYANSAAQTTVKQGTSPYAVHG NPYGTSNFYSQIGGTMDNGYEDNSFQPIGLQEYHKQQTYGGLQGGGFLGNLSSGQSTS AVQTKADLYKYEKASPANVMHSQGPQSSLSYQQQQKQKQQQQQQQQAYLLNQANYFDQ QPMFSYQQYSNQPQQTQQQTKSTQPSANRHQPYWN PHYBLDRAFT_36910 MTYFDTVTRSYTEVDTTKGIDTEQFIEATEGLVKMFDLLGSTAF SVVQNDMNGNIKKIRERYLSNPTANDTLENLMVAESPEKKRVATEGLLWLSRGLDFTA QALRRSIDDINEELNVSFTKSYEVTLRKHHSIVVRPVFSLAMKACPYRKDFYGKLEVA TEEDLAVMNAWLVALEQIIAIITAFFKDHPLFIKGM PHYBLDRAFT_161078 MSLSSVSPFPIQQKKKSTLDDLEDLVKRLNVHIDTLDSHISKPS DELDPWATHVPTHYAALLDRLQVSCSCTHKRPPCSSNVVPAKDVPWLDPIEPFQDKKP EDPLRRQYAHWCTLLRCLPFLDSKAQEQVRTVGVHGMREILKYKGAGGFSNEVSYFDK HTTKIATPAAGEQEGNGDRRGEEEGYDSGRLRDSMCFPKNTTAKNNYSNSNHRVSERM MNEYPRSASSLMSKLNGSTTLSILHESDNTLYKMNLSNGLSRSGGLYRPNGSGGSGSL GSKMSPLTQSSVESLCVRKPTFKGWLKRKNNSTKRLSHSSTLDKLTGWFK PHYBLDRAFT_96974 NQEKTLKAILSTILAPLTPEEKESLKKNLSEIPSVTCTQKDIDV ISDLGLSTPGVYESFLQFMSVKVLPEDLDGVFGLLDVLGTPEGTKSLTGKAVKFEDLS HEQRTEVCLSWKFSDNPQLVGIFKTFSTAILSAAYNMSDSPHQAAMGYPGQDPIRSAP GYVPCIVRDRYKFMTEKELRDPALKFDAIVIGSGAGGGVCAAELSQAGLSVLVIEKGK YYHESEFTSDVPTAYKNLYLQGGSFASRKGELSILAGSTFGGATTVNFLASLKPQHFV REEWAKLGLTHFTSSKFSEDLDKVYKRIGAETSGIKHNEPNQIFVDGCKKLGCHIEDI PQNTGGLSHECGWCLTGCKDGIKNGTSNSWLRIAAANNARFVDQATVSRVIITDGKAT GVEFKINGSDTPISLNASRVVVSAGSLHSPGILSRSGLKNKNIGKHLRLHPVTVIYGL FEDRQVNPFEGSLMTALSNSLENLDGENYGSKLEVPHHTTDMYIFGIPWQGGKEHKER MLRFRQSSSIIALVRDKDSVGSVEHTKDGKVIVNYKLSDQDKISIIKAEERSAMILVA AGAREIHTGFFGMKPFIFEKNEPTEVNNPRFLQWIDALNKNGMPDNAMNYFSAHQLGT CRMGVSPDTSVTQPTGETWEIKDLYVCDASLFPTASGVNPMVTTEAISVHVSDSIVKS IKLA PHYBLDRAFT_161081 MLVAHKSKIMLIVSESFTVFQAAKFQDNTHKLKVLMKKQYLIFF ISFGMVLRRSIEGHDITQTNCQLIDLTSQLKATTLFGSFGGWYCLWVSWILQLRVSLF EYWHILFRIRILWCHVKVCKMLINVGQCLFDQYQTNIHEDIDGFKNDNTDISTTSDVI NCDADCGRLTAFMSVKLGLDFLRLLYQVRGLLSF PHYBLDRAFT_161082 MFNNTYPQTEDTGFNGVPELFNTHLYQLTQGQPEFSDTVMIDAS LISDALNPAVLGQNSRSQPLIWQELNRLAFLNLQQSRGYRSPDPLYTGEFLYSQNLRA GESLPLSLGTPDFNQSPNISSSFSQPSLSPSSHQSQLSVSDSCYESTADEMVESLFSR ATKNLNQTGGDAGIGSCTQSSRHCQTPVSSSVYSPSSEFQPDCPNIPQAVARKSGKRK SKEPIGKSFINYPYNGKTVMHLVAQQNYTDVVSVTSRMLAIMPFSIESELKVENPLSS ENSKFSLTFALLGDLNYNEKISLAKYHIPTCSLVMCSFTLLEGFA PHYBLDRAFT_137995 MFHHQPPQAISGSAVWHPRQFGFSSEILAAGDTMCAAAGTHGAN GILDKALPKISDDERV PHYBLDRAFT_36918 MNRLFGTSKKTPKPTLNDAISSTDGRADAVEVKVKKLDAELTRY RDQMKKMRDGPAKSAVQQKALRVLKQKKLYEAQRDNLQQQSFNMEQAQMTTENLRNVM ATVDAMQTANKEMKKQYKNVDLDKIDQLQDEMEDLMEAANEVQESLGRSYNLPDDIDE DDLAAELDALGDELDFEDEVPSYLQDPTEDLPKVAETDPKQQHEILLDEFGLPIAAQT PMKA PHYBLDRAFT_137999 MSFVSDSYNTTSFDCDTPHDQQTNYYTSNHGSVKSSSGPSTLPE LGNAFKKGRKGLPPVDPNAPCYIQQLSVELLTHVFAQLNPVCLTTAAKVCHLWRHIVN DDSCWKTAFVSYFGSQPHKRLRPSSWKLEYILRADLLRKWEKGRGTVMTLDPMIRTID DMFVDFENSSMTMGAAEHGLGARCNIDTGKMEKPLLFCSGVQIPMALTCVHVDQSRIL WGSGHGYISLTIRNKTHDGRRPKIFSEFHRGVVRAFAFSKSTHDVFLSAGDDSRIKIW SISEGTCVKNLHGVDGQPTCLETTHDGHIIAGFGNGAIVIWDIQLNELVKRYREQRRN GESSNDESDDVADNRRVISPPIVDKSTPVKSIRYDPKSEMLMVAYGDTYQVRKFSINN GECVAIFEPKEKLGKVTCMEWDTALPAGALAVDSGSGHSSGSGFKNIHSYVKGDSTVI SQSTREIVAVKTTQIMVTGDSTGTVYLWDGDSIEKDGLVRPLKVMHGHIAAISSIYID AFKIVTGSNDGWIRIWNPITAAIINVLGNKIPRNAPVDRNDISIMRVNKIMCSDYKGV AAIGHHLKTWDFSPNKQLLAQRNLREKVKAPGAGLRDQNLHYEIKQELKDSTEKLSQE KKERFVKAKKVHKLTLGGLSDEEMLAYATMLSQEEMVSNRGYVSHEQQQQGSSGGAKN DINNGDYINDEEDEDLLRAVIASLSLTENEQYCDQEILATKAAVNHSSNNSSIDSGSG SSLGSNSFIPSLQPNQAGLVSRGKETAENDFPEIDLIRSWPTVSGSINATTTTNNSQD KGDNGGIHTPSLTVNTHNWSDVRSRVIEPLIAENQSKGSSNLQDEYDEELQYVLMLSR GEI PHYBLDRAFT_178598 MEGYQSTPIRPPVAMTYICADCGAENQLKPREPIRCQDCGHRIM YKKRTKRMVQFEAR PHYBLDRAFT_178599 MSAVQENAVPSVQENTAAPVQETTVAPVQETTEAPAQEVTEAPA QEVTEAPAQEKPVTSINDKIRKQFNFYFGDSNLPYDKYLWTLTGSTGTGWVPIEKVAS FKKMQTICDDLNTIIAALKEVPSDLLEIDEEAKNIRRKTTPVEVNHEIRSIYVNNLPL VDAGIDDRSIAAENMIALQNELEELFETVGEVLALRFKKFHNKMKGSAIVEFATPELS EKAAAKEWEFRGTKLFVQTSTQHAELKKNKPVNKPFNKHYKKHYEFNAFRPAPPRPTH NNKRKGDHEHKKQNKFAKKEQTVKETVDEEMPTAAPVAEAPVAPVAEAPAAPAETEAA PAAEVPAPAPAAVEEKAQPEEAKPVEEKAE PHYBLDRAFT_17816 MAASFAVSAQALKTPPTTLQIGIKKKIPEEQCTIRSRDGDSLSM HYTGTLFETGDKFDSSVDRNQPLEFTLGTGRVIKGWDLGLKNMCIGEKRRLVIPPHLG YGANGMGSAIPGGSTLVFDVELVDIHRSNPDSPTTGQEKQEFVKPLNAPGLDFTSPIF LFSAVGVSALLVIVYLATKSGEKVKEEPKVDQTTEDSKKD PHYBLDRAFT_138003 MLLQNSPSLPPQPSTPTEILALPSVLIDFMAMTVCDLIPSRKCK SQQNTFGQDRHPMPELVHFIQKITFSAGVNCRTALVALIYLDRAKAALPKGAAGGHDT PHRMFLASMLLASKYLRGTRWSPPFSPHQPLLPTVPSSWQHYYSYLSSNPSYCLGPLT NAQLSNLCSGLFSLEQVNTIERAFLKLIDYRCWVDDLEVKEFVLKHRVDFSL PHYBLDRAFT_161090 MPSFQNTFGVQNPADLDGIAAMGLAYLTAIFSSLTTGYFIDRLG RKKIFYIASIIHITGSIIQVASNTLSVFLVGRGVSGIALGLLIPLYQSELAKASNRGR MICIYQIGTTMGSCVAFWMCFGTFYFSENNNWKIPFAVQLIPPLIMLSGLWAIPESPR WLIYREHYDEAKYILEQLRDKTDGDMDARMEFTGIVQDVSFDKIYTTNPYTALFQTGT TNYRRRTLLGIGIHAFTQLTGINALLYYLPDVLEAAGIKYRHTALIGNCVGGSVSFLA TLPIFFYIDRLDRRLIMTAGALVMGISLVVISAVTARFAERIVTHGSDVYQGMDFSST TYLSSEAATYVILVFLIIFFSGFSLSWGSMGWIYPAEIYPQLIRANAMGVTTASSYLF NLIITIISPFMFRSILWGAYLFYGLFCFIIICAVRRFYPETKRKPLEEINLVFSGALV DKQPGAHHPTTAAEALLRMEQLRYRDRCLKYEQSIQEFDDMCRPTNRLNSTPSGCVSI SMPEGRPYRPPLNQMTPISEHSTEEHSTLNNHRPLIVPPNLDTVHPTHT PHYBLDRAFT_61496 MLTRLPNELLDVVLGRLEYDDLDTLSTLESLRPIVQHHLNQHFH YHCTIASLLRSFESLGVDERRDHEMRSGLAMELLQFICHIVENHEQQNRQTTFTDLLD TLQKLVTRRILSPDLQVGLEQDYASLCLEVRRTYMHTPAIRVLHDYKYRRRSTKYPLA PFLPRDYAWLWRAQCAKVSELLKTNDYRAWASTREVHVRFAHFFGCLFEVTSLYLESN ISGTFEECVGEALVSGNVESLLVLCVAAEKPVDVDDMCMMVTQAGEEFWSYLDTVNVL FPHAEPATTTMTTVTAEINTNTVITGATPGVTPGDASREEELMAGGSLLVPAIVSAAA ALSAISAAEQAAAARARARARARTRTRARVITRETQPQSLHEPLEPLEPLEPHEPHEP LPDWMIADRYKVTADARLRLKLMNGLFNKGWHWFN PHYBLDRAFT_104671 MKKEGISNKIRKFYIKQNKLIDSMLSPHQFEVLDQDSQQLRLKI AIYGTVGANVVLFCLQLIAATTSGSLSIFSTMSDSFMDLLSSAVLLWTSRQAAKVNLL EYPNGKARVETAGIIVFSSLMSCLALFLIARTPSDLGPLAIGCIATALAIKLVLYCYC ISLSRYSSARVLAQDHFNDLLINTLGLTTGIVGSKLAAWVDPVGSIVIALIILRSWLS TLLEHTRLIVGKSADPEFLQIVTYIALTHPSVELLDTCRAYYTGNSLFVEVDIILSPD TPLRKSHDIGESLQEKLERLKDVERAFVHVDYSKKSIETAKY PHYBLDRAFT_184301 MAHSNMLHPVAPYQESGFTPIVLAPLCLGHCTPLLQRAHIDLLK FLQMRDPSDFENIGIRDFSDCKRLALFIRSLEVHLADKGLLEQGTNSSTFLSPASSTS SLLLLPPPQQQQQQQQQQQQQQQKLKTRLINPIKRHETSFSINKKDKEAVKKALVAFD RQVLPSLYMTCNSPPLPSLPKSETCSGSFSALKTVRPSYSFSSDTGKTSIQSSSTTTN TVSSDITMFDNSDDDNDSDEEMPLTDPPAYTTIPIPIPIQSTHIDIDTNTNTNINTNS NSNQQDTNTDTNANTNINPIISITPQDGVQTNIVPRHRQPIAHTRPKSLPAYLISRLS SLPLSAIAPTSTISAISASDSSSYFPSALNVVQPSSSSIDVPTNLRSEQRSLSAPPDY HDSVLQKRWESRQPVLPREEEGSEILPDYKCTIFKMGHVYVKREFEKPGVRSRRRGWR KLYLEVWGTVLRIYRASPKEGSIYSSSSSSPSSSPLTTCSSKSTSVSVSPSTASSSSF KSKLASKSKASSFSDGLFNNRSKYKTAYKHWHKYYYTPITTISLAGADATRALDYTKR PNSLRLTTVNGPQYLLRLPTVTDMTLWIDSLQAAINISLDLEHRPMPKFLTMPARAHN VSNLDTRMLAIERARQQRLRDQAEVLI PHYBLDRAFT_129158 MSNSSSVEIGKQRAAHQAVDDYINSECKVVGIGSGSTVVYAVER ILQRPELKDIIYVPTSFQSKLLIVEGGLTMGSIEQYPDIDVTIDGADEVDSQLNVIKG GGACQFQEKLVAEAAKKFVIIADYRKKSSQLGTEWTKGVPVEVVPIAYKSVMKSIENL SQKPLKSTLRMAINKAGPVVTDNGNFVIDAHFGGIEDPAQLLREMKLLTGVYEVGLFC NMAEIAYFGEADGSVNTLTL PHYBLDRAFT_76709 MNVLLQPYTLPSPASPSGTLCSRPSPSEETAARKKRPSKAQVPV ACVNCKKAHLACDLTRPCKRCVCVGKSDSCHDIQPKKRGRPKLGERRDGPTKQRPLST RSNFAKSTVSSISVPNMMNSSFAMTQNLGYPEHRNSQNNKMMTIFLTMDMCCARASDE SLELLGIYPQEFSHRPLYDFVLPEHSGKIGSAHRRLLDNATQLYQKYKVDPKTSLPPT RRTTSSSFTDTPPSTLLGIANGSQTLKETLVFRCVHQPDETFEACFYLGGGLGADLFD PNSAENLYVVCLMTRKPPTVNLETASHTPSHTHTHTRSLDGTRSPFIQLDSLPEANPV PTQSTIHNRNHSTSSVPTTSEASLLLDHEIDMAYFRNPLSSVNGSTSPLSAEFMSVFA PSDHSSERIDCRGNQVGSLSHPVQRTLSVSPVNSCNLGPTMTGNRNNSVDSMAPSQPT TSQSVVNSWPKSPAYSRASSAIDYDFSPDISQGALSVAGSQSLEFEPLNTQLTSSKSL NHLTNPGQHRQHQHHSHSHNNQHHHQTQTQNQQQQQQQQQQQQQHPHHYPQMPFMHSN PNEYYYTQMTSSRLSAEARAIVSHSFPLSNPGLTGTYKPHPQNSINPVAAHHAAFVQS KSNSISLSNPTPNY PHYBLDRAFT_138011 MIEYTVNEGCKTIRVSNLDTRITSKMLTDIFSMISSVKRVKITD IECGDDLSHGFIEFHEHQAAEQALQAMNGRAIFGQKIQLSWATNGYSTPLPETDNHVH QTIRVDHLSPDTKEEEVAKSFSVFPGMLNARIVWNPSTGKPKGFGLITFNTKENAENA MTTMTGKSIGSNKIECSWLDTAVKITNSPTITSTTISTDLKNKKATVANMTYEQIFAQ APLYNTTVYVGNLPRGITRQQIGPFFQQYGFVSDILERSNDGYALVKLDTHANASSAI LALQGFNIGGQPIELSWGKDTMLQSNNEFYAGENLRKYTPGQAENNNWVLPGTGLCDY NTMRPPAPVAGSQGNTDNGTGVGQHGWNQYYQHYYSAGHQSI PHYBLDRAFT_138012 MSVPFAQKITGLAFNGFQCVSHATTRIRDKPSRIFFHPGPNPEW FWFHKYTIRYLTGRPILPFNELYYNRHVTFIFD PHYBLDRAFT_161098 MQKKGVFLKELAAFESLAKTNLEDNDSRLYKKSNRPENNSTQKF KDLLDSLFDQSPVEDPPRPVQPLSKSGTLIEQRLLEMVLKNKTPYKENAPLPKGVQVS FFGKAIKNESIEQPDNRSHWRLPEDEHEPSFYDEFDSLWHKRSSSEFVEQVSKRINEA EYGPNYSRMLESALGHAAFQLRDPYLAVAIFEQVKNHSVESYVSGCTVDVYNQMLNIR WRLWRDVHGIIGLVEEMNVNGVGYNSATREMGKSIAKEVLGEMRLEGQKDSEKSLWSA DEKRATNLIKALVGKWLLK PHYBLDRAFT_161099 MASYQDIAYLSLSKLNSSQHSRTEPKLRRQVLVRNILLTSLYLT QPEPQHSYPQQYQANETRSQEEIWLDACFEACFDQLDYDDDMIMDDETDNQDPCSKGN NRLVLAVPFDYVSPVGSTSLPPDHQSFEKDERRIEQRPIALIEWSW PHYBLDRAFT_161100 MNIQFLFENGKKSVVDEEQFLLEMLSSHTQYLAQLPPESEKEIE DKVKFFKLLFERCLSGPLLKNSLGFMFVHHKNGLSIMRRNLASLRNGERSAVHAFSTG NIKTLFLSVFMIVFRPPRQSNEEVETSMYIITIIQSYIVRPLWPEKGTPEVVTVSKTR ATIASILGASSAEGLIKRSLGLSQPPLNKTRKRGDGVGHRVTTKGKFKNIDPTDFTGL KLPSLLPFLLLSRDTSRALLTRFSLIYANFNKNVPRAETMSLVF PHYBLDRAFT_184304 MYIKQIIIQGFKSYKDQTTIDPFSPKHNVIVGRNGTGKSNFFAA IRFVLGDAYQNLGKEDRQALLHEGSGAATISAFVEIIFDNVDGRFPTGKEEVVLRRSI GLTLDEYSLDRKSVTKSDVMSLLESAGFSRANPYYIVPQGRVTSLTVAKDEDRLKLLK DIAGTRVYEQKRNESTKIMEDTDAKRVKITEVLEYIQDRLAELEEEKEELSQYQTLDR ERRSLEYTIYAREQSDANDKLEEMDSNRRRELLNHETCREEYVDNENALNEKTDTQLK SIETEIIEKTQGVTELTPRYNEIQETDKQMRQQLRMLQMEQQNLHAKQARLSRFATKA ERDLWLQNQIKDLSSNLTIRNNQLQILEQEKAEANIGLIAKVNALEQVKKDLAFRQST HQQVAERETKLKQKRDEATEKRKTLWREEAKLDSVLHNCNEEIRKAERTLAGSIDKNT TSGLAAVTRIAKDQHLTGVYGPLFELLEVDPRFRTAVEVASGASLFHVVVDTDQTATK LLETMNKERSGRVTFIPLNRIKTRETNYPVASDAIPLLSKLQFDAKYQKAFEQLFGGI IACPNLEVAASYAKSHNLTVVTLDGDRVDGRGALSGGYIDNRYSRLEAAKNLKTWQAK LEEEKARGVQIKEEIARLDQQVTQVLGQLQSVVAEKKKMQVQENTLNYETRLRKEEEI FKDLVASKEKSLESISENARILEQQLAAYENELNSEPMQALSGEEQTRLIYINTTIEQ IKQRMLMLSANKSEIVGQINALNDCLNNDLKRRRDELLSRRDRAIATSSVEELARKKK EHKVLLRKLGKLTKTIEELDLETDKQQEGLERAHQSILQLRAAQVDVSQQITRHEKNL ERYLLRRSLLLQKKEECNSNIRDLGVLPEEAFEKYANLSVEKLLKRLHRANESLQKYS HVNKKAFEQYGRFTKQRDQLTTRKAELDKSAEAIRALIDSLDRRKDEVIERTFKAVAT NFSRVFETLVPAGHGELVIKHKSNSTEDAMDLDGDDSRGPTEALDRYSGVSIKVSFNG KTDEGMIMQQLSGGQKSLVALALIFAIQKCDPAPFYLFDEIDANLDVQYRTAVAEMIH TMSENAQFITTTFRPELLANADKFYGVTFQGKVSRIHAISKESALGFVAQNTRVRPLF RSELSK PHYBLDRAFT_29180 MSSSSSAPPQSEIDPKAKALNGYRKRLLEHRELDAKLKEMRLGL RKLDKDFDKSEDDIKALQSVGQVIGEVLKQLDEERFIVKNTSGPRYVVGCRTNIDKDK LKQGARVALDMTTLTIMRVLPREVDPLVYNMSTEDPGDVTFAGIGGLSEQIRELREVI ELPLMNPELFLRVGVKPPKGVLLYGPPGTGKTLLAKAVASTLQVNFLKVVSSAIVDKY IGESARLIREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQMD GFDYLGQTKLIMATNRPDTLDPALLRPGRLDRKIEIPLPNEQGRLEIMKIHAGNIAKH GDIDYEAIVKLSDGFNGADLRNVCTEAGMFAIREERDYVVQEDFMKAVRKVADAKKLE TKLDYEKI PHYBLDRAFT_61508 MTSPQLTPTQPNQGLDDEPESPSKMATPLESSNSSLSNYLEHAT PTSISYNASPSSILASSIDSHSTPTRSASEETLTIQLPPNGGGSQTKRHSMRSPSSSQ HSLRLASLTGPPSSMSLDRTMSSQSINTSQTLYPYPPRPTSPITSPSIHKSLTALDAK SITRDMEKSSQQMRNDDMILTSPSSPSASNNPSGFYFSNVANGNGNTPYQPMTAQSIA PSMNYAYHRQGSISSVTLNSVAPSMQAVLGGQGDVWQTFCVRVLPLFNGEGVQGSIED LNELLRRCLTDPVSPQLYRDMEALLRDGMFTLNAKMFGVTDEKLLDRLVEQWSFFFTY ALPYFEAVFLPLRTDVRYRNAEEEEMWNVRNMALRSFRDNVILLQTKRLEDVFAKLFT DFGSSQNPASTAAKMLQMTSLLASSTDHNEDIERVLSSLKANWKIMMTKGDRRGFAGV RKAHSGKNPETSHIFGALAG PHYBLDRAFT_76712 MYLVLRLAKINVTNELLEYSLNFLSLILIIKCTITRYTKSNLVK AAKSAVHCQHFGVDAGSVVEKYTEGSLKNCCGTKSRMFRSPSTFFILENFMDNHRLLI FEFLYGQVTIYSCCRKQRRKCVWQTNSKICDRCYRLDRKCLSICDSVDTNSESSSSEQ EEKPMPNDKKLEEMYEQVIQLEKSIKDLELELNQKKSKEAYMSPVSLLSESDFEDDTF SVQSNQLQLFDKQIVSSNPSNSSSRLPQYEWTLGMVNGRLQLLSEIHDYDELLMYMSA SLRYLSPFGASFHTTSILFQTKTQNSFMPRMLKLMSSATPTKNRKPVVPIGLAPNPRT TIDILVNSFFHCINQYLPLIHEPSFREYYKKLVDPLSSPMTTAICASMCSSQCGHLNL PYKLKRDMSEYFYQISKDSLGDIFDDPNRRLETVVTTNLLADFLLNMMRPREARNLLS ISLLICQDLASYYNSPERTLMETALYNRHYNASNILCRVVTYIIERRVNKKRFMPVPL YPLPGESLKTVAYLDVFNRILRWNNHPCVLHFLDQVRLVEMGHVGELNIEHILEFERI VSLWWKELPEELKMCDDPFGHDVEKLVQENKVELQIMLITFYHIFLFEVYSCLVQPKF VSSNPTDDSNQNIKKLIQERAVSFLLKSANFLVEASKQIRKQSFLCFFTSEFIFRIVD TLSMLTEISKPSATLEAKRILRKSLKQLYDYTDICHDIKEVPRKPFNLLSDESDENII QLYDSFSNPRLVLMYDLITSITKESGLTRED PHYBLDRAFT_178608 MQNNIDPRVSISEKRKMASNSAGNDFSITPGKSSAESRELPQTH VNYFPASKTNRAGEKRERHLEDQNDRNESNKKRSNPLPITERLKGQSASQKSLLNGSQ KNNTPSDHQVGKDKNNDRRLPTSRISSPQTRTSSNSNTLTAKEREALKVDARMFIRQL PIDPSKRDLIVFFEKYGKVLDVSLKGNYGFVQFDSPEACRSAVRAENGRHFKGITLEN CTSLQPCTSKLSALFTSRPQSTNNEPICSTHILCLLLTGLEVCRHKPSGKDAEKVVPN RHNNHRGGRSPSSERRKRSPSKSPPPKRRENLHSGQNRDHQDNSSRNNSTGKRRAEKN TTEDTYEDATSYSKSDTYNSKVRRIEDLYPPASPKYDVHSNPYITTQYRPETFGFGVR TVGQSRFPEEYKPSVRRELTSSGFVTVRSEPFPENYPPVQNGMPFQDRSQNEYRLQHL QLNNFPLRMPPLPSPTTERNELRQTPNNTSQTHSPRRATSPLAGNQATPYPNDFESNT PLVQAIVWGDVNHNFVEYIHIAFKAQGIPIQTRFLQYGVVSRDIVIKQMIMEGARAVL IVEPDQEIQGKVYLQVFEQSGSTDTNSVRFDEYDSITVKDAIIILHRALQNSPSHSPL PVVPQAYNRSKQPATLPTAVDKLPVSSLQPNHLGFGGIPHLPQFAHPSVVNNPEPIPG IATGNVNALAAFLTLMQNAAAQTPAAAAPPSATAPDALQQLLAAFGGASAPASALPSS STQPSIWASALGLLAQQQPQLNNIMGAANLFNGTNAQLLQSMMAAASNPTQNIPTSSH YESSQQNYPNPKQSSLSMPHNGNAMLERDEMISRLQMLSQSVQQSHRAQDSTPKKETN NKRV PHYBLDRAFT_102599 KKIKPLTPEELEKFEKEQKKMGVCYLPRIPPFMKPMRVKALLSE YAEIGRIYLQPEDPKITARRKKYGKNRRVNYVEGWVEFKDKKRAKTLSTYLNMKQIGG KRKSHYYHDVWNIKYLPKFKWTHLTEQI PHYBLDRAFT_120407 MRNDTKEYSDSLWTNGFSQGNLPPEVDHKGNVEYKLKLIGPTTQ RLEQLVTQLKWRISEGNGEALYEIGVADDGTCIGLSSKEMETSLSTLRKMASLLEADV YIVREVILGDPEFSFHSSIPDNRVVEALVKKNNSTHSRWPMDIRIAIVGGSNAGKSTL LGRIAHGERDNGNGVGRMSLLRHRHEIASGNTSSIAHEIIGYDSGGSLINFATMNIST WEQICEQSSKLVTLLDTCGHPKYLKTTISGLTGYAPDYACLVVSGNAGRITDMTQEHL LLAIMLHVPVFVVVTKTDAATPDQLSRTLRSLFYLLKSPLINRIPVVVQDTDSIISCA ADLVSTGSKIPIFLVSNVMDTNISHLEHFFELLPKPTKENNEKLLVGPVEFQIESVYS LPDVGAVIGGVLQKGSIDIRGSSTPRTYFLGPDSEGNFVNIMVSSMHRHRMPVHYASS GQTTTLAVYSPDRKELCIKKGMFVLGTDTPECFFEFEADFNVLYHQTRIAKGACGLVH LGSVRQPARIMSIRKNTATEPQIASNNNPSAADCLSNEPSIPQSLETTELASGERGIC VFRFMKEPGYLSVDSRFLFIQGKSKCFGRITRLISINHTKEREATCRANPTTIAYSSA IINKG PHYBLDRAFT_178610 MTELAFEYFDPESELCNLDENGRPIRPRKKPGRKPNPPTPAQRK AQNRAAQRAFRERKRREMRETESTMKRSVHVLDSAARETKLLRQRLSELSYENKYLKG VVLTLKLACKANGVEIPKFWDAGELDDMGAEKLLHSKSKDIPQALEFFLNNHKQIIGI PPLPLSSYAAATTTSVTTTMNNSINTNTAAATTTTSLNHNNHFNSNQGQFNLSQEQEH TLWTPAGLSLNFPDTPPLDLSQPLALHPSFFQDYYNSITSSSSSFNPKAREYNGRPPP EWRKTLENLGIQVHDGMTNMEDDQSLSSKDTNTTDALDDMWVDPATGLSRTVTESPTP NSPVEEPTRRIFPPMTAIQALEFIENTIQPDELQTRSLFQPTELQRMIPHDSRIDVIP GASMRDLMIIYQDFYDADQMFKMVIDSALFLGGDLGNLDAWMVGPSFLERYWFLCSFQ IGVIRLDNSANYAIKIAKNMINLLLTERKNMYLHRDLFEDCFPPCTI PHYBLDRAFT_178611 MGTHSTQSTRSKAAFYGICCTFVLVIFTAVLQFIYEPNDRSRCS GMLNDGWWLDDAYKVWQPSGCMMHNFQTSEISSCLGHSKLLYIGDSVVRQQFYATATL IRENTTSIAPGHTDVRFDFTPENLSVEFWWDPYLNSTRTVELLESRSTASRPSLLVVG TGLWHMRHLEDDYYVEWRNSVNRVFDAVQGNKNKNNKIADAVVMAPVELPFFDQLSKD RHDTITLPKVEVMNGYLKDRQSELELTTSFAIPFAWNKISVGMNNATKDGLHFYPSVT QAQIQLGLNYRCNDQLPKTFPMSNTCCFRYPTPRWYQTIFFFLFLVWIPFGMYLAGSG YMKSVTQCLFPSESVLKALFLFGLCVIYMFFGDRTHLFGKIHKHFDPAMFGGLLVAVL VGGLVSLKSTNEGDLGFLNRDQTDEWKGWMQVIILVYHFTGASSVSGIYNAVRVLVAG YLFQTGYGHFFFFYKKGDYGLGRILNVVVRLNMLTFVLQYLMDTDYLSYYFTPLVSFW FFVIWITMYIHNKANRIVWFLLLKIVIASMVTTTLIHYPGILETIFEWLKLGFNIDWD AVEWRFRLRLDAWIVYVGMLSAFAYIKCMEYKLHESGVWPMVKKTCIGLSCVGMTWYF WFELSQDTKVVYNNSHPYISWVPILAFVVLRNATAKLRNTSSRFYCFIGKISLETFIG QFHMWLAGDTRGLLVVLARPEWARGLGWWLNLGISTILFLSVSYYLGQATTELTKWIC SGVNKASQSVGAPRYYETNATSATSAAAAAIGGGNGGGNEYQSIPLLPATTQTLDTSV HTETSAESPSVSARGSIDEELGSPVKRPSWTERIGWFWGDVRVKCVAFLLCLGIVNRL C PHYBLDRAFT_61515 MSNVKKGSHMWNERLVDEQIRITCRKIIKKERKKLLMMIIMIWN NNYIMICKLSIGPFFTTTVYLYLRDLVNLKFKSRPTPPSGLHASGCLVWFGLVWSGLV WSGLDSDSDSDTSAGLITDNVIQNLVHKISRDYNAVREFRLDYRLPLSTKIKTKSLLL ALQLNLNTSSITLVSNLLDVQLANLCTNLEDYLFSLFYSNLLSDRQTALRIPFTIEDY VCDARTNMAYIEDVVVLLWTTPFLPSEQFESFNVQKTLFVVNNATVNEDRQYTGRNYT DYVDGLNGFLFNKQSGYQKRNYDRGGSSEMMGYYCTTKHTKLKQTSLETPIRYTATKT FCENKNNTNIKNKNKNTNTNTDTSMNKNKNKNKNMNMNKKKAHSYSPAHRHRTLEKVT TSIPKQTGVYPVQPILRVMCFWPA PHYBLDRAFT_156889 MLAQIQPSVQISGLPTPAPSPSLGTTFYIKPLNLQGGQCTWCGK YGHKRRGCSFLK PHYBLDRAFT_161111 MINLIKVVCCVLVWCGVVWCGVLIVQFTGVFFPLDADDGCLEFG MESIWAQMAVGVLGRIMIMIMILVNYQLSITYSDLDLDLALALALSISFGCATLMRSE AVLHTQNQELQIHILVLPAKAIQSGLVYSGLV PHYBLDRAFT_61516 MKIIYTILALAISFRLGTADRVPGLVGAPTEENVKPLIAPPPEA SLYDVFYAKGTRVYVCNPEKTGFQHWYNVQTHAELYPTRDEEPPFDKPGNQIALMSVA PLNQTQQMASPMTTYPVIMYYPDGSWVGTDHPLKTTSREPGRAERGDGANIDDHIERA AYTSTNGYLSHARYVVRLNSLNGQVPSHASCVTKGTLESRPFEAFFMFYTDEQGFSEL NREQEIWQKMVAGLETIKLRIENPLYWVLKVAGI PHYBLDRAFT_178613 MSHSTIKLLEPIVVNRIAAGEASLPRISCAAVIIRPSHVVKELI ENAMDANSSQIDCVVKEGGIKLIQIKDNGDGIHQNDLIIACKSHTTSKLHELEDLKNM TTYGFRGEALASISHVSHVTLQSKTSDSICAYRAAYNNGQITSKAPHPCAGNKGTVVG VEDLFYNVPLKRKTLRTPREEYEQIVSVMREYAVHHHSISFTCKKLDSNTFDIKTTGK DLKGAILQLYGSFVFTSLLFIPKTTTEACCFETFVTNPSYGDAKSTKLLLFVNHRAVE NRAMKKSIEKMYSQYIPQNMHPFVYISIDVSFLSQDKVIDTISTMIEEELAKWKDDTA KTTVNPQTVPIVGVVADRITIPPVKVPSCQGTLDRFLVKTPSEVTNSPKDPLATKRPL VSKRSMDIQTYCIPQRSQSQNQPSRNPGAVPFPERQPKAKRQSVQANTSPLVSSLESI QELQKDVIHVEDIDLTDLISHHNFVNIVDDSLAIVEHNKLLYTINYNVLGEEMFYQKV LNGCESFGRILLDPPVSICECLNITSGKEEEPSLVPTGNGQIESLLSKHAEMLKTCFS ITVVQGHLISLPVLIRDYAPDMNKLPDFLLHFTQIAWEVEKECIDDIARELALLYTPP TWLDPFVGDPEWESKVQYILLPAMKTNFRVPKYLNQRYIQSLVSITDIQQAFS PHYBLDRAFT_138029 MSDRPRRARKQKPTVASNVHYVGYVEDAESVEAIMKKFEELERI QKEFSTMDVKEPEVDIVEEMEVESQPLTEEQLQEVFKRTSAFTVKTATMDSRAADDMD ALELWQVENKDGNTDEIYEEEDYIHVDDDFWDLEFGELPRPKRARKGGPVVERVARRG VDRESILAKYKVMQVQVQDRNGNYLLVKKRVSTIDPSLPTYVKIPGKPIPRSWAHSIL CKSKSQLPKPPPIASRLHTVNNILSTDLSRYGKSFSAVYMDPPLLLPGESPVPGKIHI DDLAKLNVSSVVSAGFLFIWLEKEWLQRIVSMASQWGFKYVENFCWIKKNINNQIHKS PYKYFNKSKLSLLIFRKEGDIELRHQRNPDCVFDFVKPMLPDEISEKKPEFMYNVIET LLPNAVYHPEKNPEGNGLLELWAKRGQRRTGWTTLVEQPIK PHYBLDRAFT_184315 MTSLHQGASPRKSLFSFGDDDQDEDDQLIKSVQPLPRQAVERSE FTAVEFNPDRFLSSRRHLGLERLKSELNSHLRLLKTELIELLNRDYQDFINLSTNLKG VDRAMDDLMRPLTRMETQVKTASVHFQEVIDALEEQLNQRAHVRDKKACLKLLLNIHD SVTKVESLLEINVDTTKSSVITPAVVDSEGSDVSMDIGSGKQIERVAIEFNQMQHLVG RGKDLPFVTENAWRITRIKDTLQSKLSKTLSAALRQLKDHSADKTAHQSLVQCLRTYA LIDQVQVAENLIRQELVRPFLSKVITKKALDAHRTSRSSDPSATAPAHPLTTMYGNIL TFASTDLQPIIEITQKTLRGTNYEVLVNSLWVEVVERIHLECTSIYAAGQTDVFHKCY SASISFIMSIEALCTCKKSLFYLRSHPSYTEFMKRWQLPVYFQLRFREIVNTVEELLI DQQASVATRQLVTENGLALPGSKAVFKAIEQCWSDQIFLYGLSHRFWKLTIQLLRRYT LWASGFIDLARDTLAEKEKTNFYPAINPSSRPSTPRPGTPASNAHDTGVIEEATRLKQ LTVLENDVETLVNKTTTLLNEVMIPKLPNTILPNILRESMNEIIHTLEKSTIEELNKR ITDIISRRCMESVNLVKSITSQYRHTNKPVPIEPSYFIPNFFKPFKTFVDQNKAWINP EKQAVWAKVVFSAVLIRYTSVMSEMLTNLQKTEDSLRKLKKSKKSTVSSVQGDPLGDN TVTDENKIRLQLLIDARQIGKELCLMGIDKAEFKPYSSLLDAVQPFECLE PHYBLDRAFT_184316 MERDFQNDLNGILEHLSVNSPVPEAEKSTFVNLCKLSFDETLRY YANIPEAKKALHTVLFRFLTQQKEKNNFSVSRYKRTFEPSEYFELEDYFGLFKHTIHS LYRLSPERGSEVCQELLSDLIPSQHQLLPAYLRICFSISNEKFQTQALDFFIGKCRNI PDDSILSDVLSILHRQLRMLITKTTVTCDNTENICDSERAKTLAVVTSAVVQTDTLKP SCQKPYSVEKLARETLSEIEKHMKKMMASSYKIDNVCLKRKSIFSGNNIIKKRVHSTE TLDETSILDSWNNISHNTTLTKSAKHKYAFISICRHIKDHREPRPPLLYRENITSTVS NDDRDDGVRPIKEVCEMLIRDLSLNTTHDSLDRTLLYTKIWCLGVLRDESGGWRSVLK VMIQFCDLWINEMTNNSALDTFAVLISDELVKDLYKQVKRLVNIFEHYGEGPIQLESL KKMCLLLQKSFRSIPCIQDLLVFRDYVLRTEKSKGFIEDPTYSRLDIWTSNFEAELMK ATNQIVIVQENEVDNDLVGTTSLDKNVPKELVHNLASLSIIWPYSVLQELVLLCFQNR NMYLAIVPLLRSLGSLCSFRKNSGVETMLGSVLKDILHSNSSAWTKYDAKNITTFITS CCQDPFNPETPPLLLACRPTEVLPGILLNAREYLESHILDSLDCFSNDRVHKDKNVEV SSFRLSLQILNELSTSKAVQRIDWIEATKAIFQSKTINIENIAILQAKPFEFLRSFCL VMDMRNTFQMLPEWIRVQEVEAALKYFKRLASIITQTIGWLSQKHQSTHINFHGTADA FIESLKNDTREFLKTICTYDWKTQLQLYDLHLAAVNLFEKKQDFSINVPGSIYSITGS LNGRLFLDKSADVDTSLDDKLQGWNSVIGAAKLSDVFSDLLFEGQNKDYWINNMALPQ NYPFEFGAFIEGLYQNLYPTLSLSVHDEYERLLVHFIGRLFESFDLQILRDNLSKEPE QILYIKQLTTQELKDFYRVMLGARLINYKSFLSAELLHKREDELYYVSGIIRSIQTIK DWTDLRSSRLKIYAKRTLSDKLIHEILGDKALLEENLENRHDVHRITAPETCSEITLE QTSIESSEQDGYVCDIYYPFWDKKQHSARALSILTLMMLCKSSALCNTVEAQEVIRVL ILQIVDGLRETETKQEFNRLVSGQARSRVEKWRKHKKFKKRIVLRPILNPGEEELISS CVSCLTNSNQANGILKALEITDKPQTSKSRKEQAFYLRL PHYBLDRAFT_61523 MRPRPVSSTRLAVSFITALIVANVSGPQYIYPAFGASLTTRFGW SALENSLVSTAPFVGVSFSGPLCAFMIERLGKRKTMLISAFLCFSGSFLLAQSYIGRL SHNVILCAFYLICTGIGGSASYLCALDSQAQNFKSHRGMSMGLTSASVGLCGLVFSQI NDFFFINKENPNDNRIYGFLIFMAITMASGMILGSFFLVVQDQEEDEVDDVKPKNNHS NDISRVVIQSETSPLLQTKSTSLSGLKFFLHPAGFALFFTMFIVLGFGYVYLAGIGQM LMSLSQDPTVGSQHLRNIHVSIFSIGNCVSRALFGTLSDFLKRRFHIHRLWIFWSASL AFLLTTNFLVRSVDSADDLLFGTIMMAIVYGAVFGVAPASTAEFGTQVFVRNWGWLLY SPAFGSQIFNILFGILYDAQAKRQGAQFCKGAVCFQNTFLIGNVLGVACLFVLGWAIA NQRSKKYLSVSTST PHYBLDRAFT_184317 MVQRLTYRRRLSYNTRSNRVHVVKTPGANLVYHYEKKPVKAPRC GDCGDALAGIKALRARQFGNVSKTQKNVSRAYGGSRCAHCVRSRIVRAFLIEEQKIVK RVLKTQTQKK PHYBLDRAFT_138035 MLPTRILPRPISLCSPLLQTLRSITTYPETRPARIRPKQVLEQE GLGDVMIPGQYLRFFKPKKIRSRPTEAGPSTGNIDQIYTQSARPLAANEFDSTPTIAQ ERMADRIHRAIITMYSAESLPSLITTNSLIIQSVKVSRNQRKCHVYYDPISTVKSERG NVHRALQKHANLLNLLARSYAQLRRPMSIKFVPDRQTKELEDIFNKLSAELEPEK PHYBLDRAFT_17624 MFLNVGYYGEIFLGEPPQRFNVIFDTGSSDLWVVSRNCEPDAVC QHHHRFNHTASTSYIDLESELKGSSERETGLRKKLQYGSGYVRAHIGKDTLQVAGIRL ENQAIGDAIVLSQEFKNTPFDGIFGLGLADLTSSSYLPPFYSMLEQDILDEPVFAIYT EMGGGEIDFGAIDKSRFKGQMLYADLIHAGYWMVQTDLIKCGSYKAEGQKIIIDSGST LIITSTADAENFHRQIPGAENNGDTTWSIPCKSYSLEPLVIWVNNVPLTLHPKDYILT PMGANKTMCLSGISGQVLDVANAWILGGVFMRHYYTVFDYGNQRIGFGTSNKRKTKRD PHYBLDRAFT_61527 MKRTIRQWNSKNSPTSGNSSPSRDRLSDEGRVEEMESSLKSFER SSLIQAFIVPNYAEPEALLRDTIKRLANHSNAQTNYVIILAMEASEQGQSAKAESLAT YFKDSFLHFIVTVHPTDIPGESRGKGSNVAHAARVGCAEMIQRGVDRRQVILTISDSD SSIPELYVREVERSFTQADDPYFLLFAPPIFFSRNAFDVPAAVRMTDITWSAMVMSNL SSSRGIAFPCSTYSLSMILAERVGFWDTDADSVGEDMHMMLKCFFKTDGLARCCPIFV PINLTNVQTPGFWSNMNARYVQAKRHYNGVADVAYTLRNAFGVRSVAVDGNGVVLHGP SLAKKTSMYASPTYWVDKLIVCVKVLEAHMIPVSSGWLMFAAVPLMQFILFPPHPTVA FVDPADNPILTSDFYCTLWNIVKIITVLLPMPLFGTLAIYEQLHRVVDRELYRKAKSE SRSWRNVFDYATLPIAAWMFMTIPSTIACIKRLYKTNDQYIVSEKFFDEEKV PHYBLDRAFT_138038 MTSYIEPEDLKSIVKDSSLTPQKDYVIVDVRDLDYEGGHIPGAV NVPAHELMDKANTLVEEYKDVPLVIFHCALSQVRGPKSARIYNETKSLLKPESTQQVK ILRGGFEGWHAKFKQDQSLLESYDPAVWQWAT PHYBLDRAFT_184319 MSKSFTTSPHMDQALSGFGAGMVSTLLLHPLDLIKIRFQVDTAK RSEKRPLMGGTIKAFKSILANEGFTRGLYRGVGPNMAGATASWGLYFWWYSQIKQSFR NSDEQMLAAWQHLAASAAAGAATAVMTNPLWVVKTRMCTTTHDSPDSYRGLIDGLRRL AAEEGLRGLYRGLVPGLLGVSHGAIQFMAYEEMKKSRNQWRHGQGITPSSETNARLTT TEYLVMAASSKVTATIMTYPYQVLRSRLQNRETKDSYKGLVDCVIRIYRAEGYGGFYK GLAPNIIRVLPGTCITFLVYENMSQWFKNHAR PHYBLDRAFT_161126 MTPPTTQKTTRKRKRASTQTGDGLPVVILPPPILPYPPPPSHTL PTPPSPAASAAAAAAAAGTNLAQLHSHNQSLQPMAVYCLPYSPHQDPTIFPFIPSPPL DGCPGNPQDNSGPSGAEQREQARKVSHSAIERRRRERINDKMSHLKQLIPACADQDGL HKMSILQSAIDYIHHLETLVVQMGGKEKLADCTLPKHKTPQSMVPKEVVPFIHQFNSN PPKSSLKPIDLLCKTSPRIDQQPIPMRLEHLLS PHYBLDRAFT_120420 MREIISLHVGQAGVQIGNACWELYCLEHNINPDGRLDHPESVKN HSFETFFSETSGGKYVPRTVMVDLEPSVIDEVRTSSYRQLFHPEQLISGKEDAANNYA RGHYTVGKEMVDNVLDRIRKLSDNCNGLQGFLIFRSFGGGTGSGFGSLLMERLSMDYG KKCKLEFCVYPAPQLSTAVVEPYNSILTTHTTLDHADVTFMVDNEAIYDICKRSLDIE RPTYSNLNRLVAQVVSSITASLRFHGSLNVDLSEFQTNLVPYPRIHFPLVTYAPMISA AKAFHEQMSVPEITNAGFEPQNQMVKCDPRNGKYMACCLLYRGDVVPKDANAAVAGVR TKRTIQFVDWCPTGFKIGINNQKPTTVPGGDLAQVQRAVCMLSNTTAIVEAWSRLNHK FDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGADSLGDSEMEEV PHYBLDRAFT_61532 MLKPVEIWTLDYAVHKDVKSSYLIKEQRFLLCFSDILVTHKKNV PEYRQMLAQLRELLQYEVLFHNSTDINLYRVHNFNSIGLNTAYKVLLQNSSKIIHCFA LMNMRRVKETRAMPLIKKHIDQK PHYBLDRAFT_138041 MTHFTAYNPFTVLIKFNAVFNLTVLYLDTHTIVQCNRINHSAYR KTLPLIWSKPRFDKGLVGDALSVFHRFLDTLPLVRIATIQNIETLDLSVIEESLYDHV RPDFWLLLVRYIPNLKTLCLRGASFLSCDTFQGLEQYWKWPGVETLDISYCDHMNQAI LMQLADALPALRSIVLDGLKCQISRGVDALSDRCDKLETISLKSCPTLSDNCLVSLAK FRKHHLRSLDLSGCRQITNKGLMLTAKYNIKLHTLLLANTSCSPEIVLEFVSGPLNRK YLRQLDISNCANLNGSQLIKVAEKLLESTEITHLTVSMFLISVMLSQALVSVNSNLVS LTVHGLPEGTPIQLIDRLIEIFPMLSEIVFVREYYQSDFLKGSYADTSLPKRERFITE ENLTNLSIGSPPVKIILSNSCENVSSVGIDSW PHYBLDRAFT_61534 MEKNTIKRRLPETYNSGRAIKVPSSYLNLIKQIREQDGKMETLR RAIRYKEENKLKEMGDLISRWRHIAQQLVVELQQKFSEVDNFFEEEDTKCNQDKPLKQ LLQKLHIDPALVHYSEESDELLME PHYBLDRAFT_138042 MRYLEILEQEEMTGIILSQTIVTSLQQHDKSRTYRKLRHIINDS RRKSVIFYNEVFADTQTPRLPGEPAGERDWRALRKLADWYYHHLGQKKRIILLSEIHP QQESNDVCVYSMQAYLNAYWPTVSLLQNLVHALANVELEDDMERIRMTSSKKRGNTGT AVQGFTEYKSTEELEVGIKSSRFFSGTLRCRSDARDQAYVNSAGKDILIVGNQNRNRA VHGDTVVVELLSENNWATASNEISYEGGSTEEDIEGSQWVVQQTEKSRPTGRVVGILN RNWRSYVATLQEDSPDGSFHLAVPLDSVIPKIRIRHQEAKLIQNQRIVVRIDHWPVSS QYPQGHYVRSLGPIHHLDTEISAILVEHGISVSQATQGFSEASLKEMPIDTPEMPWRP EQDEIKRRKDLRSLTVFSIDPPNCQDIDDALSIRELKNGNIELGVHIADVSYFVKEHS LTDLEARARGTTVYLADRRFDMLPSVLSERVCSLRENVDRYSVSVLWTLDKSYKVLDV WFGRTIIRSSCEMEYEQAQKMLDGESTPAGVDPALSRKLKPFVEKLAQVLHSMEIHGL VAEAMIMANSYVGKRAYQGYKDAALLRRHPPPSATQFDRLIKAAKSQGFSIDFSSNRS LARSLEIITRGCQNNPEITRMLKTMATMAMNEAGYVSSGQFAVSDYYHYGLALEYYTH FTSPIRRYADVVAHRQLLMCVGDSVAVNDANVRGTVMTQDSKIAEICMNLNLKSRESK LAQRDSTELFQSLYVLQHTMTGPLIESGIISEIRSNGFYVFLPRFGLKGPVFLIEKDG SPIVPLSLVSNKAKEEDYIPNSHIEVSLPTNVSVTSADLPHPINFQLFDHVRVSLKLR KSHAHRHMVYMTLVGLEHTTKLGSSQVDAVTTAQLSRDTMMRSIAEQEEAKAQANAYK DRSKEELETRKQMRKAAKNNGSSIYQLLEPFRKMSIIESTTSQ PHYBLDRAFT_92774 VEFRDFFIADELNSLAYSIWTISYFACAYGWNWTSLDTNCEMTG SWAAPLLASLPPWWRLLQCIRRYRDSNETVHLVNGAKYLSSISASMVTGIRRFYPGPT MDILWIVVSTVSSCYTSTWDLKMDWGLFQSNSRNFLLRDEIVFHRWSYYTAASSNVVL RFGWVLNTIGLTLDGRLIGFIVALLEAYRRIQWNFFRLENEHINNCGQFR PHYBLDRAFT_161132 MKNDEPYETTEISARKRSRATQACIICRKKKIKCDGTKPDCLHC QQAGTKCEYTECKKRGPRKGYVQLLEERLIQLENRLSNIGDIPTATTPPEHTPSPALA PAQAPESIQTTAPTLISAPTPAPQASTRTQSPILKRKSSETPNIRLIEQHDTVNSLSS SPNSAASSPQKTSKSDISPLSSQAYGSVTNDFPPIDIIMHLVDLFFKYINSVFPLIHR ATLKQSINDGTVSRPLLWSVMAIGARFSDHPMIKTDPPYWAGEKFSVKATSMINATSL EPTISNLQFWGVMSCLEYGRASGPKAWIYGCIAVRICQELGLNREESLCVPILDKNGG VDTVAMALRRRIFWSCLCIDKFSSAGTNRPQYFDKVDTDANTPTVPESLLLRDPFQCV TVDGREITNDPLIDVSRYYLKLLGIFGEVNKFMARAKNDTAAISWPPIAEFTMLDKQL RNWKDTFPKRFQFTPANLQHHKENASLNYINMWLCSHAVWCTSVLVLHRGSLAYSELA AGDVSEELYQEIQSSINTCKSCVDSAMPVFQALKDLCGINLLPYMGYSAYIFATVLMT STFSKDPESCKKSSRGLTILYDLIECLKDYWPMCERLATTTRDLLAAHNRLYDTQYRQ NYLFDQSIPDMTTYSKSSETRHTPQRPSSTTPAPETPPTTVTTPNSTNNPTRNSINSL VQSPSAGYPYNNFGSSIMTHNPQNYSLPITQPINPHVNNSTLQQPFSLLSDHSQNEGG IGVGGDIDFNSSEFLYDSALFGQIMLDASSRGANTNATSSFQFDMPPMINYPNSNNPN QNLPLYQNSQMIPGTNTQQTYSPSKALWET PHYBLDRAFT_161133 MIDHKELISRLAKERSSRKQLEDIIQYQKRQLEEKEQTIAQLRL MRWSISSSIEDHRKSSNHLNNQDRSSNSPALDSPLLVEKSLETLHIYDHELEPVSSPI SSTVHLHEDAATSNYMQNSENENERRIEKENAMSFFKKNESSSVESQSDESLLEKSFS RRKKTKRSLTPPRFWDQTFPDF PHYBLDRAFT_105026 MSTSITQRPIHYTAYTSELQLPFIVKLVENDLSEPYSIYTYRYF IHNWPNLCFLAMDGDKCVGVVICKLDPHKDRLRGYIGMLAVAKEYRKRAIGSTLVQMS IKAMKEQNADEVVLETEYTNQGALGLYQRLGFIKDKRLYRYYLNGVDAFRLKLFCKTM VKDESDP PHYBLDRAFT_178624 MLPESHLQVEPHSEPRVRRRDILRSLASRSTTRVMSELSKLYYP PDHDQESNITPRSQELHRTDTDDINNVINSPQDTITPHCMLYPTYATQIETEEGTRWK MDIGGWVYAMPSPGRMERLLLAVGRTYGGLMVNSAEDNHFVSLLNQFRCQTIRNIDVN IRLGKAIESMGKLVHEHEQRKAEEGEAEEAGTSMMLSSGPSGRFQDTWMLNPVDCENS IEKKLLQLEAIFDGVNIPNRGLVDLIDSEGISVISDIDDTIKITGVLDGRDAILRNTF FKKAQEVPGMSKVYQTWADQGIHIHYVSNSPWQVYPALREFFEQVKFPQGSMHLRVIN TQDIIRGKPMQHKLDVIPRIIQDFPKRKFILIGDSGEHDPEIYQEIYRQFPDQIIKVF IRDVSSELAIHADNNKDTSSSERMYNIIRKFISQEHSTLKRTNTSQTAMDAITNTEVP KSQQVAMDPSVPQATRLELFKERMEQAASDMKEGAFTVFKSSTQLITDPVVTEEFLVA SSTSLSI PHYBLDRAFT_61542 MNESCFNEDDYDNAMDIYSVTLNGFSFCTRHGLELCYRCPTDNR ACNNIMVMDMLHEQVSEDILEEKWEGDERSPFTVALQWTRLPSGKPGCVIHRTVGCKQ CFNWEEKILNVVQGGRKPRKIHNRKARERKDMLH PHYBLDRAFT_61543 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFGKEEHLCYWEIRL TGIAEDIILSFSLTLRFSSNQYSFTTSNIFKGPCGAVGSALCLYFKGIPESRSGREFE PRQGHYIFCLVSLKLLCGLGKINWQVINVNSDALFYYLKNIHDF PHYBLDRAFT_176504 MSALNVPTKKPVRVWVDGCFDMMHYGHANALRQAKAMGDFLIVG VHSDAEIEKNKGPTVMKEDERYAAVAACKWVDLVVPNAPYNTTVEVLKAHDVDFCVHG DDITTMADGTDCYQAVKDAGLYRECKRTEGVSTTELVGRMLLMTRNHHKRRMSAGASS ISSFNSEDLGSFSASNSRGNPRQRTTISHFLPTSKRIVQFSEGKEPKENDRVVYVDGT FDLFHVGHIEFLKRAKELGDFLIVGVHDDQTVNAIKGSNYPLMNLHERALSVLACRYV DEVIIGAPYSVTEDILNKEYKVQVVAHGNTSMEPDLDGNDPYKLAKQRGIYREIENPN STITTEGIIDRIIENRLIFEERQRRKNAKALLEAEKEIKEKNAKALSVGEAAKKA PHYBLDRAFT_153895 MNDESDIPERIAAAKRDAEALKDRIKQRKEALADTNLKEMTKDI DALPRIMMKVRRTLKGHLSKIYAMHWASDKRHLVSASQDGKLIVWDAYSSNKVHAIPL RSSWVMSCAYSPSGNFVACGGLDNICSIYNLRARDGPVRPARELSAHTGYLSSCRFLD DRRILTASGDMTCMLWDIDAGVKIEEFKDHSGDVMSLSLGPNPNVFVTGACDTTAKVW DIRTKRCVQTFVGHEADINAVQFFPNGDAFATGSDDATCRLFDLRADCEMSVYSHESI LCGITSLAFSASGRLMFGGYDDYNSYVWDTLKGERVGALGNHSNRVSCVGVSSDGMAL CTGSWDSTLKIWA PHYBLDRAFT_176505 MTGTTVPTLDQVCIPETLLKKRKTNDKAATDAAQKKSEQRKANL EVRKVIFKRADQYVREYRQKEEEEIRLRRQAKSVGDFFVPAQPQLLFVIRIKGINNIQ PKARKIFELFRLLQINNGVFVRLNKATSNMLQIIEPFVAYGDPNLKTVRELVYKRGFV KVNGQRIPITDNKIIEKSLGKYGILCVEDMIHELFTVGDHFKQVNSFLWPFKLNNPSS KASWRPRKSKHYVEGGDAGNRESDINKLVQAMN PHYBLDRAFT_161141 MDEKMTKCTNTIPENGTDVGRRPDYEVTTYEQYQPPFRRYFGKL KGGESSDISAIMYFYCLGVFAKLEIFTRNLTSVLCSQALGTAITFYALTHPHPRIYTF IELAPVTIPKTKNAIMFMISAFDDLFKIAVYHRTISNTPITNA PHYBLDRAFT_138054 MNFLTNFVFLDESAFDINMKHLRAWSKKGTRTIVTRPTTRANTT SILGSVSVADLITVGKTKADGHISSGTITGHYISFLKVTLDEMDNHPHMKGHYIAIDN APIHTHESIKRTILGGGKD PHYBLDRAFT_138055 MLIPSLWKVVGLGGRFDQLHVSHTHQACHDDGNGNVIDENGGPE PMDYIVDQNDFVAEIIATHTKYLETVPPNEFSLACPMLLEKPKNEDVRIEETNTKRAY MRCIIQDKARFSDLKIKKGPKCILSEEHKATIITFIDSNPSATVVEVAEHLLKQFHDL KVSRSTVNNFMRCERNLSLKENRYSFC PHYBLDRAFT_105609 MHHRLHPGFLAKYALGEELGSGGFGFVVSAHERRTGIERAVKFI FRHKVPAHAWVRDIEEGPIPMEIYVLKNVRHPGIIGYVDSYQDESFFYLVMELHGTQW SSPLETEAFPGGVPHSPALSQTSEDSYTSSIDSPIEEYPTRVFTRRTSCDLFECIERH HNFEESLAKDIFRQIIECVAHLDSFGICHRDIKDENIVIDDRYKVKLIDFGSAVILPR HYGQNKPCLFNKFYGTISFASPEILMRQMYRAEPAEIWSLGVLLYTILFGEVPFHDPQ MAISGRFAQPKIKVSSKCLHLVSCMLERSPDKRPSIHQILTHPWFRD PHYBLDRAFT_138057 MLQIRLDQKAFLFHDLQPIISDNSSVLGSRFISDQYTNTLFPLQ DLLGIDMEEDEVWIRLPMESFQGRCVELVVRACGEIGPVGQLAIPEGDQDKDEYFAGS YRIAGFIALTRFLMAMERWISIAEYINMPNHFTDMPYLLMFMIFSASVDRVPQCLTED TMISTFGLGSIDPGVSWAQTLSETIRRSL PHYBLDRAFT_95259 RIDGSVPGDQRQAAIDRFSTLPIEESFVFLLCTRAGGVGINLTA ADTCIIFDSDWNPQNDLQAQARCHRIGQTKPVQIYRLICANTYEKDMFDR PHYBLDRAFT_91840 VLEEVSGHENQIQKDLNRTYPNEGLFKTEEGKESLFRVLKAYSI YDRELGYCQGMNFIAGCLLLHLKEDDAFCALVTLLSHRLNSPGLRTLFLPSMKGLQEL LQELNKHMAIEFPCIHSHLEKEGIVPSMYATQWFMSLFGSCGPLCLAQDTIDILLIDG PHMLLFCALAVLKANESAILEMSFDTLV PHYBLDRAFT_104653 SGGKDSCYNMMQCVANGHSIVALANLKPPVASGKDELDSFMYQT VGHDAIHFYSDCMNLPLYRREINGQSVLQKSDYVVTANDETEDLYLLLKDVLKEHPDV QGVSVGAILSNYQRVRVEHVCNRLGLTSLAYLWRRDQRELLAEMAAANVNAVLIKVAA MGLKAAHLEKSIAQMYPILCNLNEKYDLHVCGEGGEYETFTLDCPLFKKRIVIEERET IVHSDDAFAPVAYLRFKRCILVDKTPEEMVGMDTIKKIYWKEWESYESLVSVMKSKDQ SQLPRSPIKVGLVGQYTEKHGRFCKHEYKYTNAY PHYBLDRAFT_129190 MSNITLETVTYEQVAKMFDHAILKPEQTTLDVIEGCKLAVEYDV KSVCVKSCDVKQAASLLNGTSVLVGTVISFPHGNAPTSAKVAEAIQAVRDGAIELDVV INIGHLKSGLIEECEKEIAAVIDASKKENANVVFKIIFENAYLTKEEIVAACKLSVAA GADFVKTSTGFASSGATYDDIELMRASVPDNIEVKASGGIKNLDQVITFMQKGATRCG SSSSGQILDEFKKRRGL PHYBLDRAFT_61555 MSSLLGQDRLLSPNIEGLDLHVKHIPVKRYVFVTIMAGALFIVF MLIYPCTLILIHLEVHRFPTSINTQNTSLSFEDWSKKSIQCGFSEQIPIPESEEGSYT PPPPDATLYSALKYVSQEDQLSFCSQVNSHKGFVDGKLDDVKEDSCGTWMARYTALHE KRLEQLERLKAGDYESFSHEDRPRYVGYLCQVDKNNPRRGCGGLADRMSGRNLKQYLT LIGMVSTFFYALLTDRAYLAYWEPTNPVPLEDLFEKPSIDWSYNPNQLKELFTKENLL LSFSEVDMLNYNWKSIGNTLFPNGPSQNFHQLWNTSFVSMRSNRAYIIRTFQKSSIYP EWLAKVGLTKENAFRCMTDYLFRPTIGSRRFIKAYKNLFDMESVLSIGLQIRTDDRAL ANPESDDNTLEQWDYFFKCANDLRDAKKRDHHKTVVYFLITDSISLREQFISMNNNKI LAKQVLGDGYKYTSLVTTGLPIEHMEPTVVIPKFSADAKSKLLKAKGHMLAGVNSAVI ENWLLSATNYRLISRKGYGKMAAFHAKEARTTINLPEKDAYEKIYIYLPYPKFALYNI LYDMTKSNMVQVYQHIHMEKNTLSRLINQGRPVSTLLSNVFFDCLYVHCFILNV PHYBLDRAFT_61556 MDMFLTGQRLPNFSQKDRRITIEIRVSRNCVTAILHNVFTHLMS DFVFELNVHLYCPSIALASTMVNKGEATLVGDLGKMFGGLKGCFKFKDKCPYSIPQLG LNIIIFLQNIPLDLHFFPVTMVG PHYBLDRAFT_129191 MSQTATQTIETHHEDMIHDAQLDYYSRRLATASSDHTIKIFDVD GDSQRLVETLTGHEAPVWQVSWAHPKFGSILASCSYDGRVFIWKEQNGTWSRIKEHNV HTASVNSVSWAPHELGAMLACASSDGKVSVLEYKDDGSWETYVIDAHGIGCNAVTWAP AAIPGSLVQANGGAPTISVVKKLVSAGCDNLIKIWGWREDTKSWQEEETLEGHSDWVR DVSWAPSIGLPKSYLASCSQDKTVLIWTQNSPNSKWTKKLLGEKFPDVVWRVSWSLSG NVLAVSCGDNKVTLWKEDSKGEWECVQQLEEDH PHYBLDRAFT_105042 MAISATQVNSFRTLVFAISSIISVVVAQPIVSFSHGSQQHLSVY SVSFWEKIAIVIFLVLLGGVFAGLTLGLMGLDETNLHVLMETGTPTERKNAHIVLNLL DRGKHWVLVTLLLSNVVINETLPIILHGVIGGGWQAVVLSTALIVIFGEVIPQSICVR HGLAIGAQTAWMMLILMYIMYPIAYPTALLLDYFLGESHGTVYKKAGLKSLVSLHQSG NSSDTEALTLDEVTIIGAVLDLRSKPVSQIMTPISDVFTLCTEDILDETLVDKATGYS RIPIHAPNDNGNLVGMLLTKRLITYDPEDARPVKELPISTLPETGPDTSCLDILNYFQ EGKSHMALVSADPGGESGGLGIITLEDVIEELIGEEIIDETDVYIDGKL PHYBLDRAFT_138065 MTLAVDLLNPSVEHEKRAHKLKRLVQSPNSYFMDVKCPGCLNIS TVFSHAQTVVLCSSCGTVLCQPTGGKARLTEGSSFRRKAN PHYBLDRAFT_17414 DPLMGDHFTLFWEPDMLVELGSAFKIFATEAVTFSIQQALGHTV LGALMAGLTFPLALTKLGYLVDNPWTNGLDRARLAGLVLADCLMNRNLGSRPITLVGY SLGARMIFYCLLELARMNCYGLVENVALFGTPVSASKSQWNECTTVVAGKFVNGYATN DWLLGFLFRASTAGIGNVAGLGPLDNIECDRVQNLDCTDLIKGHLSYRLTMPKLLKRA GFVVTSEELPESKEKEKETSSILSFPSFGRNSPSSQGEVSLFFLKKKKPLIKKNLSMS T PHYBLDRAFT_29206 MPKNKGKGGKNRRRGKNENEDNKRELIFKEEGQEYAQVTKMLGN GRVEAQCFDGVRRLAHIRGKLRKKVWISQGDIVLISLREYQDEKADVLLKYNPDEARA LKSYGELPDTTKINETETFGGEEDDEVEFDFDIDEI PHYBLDRAFT_76738 MEQDQSAKVEPIEQDAKPVINYSCQQEANDETTQQEQTNDPMQQ QEQQEQPDIAIQQQEQQQQQEQQQQQEQQQQQEQQQQQEQQQQQQQQQQEQQQQEQQE QANIAIQQQQQQQQEQANIAIQQQQQQQQQQQEQANIAIQQQQQQEQDAQQFKASNNA TTSSPPSNQGLSELNLTQEQFQQINAAHAQAIAQAAAAAIASGGNYQQFFSHLDPNAS GQPQALDSTHHQTGVISDETHHPGSVTQLTTDMLKRELMNQKVRADNRERKKRWRVHN EERNKDNDLRCRVNKRAVKLFGKDDSEHKLRWVEDEFQKRRAKRQEKQRLKHAVDGAM GASTGMGSGNHGGNGNPETIDFSAIASAAAAAAQQAQSGAPTMQTLQEADYITMFSNF NSNIAAAIKAQEHSQFSDQLLELLRQQQQPQAGQGMSQPQEYTPPSPKDEKSNSNQQQ SPTDQNIPPEEKLASGLLGSGSPQEGSENRAPEEAKEPSDGKGQPSGDYPMDAVLTLM QLNAGWRQ PHYBLDRAFT_138069 MNVNCPRPLTMNANQPVDPSSYNDCHRLFLQSMLTQKIVREDQA LNLYDEASKLTGVPRTDFSDFVACINQGINEIDLALKRSHNERNGVPVIALVNTLDDE ISQMATEYSPSTIMYFRQLAENIITAEDEDYAISSMEAIRLGQKMTPALTQKETQDLL DRLVADGWLFCTRQGAYVMETRTVLELNVYFKEQYGEYMKECQFCLDVVTMGERCESV DCPVRIHRHCAERYFREQPNSTCPLCGTMWSHLNTFGLGLS PHYBLDRAFT_61564 MDMPTSFHKKRMMLKPYQYKVLQDHFSANPKPDARVYIDIASRL NVSITKIKNWFQNRRAKARKDKKWQEQHINLPEILAESPKHVAHERHISTALNSENNS SISSSSRAVNKHTHNSPVSVAYSAIYWDTPRTLDYYRIHQHQPHNWCSESELQTASVS ENIAEIPTAYDCPQSKLRMSPQETTLKDISFGSMGGKTRFPVNTIYIGNWSYYTSCKL RDPVDLACGVNHQLRLLYWQIWKGRYVFKVHIFLEDIRNIRLHRTLTGHSSPNLSGEL VVELYRLDRLVFYKKEVALGGSDWAPCVDFTDNMEASTHPIQVFEGSYDSLKLGLISF TKAFPEMVSKVTVVDSLVAMISPALTDPQMLPTPMLPQQLTQQRPQQRPQQRPQAQPQ PSRDLFKQSLDYFSFKPLPTYVPISMLPGHQNTTTFKYSLS PHYBLDRAFT_138071 MEINTTVGSNSLRETIRFAINPDTLPKGTVASRLSLDRPHLSIQ IEHDTHPEPTPLQNYGPRRSSPLKDSLIDTFHLFPKGKLNEEINMKTIDLDENIKEDL LTDDNENENNNDDDDFDWNDDPDQGKVEVQKSTRERIQEAMARRCCWHYLSPLIRRII LALSGSCMFAAIGVCIYLFLPYPTEEEKQDPSFRNVRSNVQCWMYWAAFMWHIGWVTT IVIELLPTVVSTWTKIFKGRRSESVKTYMEYYMSLKWYTGLLTMVSWNWGTWAFLLQY PFPSVHKQDYSRIIWNVYACVFVATCFLFIQKLIIQMIATSFHSFAFNDRVQESKNAL KILDSLSRAEARRARQDFANRLRIRRPLSSRISFAPDDSTPTLNNLTAYSATSVGYGH KSDTKRLSNTPEILSQFQKRLQSIVLTDQPQSRTLIDNDKIDINSIEFAKKVARKLFQ SLAYPVGTPLSGEEDSKRSLDVSDFRPFFDTFEEAKSAFAVFDRDGNGDLTRREFRDT VVQIYRERKALAQSMRDTSQALGKIDAMLLLISIIATVFVSLAVFNVEVWHSLVPLGS FLLALTFVFGNTAKNTFESILFLFVTHPYDAGDLVIIDEQFLMVHNLGLMGTVFIRGD GQRIYAPTTVLMTKLITNVRRSGNMGETIQINIDFRTPTELIYKLRDQVSEWVSGETR DFAGFDLRVLDIVDVNQILINLWLPYKGNWQELSKRWQRRTRFMLALKGILTDLNIRY ELPTQKFTQAQEDRTFLNVKSPLSGNNSVIETPQSFAHLPIS PHYBLDRAFT_61566 MHHTRGPVAIRKMGKILADPVVCITTVVSVVTVGIGCYLYFSKR PIPALEKNAVRQFTLIEKEQKSPNTYRYRFSLPNKWNVLGIPIGQHIQILAPIDGKEV VRNYTPTSPSDAKGYFDILVKTYPDGLMSNYLVNLEIGDKITARGPKGLFEYTPNMVR AIGMVAGRWLWYHAHVSSKIIKAILGNQKDKTEVTLIYGNQTEPDILLREELDVLATM HPNRFNVHYVLSRPHTTWAGHTGRIDKEKMEMWLPKPAGDVKILVCGPPGQVKAITEA ATALGFVSPRTVSKVVDQVFKF PHYBLDRAFT_129202 MAPGGNSIANASQNIQRGRTLIRPDRYQEPPPLLTGKTTSTGTM FDPWTFFSRVVTFWAFSPMLKALGMSDASMQQAWREKITLCFLIACMGGFVAFITIGL SSVLCPSTDASNQQNYLSYNDSINGASLLGINGWQFNITNAKNTGNTDFFSLAKTPGT DITSLFQHGTTIPACQDTGNAVSTKFAAVTFNPCTAGTCTLGSLTQTTYDGLGIFNST RQVGYDWTNLAGEDLANFFVIDGNVLNLTPYITSNPNPLPDDELDVLIRYILKQNFAE GGRDATKLFFRLPELEASIDCMVEKYRAGKIDKDTPGCFVASIILFCSLFIVLSIVLA RFFMALIFSWFISRKLSRTPPEMPRISPGAAAGAAIRPNQTMEMSAISPSAPLMAGGG SGGAGSNATARLMEIGNDLYTVMLITCYSENTEGIRGTVESLAATDYPDDRKLLFLIA DGIITGHGETMSTPDMCLSLITFDDPAMKNPEPKAYMAVASGAKQHNCAKVYAGHYVC SGHKVPMILVVKSGNPGEQGKPKPGNRGKRDSQLILMNFFSRVTYNDRMTPLDFELFQ KITYLMKATPDYFELVLMVDADTKVYESSLRLLINCMVNDNLIMGLCGETKIANKRDS WVTAIQVYEYFISHHLAKGFEAVFGGVTCLPGCFCMYRLKARKGDGDWIPIITKPEIV QEYSSNTIDTLHQKNLLLLGEDRFLTTLMLRNFPFRKMVFTPQAICKTVVPDEFKVLL SQRRRWINSTIHNLFELVLVRNLCGTFCFSMQFVVMMDLVGTLTLPVAIVLTVVLIVS MAKTHITSFTLAVPLILLIIVLFSPAFLILVTTRKWVYLAWMLVYLCALPIWNFVLPV YAFWHFDDFSWGETRKVEGEAKGDDHSKTDGVFDPASVPLKRWEDYERKRVRAKKRRE RNERDLGPTHLTHNIIDEEDSQFGTFDDNRSNLSFNNSNAQDPAHYFDPSKEAPAKNS GTGYYPSYRPQSQAPLPSRPVAPVQPHPTAPGPWGPQQNFTPSSPNSNSRPLQPSSAP LGPGQYVGAQQHNPNPYNQGPYQGF PHYBLDRAFT_89024 MPVSSPTWLSSVPIPTNVATKFPTDQAIIPGPLAPSDFHINKKT YPAANKVPSVDHPEVQKVIGSIDWTKVSKSPIRKVVDWSLDLTGYDYLGDPDCWSSSS LCRYPKALDLPEDVHECPNSGDWGLSYDDGPFRAWTTSPEDKAWESPRLYNFLATTGN QKATLFYVGHNVINFPVAAQRALADGHTLCAHTWSHKQMTSLTNEEVVAELYWTMKAI KETTGVTTRCWRPPYGDVDDRVRSIAWQMGLRTIVWDRDSNDWDLNGVPGGGHLSKLE VGKIFEKWISRMANRQDRDTGHVSLEHENNREALAVAEEWLPRLQNQFNVVPIHECIG DPNPYWEANWKFPWPAGKFNGS PHYBLDRAFT_138075 MKTRQAILYVAFLWFRWLVSSLPGYLHPDEFFQSPEITAGPILG VETSIPWEYSPAHASRSIVIPFLTTGLPFWILRQVETWTNGEKQIIGTLSIFLTERTA SFILSLLVDFSVINLYKTMEKDYLLPLFLVASSQVALVYYTRTFSNSIEAIILCLSLA SYASFTKKGSLVSSFGLGFLLCLGIFTRITFGLFGLPIGLGFLLHALKGNSSSKPSFI GSLVSFALGASAVAGVCILADSLYFGTLQATVNDQPIVHFSQIVEALMNFSEFSFNLV GNFVITPLNNLKYNMNVDNLATHGLHPRYLHLIVNFPLLFGPLAIASLMDVTDTVVAA GDTLFSRIYYVALCTIVTAMAGLSTVPHQEARFLAPLLVPLVIVYTWNKTNLPKLFWF TWIIFNVITTFVFGVLHQGGIVPTMSYLQQQSTNIHGCEKLSSGDLACQLDFTSASFQ SNYNVTTHILFLKTYMAPHHLLAYPTEWKDTSNIHVQIKDFGSDIESAERELEHRSGV VFHKDSQTKHKIDFAPSQEFPNSFERTLLVAPMTAMLPRIHKHRYLLVTSYNPHVSFD DMRLAANQTDQMTDPAKVMSLNVWLLLSEKDEN PHYBLDRAFT_161163 MHHEHAFRSFSLAQIPLVVYTSLSAVKYIIKRVGETGSLQQRRG SERARKLDDGIEIHLMNVVPKDNFALYSRLKTSLKKIEVFVRKIKAIISYLKRLGFGL CIAAHKLVKGNDGEAGAIKSCEKVQRKYHYENQVKDVLNTNAKEEEVLVCKQFLLGLE IYDLTKNIKNNV PHYBLDRAFT_138076 MSNSILGHVGVGRTIRRFSRIFYGPGLYKHPNSAVHHFDSPLVV KLTFLAQHDLLVSSLLLSMVTIFQSKEIHFSRSQLNSTGESFRCQAGNFIDRVLVKFP VLSSVVSSGCLIGLSHFARSPFLVVKSIGANRFALLDHVF PHYBLDRAFT_76740 MSSPSKSGFSWSSIHANNLANQQTHRPNHTTFSSAVPTKINYKG PISPPSRQRLANPQDGGASSGWQNWNPQDTASLAYTTSKSTAHADSTPTPAVQYTNMA TDKICRELDNTQEKIVEDIKNLVSSMTDLSTNERPKDTPTPTAETTTTTATTITTTTT TTTTTIANSSHVDPESKTSESITHSTKVEVSAPDLTLKEPHQKTVLTPEKDEEVYHTE SHTESSTTIVSSNSQDAKSPVMENGRSDSATAVNEVEDKVLLEFYEFIRPDCKETLYG VLNQCRIPRDNCEVRWVDKSRAIAKFGNERTAIQAKEALGSAGLFKIRRYSGTFDIKD PVYPSQLHRPLTTNSVARRLIHGALGLRAPVRTKEERERDHTLLRIAREEKEARFNPR VRHGLVDNRSM PHYBLDRAFT_61573 MKEPKVDAREISFEQLMLQATQVAKEQNAVLAEKALEKKREKEL QARREEEAERARKETLAVLAQQRNEQARRQQRMLDEKQQRREELRQAKPRRPADRIPP SSSTSERETMSRGKTKSNPSKIIAPEKKKHAEISFEQLMEKAKEMTSKPKDNFRQQMT SSEGPAVASKRLPSKMSLGTTKGPKSSVDLSGPLGGGGGGEGSRVPRQKDIGSGRSIG QTTGTNRPPASRPRSRSRDENTASSIYVHKAGPQKSSTVRPKLATEGRPVSAREKAVA GCVPQKVERQQRDRRTISEVQRERRHARGHYSDEEIRVNKRPVPPSSASSSSFTGARS LQAGKRAGVPLPRGIQRSRDTSPPDPRRARPHPASSSLAANGPRSSIQSSGRPRVRSP DDDRRPRQSMQDRPMMNRRDLPPMPGRPRPSQEPGIRRMPFRPMERRPVRRPRPIDEE QDDDLDSFIVDDDEEDNRDYYGADVSSEISRIFRYDKSKYDNDFYSDDDMEADASEVL REEKRSARIGRKEDLREEKLELERAKKRKGGGGGGGGDPSPERKGSRPVRRDGSPDPK KRKAEKDPKMMGQSKAKKASREKDYA PHYBLDRAFT_129204 MPVTLKRSTILPILEDYLTDKKFIEFDKFTGADGLVAEALGSAP PPEYPHIVAWYKESVKDEKEAEALIEEEDDIDLFGSDDEEVDEEAEKVKAQRLAEYQA KKANKPKTIAKTTITLDVKPWDDETDMEALTKAVKNISMDGLLWGGSQLVPIGFGIKK LQINCVVEDDKVSMDDLSDKITELEDFVQSVDVAAMQKI PHYBLDRAFT_138080 MLSTVVADDSQEWEFENWDDYAHDSLAPKSRWLRAWGVPEKHGW SWPQHITSKTSNYAVVSDPADSDGSKVLRVTYPKGSRNPQYFPQGGIGFYAQPIEIDD TVQTVILEYQVYFSKKFNFMKGGKLPGIFGGSGQCSGGTNSRTCFSTRFMWRRGGAGE VYSYIPESKQRPGLCEEEGNICDPHYGYSLGRGNWRFKTGVWTTVRQSITLNTPGELD GHINVDVNGERVYSEERMAFRESRTGQNMGIVFQSFFGGSDESWESPKKQHTYYKGFI FETST PHYBLDRAFT_61576 MSCNNQNQTKNKIRFYCPKFLFVLIQGKYKCLVVSQSILSFSDN SIIIRIFASQILKMVSIYCYCYCCQCLVAAPAPASAPAPASASASAFDITIVIAIVQL FNA PHYBLDRAFT_184335 MPSEFPIPPVTNGGTAPYTGSATRIPVPATSATPLSTSPRSPSG PQRIAAAVEDPKDVTTAAFVLKDGTSFEGVSFGAEGKSISGECVFQTGMVGYPESLTD PSYRGQILVITYPLVGNYGVPSREAMEEYLEGIPKYFESSRIHVAGLIVGSYAQDYSH YLAKSSLSDWLKENNVPALYGIDTRAMTKKIRNQGVLLGKILFRKSTSSSSLIESAAS ALGLSKQEHNPDRWLQDYNDVEWVDPNERNLVADVSIKQPKLYKPEPSKALKTSTGRT LRIIAVDVGMKYNQIRCFVYRGVELKVVPWDYDFTAEPVDSYDGLFVSNGPGDPTMVQ TTINNLRKVLTNIKKPIFGICLGHQILALAANAKTAKMKYGNRGQNIPCTDQISGRCY ITTQNHGYAVDAATLPADFKELFVNANDGSNEGIIHKTLPVFSVQFHPESAAGPRDTE FLFDVFLDSVKECAETGALSPIVMPGGLKADNIAMNPRVSVKKVLVLGSGGLSIGQAG EFDYSGSQAIKALKEEGIYTILINPNIATIQTSKGLADKVYFLPVTPDFVRKVIQFEK PDGIYVTFGGQTALNVGIKLKDEFEGLGVKVLGTQIDTVITTEDRDLFAQALFEINEK CAPSASAVSIDEALAAAKNIGYPVICRAAYALGGLGSGFANNEAELIALCNKAFATSP QVLVEKSMKGWKEIEYEVVRDCQDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDED YNMLRTTAVNVIRHLGVVGECNIQYALNPFSKEYCIIEVNARLSRSSALASKATGYPL AFVAAKLGLGIPLNEISNSVTKVTCACFEPSLDYIVVKIPRWDLKKFNRVSTALSSSM KSVGEVMAVGRTFEETIQKAIRAIDYNLVGFSAIENVVDMDNLDSELSNPSDQRLFAI ANAMNNGYTVDRIWELTCIDKWFLNKLMRIVNFEKRLSDFTKANIPGNMVRSAKQLGF SDRQIANKINSNEMSVRKLRQEYGVTPFVKQIDTVAAEFPAFTNYLYMTYNAVEHDIA FEDKGVMVLGSGVYRIGSSVEFDWCAVRAIRTLREKGIKTVMVNYNPETVSTDYDEAD RLYFENINMERVLDIYEIEHSAGVLMAMGGQTPNNIALPLYRQNVKVLGTSPEMIDNA ENRYKFSRMCDQIGVDQPLWKELTSYDEADSFCNKVGYPVLVRPSYVLSGAAMNVVFS KDDLESYLKEAAAVSRDHPVVISKYIEDAKEIEMDAVALDGKMIMHVVSEHIENAGVH SGDATLVLPPQDLDPETVRKIEIATAKIGRALNITGPYNIQFIAKDNEIKIIECNVRA SRSFPFVSKVLGVDLIEMATNAMLGLPVTPYPQVTIPKDYVGVKVPQFSFSRLSGADP VLGVEMASTGEVACFGKDKYSAYLKALIATGFTVPKKNILLSIGSYKEKLEMIDSVKK LHELGYTLFATAGTADFIQEHNIPVKYLEVLDGGDDILKAEYSLQQHLANNLIDMYIN LPSRNRYRRPASYMSKGYRSRRMAVDYDIPLLTNVKCAKVFVEALARNANGIYEIEPI DYKTGNTSATLPGLFNINAYMSDIKNFGAVSKTSLTAGFTTISANASDIRDSASLEAA GAIARKAAHTDYILNVISTTDNASKLGDLAEDAGFVYLNTDKIGGGEVSVFDSVFSSW PNSHLIITEAKGTDLATILLLASLHNSVLHISNVTSRSDLDLIAMSKKKGLDVSCDVS VYSLFFASEDFKSTKLLPTKKDQEALWKSLEVIDCFSIGSTPSKLAQEMGKSITNTEG INEALPLLLDAVSKGRLQLKDISDRLYENPRRIFGLVAQPETFIEVEVDRKHVWTTDS SVWSPIAGRTLCGSISRVVMNEKTVFMDGICSSDGTHGRNISVQVQDVNSTAKDTKNK KVENALSAYKKSQETSGAVEDLTKLASGESQNLVSREPQTYELSALLSHIVSRSPFYN KHILRAKQFDRNDLHLLFDVAQEMRTLVERYGCIDLLQGRVMTTMFFEPSTRTSSSFE AAMYRLGGKVISVSAAASAVQMGESLADTVRTLGCYADAIILRHPQAGSAQIAAKYSK VPIINAGDGIGEHPTQAFLDVFTIREELGTVNGLTITIVGDLKNGRTVHSLVKLLAYY DVTINYVSPESLSMPADLMEEIKEAGVTQNVYRSLDEVIGTTDVLYVTMVQKEEFATE AEYNSVKDSFIINNNVLSKAQAHMIVLHPLPRLNEIEPEIDFDQRAAYFRQMRHGLYI RMALLALVMGTVRN PHYBLDRAFT_104815 MNAKRELPSKESAVFKNILRNYEHKQYKKGLKLADSILKRFPEH GETLAMKGLFLNNLEKKEEGYEYVKKGLRYDLTSHICWHVYGLLHRADKNYEEAAKCY THALKYNKNDINILRDFALLQTQMRHYDALVETRSELVQQKSNNPPFWIGLAIAYQLV GEYDTAVTTLQAHEDNLKMDGPVTFEMSELLMYHNTLLEESGNYQAALDHLVEIEPKV TDKSGWKEKRALFLAKLGKVEEADAAYRLLISENPYNGQYVKGLLVATGLDGEDKKAE ANQILSELRSQYPRSKAIEDLALKYAVGDSFKVKVDAVLQGSLRKGVPSIFNSMKKYY NDAEKLRTIEALVLEYAESLAKNGTFNSSNDATREPPTAYLWTLYYLAQHYDYHRQTD KALETIEKAIQHTPTVVELYMTKGKILKHAGRAEEASKVMDEARKLDLQDRFINSKCA KYMLRAGHIAEAEKTVGLFTRRDIAPLQDLIDMQCQWFSTEEGNAYAAKKEYGKALKR FHTVDKFYSDIFDDQFDFHTYCLRKATLRAYVNMLRCEDTLRSHPYYVKAAKGAVQVY LALADKPSQSDNGIDETGMSEAEKKKARNKARKAELKAQQDKEAKKAAQLKEEAVKQK QDPKKPVDQDPFGEKYLNTTTPLEDALHFVKPLQQLAPNLIDTHTLGFEIYIRQNKWL LALRCLVKTAQINKSHPSFKVNLERFQKAGRF PHYBLDRAFT_176512 MSNQAKARRIAVLGSRAVGKSSLVIQFCENHFVDSYYPTIENTF TKTIKYRGHEYVVEIMDTAGQDEYSILSSHHASSVNGYVLVYSIASRSSFDMIKIIRD KILDFTGLETVPCIIVGNKSDLNIQRQVTLEEGKELAQQWACPHIETSAKHNENVAKI FDMLIASIEKANNPPSEEKNACSVM PHYBLDRAFT_3223 DFVSSSVKDTSKGRRKVTKSDFEVLKLLGRGAYGKVMLCRHKET QRLYAMKVLKKASLLVHAKNAEHTKAERQILEDVRHPFIVQLMYAFQTNDRLYLILEY ATGGELFTHMATENMFLEDVARFYLAELVLALEHLHGLGIVYRDLKPENVLLDGEGHV LLTDFGLSKVSLDGRTNTICGTAEYMAPEILLEMHYDNGVDWWTFGILMFEMLTGTTP FHSSNKKKTLDAIKNKKLLIPYYISSIAKDLLIKLLRKNPNVRLGNGENGIQQIKSHH FFRKIDWKKLKERKVTPPILPVVTDPMLAENFDEKFTSEVIKDSP PHYBLDRAFT_120456 MEVDTHAVTPTEYMDEDPVNMTQPAQDELYSVAVLIDELKHEDI QLRLNAMKKLPIIADALGQERTREELIPFLRESIDDDDEVLLALAEELGKLTDAVGGP EYAHYLLVPLESIAAVEESTVRDRAVASLCTLADVLPQRQLNEYFVPLLKRLSMGEWF TSRTSATGLYAAVYRKSSKELQAELTSMFSQLVQDDSPMVRRAAAKALAQLAGQVAPE DIFETSVNWFHKLAQDDQDSVRLLTVESLAALAKLLTPEQNLEHLLPSFKSLADDKSW RVRYMVASKYVEISQAFGETIVREHFTAAFINLLRDPEGEVRTAAAGQVPGYAKLVEK SVILENLLPCVRDLVTDENQHARAALAKEISGLAPIVGQEATMEFLLPTFLQQLTDEF PDVRLNVISNLEVINQAIGIDRLSQALLPAIVELSEDKQWRIRLAIIEYIPLLAKQFG AKFFEEKLLSLCMSWLRDSVYSIREAATVNLKRLTEVFGGEWAKKAIIPQVLKMATEL KYLYRMIMVSALTTMAEALPYEIINDSVLPTIMKLADDPIPNIRFSVAKSLEALVPLL KQTGDKAGLIPSTIKPALVKLSLDGDGDVKFFATRALESGTLN PHYBLDRAFT_138087 MSHPTPLIRLSNMAGKKGLSDLHIPTITDDAQDVIGRIRLQKDD RAVHSQWMDKQRNNLQAYEYLCHIGEAKEWIEDCLEYEIEPITKLEESMRNGVVLAKL ADYFAPGVVRKIFIDDKLQFKHSDNINFFFAALRVVRLPQIFWFELTDLYDKKNIPKV IYCIHALSHLLARRNMAPNIKNLLGQLQFTNEELTATQRGLDIAGVAMPNFMNIGSSL CKELEEDFDDVNSNYHLQQMDIITYYLEDSMSDPSTPDLVIEGEGEYDSDDTTPMSSE DRFEKYWNSPNIMRDLLKCQSYVRTWLERRKFQTTQKEHRSNFFITQITNVQACVRGN MSRRDMSEKRKAFESSKEWITLVQAACRGTIIRKKKKSLMEYYLSNISSIITAQNIIR KRQKGNAYHRLNVDRNPSVGTVKRFVHMLVDGDLDFESEQEIEDLQQQIIEHIRENKK LDEHVNMVDVHISLFLKNVITIEDAMKCSGIFKKKKEKKRLSEMVAKNNSINLHSLST YDKPSRDRLIVYQQFVYCLQTEPKYLARLLQMTNRGMLGSFSDNKIIENTVLTLFGYG TTSREEYLLLNLCKASIIKLGPFNSGLISYCIKEEIKQVRSPQEFMRGNYTFMRLIVQ TNRGAKERHFFRNLLSPLVESIISNQFIDLETNPVKIYQKSINNEETRTGRKSSRVPA DSAAKALEDPEVREIFFNHLQNLQEVTRGFMQAITEAVDDVPYGIRVIALELNLRLEN SFPKESREYITKVIGNFIYYRYLNPAIVAPEQYDVTESIITPMQRQNLAEVSKVLHNI SSGKLYDVADHLSPLNRLVQDASQMFSEWFSRIMDVKDPETFFGIDQLGDQTSVSKPI VCITPSELFHLHRILQDHTKYIIPEEQGTLYDILQDLGPAPDSQNRNVSEGATLTLRL TSRLDTLSKNPASQLKQMLDNAKRIVIHVLRIQSGADLYTILNTPVEVEHEYMWTEYR EVEFPEFLDNGSAVSKKRYLKVDNSGLIMDIKSLTFNQLKNIAKGFIDLLEKSGAFET NDTCQELINMIANDITNKNSRRAKRDEQIQTMRRTLDCLVKKQQYLRDQGAQYEGYLN GCLTAMAVKRGKKSRFVFPFTRQYFHIRGLQRQGLVPKYGSYKYTAKQLYDRGIIVSL GDIPEKHFERVPIILSMDRAGIVVIEGSYSAWGIHSVQVDMRYEDLLQAQYDGVQTTS VLDGAGLVNVNLLIHLINKK PHYBLDRAFT_138088 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_61583 MNEATPFRILTFKASGKATGCNPDLLRPLQVLVAKVHTIVTHTF ALMRYIFLTKLSRDPFFDLDKYITQDIFADVSLLLFDHSTGFYITEITSNISSEFCWP SVLMVKNWSVSHNHSREPTNGIVFRCLLHLPNQNCFEDMASLQFEHNLWNRDLTAVLN FWHILNLIKRDIKTSQRRSSGGSSFETKSNKNSKKIKTFHPSYRLGFTQDTVSKLLDF NNCIVFNSCTGLKKLRKRCFALTAKT PHYBLDRAFT_29226 MVISDQYYSVAIEGGQETFTIDKKYRIIRKVGSGSYGTVCSAIH VETNEVVAIKKCLRIFDRKLVTKRCLREIKLLQHLNGHPRIIGLRALDIVDYSNFNEI YLLQECCDTTMADVIHSKLGLEPVHYQWFIYQILSALKYIHSAHVLHRDLKPANILVN QNCDIRICDFGMARGIVQPSSLHETPSMTHYVVTRWYRAPEIMMSRNSYDKAIDMWSV GCIFAELLGRKVLFKGNDYVDQLHKIVGILGLPEDTSFWDQNASKSVVDYIKNLRDVN GEPPPTEPIDFAAHFPECPPEGIDLLQRLLHLDPKRRINANEALEHPYVALVRDPPEE VECNLMFDFESFERIQDEDTLRQCIIDAVKSTKPENTPSNRTESRTNSLQPPSEPHFQ PQRRYTGSSISTPTTASATEAHLNAIAAVQQGKDFPIQESDNCAEGMLVGSNQFVGEP EDMDEDDIKLMDSDDSLRVDSCRHLVGPYGADVQAIERQLSYDW PHYBLDRAFT_138093 MVRAHLDQRLFPVHTFQILLQRRPYFQASTLFINSLQTNKPLTA RTIQIWISKLIRLSTSEPRVSLRSIASSLALQSDIPKADIVTMGHLTWSTTFEKHCLR EHLPRFDFTNKLITSGDDDSEDDSNVEDVLFDAVDSPMDSS PHYBLDRAFT_138094 MSTELASVTDQILSIRRTLELQAAEIAKLKGDLILKDEAFERQR LDLETLNTKYVSEIEHVADIQHEKDLAERELEELSSQLFEEANGMVATEKRAKWILET QLKQTQANLEAERSQLNALQHILQDTLAKAPQNQPLSIQCNSLVYQQPQEKPWHTMSE AFRIFVSQPSTSMKKLRQSAYVRQCQVEDVEPCLRLGPPSKISAKKLMESLAGQACCI EPTPDTEDVGSCNDSLSVRPHRFLWDRFSSPTDPHSCAVCGRPTGYPTPYRFKLDASD EWSLVDSSCRDRLVAVCEFYSFVRRVQQTATSNRSIHALYAESIRLRLQMGYARLGVL PWMLEELNALYKKMKPSGSLPDDSDEGSVSSGPHTPIHSRISSSSSHSECHVQSTWSG SKPSSESSTQDCEERTI PHYBLDRAFT_138095 MNLQCPLCFKEFPMYDQRGFRNAGFTAHHNRCVEKEVQKVELSS GDTQRTIHPRRRLLPAPSYFSPSINNMGSVVPSQRLKLTVSKRYFRKPRASPKSQIPL NNPPAPSDPNPSDPPVAFLSPIAHNSPKSEPPPDKPLPQPWELQEQFIQQQLSFFATA IEEQIPVHYCDYCLPQQGLHHPSCTLLHFASRANNAFD PHYBLDRAFT_76746 MESLILLENFIYPNATSKRVRMTLACERCRSKKVKCDFGHPTCR RCDLAKAQCSYAGSSTQIDLFNLAQLNDTIGELQERMSSIERAMQQIQTQTQFIADTM RKAKEDAHPPPSTPSPPQISQNKPDSALSTMNANWSLSLTPQGLRIDTAI PHYBLDRAFT_156903 MMTFFMLTALVPRVKAWDQADFEIFDLVDELEKSEGKGVTFYSW LDIPATATAPEIGKAYRKLSFQLHPDKNKQDVKAKERFARLGKVAAILRNSASRERYN FFYKNGVPRWRGTGYYYARFRPGLTTVIVFLACLVGGMQYLASWINYYLEKRRILHFV EDARLAMALRAPKGHGAPTVGRSFLEIQQNMVRCEVISDEYLIVYPEQADPIHLNVEW VEKPNFNNVYLVRWPKSLYEKFTQPKVKAEKEDTEEEEEEEVQVDQKGTEVATALPKK KVKKREIGTVEGTKVGGRRRAVRK PHYBLDRAFT_138098 MEDFNNPIKDNMIVSWPDLEACWHHILFKELGLKKSRNESPVLL TVPVCWTKEEHERITQIFFENFNVPGLYIAPQPLLALYGCGSVSGSIIDIGHETTDIN VGVDSILQIQSSLSLPIGGKHFDQYLLELLQQDTNLVQQAKNASVPLDIKFARFIREQ PGACHVLSGHVKPEDKTTGTPSVLDMVATPAVEEFTDGEVAVTEDEVVPEVTENLTVE YKGHKFTIGEYRHKVCDPLFSPELVGLDILPLSEATRLAIMNCETPEIRPKLWENIVV TGGCSLLYQLQNRLKKEVQLSLPYSDNAGDSQPRLVSFLRIPEYFTILREKQYQCYAT WLGGEIVAKLVFIDAKNYVSKVDYNESGPSIVHTKSY PHYBLDRAFT_138099 MPALVVDEPTSITTKFSSFNASAIFNARVLSLRRKLINPSGPRV LSYEVSIAPAKKSSRKSTLIPLYVSPVELYPLKTSPSNDTASNKADYCLAIVVHSDSH NPSKDNEACLLLVRNSPEQGFPTVVNVLPIASDFKHEISQAKTNPFREGTGRGFDDNK DDDLITEKTSDLCIEFSGQGQTISVIFKDKDDTKNILADIKNRKNIAGKTSSLSHGYS PEKNSHAWLDAYTKFASPRTMRKPPMRSNTLPLKGESSIPYVLPVQRQDTVALLNLRR TASTMVKKVRNISTSKAKEHWIFARLMDQEDEFVKWKKANVFVGTWNVHGSNPPESLS TWILGTLTTDAGDHFEPDLYVIGLQEMETNTEAYIRYDPTKETAWVNAVFAAFGEKKS SYYKVASQQLVTMLLIVIAKKEHSQDICEVETNYTGVGLMNMMGNKGGVAVRLRYHDS YFCFITSHLAAFVDKVEKRNQDFTSIAKRLVFRNYPDDILAYLNFSWNSGGDEGVSFL ESNGVSRKWTKDASIFHADHLIWLGDLNYRVNLSEAEIKMRLQQGELDYLLEYDQART QEISLSIERQAGRTFSMFDEGRIEFTPTYKFDAGTNQYDTSEKRRAPSWTDRILWKKS ENEDKPSKKRQNLLSYDSCMKMMTSDHKPVRALFETNVRKINHQKLALTKDKLIKRLV ATKDQTPKATLVNSFVKFGDVQFMEFKERSIVLENTGLVLVTFRFKSKLSDIDEYDNS EFDQNKNADQTSEEIIPSWLTVHPTSGVLGPGEKVVIQFELMIDPSISAPFNKREKDI DEVLVLRIKGGGDYFVIVEGKYQPTCFGVPLEDLASMPVPVNPIRYIPLQDSKNHTPV EPSVGDRQAQQVNLPKELWKVLNFLWNKNMLSIESLFLEHGDRVICHYIRQCMDTDSP FDSDILLGKDIKDEKPVSAVPVSNQAEVASNDFTDKDISNIEKAPSSNANPAIETSTS KEVEVSKETPACSELTLVAATPANGITQDSKSTSVTSEAPVDINNDACKEVVAPKVTV LGDDTEVSSIGESSTINETSIDQTYTEASSISEDSSENEESLEKEALGANSMVDVLVA FLECLPEPVIPTNMYKLALEAADSPEAMAILIATIPRIHLNVLHYINDFLRDAMKYAP ESCKEYRRQRIVELFSGMIRPPVDFKERNPALAREKREKFVGQLLRT PHYBLDRAFT_120469 MGISRDSRHKRSETGAKRAQYRKKRKFELGRPPAMTKLGGRRIH VVRVRGGNVKHRALRLESGNFSWGSEGIARKTRVLTVVYNASNNELVRTNTLVKGAVV QIDATPFRQWHEAHYASSLGKKKANAEEVAVEKKSNSVQKKIAARQADAAVETLLDDQ FTSGRLYAVIASRPGQSGRCDGYILEGKELEFYVKKIKSRK PHYBLDRAFT_105635 MAKKAKARVILVKLLSTAGTGFNYVKSRPRISPKMSMMKYDPVV KQHVLFNETKMKK PHYBLDRAFT_184343 MAIGPRIPYSLIEVICLKKGQNLGSERESLGIPGTQGSRKNVIS YALTSRCSPEKEGKGVLKYLQYNIIDRWFYDVFKREFFFFTVVTPLFKYIFQDLGGVR GRVCWCTSCLDKVRISVRDNDSTQSHITLAGISCRSKVTFLPFILPILLQDQQNNPKG FRMNNQKVGILGGGQLGRMMVEAASRLNLEVIILDAPENAPAKQIEATQSHISGAFND AAKIRELAGKVDVLTVEIEHVDAQVLADIENEGKVKVHPSASTVKVIQDKFVQKNHLR ANGIPVAAYVETPNSNAVLEAGKQFGYPFMLKSKTLAYDGRGNYVVKSEADIKCAMET LSKTPLYAEKWAPFTKELAVMVVRRANGEVRSYPVVETIHKNSVCHLVIAPAQVSGEV LKKAQVIAENAIKTFTGAGIFGVEMFVMKDGEILLNEIAPRPHNSGHYTIEACDTSQY ENHMRAILDMPLGSTAMKVPASIMVNILGTSDSMELCYRPCKEALLTDGATIHLYGKK ECRAGRKMGHITIVGESMMQVQKRLKPILHAIEPEIERPLTLRPLVGIIMGSDSDLPV MKVGAQILKNFGIPFELSIVSAHRTPMRMVEYAQSAADRGLMAIIAGAGGAAHLPGMV AAMTPLPVIGVPVKGTHLDGVDSLHSIVQMPRGIPVATVAINNSTNAALLAIRILGAS IPSVQKQMSEYMKQMEGEVLQKVDKLDNVGWENY PHYBLDRAFT_76751 MSITTDQAIQSPLLLVSSLFETFIQQPNASPAEFDPSTIFSKLF ETTEQRRQIPSINDVSVQHVPKNTLVRFRCMVQDTGLGQEMFVTAYNKHDHETGAEKI LCYRYTDDSMDIEQNGCSFDSVPNEYLSERTNVYCVSPPGETPWAKTTHGSLDLSHAL NQLNIQDALKEPVNGTSKKYPLPGHEHVSALVKFYDSGDSLRVGQLIEVIGVLGHPVS SDGSTEDFETHMATLTNTPVLHAITYRSLENGSHGLFKPENLINASVQARDIRAKLVD YIATAFGGDKLVAEYVVLQLLSRVTVKNRGVKLGQFSLNISKFPASELGLQNEKSLEM KLDSPASKHVAFILSNLTQRCVEMPLSLEILNKSSFNPRSVDEQLNAGVLQLPNDTLL LVDETVLSEGTLDDTGVRNIQALMNVIHTQSLNYMFPYSQFELDTNLGVISLSSTKSM LPNHCTVPLQARFALTDGNLKDTMQYSNETLDMFRAYIESAKHGEYEIPDDVSEYIQE EFVNERKRAAENGTTLPSQEDLMARMSISRLVALSFGERYLTKDTFNYAVSLDEQRKG RDAGHEMPGK PHYBLDRAFT_138101 MLPLNSGQNSLWSPPLSQPTLHNGTTIPDSTRLSLAELTVGDIL EQYKYDADLLKCILIAKAEEDKASRRTAEEVRRAEETNLRAKYIDLELVHMRRASDSP SLIDDFISGSFGSLGVSSEFLPEWPSIQSSQTMSVMSPVVNSLMEHPYEKSPSPTQCL TIQPHSPGTAFEVPFAGLSISTSSSPEPTEVVTVQKNTETAESTEPPPVVALSPPKER SCKRTLSRTRSQRRPGMSVKTSKKNRSATAQPLPQPLPQPPREVEVEEKQLDHGAVME ALRAKIRRSVPSQEELELQATSDQLASMSQTGVLLLDLKNPRKLFPVRRTPASPRVPV ARRPRPFTSAHNHVEKLKEEGPINPTPSCKN PHYBLDRAFT_178647 MNRGLSEEGDDVVEDFARQLEAVCLNSQKENKKLDKMDIQFMDE SDDDMDAQFGYEQIPQDEEGYGQLESDDDQDDDQHHNRDQEDTIESIENELAQVKAPT LVLDASEQVPKDTLALIKSIMGNIALSEKATPDWAKSIPESAWLPQINGGDQNDA PHYBLDRAFT_161187 MSALDEKTEEALMKLNEETEKVNEKVQAYSRKLMRPTWDKRREI VKNIPDFWPTAVGNCPLFSNNTNEDDLAAVKNLTDLNVEYDEKNPHYRKVTATFKKND VFKNEKMVKEFVIGPDEDEILSKTTIEYHSGKEPTKKRKHAEEVEGEEDELPQMSFHE WFADEENRPGFFISEDIFPNAIDFFNDDVSDGGEYDDEDEDEGEYDIGESDSEVEEEK DTAKQHKKAKK PHYBLDRAFT_120479 MGIDLKNHHVKNSNRTAPKSDNVYLALLVKLYRFLARRTDANFN KVVLKRLFMSRVNRPPVSVSRLAKYAATKSAANKTFVVVGTITDDVRILDLPKLSIAA LHFTKTAKARILKAGGEILTLDQLALRAPTGANTVLIRGSKNSREAVKHFGMGPHKNK KPYVRSEGRKFERARGKRASRGFKV PHYBLDRAFT_161189 MLNCPTFSVSVGIPIFGLGFTSTNHLIIGGGGGGGRSGVKNKLS AYRIDGKRRDLEEEAVYEVPEGEDAPMCLAVHPTDHSVVAGINASKKEIEAGVNKHCR VFRVTEEKWVYVNFTLHNAVQISTSKKEEEYQKVVRFSEDGSLVAVGTSDGHAEVFKY PSFESNGPTIKFDDEVLDVDIGLENDKLTCVTRDAIKLVTIRGKNSGKILQTLPVSAI NKKRRLQFRSFRYGRGAVKDVGFAVVNGVDKPAGYVVRLNPYTFETIKVHQVSKKPIT AMCTSFDGAILAIASSDLSITLFDASSMQILTHIKNAHNFSITSMAISTDRRTLASGS ADNTCRIIFLPLQFPPISVNPFHTLFLALAIAGTLLWLTTMFNAGDLDESFAQERTVS SRPVKVVATTKIPLSNVIVPVSSPTTEFVPIETIESSTFVSQSTEARDEL PHYBLDRAFT_178651 MVPLATQPTVFAQNTNVQKVPERPTTTTTTTTTFSYADAYSQIV HRRCIKTLATLYGFCLLISVIFQLRFSLNRDFITSVLSMKTIGFSQIFFIALLPLFAS RFITSTVQQSNLPNVASEVFVMLSNVDNWIITALYATSSFFIIRYYMSLLMGESYIDS LFVNPHDHHIGARQLNQDNIFVTFYAILLGINFAVRTIYERRSIIEFPSVQQEGIYTI KTSISSVCREATHIATRVFVFSYITYLFLNGMIYHQVAEFFGMYTRMLDSPVRGFQWY NLYMLTRTVLGGIIAVSGWEFLDRLFMVFFEVVEPVSTLSPKPFECLLSGLQNNKDPL LQTTAYAELARMASRDPARRIEMFRTFGSDVNDSEWSRISGECLKTIETFRNNIAKET NTKRQGTSNGILPRSIEEQPIKQIKLIEKDVFAAPKREVVYLDDRLSSLFVKPVTLAT GPKMPPADASDRAQKFISTVPENFLLKILKYLENKFEHLDWVKKWNTLTIKSRYKSVF NNYSTLLFSVESLASLVAASLHEDQYGYVQRDIEKILDVLLGTLVELEEVERVNELGF LITDIKQAIYTIRSTFGTYLEDIKIQQRYQSHWRRFLEYKH PHYBLDRAFT_176517 MLRTALNTFQNSTRLVRTPIASTGVRFYHEKVIDHYERPRNVGS FPKSDPDVGTGLVGAPACGDVMKLQIKVDDSTGKIVDVKFKTFGCGSAIASSSFMTER VRGMTLEQASQVKNTEIAKELCLPPVKLHCSMLAEDAIKSAIKDYKSKRDAKAIQIPS AEPTPAQATA PHYBLDRAFT_61602 MCKDFADVQFFFGNPCTLFSIVNIFFVKVVKSVGIQIRKYRRIF NLSRLHYILKEEMEYMKSQVDKIELAKRQDLLVRVPTKMGHPSYIPGKISGVGLIGED REVIH PHYBLDRAFT_138109 MAPVFTTVCSRSPEALLSFTTSTATSLLPCTKKTTRFTPITHPQ HLPPLGEFIATVFKRSQLPPCVCLVSLIYLQRLKNSLPRHARGDIDTPYRLFLAAILT ASKFLSETGSSLTSQAIVDIIDGVYSPKDVNLMERSFLGLIKYDLFVNVEAIRDYLAM HGKSLEMDLIEDVLDHQA PHYBLDRAFT_161194 MDNHHSLASCPLRSMAWLTIKDEIVLSSSKEWIQMVGTDPTGKQ LSMVWEAGDRFAAEPAFHVRTLYGAISLLVCPHPHTHNHNHNNNHKHKHNHKHTYTHP HNHAGTTTLVCMDVSTLDNLLAQNQSIPLVVRLTNYGTIDSCLYRHPLESNKLTSTTI TKMTTRSKRKRKRMIMVMIGTPIMRLVHTDDAARLCAGLKQASSGYTTTLDLLYPDTT TDSYQTKTQTQTQNQIENYEQQQTFEITASMCSGDLLCSLSTPRPIDENSSASTLMAS LALLGHFFEVPLVSPVKGIIQRSRERVLRIPEAVIVTLQHMKSRPLIRQLWECMVWAG LIDHILLDTLVEGRPSSTLQ PHYBLDRAFT_184351 MTLLLTVPEAAASADTIQFKLVLGGEGQAEYMAKHQLLKATAFR SFQQISWLHDALGRNFPLIVIPPLPEPPLLASMDDQDYVERKRLQVGRLLEKIIKRKV LADHPDFIHFLSSDMAPTEIGKPHRGVLSFLRFNRIKPSERGFTAYKASPPVEGDDQE TYYRHQIYILTQESYYGSIAEYQNQIIQLRESLGDGLAQMGDQVIETTQSKYRLGEGN QEKSREVQRGLDRGMQVFGLLMDELGFIFTRQGKEEIMKFGDVMIEYKNFMDSLKIVF NVRTQHLIEYAECVKHRNKKKERSEKVKSRLGPTSASPEVQSAIVEEQEATDILEKNR LMFDTCQKKVTNEMRLFESQKRRDIKHAIAENVRLHLRYEKAKLENLEKALETMRAIT QQPVTFVHPILPLSDEEIHSSASSVSYATFDTTQAMRRSTRRKRREKSKVEPHVLQSS ASLPTWTRKQPPKPTASQSTVRVSPFTQSDGSRVHLSASYDERLGKKWKST PHYBLDRAFT_105399 MQKLFPGLIDSAHRAILERVSGAMTNAVFFVTVNKKRFLMRVYG HGCEQILDRENELAWLARLSHLGLGPRMLGIFGNGRFEEYLQSTTLSYSDMRQPFISE QIASRLCQLHTIVDIYPPSAKEARQLSVWQNVHKWLAAIQDVLPDLMKKNEKWAAELE LFDIDLLKEEIDICQRLLKTIQSPIVFGHNDTQYGNILKLDTTGELVVIDFEYAGYNT RGYEIANHFCEWMYDYHSESPASMKVDAFPTQEEQKRFLKAYIATNNLKSSVDTLQKE ALIWVMASHLSWGLWGLVQANQSDIDFDYFLYSMQRLGAFRQELTHWSSLD PHYBLDRAFT_161197 MTNPPVDQQDLSHQASLYAQSIGPDIGQHPQFDNSGGPMHGHHP LDPHPQQTNPNYEIDRSMSYSLYPMNNIPNQSIQQPQGFVPIQAADEPLYVNAKQYHR ILKRRIARARLEELNKIARARKPYLHESRHRHAMRRPRGPGGRFLTASEIAEQEKSTK SPEKTTKSLA PHYBLDRAFT_120484 MGKWTDKLYITHSEWSGEVGQHSASSGTQGRTKGAGFKRLPFYC CSLSLQPFEHPVCTPEGIVFDLVHIIPYIKKFGTNPVTGEKLSTKNLITLNFHKNDKD EYYCPVTFKVFSDHTAIAAIKSTGNVFAYDTIERLNIKPKYWHDLLDDTPFTRKDIIM IQDPHNLENRNMAEFDYVKNDRKIVNAAEERERRNPINNINVKGIGGTAERVLAELKK KDTVVEDKSEDTPAKIPTSFHQKKEEKAYNAAHYTTGQVSSSFTSTAMGRVTVNEQAL IDEEEFMYKHIKTKGFARIVTNYGNINIELSCDRTPRTCHNFVKLAKSGYYKDVIFHR NIKNFMIQGGDPTGTGLGGESCWKQEFPDELKFNMTHNDRGLLSMANKGKDSNGSQFF ITYRPCTHLDNKHTIFGKVVGGLDVLDKLEAVPVDETDRPLREIKMKDVVVFVDPYEE YETKLKKKLYRQANGAAMDEAERKKKEKEENMGWFGPNVTKTQQKKVPSITGTRHAGV GKYLQSTSTKRESTESEASETTEPLTPKLPVAKKVKVTSKGYGNFDNF PHYBLDRAFT_61609 MLEPEPYPRGHSPQRRTLRPHSLIPDLDVPPLIPHWFHAIDNAL VDPVSMRTAKEAAMSRRQPKSPSAPKSKSTAWVPFSKRDSIALEKAFQNNDVRAKVPV NEDYLFEVDVSERTIYPVYWEGPTFEVRRATWFMQADGSKWVPCEETLAEQIELGYYK HKPYVVDPVDEGNYQGNTLAPKTLTKKPSFSAPKIDEASNSATEEGKLEATLAKQLSE RQWNLLGPYLGQYIVYTGPSAAWLLSNSTSSKFAKSIITRLTNKQNLGGTRVLRGYPE IEKQQKNKAPVAPVAPKADNDRKNSDTKQKEDGDSKEGPEEDTGLSQEAEEYVSMESE EEVRKIDHIVFVIHGIGQKMSEKTGHSFVNDVSTLRKTMRSVYPTVMASTKTPNHPNG IQVLPVLWRQDIRFGIASDNEGGEADLGMLEPDDGCPTLDELTLDGVPNIRNIVSDVL MDIPLYMTPRYREQMTQTIAKEINRVYKLFVQRNPDFLDGGRVSIYGHSLGSLLAFDM LTMQPMSVQETNSASLKPTESAAVVDKKKVQLKFPVQNFFAVGSPLAVILLLRGFKIG SRKSLSNTQNYSSYADISSIPSSHISHCYPAIDNLYNIFHKSDPVAYRLEPLIARHYS SKLKPEPIPYLKGGLRSMIDAGLNVGSGIANRAGAMYESLKMGITTNLFMRGLGLSRQ QIYQDRHPSSDNEDELSTRENPTKSPPNGLYRTRSNSDPACATSMALKSKIRKPPPSP LPSSASPYSVGARKLKMLNSSGRVDYCLQEGLLENPYINAFSAHLQYWQDLDVAAFMV REIYRNQLGG PHYBLDRAFT_138116 MLKLVSRITTRSNTHSVICLSGRYSSSIAGADGATASSKGAFGE KEKALENQWARAHDAEKIKMLREALKKQQEHSEELQKDIDALKKSSKK PHYBLDRAFT_138117 MSPPTLSVNLYAISSRFRCTPARSPIYLPIGNLMESLTGQPGQP ERMQRHS PHYBLDRAFT_95981 PLVPRDVPLDPDNIDYVELTQCENLAQVLRYRVANNSLSRAMPA FTTVDSKGKETTSLTWEKLLARAEKVAKVIREKSDLKLGDRVALIYRKSEAIEFTIAL FGCFLSGRVAVPINAADELAELAFVLNLSATRLVLTTEHNLKAFTKDMQARQVDFPKN IEWWKTNDFGSWYPSQKSSGYPDLKVPELAYIEYVKAANGELKGVAITHKTLMAQCRV YKAATTETCAAVNEQGEMVIERMAGPQPVDVVVSYLEPRQQVGLVLSILCSVFSGNHT IFASASIMETPAIWVYVLSKYSATIALADYPGLKLATKLYQAHPKEVQFVSKKVVPNL SELRLLMIDTIIVQPEVNEFIAEELLRPLGNTEDPLEVVCPVASLPEHGGMLLSFRDY LGPAVLEEWSEEPLDSENNQDQEQDQDYQPSSTRKMTSTLASGHSRDVWECVLDADAL RLNKVVVLAAGSPDQISEHFNQPGQVRMGSFGFVIPQATVAIVDPETTAICAADTIGE VWIDAPSVPGGFWGLPKHSSAIFHARPIIVQPDTLHPEIYDQEFLRTGLVGKLIGGRL VVFGTYEERIRQQRLGEGLGLTEVHFASSLVETITKNTRLDSCVFFDITLNSQNLPVL AFESAATREELPGLINSVVDLALGYHGLRLYAAVVVGPNNLPRSMKNGRRYLHAMMTK RAFLAGQMNLRYLKMDVDRTVFGVATSDDPNLDIWRSYVAYEKGVSMGIISARPQPQH TGMEIIRSVLDERTDFDLSKFTNIIDLFIWRTSLHPEETAFTVLTQSNGSLPTKSYTW RKMSTKIATVANFLQKKLGLKRNQKVLLFIPFGIDFIISIYACLSLGIIVVTCPPPDP DQHPQRIQDDVGSMFAALHDLSISYILTNTRAEDILKNKQVSPVVKQVLAQYRKANFK MPEQSSVSKAPKFNKLLGKESGFMVQPEWLSDKSPALISVQYSADMRRVYATLGHDTI LAQCRTQKMTCQMKFQRSLVVASIYGTFGLGLLHSAFCGVYVGCPTVTISDEDFYTNP LAYFELIQRYKAKDVCVSHPLLQYAMNRISQSDLRRVVLHNVQNMMVTTDDRPKPVLY QHITRYLAITRLEKEAINTVYSHASNPMVTTRSYMLVEPISLFVDFAWLRQGIVRPLS PEEDTSGVLLHDSGIVPSNTMIAIVNPETQMLCPSNVIGEIWVASDCNIQTLYGLNEA SHAARFEATISGADPRVKYMRTGDLGFLWNVQRQTGTQQTVVEEGQCLFVLGAISETI EVNRLLHFPIDIENTIERSHPGIPVGGCHVFQAGSEVVVVAAIKAKEQALAAIPMIVN TVLEGHSFLVDTVVIVNSNQLPRSRYGDKLRGKTLASFVEKKL PHYBLDRAFT_129247 MTTERQWQVVENLKEVQAQVVKAVEGKREARLVAVSKYKPAEDL MYCYEAGQRHFGENYVQELVDKSEKLPRDIQWHFIGHLQSNKCKAVAGIPNLFAVETV DSSKKADALNKACVSVGRNEPLRVFVQINTSNEEAKSGTSPSDATTVCKHIIESCPQL SLQGLMTIGMFGREPAEKNPDFECLVECKKTVEAEIPGKSLELSMGMSDDFVQALEAG STNVRVGSKIFGARKK PHYBLDRAFT_156916 MGCCYSLEKPTVYEVVLDENGVAHRVRPGQGTHLIHVSKDKETH MEKKITLPSSISSLTCPEAAYKSPPAMKWTAPSLTTKKIHPVIQPNSEKTEVPTS PHYBLDRAFT_105311 MYILLWYMFSTSLSLYNKNLMGRDRFNFNFPLLVSAMHALLHAI ITGLMMTFGGDRWRSPSKVSMTMSDYFLKVVPCGVAAAIEICCSNASLVFITLSFYTM VKSSTPVWVLLFSFIFGFEKPRLVLITIIVVMVVGVSLTVEGETKFDRLGFGLVLVAA IVSGLRWNLTQLLLQQDRLGMNNPIATLYYLSPVMFITMLSLSLLVENPIDQFKHSKH FDSLSHVIESFGLMSIGGVLAFCMTLAELYLIKSTNTVTLSVAGISKEIVIITLSVLI YGDVLSQKTLIGLFISIVGIIAYNYNIHSRKKAAMNSKSIANFDKN PHYBLDRAFT_178657 MASLSLDQLLNNRKASPFTLINDTVNMSALPLLVEFSSRALESQ QSLIVVLTETSPRQWLEWINPTSAIHIIDCYTDPMGWDQPIAPYKIKGATVVPIRLDD IERSILAPVLQQTKQSEACLIVVDSVNTLAMISQHKTYQLIRGLESLTTDAIRLVVGY HRDIRLPATHGPGLGDSLDRLASSLVRLEPLKERTQFENQARLTGFMPADSFSYMSLM ANWVSRGGLAHIEWRRKSGKISYETNGFCYNQRLEIVPALQLTGEIQVKEIVEVKADP MASLSFNLSLTDEQRKTKENLVLPYMKAQNEVQVDGQASGTIYYEPDAADDFDDEDPD DDLDI PHYBLDRAFT_120490 MAMRKLAEKVAVLDVFPKVEIDNQARSEKGGILTILLTCFLLLL TMSEFSEYRKIQTRYHFLVDPTIESTMQINMDMTIAMPCPALMVHVYDASGQRIHLTD GLKLIPAEFSIGSAKKYRAIDNPKYIHEIIKAANGKPYDEDIARDMGACRVYGSIKAN KIAANLHITAAGHGYHGASHTEHLVMNFTHRIDEFSFGKLYPNLVNPLDNSVEISESP FEVFQYILSIVPTTYIDYKHNVLLTNQYAVTDSRKAFSEEKAANIVPGIFFKYDIEPI SVQISESRQSFVHFLVRLCGIIGGSVVSVGFAYRAVKLFVTGGKEDPHLYAPVHNLMQ RV PHYBLDRAFT_184357 MIYVTGNLLSSIPIIDLSSELTSFINIFCVRADYWVSQQRHWCK YCKKFIANNKPSIQIHETGLAHKNQVENFLRDVYKRGKEEKKQSESVRRELQRIEKAA LLSYNGKDTGNVPLQASPAPAVRSGIEAYGIGEYGSGLYGSDAFARSEGKMPVPEHPN VDKEPVDEVVLQGREEWAVRSAIALAGEWETVVPKPTSAPQNTSETSASALKKEDPTR PEEEEEEDEEDLRNFKIKEKEYPVTITSLDETVEVEEMVFKKRKNTASTVKKRNIRRK TDTQDYD PHYBLDRAFT_161207 MNQNEPTIDRFSTKRKRGRPSKKDLLTRTNNIRLPLAPRISHSN TNSHAHAHAHAHAHAQAHAQAHAQAQAHPHVRPRPYSYTPAYMPLLAPMPLPISNPIS TPLPAPPPPPPLRIIEHIPPRQVETPRTHSEHTLAPPETSSEVMQLLRDLNTKIETLE SEVKRLTKSNKKLTAEFRTLKEASKDVSALTSVQKERISGELNELFCSESSTFSITSA MRLDVLEKFGLQDNGPLKRLGLSYISEEWSMLRSLAKRRVLGGISYEKWAGRSCKEVA SQWFKVEKGSKPILDAKVAILRSVFTGLPTSKSSGSIRDRTAAWGLVSAKIQEDLVDA NPSLLLANCKLWIAKDKEYLSSMGVNQGAKGESDSEISSSSSSPESEISYNS PHYBLDRAFT_161208 MILLNGKSDIEHIFGCIDISIPQYTQFVAFEYILEMLLIQRSYW AELLRTTIFADLIGSKLLCLCDIRRYNQQHCLQVFEGLPTLTVTLLVPLYFRALSLKT SALILQ PHYBLDRAFT_161209 MMRSIFSAIIFLVLTRVVYAIDPRWGQGCTYIDRTEQIYCFGGE PFSENSQQILVYALNITGNSVLDLSEPQWTDIPGDPNGIRPSAASRFTFTGFPGTDTF YIQGGIVCTSCNYNSGFKYSVSSNQWNQTNKNKPVITECSVQSTYCLSWDLYRVDASS ALVNDILYSFGGQTSPLTGYDQSNTTYRNTVYYINAKTGADGPSVKPQNETQLPPFTW ASSMVYSYVYRSLFIFGGKQLSATEVTASMSDITVVSPQTGVYSKWKNIRSTSGSLPP ARWGHSTIIDSSNRTVVMFGGCNDSGKAMNDLWLFNLDDAVWSPQETTGTPPTPRCRH SVVVLGKYMLVLFGGNDGTFNSDINVALDMTTWKWTNAPTNILPSDSSPPIDFPNSSS PQGSEGINEGGDSSSNISGGAIAGIVIGSIVGIGIIGGLFFFVSKKRNRYLSANAHVE ELVGKDEITANQIHNENLSQARGSDSTKPLENTIKPDLKFGGMALPQGPIILEPVKPH GK PHYBLDRAFT_161210 MNQPLSKDLIKASEQAVVGSTNNTNNNSCLFEAFRQLQFTHAFY APKHMRYRNYSIIPKKKTWKKFFRSICWHQTPQKPDYLFVKADCKHPDIKKKHFGCPS CPAHLNLLNALKDHFINDYPGSMLHAFQQESNVIPPRSSNKRSAEDTVDIEEADFKLM NPSGLSTVPPCYDDRLIIDGFDVMD PHYBLDRAFT_61621 MLFSIQESISNLEDVYLFCIVLSRDQLNSSCLLSRVNLSKISSW KNNHLLLELGFSLIQQNVLAGAFTTLIDGKELVAHGVYYCWNTNSVENIKYKQKSEIT VTRFGESFKQFGNLIPESLYMPYRSLEVNTRSSFLTSSAIALVNLYS PHYBLDRAFT_61622 MYYSNTYTQGNGSGRRFIVKASRDIYYRMYLDKNTYSGIKRSSS NCHTVASCVAIFQMVDPFKSDFFFLVLSSSTKRKMRIVFAAILFSGLACIVQAIDPRW GQETRFDPVAPTNYQYSGEPYLDYHIDIYSFNVTSNSVLDLTNPEWTLIPGVAKTIIP RASSRFIFSGIPRTDSVFLQGGSVCPTCTYNGGYSYNVSTNLWKQLTSTTPIMDTSSV ILNDIIYYFGGQTAAVTGYPTTSTILYNILYTVNTKTGNSSSIAARGGSQLPQATWSS SMVYSSAYSSIIIFGGQQYSDTTIPVSMKDIFSINLQTGVYSKLSQTVATTGDLPATR WGQSTVMDPTNNYAVMFGGCNDAGEAMNDVWLYEIGKRAWSIQTTTGIPPTPRCRHSA VVIGKYMLVLFGGNNGVFNSDINVALDMTTWAWTTTPAIGSPSDFGTPIVSPPSPSPN ASATLSSSGPKASSTQASEEILGNGGSSSRISGGAIAGIVVGSIVGGGIIGALIFFVF KRRNKYSNANEVEEKDSDVEFAINEGNITSSPQSGEGSSTNYANRILKPDQKIGGPAL PIGRIMLEPVKPDFR PHYBLDRAFT_156919 MFVLFGGNNAVFGTDLNVALDMTTWKWTTAPVFGTPPVSSSAPS SPSSTPSGSIPKATATAAPKNDDDKSSGLSGGAIAGIVIGALAGVAIIGALLFFFVFK KRDKYANANNHTDNFSKGEAVSNKLNDEILSQPREGHKDISPEKGIKPDQSLPGPALP PGRIMLEPVKPDGGF PHYBLDRAFT_61624 MTVTQEKITEPFFSVHVLVLHTILGAMLQLEMSFCDSHNHLTLL RFFTSERYLAQEFSVFLQTKYKVSSYSAEFFIRYSINIKTNHYEAAGSDKIVVDEATV LK PHYBLDRAFT_161214 MRRILTVILFIGLTNFVKAADPSWGQGCTYISQTRQIYCFGGEP FSANDKQIPVYALNVTDDSIIDLSNPTWNNIAGIQNNARPSAASRFILTGVTGTDLVY FAGGVVCSACTYNPGYLYNVTTNQWTVVNSHTPVFDAAFTLVSNNLYYFGGQTTSLTG SATTGNLLYNTMTSFVAKTGADGPTVFAQGGSSLPQATWASSMVYATAYSTLLVIGGQ QHSNTTVPVAMDDVIAVNVQTGVYSKWNDTKSTTGSLPPTRWGHSMIMDSSNTNGIMF GGCNDNGEAMNDLWLYNVANHTWSPQKTTGTPPTPRCRHSAVVVGKYMFVLFGGNNAV FGTDLNVALDITTWKWTTAPVFGNPPASSTTSGSTSEPTSSSVTISDDDGSSGISGGA IAGIVVGALAGVGIIGALLFFFVFKKRSRYSSADNHADDFSKGETAANNQNDAIPSQA REGQKNVSPEKMIKPDQSVPGSALPTGRIMLESVKPDGGH PHYBLDRAFT_161215 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVCSEEPAHL TTEYDSFKVKQSKAKKLVCALLVRERKKKLSSFAHTQVDAKGVQQPP PHYBLDRAFT_61627 MQSIQYNTKESVIVCVSMNVFCISELRVLVKCYKVINICHYHGQ HRHSKKRQRKTNPPKKKIESKVNETRTTSLVRRLYQQCNLDCLPNSYKVEYWKSLLCS VP PHYBLDRAFT_161216 MSNLQKNPEDIGSLGHPQSPLNDSRTITRMHPSLKRPRAPIACY RCHHKKVRCDGAHPNCTRCLSTGVLCAYPNSRRSRNTQPTNVDPFINNLSHLEARIRR IETDLESQRAIMHSVFSPKNDAECSMGRRFKEALSLDSTNQMNLSQQHNLVAGELNAQ MLKTEQEVQESRSILAQLRLRGEQKIARGKRAAAAAVSTTTNSLGRSALASTDQSLQQ QSSSSAAAAGTIIGGGATTLSSTSTSTSAGATGSSGPSSKETGRTRSVHSSAANRQKL NKSQQAIEKQKKNAILTGSVSPSYNVTPCNLNNPMDTHYSLHPLHPSSALSPSNGCAY GNNPIITKNEYMDAHQFCVEASNTMVDWSLLQRTSSNDGSVAGTSAQLADIHKQHNGI LSPTPSNTYRSPNGSMSANDSNGRTFTMSNSPFIQPLNISFNLAPSSSSSSTTTDSTV SSSVQTSHISQPLGNDSCNMFNLSTFMIDDMLTEESHGKIST PHYBLDRAFT_184359 MPLITTSMNIDLSLKKARIIPDVIREEFVPSTLLEINYPNGKDV ALGNTLSVQDTAEPPRVNFVPPEEDAQYTLVMADPDAPSAVDPSLGPWRHWVVTNIKG SDPSNIKASSEHTPYMGPAPPAGTGDHRYVFLLYKQPRTNQEFAAMSPEKTSVRRNFD VNEFATKNDLELISVNYFLSSVN PHYBLDRAFT_102973 CSNCGTTSTPLWRRSPVGETICNACGLYLKARNTTRPPWLKRNS TANPPTTKRILASPPQCANCNTTNTPLWRRDAQGSTICNACGLYYKLHNVHRPITMKR TTIKRRKR PHYBLDRAFT_161219 MPPNKTMIDSWDNVPLYYPHQQATITQQKETTQNVWPNCEIDKT LQDRPFVAYLDQFSLPSFEPLSMRETRVTSISSLRHGLCRTPSPQTTRSHDLNPTNTT NMNSPNTIPDHNDITIPVYLYKSNSIVVPHQISTNAVRKSSLFMPQLSSTLTNTTHTT NPPLSVPIPNSPVSSVSSQSSQSSLSSNQTSKNHTLARSKRDNHILSEQRRRNMIRTG FKEMTDLIPTLKNISQSKSIILFKAADYMKDLERRNKHLRDKLSALQWRAKLKAGQIK HHPVKSSPLVPLPHRDHLKQVRILEDQIRQQQRLLTQHNLPTPTPTPTIMQSNHDHNL VNTFSSTATHSQQQQQQQPSSHVHTTAHNTQAILVPMSKEDNSFIQWHSSSSASSSAS SLAVPSLSIPADEDFGLESAQRDRWLSCGKMNQFQ PHYBLDRAFT_104182 CLFPACGKTFARLYNLKSHSRTHTDDRPFGCQVCQASFSRNHDL KRHVKIHGGDKPYHCIGCNKTFSR PHYBLDRAFT_156921 MKDEEEAWAVDKAENDEEEEDIKINVSEYKTETPAKKTAEECKP VNQDPSDSMAVKPKKDPNPEVNTSNNNNANNNNDDDDKNSHSGNVWNDLNKEIHEPGP AITSPGETKGEVALDATVPKDGDASSTKTGSIKETAKKSEAEQAEEELEAERQFELKK LPGARRIDHVLQPESFMSMIANEYLVGMRAHFSYWTNKDLLWHIVRRLENLDDTVLGE NSPKVGPEKPKSEQDIPNTEQETPKTEQEVPKAEQEKSKSGQETPESKQKTSNSEEET TKTGQETPKA PHYBLDRAFT_156922 MRHIDGRWQLIDFESSRNIGEECVGVITPRYCPPEVAKATTYGI EGTHGVVATISIDLWALGCIIYELETKNILFSNSIKDETILHFMSHPSPSTPTLQNGL RWNNDNELEIPQFEQDIPDAVTRQMIQQLLSREPAMRGTASSLLK PHYBLDRAFT_120497 MSDTIRFPMSNQPEKKYDDMTPEERAVFDKQEAEREEAEQSKLP YTWKQTLQDVDVIIPVPKGTRGRDLEVVLKKKQIKVALKGQPPIIEGELCKEIAVDDS TWTLDNQQEIFLHLEKSNQMAWWEHVVTSAPKINTKRIQPENSKLGDLDGETRAMVEK MMFDQRQKAMGKPDSDELKKQEMFSKFKNQHPEMDFSKAKFN PHYBLDRAFT_95955 SDITSRLRSILANLRSQDDPTLQLIALQTLSEILSVSSEETLSS FFTSDSFVKELVRIMKGPDDLMNGDMMDDDMMLALAMSEGMGGGNPEIMLLACRCIAN LLDAMPTAASSVLYHKGVKVLCEKLKSIEYIDLAEQALCALEKVAAHFPRAVVNEGGL SATLMFFDFFSIHSQRTALRTASNCMRGVDSDTFDQVMEVVPAFTNFITYSDRTIVEL ACLCWVRLAESYRNSRENLEKAISPNLLSTMVSLLPVSGNPNAVRPSTFNDLLRFFRA VAKSSPTLACELLKADIIGVFYQILTGAPSPPENIDVSVTITQLDSKWRDSVPSITKI FADLLPHLPKGEPITWRFKETEPIATRTRSAKPTTTETKTDTDPRIGLFKEQPKLLKR INFILVPILLEIYTSTVNVRVRQYVTHILVKLIYFSEAETLKTALKNISFSSFLASIL AQQEHPTLVMDSLFMAEILIKKLPGVYRSLFEREGVLHEIETLGTKPFSDTELQHDTK SIEESLSDSTNAKENTVSNIAEASSTLKDDTHTKENDTISESATTEDAADFRSAGSPS PAAAANVTTANNGDNEKGIGCGSTRRHIIQLAKNFVSEYYEQATKGSDESSGRSLCDI RKLAQDLVGPSTDNYESAIKHLLYYLQESNMGISSFELTNSGLLDSLVSYLSDDSDTF SCPRSMRYDTFHRVFIKEYQQNEKHASKPSALRVLIQRLQEILSRVEPFEVISPLESP SSDSARNPTSMLAKQLRLRLTGKGSDIPREYNNLMVSTHAVATFRVLEEYLLARLGSA SNSDGVDNEDGIVEDDIDTDNEEEHENEMDIDEENSLDEATDLPSDSLLGDSSKNIAS PIVDDSSSPLSSTQASASTEQKGHASDPESPQKPGKWQIKFSLKDTHIPSDTTVYGAV HEYESRTSNDDGSSRSIWSTSYPITFERVWVADSEETAINSSKQPLRQLATSEKPEVL LSDSVCTKVLTLLKALSGLSDFDSQPLGEADVISAEDFINRKLTAKMNRQLEEPLIVA SSCLPKWAYWLMLEAPFLFPFETRYLFIQSTSFGYSRLISRWQSLQMRNTTQNGSRED THNQQQQPILGRMERQKVRIIRSQMLESAIKILDLFGSSQSVLEVEYTGEEGTGLGPS LEFYASTSKEFCKKSINLWRDGGNDPSSLYVDASRGLFPKTLPKNANGKSAKKILNLF KTLGQFVAKAMLDFRIIDIPFSVAFFKLALDESVDQDKLIKEIDPTLSKSLDTLQSYI KQKNALYKDTTKDMMQQIKEAQNITVDNARLEDLCLEFTLPGDPTIELKPGGSEIPVT INNVEEYVNLLKDSLVGSGIAQQLDVFRKGFNGLFAIDDLKILSHQELVSLFGQSSED WTYGTLADTIKADHGFTMESPAFKNLLEILSEMDDDSRRDFLQFTTGSPRLPIGGWKA IRPVFTVVRKVPEAPLHADDYLPSVMTCANYLKMPDYTNKIVMEQRIFKSMKEGKNSF LLS PHYBLDRAFT_129255 MGPPFSIASNDLLSRPNQGSIPGTSLSSNNPSTTGFGQWNNKKP AVNYTKGVCGLGNLGNTCFMNSAIQCLSNTPQLTEWFLAGKYKKDLNCDNPLGMKGEV AEAYGALVDKLWSGMFPSVMPREFKHTIGRFNPTFTGYMQHDSQELLAFLLDGLHEDL NRILKKPYVELPDFDNMSDNEIAECSWKYHKARNDSIIVDLFQGQFKSRLVCNECDKV SVTFDPFMYLSLPLPIEKKTKMKLVYVPYDPSERPQRLVVTLNKDASISHLRVEVAKL VNVEDPSTFLVVEIFSQKVYKIFAHYEPVTGISHSDVIYVYQLPGPIPSPPKLKPSSI NSFKLQSLGTIRSLENGANTESVDGLKGLESLKSIAGQESVHSLEILENQKNLLKEAA VSDSPASQKSVTDAMSKCSTINSPPKPTLPVIRQGEGILIEWKLNKAQQIFGSTRTGE SGVNADAWKDIEEREDPNIVHEKKATKTVTLGDCLDEFTKRELLGEEDLWYCPNCKKH QRATKKFDLWRMPDIMVVHLKRFNHSRTLRDKIDALIDFPTEGLDLTDRVLDLDANQV GPNERLLYDLYAVDNHFGGLGGGHYTAYAQNEKDHLWYNFDDSHASKVQVGQVKTSAA YLLFYKRRRNKPEEAPELATSEKVKDAAIEVVKSADKEIANKNTVEQTTPPSGAQSEI VDKTLSPVKENQVPKESVQLDQDEKQNMKNEHLEDLSNSNLDSTQKASGTIITSEIK PHYBLDRAFT_138142 MVQGSFKRQVEVGRVVLINYGTDSGKLAVIVDIVDHNRALIDGP TTGVTRKAFPFRRMTLTRFVVKDLPRGAGQTVLKKYLEKNQTVANWEKSAWAQKLAVR KVRAGLTDFDRFKVQKFKSQRRYAVAGAVAKAKKA PHYBLDRAFT_104666 VVLWHGMGDDCCNEESMGRVKTLVSNSLPGVFVHSVQVGDTPKE DHNAGFFGVLKDQVDHVCRQLKDIPELSNGFNAIGFSQGGLFLRAYVEHCNRPSVHRL ITFGSPHGGVSDIPNCTDPRDFSCALMRSIVRRGVYTDYVQHRIIQAQYYKNPKDLKG YLKKNIFLPDLNNELGTQNSTYRDHLSSLDMFVMIRFEEDAMIKPAETAWFWTQDSKG ALVRLEDQLLYRQDWLGLQRLGNEGRLVFLVCPGQHMKITDAYFEEKVIRPYLAEEPL RLLDQH PHYBLDRAFT_138144 MKLSLVFVALFAVGHGLPISLDDSILESSTVENIEPANEPFVVQ IDQFTQLVSTHLHFDHLEPIISTTYREISGQLQHHIEITVHDTSLDTQPYDNIRQHSF QQSQSLEGMDIEILQAQISGAIQAHTEGNLPLAWDKVADKLSRPALEAYLAQLISTRC GINAGFPVQADQADSGCLMEKAVSLSSSIDDYIANNLSETFLTLDQTVLPDLLEHTAR DLKQVLDYFNAVFLRHDERQLSLRVIPWNEHTNHANIADTANIDIQTFSSKLLQLASR SLSSDDHPIEFFFNYANLARV PHYBLDRAFT_61641 MYISHYLHLIGRKVGLKLLTQSNAPKLLRRALICELCSDDISSY ICLMHPTLCELSGYQSQTNKRVFVNFQDLVFLFNGEMLITIIEVATQKLQRFIKERKN RLMGVLNITES PHYBLDRAFT_184366 MVAYNVPAANDVLVPETLLKKNQSNAKAAAALVAKKAEAKKARI AKRRDLFKRAATYHAENKAAERREVFLRRQAKKNGDFYVPAQPKLVFVVRIRGINNIA PKPRKVLQLLRLLQINNGVFVKLNKATSEMLQLVQPYVAYGYPNQKTIRELIYKRGYA KSNKQRIPIHDNSVIEKGLGKFNIVCVEDLINEIATVGPHFKEASNFLWPFKLSNPNK LWHARKFLHFVEGGDAGDRENFINKLVQSMN PHYBLDRAFT_61643 MKYGLPSVNIHGSSSNPAMRKKSVVPPATFTNIASVIQMNAKFE EASEREHSLKTTIDKLQKSLDYKETEIRSLKEAALAWKKKAEEYKNSYEDALEKIKEQ ERILKKQHKTEMDILTKTHVEQMDEAIATILQLESNIKNTESIDSTEFNLLSIDYITG TNVRDVHESNMILEEEAILETELVPNRIEGTDALVLSFNANEIERCKAEESSIYSDGS SEFYFPDSETTSKNIEVAESIQSVVFETESSLPKEDIIMSPVEVQIEMLPENIIEIAV NDTSDIITSKVKDTERQITDAESAKVVSDREANVASAITAAKNAIEYTEKVTANIVPK NVKLKQIEENTAAQKQKEETAKSIDRVHIPALTDRTLPPLVPSLELATDDSDDAPEEV SHAPLFTKTRYDTPPQKQTQRPLFQTRDQLSKVIPSIFRHNKKKDKEDITIHDRNPSK SKDSTDMSHTNFVLSMIQEQQRHASEDISGQSTLSLSQNQPVFHPIPMDFRRMGQSST TNPQHYRSSEQAYRQEYPINRFQSAQPLSNQSKTRMYPDISQTDLFISGYGPGLSPKN SSNGLYQPSFSKDHSAHPDTLVQEPFKKVASNDHLYSSSTLYHTSNLPSSKEQWRVHK ALQNKSS PHYBLDRAFT_29264 MWETRHPPTQESFDPSKKTIVVLGSGWASTSFLKAVNTDDYNVV VVSPRNYFLFTPLLPSCTVGTIDVRSLVEPIRFITRHKSRDVKVYEAECTDIDPEKKL LTIVDSSDVKGESSVSTIPYDYLVIGVGAQNQTFGIKGVEQYGCFLKEVWDAQKIRTK LMDCIETAAFPGQSPEEIDRLLHMVVVGGGPTGIEYAAELHDFLVDDLTVWYPELAGK IKITLVEALPNVLPAFSKQLIEYTESTFKEQNITIHTKTMVKEVREKEIVVKKSDGTD ASIPYGLLVWATGNTMRPMVRNLMNKLPESQTVRRGLSVDDWLRLQGSEDIFALGDAT ATKYAPTAQVASQQGKYLARVFAQLATTEKLEDELASAPAEEKAKKLRKYQKSQDIQP FKYSHQGSLCYVGSDKAIADVPFAQGNLSSGGVATFAFWRSAYVSNIFSGRNRWLVIT DWTKKTLLGRDISRE PHYBLDRAFT_104436 MPPNDTDIYKRTASESIKPPFVKQIIPPMFDSPTTWQNWYTRIA WIQAIFLIATPLIALYGILTTELKIKTLVFYLSYGNINGLCITAGYHRFWAHRSYMAS VPLKLLYAIGGAGAAEGSAYWWSRGHRAHHRWTDTDKDPYSAQRGFFFSHFGWMLVNR PRHRIGYADVSDLKADPIVAFQHKYYTQLALVMAIFGPILVCGLGWGDFRGGFFYAFA VRVTTIHHSTFFVNSLAHFLGEDTYDNHHSPRDHWLTAFLTLGEGYHNFHHQFPQDYR NAILYTQYDPTKWFIKSMEFLGLAYELKTFPTNEIEKGKIQMIEFKLEVAKQKLKYGT PIDELPVYTWEEFQALVHKYDRQWILIEGILYDMESFEHPGGEKYITTAFGKDMTTAF NGGIYNHSNGARNLLTMLRVGVLVNGVEVMAESIEKEVEDLTLYPTKNILA PHYBLDRAFT_178670 MKGTKPDHFVLTKSESRKDVLSQEKLPPLFDSPTTWKNWPGRLH KLFAVIIVVIPVMSVYGFMTTELQTKTLVLSIITYFLTGLGITAGYHRLWAHRSYRAT LALRIMLAIGGGAAFQGTIDWWARDHRSHHRWTDTDKDPYSAQRGFFYSHVGWLVIYR GRGKTGFADIADLKADKVVAFQRRFYPYLAIGFGFVLPTLIAGLWGDYRGGFFYASVI RVCFVHHVTFCVNSLAHFLGECTYDDHHTPRDHWITALVTLGEGYHNFHHQFPQDYRN AIVYYQYDPTKWLIKLLEFLGLAYDLKTFPTNEINKGRVQMQEKQVADMKRTLKFGIP IHDLPIYTWDEYQKKVFSEGKKWILIEGVLYDLEGFYHPGGSGYISRSLGKDMTSSFN GGVYDHSNGARNLLTMMRVGVLLNGMQVMSESQIEDEVIELSLDPKLKSH PHYBLDRAFT_138150 MGSIQIYPDILPMDNVLPVADNTGPNRPDQTYISSRRKPWDNRL KYKLYGEDTLTINTMEEWQSIKNYNFVLNGIPTLNQILSLYTEPFGLPQFEAYLEQSD KIGLQNLKFLLELKAHGRLWEALQITRLHLSNSSVSAPLAIQSKLPRVFGHPSTDTLP PTVYKSHHINQNDLTQNATRIYRKYCQQTPLISISPETQETLYEVVLIRNISDPLIFE HARTQVTLALDHFYYPRFIDFILSTNLSVTSARILLVAGLFFLWAGLSLELSLIFLGE NNNVSRWWGLIPFELSWAALLPGMTRFGWWMVAIKRREYTHIDDAVMREHKRRAFLWL VISLMLGLISTILVVFIPAYRISDNS PHYBLDRAFT_129269 MSYRKIRIKPPTRLPNVDREQEYEDGFKLLSQAYIEIFRKNAKI LSYEVLYRTAYNLTFQQFGEKLYFGVKDVIANYLEAKAEGAIVPAFVNTSSTKESSAA GSDSSAMFMNTVKLVWDDYVTALTMIRMTLKYLDDRLPKYNLPNVTDMGLDLFRDRVI RSDKYPIQAQLIAAMLNQIQLERHGDVIDRSVIKAAVSMLSELTDPTTKESVYIVDFE NKYLETSAAFYQIESEVLSTSYDAPEFMRKVERRLEEEYERTIHCLSLVTEPKIRHIV ETHLITDNLKTVIKMKNSGLDSMLNADKYGDLLRMYKLFSRVSAGLNELRTAMMAYIL RIGAEINRSIAADIGDMNKPKSVAEKSAVGGAQVAIRWVEQVLNLQEKFDRILEFAVN NDKSFQTVFNEAFESFINDNTKSAEFISLFIDENLKKGLKGKSEDEVDDILGKTITLF RFLRDKDVFERYYKQHLAKRLLFNRSVSDDAERGMLAKLKRECGYQFTNKLEGMFNDI KLSAEMDVQFKDFSDRQPFVDVGVTVLTSTFWPMNISSSPKCNMPQVILGACESFEKF YFDRHSGRRLTWQPQMGTADIRATFKSCRPMLNLSTFAMIVLLLFNDIHEDNGLSLEE IKGQTQIPEAELKRTLQSLACAKYKVLTRNSKGREIHPGDIFYFNTSFSTNLAKIKIQ TVASKVESEGERKNTQDKVDEERKHQIEAAIVRIMKDRKSMEHNLLIAEVTKQLTPRF LPNPVMIKKRVEALIDREYLERSSEDRRSYRYLA PHYBLDRAFT_138152 MEKRTKGVAVLARGVATCSTQAAVYGQCVSSSYKDIQKDMCLKE FQAFKECVQHAIKRKW PHYBLDRAFT_184370 MNQIKWGLQFNIKQFRPENLERFESAIYDKGVALPNIVGFIDGT MQAISRPSQGNEVQKAFYNGWKHMHALKYQSIVTPDEITSSLLGPYVGSRHDQYIYTI SKTEARVEKYLDIVPDVELPFALYGDPAYMVSKCLYSPFEGVSLSDLDKKINKSMSKV RVAVEWEFGEVQKYFKYSKYKYAMKTGETSPATVYMLSTVFKNMMRCTGRNRSPTSSY FGLEPPTLEEYISGLRRDKIDGEDEDYILF PHYBLDRAFT_161239 MGRKNARSILTSKMARREGGKFCAKSPIITIDTEKEPVEDQPVE EYDWELLDLDTDTMIAAYYNSFLTWRPDAGKKLCGLYRGDSRSSIMRNKRKMKEELEA NKDKKVRTLADFGFSVPVASVSPVTEALTVCKQSKDEELEEIREAYEKISEMIKPPVS SDSELGKFALFEVSKHIVVKEYFRRLLNNCKKIEASEKAAEIFWTTPSKYRGEAVHGW AKEFLQFGKISEHQQGKHAKRSSIVDDEDLKKKAIVWLRAQKAERRTVVDLKKYLDEM LFPSCLGVKGNVAISTAWKCMRAWGYVHRKNNQDVYYDGHERQDVVQYCHAWATRMMG YKQCMSDFTGEDEEIEVTPLLLENQKKLVMVTHDESTFYAHDGKVDMWLEEGESHIRK KGQGRSLMVSEFQCACHGTMRVKGWVSRRIFNVGAAYDGYWTSEDMLDQLKNYAIPLF ESLHEGCTGVFIFDQSSNHKAYATDALVATRMVLKPKVVSENDKFIFKDTTFLRDGRI IPQSFYETVFEAGRKGKGPVEKRQFVGVQRILQERGLWMELDPSNLSRRWRMDCNGEE AENHCCCARHLLASQPDFSGQKTAIQEVVEEAGHIFELYPKFHCECNWIERYWGAAKR VTRLNCDYSFKSLEKNLPSFLDSASSVAGSPSMIRRFYKKTWRYIEAYSKFLDAKDAD AEVKKFTSRISKSHRSIGIHD PHYBLDRAFT_61653 MGEDAKLVGLAKTIYVFNYKSQDIRICLEYPKFMYFSYNQEELL DSDIFFKRQKLCRKCQYKKTVAHGHGESVSEKNCASSLFVVTFPTKFLTFEKVRCSNL IAGEEKY PHYBLDRAFT_138156 MNTTRKDFSWSHGMLNLRNTDPVLQISWVDISKAMFNNNSNRNM KASCSITLEGHDKLQLMFDSDSIDEG PHYBLDRAFT_138157 MNGARNGHYMIHSLSLDLQGNKNALGRLCLHKHALLHSLELVLH FILIVMSPSKRNLVLPSSMASPNRIFLSSIPIMSCPKTEGHSGMYSQPQEIRPFVVSK EFALRSNSYIINDRHKARKENDPLEHYSNTRSSPRVATTLADPIDPSINTWSSSNSVT STEGSTVATSLSSQNSLLLCSSRGFLMTPQSLVESVPHSKPTALSGQLPKPIHHTPSH RGTTSSFTSAIPTALYYGSRQVRKYLRAVITPQSKSDFEDMLQHGFICSHTYTPNDDE CSVRTTTTHYYDALDSLSIQPCNRCGPDHRQMTLRITLTPWHCRATETEIYGQPSAAR NAHGLSVVLNKSMSTASKLSSSWLSSTVSQTRSDIISFRQQPLPTVTQSAPLVHKSDR SKKDTRKDMGKSQNVVPVQSHSTALAFGSRCSYTRQSKETRI PHYBLDRAFT_184371 MFTHIHHPPAQTRHLHAEHSDWDASISKLRSEQSIFEPLQGAPH PMHFPRRASKATFGHIKPHQEGYPMYTFYNDPLETTTKEPLDTDLLDMDSITHSVYVH PYVYTPDNYYYSDNAVACEIRVPDILTYDRYRHEHEHEHEHEHGHELGHEHERNSRRV SSISSDTLTTSSGVTCRRFSSASTASSKSSVPSCSTPRTSISKIKVTPPAQTPSSSHS SHSSGSHCSSIADVSNTNLPIVSKKEDKEVTFMEVEQVQQVPHQQQQKLQQHPQMVFQ SHARSQAGGTSSTPSPVVFDAIVEPSMPVWADPKRVRENPTRYAFLKNYLQQHNISFS SVLPLPTSCTFYFSDTRQAKASANYIAAVKPLFDCHSVWDFSAQWRSFKEHRGKPSHW PVNQNIYCFVEGVEPMWEDKTNREGGRLTLCPPKTALDDVFEWALCSFVGGNLTDFGL VGIVLSRRTRSDRIELWLNASATLATIPRLKEKMGEMMPESTKNTVLSANFKKHFDK PHYBLDRAFT_184372 MLMISRNFQRVVQRPLYSTTRAYSHKPKDKKELIKDRIPFMPVI NIPETDFAHNAFFSLHRPLLGLSNDDERPFFQTLTPQEVEEDAFTQYMMDLRPFEPPS EHSAREKDPIVEIMETAMSEPLHIDSSLPMFHMPDSDEVVNYLTKVQNIMAKQNQKTV GRRKRHGRHRVLVNKNKE PHYBLDRAFT_138159 MNSTPQPDISQGVVIHDQTNNTLRHPVVHYVFEGEDFPNVPKEQ LILIDIDPATTTLNSIDSYSPHFQVTDCQLEQSIPKDTFEEAFSTISLTIEGVSAPRL EDAEPIRSLDHLKESLLEFKTRNELANLVFSPFISEQI PHYBLDRAFT_129273 MNDILPIKFQEHAQLQNLGVNAASIGFNTLTMESERFICVREVV NEANQVVIIDLSDNNSMMRRPITADSVIMHPTTKVMALKAQRQLQVFNLEMKSKLKSH LMHEDVVFWKWIDLKTLGLVTTSVVYHWSIEGDSPPVKMFERHANLAGCQIINYRTSA DQKWLVLVGISAQNNRVVGSMQLYSKERGVSQPIEGHAAAFAEIKLDDSPHPTKLFSF AVRSANGTAKLQIIEVDHPEGNPPFQKKAVEVFFPPEAASDFPVAMQISQKYGIIYLV TKMGFIHLYDLETGACIYMNRISGETIFVTVEHEASSGIIGVNKKGQVLSVSVDEANI IPYVINTLNNTELAIKLASRGGLPGADNLYEQRFNQLFSSGAYGEAAKVAANSPRGIL RTVQTIDRFRNLAATPNQLSPILQYFGILLEKGGLNKFESLALAKPILQQNRKPLLEK WLKEDKLECSEELGDYVKQYDSILALSVYLRANVPNKVVMCFAENRQYDKILAYAKTV GYSPDYGSLLYNIARTDPEKAAEFATALVNDEQGSLIEPEKVVDVFVSQNMIQQATSF LLDYLKNNRPEDAALQTRVLEMNLMHAPQVADAILSAGMLTHYDRVVVGSLCEKAGLY QKALEHYTDAHDIKRIIPHTHLINAEWLVSYFGTLSVDQTLDCLKEMLTNNIRQNLQI VVQVAIKYSEQLQPHNLIDLFESFKSNEGLYYYLGSIVNVSQDPLVHFKYIQAACRTG NVREAERICRESNYYDPEKVKNFLKEAKLSDQLPLIIVCDRYGFVHDLVLYLYHNNLQ KFIEVYVQKVNPSRTPEVIGGLLDVGCDEDTIKNLLLSVKGELPVGKLCEEVEQRNRL KLLLPWLNMRVTEGSTDPEVYNALAKIYIDTNNNPEPFLKENEHYDPRTIGKYCEKRD PYLAFICYEKGQCDYELINITTENSMFKHQARYLIHRRDDSLWAYVLQESSEHRRELI DQIVATALPECTVPDDVSATVKAFMAANLPNELIELLEKIVLENSAFNDNKTLQNLLI FTAVKADPSRVMDYISRLDNFDATDVAEVCIGENLNEEAFAIFKKHNVNVNAIDVLID RIQNLDRAYEFAERCDQPEVWTKLAKAQLDNMRVKDAIDSYIRANDTSNYMEVTRSAM MDNKYDDLVRYLQAARKHSREPYIETELLYAYAKTDRLADLEDFLVSPNLAQVQQVGD RCFQDHMFEAAKVLYSNISNYASLASTLVHLKDYQGAVDCARKANSTKVWKEVNAECI IQHEFRLAQICGLHIIVHAEELDELVKTYERNGFFDELIHLLETGLGLERAHMGMFTE LAVLYSKYAPEKMMEHLKLFVARINIPKVIRACSETHLWRELIFLYVHYDEFDNAATA MMEHAAQSWEHSAFKDIVVKVSNVELYYKALHFYLNEHPLLLNDLLAVMVPRIKHSRV VMVFEKSDNIPLIKPYLVSVQHVNDKNVNKALNELYIEEEDYESLRDSIDHHDNIDTV DIAQSLEKHELLEFRRIAAHLYKKNRRWRQSIALSKQDRLFKDAMATAAESKDREVAQ ELLQYFIEVGKRECFAAMLYSCYDLLRPDIVLELSWRHGLNDFAMPYMINSLKEQFNK IEVLDKDVKELKEKAEKQESANENVSNIPIMGGMGGPLMLTGGPGGMLPQQSPMMTGA SSLYPSQGQSFGF PHYBLDRAFT_178675 MARSLRSHTKKRYRAIKREHVFKPVEDLRLQRLSEAQAEAAKKP NHGTTQEEHEAIKAEAEVAMVEDDKKVSTSGTRSGKQARKLREKKKKSKASSKW PHYBLDRAFT_184374 MTVTLLSSPPDLTDLVVRRTEKKIGLLVSPPLAGMSGPIKGEMF VCESQLYFYSEEQHSGISVEYPDIIIHAISRRDGLPSIYCQLDSGLFFPNQDLPEDEQ ELEETVTELKFIPEDSESLESIYEAMSYCAGLHPDKEFMDDEEEEDDDFFYAQPSDDA ELNEVQQAALRHLESVFEPIPNHTHQNGAKEGQFDNPMEE PHYBLDRAFT_178677 MNKSTKHASSIAQDDEVPSIDSHLDYVNKLLKWTIHDLDHFIDF LRARLSAEESYIQSLKKITRLVSPHDTDQCPLFSNFEPTFRQATLEYEKSLEKTVVLR FDFTVKIRSQIDTLLKVRENQEHRRKRVKQVVGEKNAAYTNFRTRDIGKELAQTQKQP PPFQQSQQPPALLSAQNIDPETEQSIRHSIPLNRVSSEEPQPRASSDSGRDTDTSSIS SANLQEITFKKGMVGFMASMRTQFAHATVVSNVDPSKQTARFAKLKKEVSDTDLEYRK GIRHLENLRRTQVDTAKHAMTHVGAAFSEKADATRTVLKAILEAEKTTLYNEATILQT VMPIVDCIEAKRDVESFTAKYAKKGFISPTTLLYENFQSGECKDILFGGSLNEYAQEH RRAVPLLVVKCINAIEHMGGLQKEGIYRISGRQMNVDMLKCDFEKDEDALELESNKYD VFTISSVLKVYLRELSEPLFNLSIEKRVDYSNVDEAQRHTTLQMMLAQLSQPHRCTLR MIVQHLSKVNAYSQLNKMNLQNLSYIFTPSIFQDHNQAENAGEWYSDKVLQDLILHYE TLFSDNEEELKILPSKASTLRLSTSSICDQPYRSQPSVTDSTKALISSSTQDSLKSPG AYNESAVPFQYSQAMVSMARNSSEMSAAGVIASSMSGLANSASNPNQSPASDVHYPHS SPSVVMPDVAGPGGLGGRVSANLSPKIPQGVPSPQPISQSLSDSPLTRPGPQPVASGT SQSDTSIQTGFNPRIPGTETLESVPPIPPPHQQTTMTAQEPTKSKASGIFRRATLRAR SVIPPLHNKHQSSPSASQISKTSTTTPTVYGSPPSSTAPAKSDVKLNQAK PHYBLDRAFT_76783 MSSLSNSTYPIIFEGWLLKQRQNIRKAYIRRYFVLTDCELRYYK NETDTTPQNILSLFNYQVDNTYYSRQSPNTFRLISNDDTKNKFPDFNLQAETEQAMNA WIDALQRHSSGSNVLDKWLDLYDISTNTNTNTNTMDTSPSSCRLSHSGSITSTYSNQS NTHSTYSAASPVSIENPYPHSSRLGSEKPPRKLTSFFQFIWPRKSSKPRSTHESHMQS QFSSPTPQLSTSTQNTSPITVSLPFQQSIIHPSEYNREDDCFHPYALTS PHYBLDRAFT_61662 MVDDADAIKCMLLNLANEDGQCKEDELKSEKEEEEEKEKEKENI SSLSIVTFGNTEKPNLISLFLYGLEDNEQNYTIPSPYCPERTKWNCEFIYIHDHAYIP LPLSSYINQSQANEYIASNINAAFLQSSCWITDSWSSPYNQYQNPIRGIRNMDLLRLK HNLWNRESVADLHFRHLVILVIFVLRGGEVGKDVLCRLQTHGGLSFGSSRFVFLGREG KTKPSKSNWSDKDF PHYBLDRAFT_161250 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTGYSKLVCALLVRERKKKLSSFAHTQVNAKGTQHPP PHYBLDRAFT_129275 MSDDHDHTFESADAGSSLTYPMQCSALRKGGHVVIKGRPCKIVE MSTSKTGKHGHAKVHLVAIDIFTQKKLEDLSPSTHNMDVPNVVRMEYALLNIDDGFLS LMLPDGSTKDDVKLPENEVGTQMEEEFEDGKELLVSVVSAMGEEHALSYKEAPKGA PHYBLDRAFT_61665 MARDIGWAKNELLKEEQKLSKFSIEKNLEVDLAVCHALDIRFPF NSKNLGNFQEKNTVYNSETIFRFGHEQSVRRKRRSFCSNLQVAVTYTGSRIRNMVTDH LTNTIYEISDYFTPNPINCFKNNKFLPISATYISFYNKFVQYNSSTNAQLYIGTLRFL FIKIIEVKHEENALFISVEYSVAIQRALYQFLDTNLDDQEVATLAAPNHSTHIASTRT GSSSPNHITFSVPPLTHL PHYBLDRAFT_161253 MFVVLSRNAKTASSYIQQYFDEFKETCEIDGYILKSLKSIGYLS TFSITSRKYEVLVCNRVCKNHKRKEAYFIFWKTSPASHLNSIVVENIGMVACKTWTRQ NKTEQDRTRKNNWQGCWRTSELVMTPSSIEETDGRKEITSNINTSWSRYQPIDSESNT LYVQPHINFKWLHFLQTEAEKKALITEEVPETVTYKAYVYRKLKELSFAASLNRKNNQ PLIFGKKLQKIQSYKSTISNFVNTVKARIRDGIFCSVKKSVQIDAQAFWKLAKEYGIE DYPKGFKNEEMLFSFYYKLFDFSKVSFRTRKSLSNGRKTFK PHYBLDRAFT_184378 MQQSIEAFKAIRLQDTLQATHQHWNVLLGLAEKYINQKVLSPLG INASVQRVLTGVGLASYFGYIISKYFIYRLYLNPVNKIPGPPVSWFPFTGNAIEIIKL ESGAPHKIWKDKYGGIISYHGPWNSPRVLVTDAKLLKSILTTNEYDYIKAPQTSDFLR KFIGNGLLVAEGQEHRKQRKMLNPAFSVNTIRYMVPMMAIPGVHLYKKWQKALKETDA LEANVSHELSLATLDVIAIAGFGEEFEAVKLADTPERNKLGEAYMLIFSPEKSIMRFL SFFFPILNKLPTQRNRKVNHDLRTLGTESRYLVERGKKRTEENDSKNSKDILSLMVKE IDEDGLGMTVSELQDQCLTFLAAGHETTSVALSWCLWLLAKNPGIQDELREEIRPLFR NLDTDHHMFDSSKDSREMAIKNEASVPSYDDINSLKLLNNVCKETLRVIPPVPVTNRV TRKEVILGDYILPKGTDVFISIIANHHSKQIWGDDAETFRPSRWDEELASKVSPYEYM PFLAGGRQCIGYKFALVEMKILLAILIMDFKFSEKPGFEPKKEQLITLRPSPNMTLIL EKA PHYBLDRAFT_61669 MDPSPIHHGPDAFSKTLDATPRQRSLANSSPTTNSKDGSVNRLS RAFQTNIHPSTNTLQTLVKPGLPPKPPSLRLTNDRPHSSNSSQGGIQVTSNYPPTPTP TTDSTKNEKDEEEQAPLAFKDIRARFQQKQPTVPEIHKKVQLVPTISNVSAPPKVLPK PRLSVTRPTEEVPSPRSSHLPQKGSFTLELAQCLEQPTPRPVVPTSPHSSNPKPRVIS RPPDLPLGRNKVLPRRTSNPAIKSNIIHATPISLVARSLTGNSATSTSTTSSTPGSPS RRAWNHGVSIASWFANSTHNEDTSLKDSISIIRETPINSHSTPPPSSGGSFAGSLPIS PQLSGKDDGMSKALKRSKVVHELIQTERIYQADMQLLKQTFYDQAQPPLFDKNDVKIL FSNLLAILTFESNFVTKLEEACRPENDLPTESNDGGLNTSSNTPTIGAVFNDMMTSID DIYCDYCKRHEDAVIKLQELETKPEIQAFFAAKRKRKNAFTIILIKYSTNRCREQLEG KTMSWDLGSLLIKPVQRVLKYPLLLKELMILTPQNDPEYEDLAIATKGIQEVADHINE IKRRKDIVEKIVGDKKKTDISVVHGFNKKITRNAQKLKQVAGFSAEPTQDAFFDSLHM KFEHQQEVTRQLARDVQSWVRQVKDHFDHLQIFSASMENLYISWGGVRVRSVECIKRF HSLVSNFSMVMSRDLDVMVRGHVYVVVDDFLKTFENPAQVINKRAQKLLDYDRARDIK SRGDTVDKTLQESADAYVSINAQLVEELPEFFRLTMIYFDILVGNLAMVQTEFNKRLS REWAKLVEGGNIGTSDSILRQYAISMEETLVLLNNISPLNKEQWESVPGAIPGEFESS ISLNSRSDSHATGSSIDTPISERSTDLYFDRRTQPKECK PHYBLDRAFT_17724 MREEFTRHEKLSSKELSAIDVEVGKHYKPNDFGDRVAQRFIKML RTLPDTYFGRDHYMRAVMLETIAAVPGMVGGMLRHMKSLRNMSEDHGWIIHLLHEAEN ERMHLMTWMKCLQPSLANRLLVLGAQGVFFNAYFWLYVFSPRIAHRMCGYLEEEAVIS YTHFLDDLDAGLISNGPAPPIAIDYYNLQPGASVRDTVLAIRADEALHRDANHHFADR IAAHRENLLADVQAKAYSEEQSRRVAKSPHYTQTSLH PHYBLDRAFT_178681 MTLPASNEPAVSSTEPTSKKIVKSVSVSETTTTTTTTTTETATA TTTATTTTEGDTKTAVAKETGEEVYEDRFLEGNQRIIAYDLLEARGKASETTDPDVQR YKKAKELFRKGYDLCMSIPRSLEEDVLEKYEHEVRSSAEDMIEAWLMDEKAAPMSERV LILGQQYEKVLLRNIPEEQKEGFFIKESLLFSAWILLVGKQFQHCITTLTLAIDTYDD LPACVYFIRASCHLSMNKLRLGIKDLETSLEKDPKFVISYSVIGSVYMSLKENENAVR NFKLYVQKGHPDTTNYFSCLYSLSVLLSHQKGKKAEAREFYAKARKAEGRFQELYGTT TSMNETKREAIMSFGSKEEIATLNQQLELQRQQHQQQQQQQQQQQQQQQQQIQGAPSQ QYNAKIEQLIQSGFLSSPFPPNPKQCSNCGASRRKDATDKPLFCCGACKGIWYCVREC QVEDYKRHKVVCKKSPKNAKAAETQQPKA PHYBLDRAFT_10352 LLAIGCIAIILDSFVNHQRDVSGCRDSYTRPLFIQQTGFDSEMT RFAGKYALYLYREKGIDTVGQLTGVPVLFIPGHAGSYKQMRSIATEASYYYYDNYTRQ HESWENGKRSLDFFTVDFNEEFSALHGQSLLEQAEYLNDCIDYILKLYPQSRKLGPKM NENLPDPNSVIIVGHSMGGIVARAMFTINNYQPGTINTVLTLSSPHLLPPVPFDWKIS KLYDDIYKEWHNGFSHDTSHGVHSLKDVSLVSIAGGTLDTIICSDSANVATFIPPTNG FTVFSTAVPHVWTGTDHNSILSCSQLIKVVAKALLDCVDARRATQTKPLEDRMKIMRK AFLSGLEDRQGNAHVSGISQGAFSILTDQSIDTSKQFDILLCNKLNQQMPDTTRVGCR PARSIAVPVPASSYKDTDSFSGNTFTFASIAFAEMLEYEYLAIAHRPSLDGFLIAEAF DMQDTHQTIDDPMVSIARHSLKIELEPALFSSVRIPAIENPMLAYHLKVSRPQCKQNE GMFAPFLRQSISTMHESKFHVNLASGNKAETEVSLHGRTAFSSISINSLKESQQGLVL QVWMDPVCPYPLTIELEVDWYGSAGRLGFRNGIMLATFSFVIVILVLASQIQCYNKTG IFPHFGNGIAYCLCRTLPIVMVLLAVCCYYQPTGYQNSPEKSIPLTWNHVWESRSLPV AWNDILIGNTDPFFWWLPLVGVVLSFGTVCLLWIILQLVLWLGAALCSLFYSVQIPCR IYSRSNETPYQRHQRRAITTLVLFALVATCIPYQFVFVVAFLVHIITCIRSLLRTWTA LPAQEQKRLNRYNYMQSIMFLFITLLPFNLPILLVWIRNLSVHWFVPFSSDHSVMAIA PFMLYVELLTGNHKMLPRLNSRYWNWATYLILYSIVVYAFLYGIKYTHSLYFISNHLV CWFLLLHFGDSGY PHYBLDRAFT_120524 MSADLVWELIKNNNSFLVKRSGVQFSSELNNLTNLNTFKYSGLA NSKTVSIHPAARGIRVALTKAKKTQSPAKAINATVINKSRRHTAKSVANLIAASKYRP DLRQAALARATACINVTKPKKVAAAKPKQGLRANKAAAKHV PHYBLDRAFT_129282 MGDMSLMQPTAAMDPYYYMNSAYPRQPLQYHMYAPLLPHISNLH PHQKTLQSFFIPENLREQLFKRNEATIVSTPAREVGLPLEVHVYHSLCLLEDKPGSFF GHSSWVYKATSRVDGKSYALLRIEGFRLVNELAMKAVESWRQIKHCSVVSIREAFTTR AFGDSSLIFVYDYHPCAMTLFDAHFSPQAHTAMMAQRQAIGSNTMLVPETTLWSYITQ ITSALKVIHTAGLALRTLEPSKILMTGKNRLRLNCSSIFDVLQYDGGQNLARYQQEDL LSFGKLVVALACNSLQSYHNLPSSFEYISRFYSPELKNVVLYLLSKPLPTKNIDEVVT LIAPGLLHEVNCSQYYTDTLESELSRELENGRLVRLMSKLGFVNERPEFDDDPRWAET GDRYLIKLFRDYVFHQVNNNGVPVVDMAHVLTCLNKLDAGVDEKIMLVSRDEQSCLVV SYKEVKNCISSAFNDLSSTRK PHYBLDRAFT_17499 LDDLVDPTDPTRIYNEFNLIAQGESGQLYSAKCIHTNQTVAVKK IPITSIEKLSKIRNELVTMKSSRHPNVVEYIATYRTQNEVWVVMECMDIALSDILSTK SCEGKPCLREDQIGRVARELLRALCRIHRLGRVHRDIRSDNVLLNMRGEVKIADFGHC AQLTQNSPHRNSVVGTPYWMAPEVIKGQNYAYKADIWSLGIVLMEMAQGNPPYVDSPP LRALCLIASHGSPDLDDPKIWSGHFKHFLAECTTIDPTKRPDAATLLKVSKRKKGN PHYBLDRAFT_105069 MSQTLINANPTVYNLTKASRRETADEYDDNIEDPIDAQEVFDLI RSISDPEHPLTLEQLNVTQHQHIHVDDNRNSVQVEFTPTIPHCSMATLIGLCIRVRLL RSLPERFKVDIRVRQGTHQSETAVNKQLNDKERVAAALENGHLLEVVNQCLATANLRG HEVASH PHYBLDRAFT_93134 MVLKHNNQLPNQHFRKQWQRRVKTWFDQAGRKKSRRVARVQKAT RIAPRPVDGLLRPAVRCPTLRYNMKLRSGRGFTLEELKEAGVRAKEARTIGIAVDHRR RNKSQESLELNVQRLKAFKAKLIVFPRKSGSPKKGDSEAAEIASAVQFRGAILPVQQV AAAPEARAITADEKKQDAYMKLRYARSAARTLGAREKRAKDKAEE PHYBLDRAFT_138182 MSLSTLKSFNSDVPKGHQMPSSFDEVFTFIDQNESDEDDTETSR IELNSMDQNPYQTSSLMGPGISLYTDNMFDNWNSAQYANFNAQYTNSIMSKAPQASFE IDQRLAFGPDELEKSSVSIPRPTLGNPFRSDDQLNTSHQRLREQSDTSTSTSSVWSTS SQPFKHVDPNSQPEVAPQRALSGRRNSAPSKRKRSKEVEDEGETERRQNLLERNRQAA LKCRQRKKEWIAQLQANDIQSAAENSALRRQIAIYREEILSLKTMLVAHAHCPLSTSQ NTPGNSVLDMICTTPNSAGSHYR PHYBLDRAFT_120533 MSISVADIFEEYVESLQNLPSEIDQNMHELRSMDEEFQRIRETY TKHRRSYTKLLKLSSAASPTTPLPSSQTVNPANTTASRLQLEKDYRAAVQKQDQKIEL ALRMYDLVSRHIERIDSQMAKSGVTEGDWIVNGGSNPSTNRKGPTNTWDESWRSDGSR KRSLPANGPSALRKRTHHSSRPNPSIGHNGAMAELDIDPNEPRYCYCNQVSFGDMVAC DGENCEKEWFHYACVGLVEPPAGKWFCSECLAAEDGYRKKLKRFSEELA PHYBLDRAFT_105183 KIAVVGVHGWFPMKLVRSVMGEPTGTSEKFCEQMSMAAKYYFES EHGVKIHDNSITMIPLQGEGKVEERVDKLFNSLVNNPAWMSALISADVILWATHSQGT PVSTLLLRRLLDRELVNVQRQAVSLLAMAGICYGPFPTLKGSLIVKYFEADAARELFE FMDSNSTISQKFADSLGYILRRGIKTTLIGSMQDQVVPLYSAIMTGTSHPNILRGMYI DSHIYSQDDFLISIISFALRLRNVGLSDHGLLTHISEVLAGNLYSLEGGHSTIYEELD VYVIAVRHLFETAPFDLITPMEAKIDPFQSKVRLNPFYLPWAMRGIFDDTRISNDPIL SQELKNLKVLYDSWSPASAKLREIKFRLEPLKAKL PHYBLDRAFT_105554 MRNTSILAGSSHPELATLVCKKLGTPLAATTSKKFSNKETSVEI GVSIRNEDVFIIQSGSNQMNDNIIELCIMIQAARMGSAKRITAVLPYFPYCKQSKRKG RTCITAKLVANMLAVAGVDHIITMDLHASQMQGFFQRPVDNLYAEPVIAKWITQNVPT WQNGVVVSKNAGGAKRVTSLADALRLDFALIHKDRSRSGPQGTNRPYSGMPKAIQAEE DVLEAAELDVHSNELIASISSLTDAGVNGDVKNAAVSIVADTAGEQSTITLVGDVKGK TVFLTDDIIDGCQSFLDAAEHLMKKCGAAKVYIIATHGVLSGDSVQKIQACDSVYKLV VTNSFPIPKEKVEQCSKLTTIDISTTLSEAIRRTHNGESVSYLFNNAE PHYBLDRAFT_61683 MSYQNLPPVSIQEPLEGLGLPSSPPITPKLPVRRDNQRYPIDER SVLIPLPTHIPSLNYFRISRPAGTKRKSAARLYNKAMTTPPSPGTKSFDHSDIFTLSP DYNFRKIPSRSVDRICTSPTRPRLLISRSKESPKSSDTDLYTVFHTTPEPQSNLSENF NSKYGPSLAKKRPVDKDQHNKPNFHATQPSRSEVLPKKVKIRVTWKGSRLTIDKLPFY DRLHPEEAIIASTLRLTPEQFLRCKRTLVLAAYQYTQKGLKFRKSDAQKLCRIDVNKT SALWCVFNRLGWFRPRISS PHYBLDRAFT_161270 MHRNFESIPVFGTGRISRMNTVEKISHFGAVNVDGLRNTVGKKY IDSNAKFDTTLYIQISGVLRLHLFIRSDEEISEAELQGSCIDPIMYYILQSTGKHLLS MTVFFKDALEMRSSKYMAAVQAIGKNLQIGEKDNLYLMVKLYSFETTKYLVQLSHSLS SLDSLKQILICSKDNCVGAQEGRAGRRYCSIDISDLNLNINIKKTTSWNKRTNGFLIQ NA PHYBLDRAFT_138187 MAKAIHPASLLLNVVGLYSNSYAFKSMLRVPLPLGFGGLFQFLT IISLTASTITFSLQILRFFFPKPLQGAYQAVSGLATPMEGLVSLMYWPMMLHSRDLLV PKGSPFDLPLILDLSLHLWPAVILYIDLFVFNSEYKRSTHNVTAIYVFCTLYFFWSSY CRSVNGFWVYPFLDFFSVPGRAAFFVVCATVCVGLYELGMYYYKISHDVAIQTIDT PHYBLDRAFT_61686 MDARHGHQPTLDPNTIQELEVLRAKLWSLQETFSTQITYLKEPK YPFTWPDLLNKFNMLTAKFASLSEDFYNFTETGSNATLLKLMLHPYTPTTTEQETNIL SVLLRTKLIPDIEKLEAETQAAIAHDLKQPTSLQTDHNGSNNMDDDTLIRKGLVQWTT LRQRHDRLAVNAVNFVADFVHDHRDTFLQRYEDKDEDEDEEMEEKEWEKMGFSSEQVW RKWKLECMMNFYSSGKEEVQGSDLKKLSGVAKPN PHYBLDRAFT_61687 MAKEGGKAIAGMPYVMMGYWTDCTSGVDHESLVDLNACEIPAKL FVGFQGRRFSKHPCVVADKENPAQDYQNQGKATLVLIEQKFGVCFSCYINTSQHLACD QDSWVELRSNIIQPSVSTITKVDRSLQNQPICLLLNI PHYBLDRAFT_161274 MELLQMPLQSYRDYCRGKQLDESRYQNFDMVDENEILLDIVTDR NQSMDLQLHQQASNVQKRKEKSPLKTGTSERKRSARKPYKKFNDEEKDHFFFLVNKKI MTAGKAARRMGIPRRTAYSWLKKRQEKPCDEIQPSKKTAGRPPIFNEIHKDYLLKFIN NNPLAVLKQIEESVANEFSDITVCKSTLYDFMTKKCGLTLERAHLNSKKQSKKCRSNI PHYBLDRAFT_76792 MHFFGTGTIASILLLATAAQAATVCTVKKSGNGDDAVSINAAFS ACKNGGTVIFPAGAVFNLKSVVAAADLKGVTVKFDSTVNLPSYNPSFAKQKAYFYLAG DKINFSGKGTFFGNGQGWYNAKNKNAPVVFKPKATNSYFGGFTIKQAPRAHFSVNGCK NTIFENLTIHTVSANSNYPAKNTDAFDVSASSGITIRNSNIVNGDDCIAVNGGVYGLT VSGLTCTGSHGFSVGSLGKDGKTDVVSNLKFLNNKCINCQNGVRIKTWPGGKGSVSDI TFDKINLQNVENPILITTHYCDNQKASSCVGSDSTSLSISGVTINNIYGSVAASKKSI VNINCASKAPCKNVSLTNINIKRASSTPKNVCLNVAGSSSIGLCKQ PHYBLDRAFT_61690 MPRQTRQKRAAQTRMRKVQNKYGIHRPSTRIIEEPTCSADKPLL NDDKDLSIHAKIANPTFERDSTENVELLKTITGDKPMITPEPIITAIEVETATSITAT TQYTRVEGLPFLTEHKHMDATKHLPCKLDEKTSSNEGNSEYVPEQDQLSFVSPDISSG VLQAEPSTNQQKVKSEDQLGLKIGAVRKTRETYKKNSRTTLWRRRKAALLAMSKSDTS AESKECNLSIPNQQPECSETDKLANREKIEKKIEREKKKKEKEEKKKNELNFFQDAYT KLDEKALAANASAISVPNSGEPYESHKHLAVKQYIQFRLQGMKKMAASERAAKNVWPT SSTYRPASIRRWANEYLNSGKISISRQGKHAKRKPLTKSTDEKTETCEES PHYBLDRAFT_61691 MVPTSWSTHTLYWFFKAFSSVLGENPKNIRIELINRYTNIGYYY DNNITIDSSTFLDAYPILISSQSQNKQLLEIKSTFQLKMDKIFDFPLDNTSNMRVSPI MFDNTFSRVIKVMQILHSGIDLSNVQPWYIQEYYSIEDQSFTPNLGFCEGNTMQYCAE IRMFCMGVRMVH PHYBLDRAFT_105543 FLSFSLLSILYQRGIYPEEDFEVINKHNARVMTTVNKELEKYVA DVMSQVKDWILENVIQKLVLLIQSVETGETVERWQFDIKAKNSNEDGQLISLAKESEK FDREFRATIRQITASVSFLPELTEKCTINIQVYTDKSVLGNEKWGTGDVALIKGGGEH MRLKTLSTSAHQVETFVAYQLKDNY PHYBLDRAFT_153929 MEEDLDYESLGDNSTMAQNAMAGALAGIGEHCLMYPIDSIKTRM QVFAPHAKETAWKQQKNLWRGVYSVVMGAGPAHAVHFAIYEYCKDHFNAKLNAFAILS TQEQVRQSAASAAAGACATLAHDCLMTPFDVIKQRMQLEDSTYRSVRSCARAVYRSEG LAAFYISLPTTLSMSIPFQSIQFATYEFFRTLIHPQGDYNPTSHVVAGALAGGFASSI TTPLDVIKTLLQTRGSSSDPKIRQASGFCDAAKIIYERDSYRGFFRGFKPRILTHMPS TAISWSVYEYFKWFLSSET PHYBLDRAFT_161279 MSNYNRLTPIPTPSSSNRSYRSNRSHVMSPSMVSDDSLFASKEK CHIKAEKTLEAWGDCIKMMLKYSNTHDEMQKTARAFVQADTHAKNTRQSLNKASKTLE LLLDKKREILATTGTLKDIGQCLGESKNYMTV PHYBLDRAFT_61695 MAQLTQKTTGPFQTLFDAIERSDGLYFQQGSANASDDSLQTLLA ERANDLSLGLDHFTPPSDASQSKLTNSTTSIIVDKKTIKIDAAAKDVINELSHDLQLN QLQAAVIWDACTSVDKDAQCDLISQATNFYYQERLAILKVVSSMLRISHDDEHPWTFT AREAIDNLLEKGYVERILTQLEQLMKKTAPEMTVKNLKQWALQNVHEQKALLDILYLV HLNRSCEPQLLVHMIELCQSTMFGMRLDFDYAFEEEGKSLQKQVYGKLILTAVQLLDL NTPLDALSGLHNKHIQQKFLATCPDIIIEINDKVSAMKNIPLASVFLLGWSCFLHKLN MWIDKERPDTDYTPVKNIIKQDDNHSLDTTLATKSLELDALNYISSLLESYLLEEDPN QNGYRATIRTILDGLTEIIRPYALPSKHYDCLVDATCKLYKGQTELCEMFWEAEEHAD AHSLLNTATCRFPFISTPLLRLLSSLTGSREDEDQSNSLAQNVFDKLLELTTLTSYVV DNVMLGEDNRGIYPLAPIQIAKTHGPVAGIQIDADNEGILLSDQKLNRIIAWKKTYSG WHLMLNTLFGFAEYPQATTDSIDPVLELMQTILSHDNILKQMIKHAEDIVTPNSQSTR RQPLLVAVFTDLLDVCTAMDNPSPPTLTRIVRCMTSLLPYYRQLVWKNIGASPSLTVK DSLNYLILDVRPSIQQIIRSIECKSGRYSLLLSVLDLIVALINDTQADWWETENVDIS RKEKAGILKSYISYLLFDILPNHGTWRYFSLSERFQIGSKIYWILIYTTMYFKSLDKD LNELRKTVYSYFLYDANPFYLAPLLECLTEGPGVATKLLESQQYTAGDEAEQMVESGL IFTRLLLTYRLEDIARHPKGSVLEQLLLERTGNTKTKTLLQIAQAISYTYSDRIPTEA ITILRLLAQTTSGWTPVPMFAEQLGDRDQIYAILCSFLEVAENSSRDPELLVAIWQML TVLLKTQPSLAILFLDCGDSVMPSPKSAVKQQKTVESGSAVKAAVDLFQNWQMWATDA PAVLSSVLRFLATFWETAFDHYSLVQRTRSDNALWLGISEILLNPNEPVMTNEDLSWE DDKTSHHDDTQRACCSSLDKAFALQILTFEIHLTAHADNRNNMTLAERLPAGVKTLLV KMSEPSKLAWMRDTFIRNNFDPSLASTAHESSQNLVSLLDSTHAEALLSQRQRLSSDP LEDTYYGDDFLYDIELAYRRLTLMKDHLSSRYNITPDIVVTPKVLAILHVQKTADQVL GDLCRANHNYSKVDSDMILLEAFKNFIDTASYQVPGLIWANKLETSLFDFIMNALTRM GNLINRDDSISNKTANIIASLVRDTIEDWTRQNSTTPVYVQKTLELVSEMCALVNKPF KDTTRHLLLETILIALRSVRNRDLTRQPPRINDSLSLLLGVVCPLFASTSQLALYESE KSEELKDEAIKQSTVLTFLLRELVRPANLVYNIWLPILERHSTLPSLLKFIKQAIALV VLEIKCKSKETDYSLQISPCADNLLSLLTALSMQPQATRLLIQHEIFTLLNDNSLSLQ LRDGTLETFIECGTQKEYNPLHHLWCHMLRVMANILHACPINSVIQQTLIFLERYGAR LEIAFFTANGVSDITADSLSEPIMIEIELLCTLIQYLSKYLEMSLANGQDIFSAFNNA AFPLLRVYLDLFTHPKQLERTLPKCASKKATQVRMARISRTILLSMAMLFKEEEFRTD SSSKWPIYKSTKPPTIEGLINSMIVGINYIDQWKSQKDKEVWNKEGQITSISVVFAEL FRVVQRYHKLLSLSS PHYBLDRAFT_178690 MSGKKKAQKMSLSDFLADDSKGSWADEMADLPSAPSATDRPSRG FGGGDRFEREREFEPLPRGSSGGGEDRFRGGEDRFSSSRPGGFTPRAPVDLPTVPPFT AHIANLSFEAGEDDLSDFFGKLKISSIRILRDRDERSKGFGYVEFEDLDSLKGALELS GESLQNRSIRVNIAEPPKERSDRPERGPDRTDVSTWRRTGPVESRDPPRREFGNRESR GAPHERSERGFPERRTDTSWGGGSSGFSRNNDRAGGRSEAPAERPRLQLKPRTVDTSA KADGAHASSKPSPFGAAKPVNTDSVLKRIEEKRFGQKGKEESASGQEP PHYBLDRAFT_104017 MVVDSQYQHLIAWNYTGSSFIVCNIMEFSRDVLPKHFKHNNFSS FVRQLNMYGFHKVNKSPRGHRTLAENQIWEFSHPKFLRNRSDLLDDIKRK PHYBLDRAFT_61698 MNKRGKCGNEDKAAMSLSGTLPKLPSIRELIKIYGLSAKSQLSQ NFILDKNITDKIVRTASLSAATPLVVEVGPGPGLLTRSILDSGAPNVVAVEKDDRFQP TLRQLSVASGHRLKVLQGNMLEIPHQEILAAGNMTTKPIDTINAPIHIMGNLPFNVAS PLLVQWLHMLANREGVFNCYDRDVWMTLMFQKEVGERLSAATSTSNRGRLAVMAQSLC HVKTVYQVPSTVFVPKPKVDASVVQLIPRKSFSENDMAGVYPVLENILRYFFTKRRKT VGHILRRLSKEQPATSALMAELETILDFKARPEDVPNEQFCEAAKLFHQHNIVTLPL PHYBLDRAFT_161284 MSYPYSLPTTGAVSFVDYFEDVGSYSSQISDATAQRGRLRTVLK GLKKEALDTRDYRNITIDDYLPYLISIINCLESGELKLHKNNNIETSWRSTLSDHIIH TGSNAPRIVCPDIYYELIFVLLTYAYACSLQGNDTLKAVQEQGSDAAAIYNKVADALN TAAGIFQYLANEILPKWRQPPDNRPVETIKELLVALSKMALADAQSIAISKALVNTGL SKALAAKLYMGVAEQYEMAYGLISSIKGTQEVSSELRRYLADGSQFYKAMAKKYLALD ANDRQNLGEAVGFVRDCKADLRAIQHSGLSKTRRSAVSLRACNEEEAVTELLQKLTMI NDTVCHKKYRSIESVTYQTVPTRQALQQKIPSGRGVLEIKRFSPPSPLFGPVSEEVTG SERARYARDGNYW PHYBLDRAFT_153930 MALDIPFTDKTQQTIVKAQKLAREFGNVQIMPVHIACALFDEKD GVSLFKSIVKKAGGDPVVLEQAYKLLVNKLTTQDPLPAEITLSSSVATILRNAEALMK KQKDSFVSVDHLILALADDSTIFQPMKSVGLTKKSLENAVSQVRGTRRVDAKNAEEVY EALSKYAIDLTEMAQSGKLDPVIGRDDEIRRVIRVLARRTKNNPVLIGEPGVGKTAIV EGLARRIVECDVPQTLQCKLFCLDMGALVAGAKFRGEFEERLKAVLKEVKDSKEGVIL FIDEIHTVLGAGKGEGSMDAANLLKPMLARGELRCIGATTLTEYRQIEKDPAFERRFQ RVDVGEPSVSATISILRGLKERYEAYHGVKITDGALVAAAQLSDRYITTRFLPDKAID LIDEAAANTRVQLDSKPEEIDILERRHLQLEIEAMALGKENNNKDSLERLARVREEIS KIQEELKPLKLRYELSKGRLDEIRDLKQKLDEMKRKAIEARNRHNLDTAADIEYYAIP DVEQRIAQLVAEKQDTTAAQASSDQNNTEQLVSEVVRPEQITEVVSRWTGIPVQNLAR SEREKLLHMETELELKVVGQEESVRCVCDAIRLSKAGLQNPNKPLASLLFLGPTGVGK TLLCKTLAEFLFDDERALIRIDMSELMEQHSVAKLIGAPPGYVGHEDGGLFEAVRRKP YAVVLLDELEKAHKDVANVLLQVLDEGFIHDSKGRKIDFRNTIIVMTSNLGAHLLAEQ TTTKPEENLAILKESILHIVRQHFSPEFTNRIDEIVIFNRLSQDNIVDIVGVRLEEVQ ERLSDRRIKLEVSNEAKIWLGKYGYEPVFGARPLNRVIQQKVLNPLARLIIDGGVRDG EVARVDMSPKNIIRVHRNHESEAEFALDKDMIQEDNINIQKFLLVPNTSNVMFIVTTL QMAIHI PHYBLDRAFT_161286 MQTAVRKATRLEYKPPKEKHIKTLIGLTFQNPACIPDIIHALEK RLQENSWIITMKVLIIIHTLMREGNEDKVIKCISNNTQVLDIGQLREKSTNSASIQNI RVYKLYLDEKVGCYRDLKIDFVRSTSGHNDGRLRHLPIANGLLKETKVLQKQIGAIVK CKFHFDEGDNSLSFQAYKLILSDLLVLFKAVNEGVVNILEHYFAMNRSNARISLDIYK KFAQQTELIINYLNEARKMQRELDMTIPVGKHAPLSLAEALEEYLLDLEKQSKTPSRR ASQVNRTNTVSQPAQPTQPTQPSTTQPTVPQSFSTSQPVSNPPDFFTPLSNEQTVVYV PAQQNGVNGMRNMPSMPNMPSMQQPLMTGQQNTLPITPNITGNSNNPFRASMVNTNTA NGTWTSTLATIPQFTQTGSYQPTTNLSRSATTITPSSMMTSQSTGRNGSNPFRASTIG HPRMQSEPWQNTKPMTVQTTGFGSQPLQPLMTGTSTNKNPFAPASPPLTPQNHQPGNN ITSQQVFTVSPTALVSPNNPFAPAQTQLPSESLPNQTHQNNTFNSFSF PHYBLDRAFT_55767 MRGQASEKVNADPNYKSGNDALHEYGQYITSALPKYVQQFSVYK DELTIYVAPNALEQVMIFLRDHTNSQFKSLQDITAVDWPARQNRFEVVYNLLSIQYNA RIRVKTYANETSPVPSVVPQFSSANWLEREVYDMFGVFFTGHPDLRRILTDYGFEGHP LRKDFPLTGYIEVRYDEEKKRVVSEPVELAQAFRNFEGALSPWEAVGPGRDDTPLKQL KPEGDKQ PHYBLDRAFT_161288 MAIVRPELMEQGKLIMSRFEYTIYELPVLSSTILLTIGALTTVL LAGLWLYLSCRSKYRNHEDGDDEERQTLLPSNLCLRRTATYYQWNRSPPTPSKCFASP MLQHSKTRSLQKSQNQLIKSRLTETWEHRRSALLKKYAAVTGSDDAEGDKKAMFQA PHYBLDRAFT_104438 MCLDHTIQPNYLNDGSDRLAFSPVSPVSSTLSQPTSAFKSLQDR LRSDSTAYLQQNIPTSAAHRYPQERTSTKRQASDSVDLDDDEESVRHASVSGSEGRSP KRNKPQKELLTEEEKRTNHIASEQKRRSTIRTGFKDLTDIVPTLKNINNSKSAVLFKA VEYIRYLEKRNKGLHE PHYBLDRAFT_105433 MSDKFPPRECKDIFMVIDAFSSAIGTYALTGYYSRFSDLDREKQ SAACLAWRFSKISKIRSIYILFSTVAIGATYNMTGSPHQLAIKCTGIDPVRSSPKYRP TIERDRYKMMTQEELVHPDLKFDAIIIGSGAGGGVCAAELAQAGMSVLVIEKGKYYHE SEFSLDIQTSLASMYEQKAPFLSRNGTVAFFAGSTFGGGTAINFGASLKTQHFVREEW ANKGLTHFTSTKFSDDLEKVYQALGAETSGIKHCGGNQVLIDGCKKLGYDVRDIPQNT GGRPHECGWCYAGCKDGVKNSTANSWLRTAEKHGARFVDNTKVNRVIITDGKVVGVEC QLNESKKMIHISASRVIVSSGTLNSPGILIRSGLKNKNIGRHLRVHCAVGVNGVFDDR QINSNDGSIMTAMTNVFENVNGDHYGPKLEGFHSDPGTFAVGIPWLSTREHKEVMLRQ RQTSSILVMIRDKNSKGRVGYDETGKIDITFKLSNHDRLSIVQGIEGAVMVLCAAGAR EIHTGLLGLKPFVFGPEETVEVNNPRFKEWIKDIRANGFPDNGGGVVSAHQMGTCRMG VSPKTSVTRPTGEVWGVDNLYVCDASLFPTSSGVNPMVTCQAIALHVADSIIKSSK PHYBLDRAFT_104922 MAIRTKRVKKEDSLDDITILKKRVKTESTISTTANSSKKKVSVK QEVLNGVAPTNWRIVFDRIKAYRKITEAPVDTMGCERLGDRTASPETYRFQTLVALML SAQTKDTVTSVVVRNLQKDLPGGLTLESILNVNEQELDDRIRAVGFHTKKAAYIKKTA EILRDKFNGDVPSTIEDLTSLPGVGPKMGFLTLQVAWKLNLGIGVDVHVHRISNRLGW CNTMDKLPEDTRKNLESWLPREHWREINPILVGYGQTVCIPRGPKCGECPVSELCPSS IVKVQKKGIKVKAQVKVKDEPDETTSRFFKEEVTAVKTEVFDW PHYBLDRAFT_161291 MTPPTSSITKPKRQELDAFIRGRIIGQWEKDTTYGEISKALDIP KSTVGNVVKAFRDKGVSKPLTRLGREPKVTKRTQSVMVRSFRSEPFVSIAAQHQRLVD VGISICMTTFQFFFKEMNTTEVDRLKIPKKKIRATLTG PHYBLDRAFT_156943 MSNILAAGAGAGAATGSNSGTLPRHLVAPLQGKNDAGGGYAPYL PSGEAGATDGYYEDVSPPYPSNNPGMTGYQHPAGYYQDPNAVPMNHSVEAFGPPSYGQ FRQVPNEVGYASSEERHVPHLIQNDVPHSRD PHYBLDRAFT_120544 MSKDPKKKTPLLTHLVAGGAAGLIEACTCHPLDTIKVRMQLAKT TPRNAAGKRLGFFGVGLKIVKNESFWGLYKGLGAVVSGIVPKMAIRFSSFELYKSWLA DSTGNVSTTAVFFAGLAAGTTEAVVVVGPMDLIKIRLQAQRHSMADPMDIPKYRNAPH AAFTIVREEGFRALYKGVGLTALRQATNQAANFTAYQEMKKYAKRLQGLDELPSYQHL ILGGVSGAMGPLSNAPIDTIKTRIQKSNAKGSAWNQLKSVTSEIFYKEGFRAFYKGLT PRLLRVAPGQAVTFMVYEKVRAWLDIATGVQ PHYBLDRAFT_74010 MKVSKRALLVIDQEVRLKCESSIVCECMNKLQYGLPCRHSLPAG RDIYISDIPERWVIDPRNVKPRDNTYRENFQLEERPEVWMEEVIKLESLFRSCEGSQQ VANLLNKIKKVTSEFEGKTGHPSINFQAPEKIKYPGRRKGGARPKYLPKDFGRANWRK ISVSSGHAGLKAMVRLRAKMREGKPAATQKTKNKKQNKNKQEPLDPVDATKNKIKQIK QEPLDPGCRLTRICFLVDATKNKTTKIKQEPLDPVDAPQKNGFKRPATALEDYQYDNR TSVGKRVKFQPGFPVSHEIIDDVKGGFSPTADGWCGFRVLAHLIYKDQNKFSLVKRDM LAALPKYKTLYTNTFGTDTSQLEKIIQHGSQLDYSNTSNTNTNFIPVCSDASMWFNTP DCAQLAADTYTRPVCVYSDNPNTPSTTFLPFALPNNKTKQRQPLIFNHVNSNHWTTVD LSRNISRKWPTVPELFFLGCARNKIDDNFDTYWNKFKEFNKHDRRNAMLSLHSDLDQP IDLTPK PHYBLDRAFT_161297 MKIYDLCLKLNREKMTHQQQQNYNKKDIALNMNSLKLKYCINSF LEHNYSIATFRTRRYASRARRFLHSHVWDMTFCLSNTTFLTQSRLGHDVLPLEHDVSY TVTFGTRRFASRARRLQIIDFTHINYFGWLGTR PHYBLDRAFT_178696 MEDNKEILEVDVDVDIDMDTDPESTTQEKSLEMIAETQPSKSSS TPSKDRESKSWSLDSYELHDVDDKSRALIEQMLAEEQYYYGDDTISTLPTSQSNQRKK KGDLKGKKIAKKQKSDMDFQLTDDSDFGGSSLQQKKLSKKGEGSLSSISLPSHKTRWT SEEDDSLNEALTKYGYGNWRVISEFVKTRNPLQCKNHARHWLLSDKLDKSHMPNKESE DMKKKDMENKQAPKNIESSMDMDEDISVEDEFKTNAENELQKDLDENDILIEEPKDEQ MADKDTPLDKTKAQDIEIDTPVTDDISKTQQIQPLIPADTNSLDNPTADIKFQKPSKS PEDIHDKDSASPEPSLKENRTESPKSIPKDTLPKKSISTDNTENAKNTDKVDKADNAN NTDSRFDRHYISEEEKTNNPEWFNNKQSKTPDRYLRIRNHMLDCWKSCKPRYLTKTSA RKGLQNCGDVNAIGRVHSYLESVGAINVDCITNAPRPPKRVARETFEDDETFFNAAEL VVGYEGVDPKELEGRVIEHGHDRQTDNAARPKRVIKRPQQYYGGDDFGRGYDPFRLVP VDYYGDDDPAPFTVEIDSDVLLIMDFHSHLAHTEIIGLLGGNFVNNNGAKILKVESVF PCRSTSTGIQCEMDPASEMMAREAFSEKGYTVVGWYHSHPTFEPHPSIRDIENQTSYQ TLFRDEKTGDEPFIGVIVTPYDPENVSDHSQVQYLHISNRWNETRSFRLPFACRRMVQ QSEQVSPEVMANFERLVDEFKDYEHKIDMSLPFGHQTRLDKLLDSLRANMFLNAEQET EFLEKVRNLMVNTFNQKKPALETAKLDVPTKEVTEPVSQPVETLDRQ PHYBLDRAFT_61715 MTRENNKIREIRYNLCTSRFVSCHPQKENQTPKDVKKIEHRTVF QKLIEVGTDFVFIVGLENIFALDEIRRKLKEKLKQCRVLSFTLRPSKIYMTYLEAK PHYBLDRAFT_161300 MSNSIILHWFPESPFASRVAWALNYKNVDYKTVVVSQIEPRPLR RPLDGGYRKTPILQIDDHVFCDTKIILEELEKRYPEPSFYPTTRSGLPTQGLCKALEI WAANGLMYSVMPQFSAADIPESLYNDRAPLFGLSSKKEAIADAPYLAIEMQAQLGIAD ELLRGNSEGKEWFLDTSAPSLADFHFGMCLCFVLKFVDETLVKKRFPLLLDHQKRFLE VVKDKLGEGRPVLSEREALEASKSKSLFEPIAISEDSPLKAGVLVSVTPLDTGKIPVI GTLVQLTAQEVVIKRMNEEYGTDVFNHFPLVGFSVLPVKQ PHYBLDRAFT_120546 MAQKNSSEAAQTPLVLDEAIAKGWEVPEFTIKEIRDAIPAHCFR RDTFRSFTFVLHDFFFIGLLAYGASWIDTLSSTPLRYLLWSAYWVAQGVVGTGLWVIG HECGHQAFSPSKAINDGAGMVLHSLLLVPYYSWKFSHSKHHKATGHLTRDMVFVPKTR SERGLPPRDEDPEHDGPHTAFDESPIAMLLGIFKMLSFGWIYYLLVDVTGQPGKTGWV SHFNPNCYIFEKDQYSEVMQSTAGILAVISGLVYAGQVYGSMAVVKYYVIPYFFVNGW LVLITYLQHTDPTLPHYSAKAWNFQRGAALTIDRSYGFILNHFHHHISDTHVAHHFFS TMPHYHGEEATEHIKKALGKHYHYDPTPIPQALINSWSQCRFVEDDGDVRFFKN PHYBLDRAFT_178699 MSLQKIPETVKVGDRIQVKLDRATIRYIGTVAKSSGEWLGVEWD DPARGKHDGSHGGIRYFECSSPTAGSFIRYHPEKVITGTTFMEAMKDKYLDPTTGKGA YVHEEDVGFIYLGGNKSIEVETVGFDKIQRTQSEFNNLTVVGLAELCISAAGEPGDIN KYKLAIEDVDLSRNLIKDWDTIADIISQLSELRLLRLNNSRLAPLPTDIHSLYRGSQS PFANLTTLGLNNSGISWEDILRLEPLIASIEDLQVGNNKITSLSGKENTQKPIFQKLK WLNLELNELEDWDEVITNLGNLPSLEILFLNENKFSNIKPCSSEQFMKLDFLRIDSNL MNNWESVNSLNSFHSLTRLRCNRNPIFNDMDVEDVFFQVVGRVGGLAMINGNTMTGRE KSDMERFYLKNCVKDGKTHDEIAAIHPRYKELCEIHGEPDLGGKAFADGFGKKLKDRL VGVKFSRYSISSEELLAITDQKVLPAPVKSIEKRVLLTMLVRNARRVIQKLLQIPAER QSIFLLHNDYDGKGAMVMDISDDMRDLKFYGISEGDEIIVLDNA PHYBLDRAFT_184399 MVELNQREALEVKDSKKTKFVVGDDTPSVHHSPPPTSPATLKKP MRHHVKRRSTSRVHVAKLAPIARTNVAHTDSEADFEPDEPRPTMRRSQSQRSLRRLPF DKKSLATLTPYSAPKSVDAEKTTRKGLPFEKYSTSTPLERKDLGDVHEKTSHRTNPIV LSHMTLAAPVEQIFNAVASTLVVKTAQSPTAPQEVSKPLPERPERQERPERQEPLRSQ FVARRSETLSPVRTKPHSNHARPTITRTQQKLLLQRQQCMEEEKCLISPCHHQKLSSE VERVGREYQCIRRHHDPLTESILRCLERRTTLTAKRYPKSGEAVSRALSSSNVPLSET RSPAYVDHRQTTAYRHPHLRTIAEIRAQNSINSSNSTPNVFGVRWNTGSDTLDWVLHV FTHGNDST PHYBLDRAFT_105409 MEGSIKGGTLKGLVVLLTMHNYLDMKFINTFLLTYRSFCTPSEL LDRLEDRYNLRVPEGLSGEEFELWEQKKLRLVRLRVTNVLKNWLEQYYNEDDAVILDR LLLFTKTTIHQTLSFSAEQLEKLIQKRKQSPTKNSDIKRMIRTVSNPPDPILPRNLKR FRLIDIDTLEMARQMTIMDFKLYNDIKPVECLDKAWSRDQGEPNKSVAVHIRASIEYC NNVTAYVTAAILSQKDIKRRCNLIKYWVQVADRCKHLNSFNTCMAILSAFDNSAVGRL KRTWEMVGTRTHQMLSSIRKLMGANRNFNQYRILVRSINPPCIPFLGIYLQDLTFIED GNPTYLKSSKDMINFAKQTMIAEVVQEIRQYQLVPYRLKPVDEIQAFIRANLKNSRDE EQLYEESLRLEPKEREDEKITRLLQESGFL PHYBLDRAFT_98182 FELIKKTLDYSVNSWNPRFMDKLYAGTNPIGVISEMLIAVLNGN SHVYHVSPVFTLMEIQVTKAVGELLNMGENSGGLLCPGGSASNLLAMFTARNKLFPSI TKTGYLPRPYPGAEYGPLKIFTSISSHYSIDKAAQVMGLGTNSIIKVPTDSCGRMRVD RLGKQNKIKVKKESVDRGETPFFVNATAGTTVLGAFDPIRAIATVAKQFNCWLHVDGS WGGSVVFSNSAVKTTNWLDGSGLADTFTLNPHKLLGVPLQCSMLLTPHKAHALFAQAN SLAADYLFHGNPYDLGAGTIGCGRRPDAVKMFLAWTFYGRQGFGNRVDRALGSAADLT TMVRNRKDRGFILVKDPSPFLQVCFWYIPNHLSNHMLHLRLREIGEFLVDHAPLDSLP HFFRIVINSPT PHYBLDRAFT_138218 MSKSKQHSYHFMLREIRRLRSENACLTESVNILRDDLRVERDSR KIADECHQKFCNEAIDCQAKLESELVEKQEEIEALKEELDEVKSSSSNSSGMSYHDAA YFKKRSGFWGCHDFDSDTEYTSLPPIDPDKETISMEHEDDDYEEEYDDDDDDDDDDDT PISTSPFRSFGSDLVGSIFEQGDSEDEDQDQDQDQDHGENPSRSNDTQFEQLASSHLR QAFVSRLTSARANLELDDLMIKYDPSNTVLLRSLANTFISWLSETVEQADVDSAGTAK LIATRIQADFLQFWKSILERHIQDEAEQCQFLQETEKTILKANTKLLADNFHRLLVML YKYDIVEGDAVTTWWHGQLGGPPETVAARMRNVTRKFVEWIDSSEDSNSDMSDQDTDT DPDICDGSDCDTLIGQHFDDPDSKNSNDDNDNSKNPPEILDNLLEQDKDYCVCQFDTT APLPPSTPANSRTTLPACTCPSKYLQEDVSPSKPKKTVRIAM PHYBLDRAFT_161308 MAIQRCPFASRFIEAYIHSLLWKLKPELILLADVMINNNNKTVP KKLGCNMNIAFNMPPSMEHRFCNCVFRQDSFKKGYLKTLPAEKDYASTIQEGEITYRE SINEVSEHGTRRITRITQKAVYCCKIIFIVILTHEFQLNFLIRIVNSYAVIGKRTNVH QFIPRMFVVSLKPKNTISVHWTKITGGAVNMKVYVNVTVNTDIQRVQNKFQQGVDDHL GL PHYBLDRAFT_184400 MKFSIAQAALLLSAQATLVLGFYDKRDAVVELNSNNFRSQVLDT NKLTAVEFYAPWCGHCQKLTPEWKKAANNLKGLVTVAAVNCDEEANRPVCSQYGIQGF PTIKIFRPERNKKGSIVKKPTDYQGPREAKPLVDHLLSVLPSEVRFVKDNAEKVKSQK SISIEDFLAKDNSTLPKALLFTDKSATTPLYKALSADFANRMLMGEVKKSEKDVMEIF GVQSFPTLVVITPENGSIKYDGKLKHEALHNFLSKYALAAEPTQKKPQEKEKEKEKEK PAKKETQAPAPLPTVEEIVSDEVLGKHCLDTGNICVIAIVQEDKDETLAMFKALNEAS SNPPLFRFGWMTDLKATQIMDKLDLVHDFPTLFILHPGKKLYRPYIGAWDQEKIKRWL GDISSGKVSAWPYKGALTISNSDKQWRDEL PHYBLDRAFT_61726 MKTLSLILFYIGILGFTGSAQAGSFIKPTKDAIWYTGSYQSVLF DTTNFTSADTVTFFFDEDRSITLGGGPAQQGNFTFMLPTEMPSLVSKDSVSLLGVFRR NRYLWQVLGETVKIRKPSGPAKKRTVYV PHYBLDRAFT_178702 MGENLDQDVSAAILRLQNKPEIPRIDYTLYKMEDGSVANTQERV IKDVPPPAVSIPTDEEFWSKERPGLPNLAFLKDHFYREGRLSAEQALYILEKGTEILK TEPNLLEVDAPVTVCGDVHGQYYDLMKLFEVGGDPANTTYLFLGDYVDRGYFSIECVL YLWTLKMWYPKSLFLLRGNHECRHLTDYFTFKIECRHKYTERVYDTCMDSFCSLPLGA VMNKQFLCIHGGLSPDLHTLDDFKTIDRFREPPTHGLMCDLLWADPLEDFGQESSNEL FVHNHVRGCSYFFSYAATCAFLERNNLLSIIRAHEAQDAGYRMYRKSRATSFPAVMTI FSAPNYLDVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKITD MLIAILNICSKEELAQDAHTLSLEEKPSTAASVDETELRRQTIRSKILAVGKISRVFS VLRENSERVMELKSLAPGGKLPLGTLALGAEGIKSAITTFEDAKRSDLENERLPPTRE MKEALRKEETDSKIRDAVEEDDEALKEVADAIVSDTD PHYBLDRAFT_61730 MCSQKQLCWPERKATFQDTLFYPEGLKILGHGQIERKFHDYLIQ GSYQNYKADSINTFTGLETGLTKLIFTPSYSTNIAKENSKKKDDFSKVFRGLMVVLSS KNTSSQYKEEKFCENIIARYQVCIYNFKNVFKVLFLGALGESIMNASFFECLKKYGGY KKKDLAREINPNKSNTID PHYBLDRAFT_138222 MSTPARKRHPPNISDYIFKKMILYLAKAINLLYIKTRNNHDTVF SRSYKQYWEVRNDSDSLEKTLRKENQETIVQLNKLTNNNIRRLAKKAHIADETPESIE TVGKVKDLEDSTDESDKTCDINTVLSQTANLASDEVGKLNIIDLSSLTTLDILEAETS KERLDVIIEATKTKAVQLSLYAKNLITELCETTWSVQALRKTLHQTVFHEDFDLIAHS DFGFIEVTTRYFLDIMSSPQNPFNNVMLERTAASYLIIYIVNQLFLSNNDVIELGWLE REFYLTDRTKFDGILFKVGNKTICPALVEFSGGINDHTSLHKNSNDIKKLYFNMAKIM TETKTESLFCIRFYGHTIFFEKLVKYEDNMYRVVDATIEVPNTPRKLVAFIKKIPLIV AWKQAVIDYVID PHYBLDRAFT_161316 MQELPVCSGDVDENSLHPHPIVEKKDPLHCLPAVVALHPHPIVE KKDVGRHQSSIMLKDSAKPNPHKGRLTWQRERIGFKLLSVLKVYNFIASPVTAASQKI FRQLYYNIKSLSTPIVLSKYLAPLPAFLPCPVLPIFSIKLLNIHETPVADMEPKIDAA LVFVVGIVDIARNPFFAQAISCFN PHYBLDRAFT_138223 MSTQLAKCMSPFGKVREITVHETYVFSDGSGYVVLANTPTDDVP SDSLMYQIAYGNTQKILGKWPSVASHCTYCKEMGHKVAKCTKRPAETRRCFGCNNTGH LQANCPYNTDPSKTSKTFNKHSRHPNHNSKLDCPIIAVKLLIPTELSLIYEGSEAFKH NPCQPALRELAELPPTTTPFALRTPTETPTSSGPRPQSCSVDNPTHGWDEEIDAEIIT SFMDRDEVRALRLQTASRHPHLRFSRPTRPTGRNTSLSSSLHSPTNHNCPRTMNCRGL PKVEHPESRSFFICHLRSEGIDILALQETHASSSMLQSTFDQQLRYSSSLWSPHCGVV CLSPHIIFTDPLFSPCGRCITTTITHVDNTFSPFRIGIIHAPASQTPLYRFLTSLLSI PDLIPPNPSSFILLGDFNHHSQPTSHRALSSTTIDYILASSDLHPRTTDPQVSYIHQK WSDHCLVTVSLSLPSTKSSGKGLWRANPRLTQSLSFHSIKLEVIRFIKCFSRHLRSSL NDLEARLQKRRDRLIHRFRHQPAQNFQLPIVERFSQQVQLERVEIMALRAGKHWREKG ETSAGYLKRTIAIRQSRKVVASVYHSVTNDICTTPDTMTEAAAVFYEALYTPDTIDNS AVDDLLSHLPSDFHLPELAHDYMTRPFTLATIRSGAARSPSSSSLGPDGLPYEILCLI LAHPVCPSRNRYSLTGILSFVHMMLLIGMIQCALQLRWLKPLIRNPLLPHGLFPRWFS TLLRSDVPTIDPLLHLLFPDCRPRNHRSLDSPLHLVLKAMDTLRRNFDRVVLNLSICL ILPFYSMLSSLPSHPPYRPAWRDLRIHYLYKIESNLDILTPIVPSRPLHRSVTLYRIL NRLCDHTMVLHPILFRACIPSFVLEFHQSDLPIRDGSSIDLQALMSAQLPGQTWSRLT TRSYRSACSHQLSDARLIHPPLVPRQLRSLWSFSLHLRARNVWFRGLHNKLSRRALLY HIIPSTVSSLLFSPLFSRGPRDARTFSSWLSVKIRCVDRHLVGIL PHYBLDRAFT_161319 MPKQKQAKMRVTGISKDYKYKNWLNSTLNVTEPSIDYFKFADAF DGSQSATNYHYSDLLRKLSTGQSNKLTKIATLANSLYDTRNNHDTVFSRSYKQYWEVR NDSDSLEKTLRKENQETIVQLNKLTNNNIRRLAKKAHIADETPESIETVGKVKDLEDS TDESDKTCDINTVLSQTANLASDEVGKLNIIDLSSLTTLDILEAETSKERLDVIIEAT KTKAVQLSLYAKNLITELCETTWSVQALRKTLHQTVFHEDFDLIAHSDFGFIEVTTRY FLDIMSSPQNPFNNVMLERTAASYLIIYIVNQLFLSNNDVIELGWLEREFYLTDRTKF DGILFKVGNKTICPALVEFSGGINDHTSLHKNSNDIKKLYFNMAKIMTETKTESLFCI RFYGHTIFFEKLVKYEDNMYRVVDATIEVPNTPRKLVAFIKKIPLIVAWKQAVIDYVI D PHYBLDRAFT_184403 MLRTLHRIAQANVQQKTRQLISCVAVRYSSAKVLTTETMHPLIK NVEYAVRGALPIRAEALSAELKAGKKLNFDKVVFCNIGNPQQLNQKPITFFRQVSSLC ENPELLAPENRELLSKLYPADAIARAEVLLKNIGSIGAYSHSKGIPFIRENVAKFIES RDGYSANPEHIFLTQGASSGVQTMIQILTQNTSVGIMIPIPQYPLYSATISLVDAAPI PYYLNEEENWGLSTEDLKKSIEEGRKAGKDVRALVIINPGNPTGQCLSEQNIREIIDF CHKERLLLLADEVYQTNIYMPKERPFHSFKKVLLSMGEKYKDQELVSFHSTSKGMIGE CGRRGGYFECVNIDEGVLEQLYKISSISLCPNVSGQIMVDLMTNPPVEGDASYAKYKS EIDGIFQSLQRRAIKLASCFNSLEGITCNAAEGAMYLFPRITIPQKAVEAAKEAKMAP DAFYSMAMLDATGVCVVPGSGFGQEAGTWHFRSTFLPEENLFDGFCSKIEDFHKDFLN KYRD PHYBLDRAFT_76807 MARSKSNTSKQKASINDSTRRSDRPKTVPELFMSQKQFAIAASR GEQDDSDNENESDSNTSSDDSDNETSSDDEPSTHKQQKKGSKKVGSKASQKKGKLTKV RLSNSSQLLEQVAEEDNNTSLYDMVTSKTADIDGLVAVWVNEYASHKVESLRELINFI IRSCGCMMAVTAHAFKGEDIAVNAMKELQEELVKLPHHEYPIISKSKDSKLLKNNLLE FFQSLVEQCQHEIIFDGTLIETLQSWLTTMSSSVYRPFRHTATLIAFKITSALATISE KLKDELSIVTRQLRTESAKPTNRARPSAKIKQLQQRSEKLETRRKDLEEYLNDFFESV FVHRSRDVESIIRNEAIKELCVWMQLYPSYFIDNRHLRHFGWAFNDQNTLVRSEALKS VTRLCKIEGNAANLHEFIGRFKARVEEIALYDIDVSVRVHAIGLCSELHTRKYEMLGT NEQRKLSDLIVSDIPRVRKSVAPFVKTLIEKELVKPKLIEVNDSLSGMVVDAPQHDND SRRGRPNRSTATVAASANTAWVTFKCIASFLVERTESARSSLQNNGQDSIDIDETVSR DTQHIVSNTIEALWGQMKDLHDFKAMSDYLSRDHSRVQQNSRRNSLSTATEIDECYRL TEDEETILITAFVSCLKIGIQRGFEKSSGKEKKKDDQQIEEIRNDVSRHLVQFLPSLL IKHSDNASRMIQLVSIPQLMNLSVYLELRMSKEYEDLLESLMKVFLRATLPKLLRNCA ESLKHIADATYLEDVNRSQLIELQERVVGQVRDSCRGKDLATARFTVDDVHSISVSML RLDYLSNFIDTTDAMDETEDISTDVTTLVGGVVERSVYGYEKEKQMHLSAMSVLFRYA AWKCNTIVSDPEMTSVGQQAAAKLNKRRDWIVDKFVDIVTITDVNPLTEIRHASFGIL VDLYWLFSSDMFASHSSSAFLAHLYLPCPEQLQSQCVEYITKDIEARRKLLEDTASDS ESVTTSNESKQDFVKLMTSFARGLMLDIFDVEHATVLLSQYGHLESEVDDVIKALVEE LNKGLVLNQGIASNICKAYLESLKESFELDVDQSQRSMDNTVKLARLLSHSIKRVDQQ NVVRRVSQVICTHIHLDGIRYALSKAAEYKEKGNDAAKALFLKFFKVLSVFGKQLTRA HDVAKIHQNLEDELVNRGLTVETGDKAWDAYHGYIKTIDDILKKSGLRYDPSKRAEMA TPRADMATPRVPDDVMQEVEDDIENFGRDSTKRGIESVEEMEIETTNISKRRR PHYBLDRAFT_176535 MTVLPQNYLRTLDSIRDRCGQVYEKGQKDQLDFFDVDDSKLPQI VDHVASLTQRRFPDLSKIPPHSRLRHFGINDGLDRLQNIRETRWKKLDKIESARRLID LVIASVLVDAGAGQTWKYKAKDGELIGRSEGLAVASFDMFEEGYFSSSKEVPDRVDVE GLAQLSIERMTQGFQVTSANTMVGLEGRSNLLKGLSKVLEQQKKFFPTVDGQPRRPGN LVDYLLANVEEDDNGKKSVSIEKLWEVIMSLADVWPARVTIEGVKLGDVWPCSCLEDK GNYENLVPFHKLSQWLTYSLVEAIESSIDLTIKGTEKMTGLPEYRNGGLLVDYGLLKL KPAQVKRGTSEGQELPTFEGSDSLIVEWRALTVIYLDKIHKAVEKKLGQDLVLAQVLE GGTWTAGREIAATLRPDTAGPPIVMESDGTLF PHYBLDRAFT_129312 MKLPVCGEEQVIMEAIRNNTVVVICGETGSGKTTQVPQFLYEAG WSHPDSDNPGLIAITQPRRVAAVSMAKRVGYELNLPESVVSHQIRYDVTTSEKTRIKF MTDGVLLRELSQDLLLTKYSVIIIDEAHERNLNTDILIGVVSRVLKLRAELSREDRAK IKPLRVVIMSATLRVSDFTENSTLFTKPPPVISVNARQYPVSIHFNKRTPEDHVAEAF KKVSKIHDRLPEGGILIFLTGQNEIQHLCKLLREKYPSLPPKAALEERKRIRQAAELE LKRDAAIPGEESDSDDSDSDLGFDEEELVSTKDGKWEYIYKCEYGKFTYTISYAAPLH VLPLYSSLPTEAQLRVFQPPPEGTRLCVIATNVAETSVTIPGIRYVVDCGKAKERRYD IKTGVQSFEVDWTSKASAGQRAGRAGRTGPGHCYRLYSSAVFDHDFEQFSTPEISRMP IEGVVLNMKSMGIDNVVNFPFPTPPERQTLSKAEKLLGYLGAIDTDVKHITPVGQAMA NLPVTPRFAKMLVIGNQQDCLPFVIAIVAALSTEIAKARRRLMRKKYFESQIKHAGLD RSSDILKLLNVVGAYEYAGATAEFCEENFLRSKAMEEIRKLRWQLTNLMAALFENVDV WVDPKMLPPTKRQIKFLKQVITAGFIDSVAIRKDVIENGGQGGTRFKNARGVAYKLMW SEEEAFIHPGSILYSQEPPIALVYNELFKGATKTCFKGVTAIDTKWLPEIGKEFCSFG RPLDHPIPKYSEQTKDKKTVYVVPSFGPKGWPLPPIQVEMHRKGTRWVISA PHYBLDRAFT_129314 MKEYQREFIEFALANEVLRFGSFVLKSGRTSPYFFNAGLFNSGK SLNAIGRFYAAAFLDANFEYDVIFGPAYKGIPLVCATAMSLSSQHSIEAPFAFNRKEK KDHGEGGDIVGTPLSGKVVVVDDVITAGTAINESIGIIREKKGVLTGVLVAVDRAEIA PDGSGKSAIQAIQEANNIPIRAIITMDHIIEYMEEKGTYGDQLKMMKEYKAQYGINK PHYBLDRAFT_76810 MSESTQQYDNDCLVLQDLSGRDRPAKRKALQALDLALKSTLEHE IIFDKLLSTLLHCTASAIDGIREQAVNLIIRSVQKTIDLDSNMGMSIITKASERLKPG VEPTEETRAEWLVIVQKVVTKTSKLGVKDVEVLLDVAQIGIEDAFPEAQKQAGKLLVS LAREAPVLVGYAGEKPLHMATTLLVHRHSALRVLGLEAVEAILLRNARYVDVLFVQDQ STGRAPIVPTLMYDHAPQVRLALVQAVGRLFAAWPPSDRYNHAHQLLPVILTSSVDGF PQVVQAAQDMIALLGKQCAQDLVDSGLLDTLGEDAQVMGLMHVVHMAWEKTLKSLLHD IQHFIATRQITALSVLNLLVGFAAPKDVTRSLNRILHQLIVTYCTAPDSLVRIKTVEV ASVLATKVPLPDIYLDILLPHLQKGHWTAETGAYPTATVLTAVLALLDALLNTPEQNI SIPAKDRIKSALSKDHITSILPTGLNKFVN PHYBLDRAFT_129316 MCGIFGYLNYLVERDRRFILDTLSNGLARLEYRGYDSAGLAVDG DKEDEIYVYKQVGKVAALKKLINEQSCDFTNTFISHCGMAHTRWATHGQPSQLNSHPH RSDAKNEFVIVHNGIITNYKEIKTLLEKKGFTFESDTDTECVAKLTKYIWDSQKSTTN LTFTDLVKLVAKELEGSFAFIFKSIHFPNEVVATRRGSPLLVGVKTAKKLKVDFVDVE FGTSEVPEALPIQETNHFLAADGGHPQLRRSQSRAFLSEDGLPQPIEYFLASDAAAIV EHTKRVLYLEDDDVAHITDGELHIHRLRRGAEGGATSRSIQTLEIELAEIMKGSFDHF MQKEIYEQPESVVNTMRGRVNFENHKVTLGGLRGFLPIMRRARRIVFIACGTSYHSCL ATRGTFEELTEIPTQMDLASDFLDRRTPIFRDDVCIFVSQSGETADTILAMRYCLERG ALTVGVTNTVGSSISRETHCGVHINAGPEIGVASTKAYTSQYIALVMMAIQLSDDRTS MTSRREEIIEGLFRLPGQIKEVLASDSSLQQLAAQTLSREKSLLIMGRGYQNATCLEG ALKIKEISYMHSEGILAGELKHGPLALVDENMPVILIMTKDSLYPKVQSALQQVTARK GQPIIICNSGDTNLINDYKTIQVPQTADCLQGLVNIIPLQLLSYHLAVLQGVDVDFPR NLAKSVTVE PHYBLDRAFT_129319 MQLHRFLTASRNAVRASRANAALSSRTYATAAVANVAPGQIRSV IGAVVDVQFEQDNLPAILNALEVQDHTGGRLVLEVSQHLGENTVRTIAMDGTEGLVRG QKVLDTGAPITIPVGKEVLGRIINVIGEPIDERGPINAKSSRPIHAEAPEFVDQSPTP EILETGIKVVDLLAPYARGGKIGLFGGAGVGKTVLIQELINNIAKAHGGYSIFCGVGE RTREGNDLYHEMMETGVIKLEGESKCALVFGQMNEPPGARARVALTGLTIAEYFRDDE GQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLSTDMGGMQERITTTKNGSIT SVQAVYVPADDLTDPAPATTFAHLDATTVLSRSIAELGIYPAVDPLDSKSRILDPRIV GDEHYKVATQVQQILQNYKSLQDIIAILGMDELSEEDKLVVERARKIQRFLSQPFAVA QVFTGYEGRLVPLQDTIRSFKEILDGKHDGLPETAFYMQGDINDVIKRSEELAKEMGG Q PHYBLDRAFT_104483 MNPVIYFGFSNELTRENIYSLTYQHLTRFVYEEFRETLASANRK QILLRIYKANRGVIWAQFIFSVSAMLVSYMNPYFQQKLLEYIADPQGRSINIAYAYVF GMAVVGIIKLVCSGIQLWAGRRWNVRTYAMLDAEIYAKTLKRKDMTGNIGKEKVEGKD NNDSSDKDDDKKPFSNTGKITNLMSIDADRLADTSSYIFTLYNAPLEVAVAMFYLYQL LGFAALVGFGIMIIFFPLTAYIAKYMTKAYKDFTIAKDKRNDLVNELLQGIRMVKYFA WESNWEEKVMAARRFEIKKLVRTVVIQVLFSVTYLTVPVLVSCSSFIWYTKVAGNELT ASVAFVSITLFEMLRNPLVLIPESITTLTECYVCLKRIASYMDEAEVGDGIINETIPV PEGVLPETILARVGTEESVFRWHSGEPEAKDAVAAEVDPNEHPVFALTLPAFEFPTSE LSIVCGSTGSGKSSFLHALLGEMDIVSGRVYLPSKTKLALDTYSVIDPQHPSLILNKV AYVAQQAFLQHASIRDNILFGQEFDSVRYKKVLSQCALVKDLSILPDGDRTEIGEKGI SLSGGQKQRVALARAVYSHAKTLLLDDCLSAVDSHTAKHIYQRCFNGDLIKGRTVVLV THHVRLCLPTAKFVVKLDRGAVSGYGFVDYLRENGSLKTLLGDEIDDQNDDNEENLIE TIDEHFELIDDKAIINKMVKDEKTEKGQVKGKVYLTYFYACGGWLFWITLLSCYFVNR GFVLGENWWLRIWVANSGNAEDSTGLFTTTVVPYTRDALMYIEQTTRKQNMFQQWVYE DKQPRDVDYYILVYMVICFAGIVFDTLHTALLFWGTVRGASILFSKLIHRVIHAPMRF FDTTPIGRILNRFGKDVMTIDMILARTMTHLIDCITGLIVSLIVVGAITPQFFIFAVI ISAAYCAVGVLYMRVSRQFKRLNSVSRSPIYSHFTETLNGVITIRAYCQEDAFQLALN KKIDDFISPYYYLWMSNRWLYARVEFAGAFVILFAGVFIVLNLDTIDAGMAGISLFYA RSFLDNMYWIIRQYTQVEMDLNSVERIQEYLEIEQEPPASIQGSRPPAAWPTTASVEV KDLVIRYAEDLDPVLHGISFDIRSHEKVGVVGRTGSGKSTLALSFFRFLEPSSGNITL DGIDITQIGVHDLRSKLTIIPQDAVLFSGTIRSNLDPFEEYTDSAVWESLVRAHLAPE NRDEEVPEGNATWAVTSLEQTVSDGGNNFSQGQRQLLCMARALLKNSRLIIMDEATAS VDFETDKKIQNTIREEFVSSTLICIAHRLRTIMDYDRVLVLDQGKVVEYDTPANLLIH DAGTGLFKSMCEKSGELDVLTEMANNAEELSNSSKVFEQADHHTTNRQD PHYBLDRAFT_138232 MRAQLLDNTLSQHFTLPTGLNMSPPRSFESTVTTFPPLSSQTFC GSISSRLSAMLHLHIINYKVIRVVTRSSIDIKPFYFS PHYBLDRAFT_138233 MSILKIKAYNAFQRWRATGATMALYSHSNEKVLAATLGPTRRGG NLIEKPTVLTTGHNRALI PHYBLDRAFT_156953 MHFHILGTGAVGCHVASLLRMTNHKVTLILRSQKALQDFKAHNQ SISLTLKGKSASVSGFDSMVLPSAPSQGPPIECLVVATKAQSVIEAVSPLKSRLTKNS TLLLLQNGMGVVEELMDTVWHGQTSPRILVGVNRHATQRTSAFKIINHSGWNDPEGLV VGERLQQGESPRDDSPVLKTLSEIKDLSLSIVPWEDLQNRMAKKLIINASINPVAALL DFTNGGMIDDNPYTMNLMRELCYEAYSVLENLLPGETAESLFESVIGILQITRQNRCS MVQDMNAKRPTEVDYINGYLCRIGKNQGKILKVHQTMVDLIHAKERVAKP PHYBLDRAFT_161331 MDSELGTKVLISISSTDQFVPGTHGMPDFQEMCKRRLGSRSVTI GDCISWSIGGRPIKALIKDITGTQDGTIKIDRNETEIQVEIATHKPTDPIEKIDEEAE ALIGIIRSSFENSDAYRILGIPVAKSILLHGVAGVGKTRLTRHASQVLQSSLFDISIH EVLALSEEFENKEFKLYNPIHLIIEQAKIRTPSIVIIRDLNALVNASEDSTKVLNIIS QEIKGISSNEQVCVVGMARELRLLPEALQKTDIFQQHFTLSIPSMPQRKLIAENILQD FELDSQLSKVEAVNYYATQISMRTSGYVALDLKRLCKRALLKSLRRNRLESDDLAGEL AQLSLVPESKKRPVEWSDFEYALSTYRPSQQIEVEASLPKRDWKEIGGCEKIKQKITQ ATLLPLLQPEIFTRLGVKPPSGLLLYGPSGCGKTAMVQALASESMMNVISIKGPEIFS KYLGETESKVRKLFATAKRIAPCIMFIDEMDAIGTRRGWDTSDSGGGVNERVLSTLLN EMDGVEGRQGVIVIGCTNRPDQIDDAILRPGRLDQLIYVGLPTMEERLDIVMILSKKM VVSPTVDLQSLAQQTEYCNGADLENMFRGAGILALRQDLNANAIEDKHLQQILETVCK RAKHQVLEQDSLAIYEKFRNDHSV PHYBLDRAFT_138238 MLTALPFFVYLGTMTVFGKTIGGRAVYVPSSNAIWIFSASRSSQ TGNTLFSIDLGKSFNAISAPMQVNKNCPILHFTSIFRGYDDTSINIIGVGSYTNSTFA PNMVLCQYDTKSGEWSRIVSPNEPIARRNYAGVMTTSSQTIFWGGDSDMLTGLPQDNF IWRSDIAIWDAANGWIPSISPYSGMARTNATITQISDTNGRLVILGGSVITNSSWDDR VTNFPLASMADIILYDPRTQLWENVVASGNIPSERKHHTATLHPDGRTIILFGGEAWN NSTGPYLLNDLNLLDTTTWTWRSYSNTSGTALYRSNHTSIVIGHQMFVIAGSNATDKA VDIQILELDSWTWTYQSVAIPAPSRWANIGGVSGLVGIIVGCVVLVTASLLGFFWWWS FRQRRQKLAKDAIKETIDNNSNRNNNNTSNAFARPGTPKRSKAAQESTITPTPVPSWG FYRGSNMPLSAPSPASPVISYTSELLYQNHANDDGLPYWEAESYYPTRDRIPSFFLLP IHDTLQKPNLVEDNETL PHYBLDRAFT_161334 MSIIPQNEINEHTITSVRTVFNDTKSLDGISVATKNGDGVTDNG DKHSVILEQGLPDPEKHPFDDSPDGGYGWLIVLGAFMVQITSFGVATSWGVMQDYYDQ NTFKDLPNGLLQLSFVGTLCSVFTNCMGPIAQILASIFGVRVVMITGVLFVVVGLEMA GFASQIWHLYLTQGILFGTGASLIYVTIMGIAPQYFTRRRGVALGMISSGSGIGGIVI PFIMTAVNTKLGPGWTYRVLGFFCLFCGIIAITFVKERVPGPKGTKKLSDIIKLDVLK DLNYILWCLGSVLMLMGYFIPFYYLPSYATHLGLTATNGSALVAVGSALNSVGRIIAG ILADRIGPINVNIIFITMGGLSTLLIWRFAYTYGSLMAYSVVFGFFCGSYFALLSPIT AYILGPEKFRSGLSLLLIFNVISVFGPNISSAIEESVDAEPLFTYKMFSGVCYILGGV ILFGMKMRINRNIFAKL PHYBLDRAFT_129333 MTIDLSDFNNRRHEIVQHLMKACTDHGFFYVINHGIKTEDINSM FSTSETFFGLPDNIKEKYPLDTYQNTGWEKLTEMDSCGTEIPKESMQLTFHDIPDHWP ANEDIPGFQNATNDFMHQCNTVSYQLLSCLATGLGFPEDFFARCHDITQPDNLNTLKC LYYPSSTSPSYSQSGHNNSWSGKNIDINTLTLFFQPPGQNQFEVTAPGLAPGSTSTPN LTGRTRLSTEPGNPRAPRNNNHRPQQDQIVCSIGDMIARWSDDRFKSSLYRISVPRSD ELLGSRQCIQYCNKANKSTIIQGQSKYKEPITAGELIMMAMEREYKAAMQSIKANRAA IESSGMLKRSPSCDKGSFLMNIMA PHYBLDRAFT_61747 MIFSINSTSLTISKFYRYSSLFVVRITVRNREYDQVNLFRDLLI RNQCQSIGRTPTKRFQKGISFNRIWWFDLGQRNISTKIVMLYCRFSPDHDDFDRHSLG SENKGTVSMIVSNISISISVGIRNQIK PHYBLDRAFT_184415 MDIKHLLCYPGEQFSPIEYNHSLVANQFSYADPIYHQSEELWPD ICPISPYYNSIYTSSQSTRTSRSGSVSSSNSLQSSSRSSSRSPVQHTESLVQHTPENA KKQWLDKSLIRQSRMPYKERRSSVQTRTPWSPVEDELLQKGYEKGYSWAMISSAYLPR RSRGCCWGRFKTLQSKNLINVKQHQHQHNRLGRRLWKSMDAVNQPIAPAQ PHYBLDRAFT_29315 MKTLYDFTIKNIKNQDWNLESLKGKVVLIVNVASKCGFTKQYAG LEELYKNYKDKDFEIIGVPCNQFGGQEPGTEEEIENFCTLTWSVTFPLAAKQDVNGDH ESPLYAWLKDSQSGLLGMKRIKWNFEKFLIDKEGKVVNRYSSLTEPKAIAADIEKLL PHYBLDRAFT_178718 MSKQEIPVIGLQLTVYGLEEYKALEKPIPVAVMFALHGRVQNMT KMDPICQAICKLNTNPCKKRHIIVVAFDHPNHGSRKIHHLSNYGWSENGYANPNHAMD MWSMLYNSARTVSDLVDVLEHYLFGPQYESRVQVWGVVGFSMGGHASILAAAQDPRLT VCIPIVGTADFLGLMERRLQETDLSAHAHLPKPFCEMVKQRTANLENHLSSTKLLMIS GQKDKLVPPSSNDAFISRLSKVHRGKENVDWKCVIVPGVGHEWCPEMIDMSVEWCDKW MVHTLPPNKL PHYBLDRAFT_61751 MSAYDQVSKGSLKFKGSDSPIKKKKKKAKSEREKFARAIQDEAD GQKQEDSAAHRVTVVEKTEAERKFEETKLKRQMERVAKAATRSHKENVYEFNKKLEHL SEHYDIPKVGPG PHYBLDRAFT_184419 MVSSSTTLSELTTPLPNVQAFSIKPGSLKIHINSCFLNVSVKRP YVIITIGDQIHQTSISDYPQGQWNEGFEFLVSYHAQLFDTIQLDLYDSYMLLPDRHVG RAEIRLRKLEGMPEEFTSYYEIWEKKLSKGASSLASQKKTTESNVGAIQVRISYVYQS QDPVTPSGKTKNPSLHSKQLYNENELEEEFLRLIKAHRERPPGEILFRKYEETSQRSS FDEEIDKEEEEMKRSTLVEKRPSDLEEQHMGSYRIVEQDRAKSIPKKEEEEEIPATTT TTTTTTGSVLGTVSAWLGFTQPVPSQSKSTTSTSTQDTLTEPEDPSNEEHTDLIDTMN TLTTEDDSLKTFPILDAIGSWTVNKETNQVLRAIGKLLAAFGQGFELSNIQILTGFTM LEKFYTQLPRDRTWDLVEDLSEIELASHFWDYSLASYGWKGVNLMGKGNGYISDAVRD HSDALTVMEYLKLPEECLLAYELRTGEAFRPSYFVAQDPSTDSLVLCIRGTMSAFDTM TDLVCEYEPWKGGFVHKGMKSAAAWLFRHVAPQLVTYANENSVSALHIVGHSLGAATA AILTIMLLDHIDEFPKDKEGNPFVIRCFGYAPACGLSIDLSERYKDYIQSIVFADDFV SKLSYGSMMDVKELIIGGAEAAQNLGIGQLLWTQEPEGEQWKKAFDQIEECRRRCLES MDNPRLYVAGMVYQFWLDPVPGKDTRIVVERTDPRRVSSELIIRRSILLDHLPSNFDV SFRRAREALMANKDDQPVGVLDPSHSEDTGDIDPRVQRSSSTNTVPLHNKCSMDTVRD TLAEEGLKRTTERGGGDAHAVKDAVR PHYBLDRAFT_178720 MAKSSSSPSPKSSSTKNNSQTTSVSPSTEKRSQIELRPFFDKDD LKYAQFLFYSTYLDLVPYGVKLRVQSPIILGTWLALFAFLFTWVPSQLSTLGWTDLMM LSVRVLIVIVSIGGGLITLLWYVDKFDVSERVLEGIDNDLKDPPTFYRGEPDQPLKGN FWVLTINGEPVGCVGMDQHLEDVIDKRATSTYLRATELPKLSDSPAINEAEWEKTAKV LAKIDDTVRLVLVGATDLVCDSIVAARKALGFKVDSDGKKERVLFKAHKPNEASVRRL AIKSEYQGHGLSTVLLKRVALWAHSHQIEYLYAETNELQDKMAEVLEKRHGYTRVSTK KEGYIKTKTVWKLDVKLWMSKYLQEKKDEVSEAERKKEEEELKEYE PHYBLDRAFT_138246 MMLFQTERLREIETPSLNLDSCRISETIFRFRPEKSVRRKRHEV YPNLRNCCNTYKKLTTLTYQPSLRTISTKPLKKYSTSYIPVHLASPHPHVFSNHSTVF RSRAGLPQMHRS PHYBLDRAFT_76824 MSCVASYADYEYVDPSKSHFLATGKVQCNSLCYEALVTVPMLCP DQKYPCAINSGTTPHYNRRTNISRHASRNYSKEIPIFNGPQHESSFDLNSVFHSLHHT SNPQSVLFNVRKLISINGLKEILITQLGAVDAFTAKYTKTRDLIAEVLFVDPAIRLKA IKLGITVQNTPIPALPVFGSGSPLMKIDMFGIPNCHPETDLKDSLIEAIAPFGKVVYI CVYRDQYEIFCGRASAYLDTSALQTPPPLRRFIDLGKPFGASIELHAKELPIFCRYCK KDGHTVSECIRLQNKLTKDQLVKKTSQNKEYDTSPSYPLAKEVETTLTENITNSIKDR KSNADITTAEEDMIKMKGAFDKKISSMKAAEPTLEPIITTMPANHRRDNDLDLLEVQI AELINSNRNVPQAPLKQDLQFLKHSHPSSYEYPGFSHGCQDYIESRIGLDIPDICEKK CCTKDFCEPSEANPYFQKNNHEMSI PHYBLDRAFT_61756 MFGMRFGLGDQQSVQGMINRPTITIILSLDFQITSVSDEVMVLW GFEPKAFVGRCLSDLVAEDHGRLSHLQHCLTDRTDLHTRTFSDQLVCFDKQWDVVMRR ELDMIESKWRQSALQCSSRRVSSPALVLPLSVRPLILHASSCHSGLSPATLSSRPLAA NGILSGSNAGRPPPLESLLPDKPRLFYPARDLIRNTQK PHYBLDRAFT_17728 MSLEEYRARKVALITGVTGQDGSYLTEFLLKKGYEVHGIIRRSS SFNTGRIEHIYKDSHEQGVKFFLHHGDMTDSTCLVHIISQVQPTEVYNLAAQSHVKVS FDMSEYTGDVDALGTLRLLDAIRTSGLTDRVRFYQASTSELYGKVVETPQKETTPFYP RSPYAVAKLYGYWIVVNYRESYGMYACNGILFNHESPRRGRTFVTRKISRAVADIHLG RQECLYLGNIDAKRDWGHARDYVEGMWLMLQQDKPEDFVLATGETHTVRSFIEKSFAV TGRKIVWEGEGVNEIGKDADTGKIRVRIDPKYFRPAEVELLLGDPTKAHEKMGWKRKV TYDELVTEMVVADIEGGLKNDTTN PHYBLDRAFT_100229 LNVTLICPDCRVQPPQIVEEFASGDLVCGDCGLVLGDRIIDTRS EWRTFANDEGDDPSRVGAAANPLLDGNQLDTVISRRDGGTGAAKDLNKVHGRATAVKG ERNLVQAYKEISAMCDSISLSKLVSDTAKQLYKRVEDEKLLRGKSSDAIIAACIFIAC RQEKVGRTFREICALTRVPKKEIGRCYKSLQSKLQTSTTIMNSEDLMSRFCSNLQLAN YVQKAGIDLVKRAKELGTLAGKSPISVAAACIYLVSYLYRQPKSTRDIAHVAGVSEVT IKSAYKTLYAERDSLID PHYBLDRAFT_76826 MSDMTPIYSFFAILPLLLSAFVLFCHQRTHGTLNITTESVAYDR ALYNTTLDWNKHTLIVDGEETMILSGEFHYWRVPDRSRWEPILKQYKSAGLNTIRIYF HWGYHSPDENIYRFDGNRDIDHLLGLCERLKLFVLAAPGPYICAETQAGGYPAWLIAK RELNIRHNAMMLWRTYDPMFAAYEVQWLQALLPIIARHQVTTNPRGCVLAVQIDNELF EKMAGILPVGLRDQMRVLAKASRDAGTTVPLFTNDGFEEGGWVPRPENAGKGGWWDSN QFGIDLYGFDKYVVFAPSSSPKSWLIDGDYSLSEWGTWDPKSIEHSIDKLEKTVRGFG GGAKESPMFIPELQGGWFNHYQLKHTYDQIYDFFGDQYTKTLFDSTLAQGVTMANVYM IYGGTNWGALGDPDVYTSYDYSACIREFGKMSMRGRNLRKTLLFAQSFAPYFSKTERV NPSASSSVENTINTQRVAVGADQPVEFTFFRNFDRKQRTTFDVTHSSPSGVFTLECKL AYKTSFIGLGQYTAQNGLRLLLSTLPIHLRMVHPDTNEEIWIVEPNEVGSLAFESSEI QVSGNMQNNVLHREGPASILSFTKQTGHTTLTTLKGRLHLIGLLPEQVSTLFADFEAG HWNPDKQRSMPVVAWGADTFYYNHHEKTLEVQYDRSQDTVNVISFKKPTDKRMRALVA PDALPFVHSFVFQEHAHEQFPLPVLVLLEQWKTRAVDFRGMKWHALLTNNNKPVWDSL DYLYTSGHSLYRTNFITPSATRPKVTLEFNARNRATVLVNGRIVGGHTTYSRQLFSPG AKIGPDPWFLGTHTYDLSPYVNRQDNLENEVIVLVDSFGLNRQAFIMNDVRNPRGIIN ARLNGINSTAVWEITGVDVRLLDQPYNTTGFPDENTEAVWSSTHTKIVAADKKYSFLV KASDGPFWVRTKFDHGLKNAVDSLSVPLRLHLDGTMTANVFLNDVLIGRYYGNGDGPQ HDFYIPDGLVHKDGNELKMLIYSWEDTEAHVSIEGWPVDPDSGNLVQDGSVEEYMVWK DSILL PHYBLDRAFT_138252 MEDPQSSLVIATQWQDGGNIKDAFNAYVSLAQRSLEPFSEVKFV HCSIVTLPAQHAILLSTLRTCLDNIESILNNHTVVRSSTLDPVNRSKPTLRPEPPALP PKPIIGRKIRQGSYGETDSYLCSDPIAPSTVGQSKVDQKGSDSTRDDRTVADGQVDVS RLVPAQTDGLTDELMEISHIPRIPISPLLTTHRALQAKLDEVQSVLKEYEAQERASKD PALKHIIRHHSPLVIEAKQTLARVRTLSITAATIPTILHFSPFLIAYQLTLIDSALFR AMPSHALLSHTATSPHPRIVASTDFFNYLTRVIEHSILLPQDASVRAQHINHWIKVAS QCLDLHNYQSLKAIVSALGTPPIQRLKRSWAFIPKKSLGRLDGMTELMSEASNYHTYR QHSLNLEPSTPTVPFLGLWMLDMTYLLAANRSNPRNDPRIQVILSAIEQHQQMPPFSP VPIAYGRKNMSFGPNWSSALQKSAASMGRLGSSISSMSSISINNLSEEESYGIEDQQQ LVTQYLLMRPWLSQSAVDGLSVLREPSQQKQQQQQQQSSLATNRSSHPLIRFSANSNS SRTNSSCSSSSLEGPNHRNSIDGIGSSSMMCSSPETAKATTSMTAAVTVIDEPKPQRR HSAKWLFRRSLVDRPVGIDRSISMPDVRQEPRRLDLSIQTVRVESSSSSDLMHDVMLA GQRVSWYSSSKRTAVCLTSESAPELPPRPRVH PHYBLDRAFT_178724 MCILFWTVEGHPKYRFVFAGNRDEFLDRPSSKAHFWPNPNQNVL SGTDLQQGSTPQHNGSWLGITRQGRFAALTNFREQNFKGKLSRGILVRDFLTADVDQV SADDYVSNLERRAGDFGGFNLICVDLGRKADMVYFGNRGDASRTVLEKGVSYGLSNST LTSPWPKVNRGCEMFNSILNSSTDKTEEQFIEDLFKLLRTSSPLTNVYDLNQVMVDIT ERIFVPLFRNSEFMSSASYGTRTSTVVLVDHQGNTTFVERDIYSAVANEEGKVEYVPR NPSLDQDQVFRFNVDGLS PHYBLDRAFT_138255 MAGNFVILGGLDKKIHLEQNRHPCPKCKHEASVQLTRCETQLMV LNRAIGKPNNMRVRYECSECGWKNENLPDNPNMTQEPGNPSYEFHSDSTASSGF PHYBLDRAFT_161351 MIIERNASILRARRFYRTGIMNYDYLSRVRCLSLILQGKMGYPK QIFLRVSCLCLSFTYLYYQSLKASAAACSGWLVGRAMLCYVIAKNDIQKYTSNDSGLD PFAPLRLFFTSQTTSLHPAPRSTDPTMNILYRVSPDVYTATLNQDTQFVNKLKQLNDI YCEQYEKKIQVF PHYBLDRAFT_138256 MAPSKTNKRKRSKKDTSDNHYTDVRWCFENKDNLNFTAFADHFT LTDKQFAYNRYMRILKKYIKVKALEANFEIWRKSDFADDYWTKRLRSSTARKSGRKAA EHVHKAIINEYAQLDEHLEGESALSDTTTDGSDYIESEQHFVYWLTFDELNDKSLKRS SSKYVLNKKQSTFNQRLGLSSITLIPAEEMFTLKRHSLNDDCYLMVRQFKRAVEESKL SIPADWSQSSDSLRPLLYCVLTYTLNIINIDRKHEHTKQDIVRKSEPNFISKYVANFF QNIMFAYQDSLFFRWDTCSEVYDESKKKKRPDFIICTFDGFEVGCGEIKQPGTNYNDV EEDRCRVPEQLKKQLHKRLQTASEEKELATFGCFVFGEELELSMMEFKQGRYEYSVIK KLKLPTMCSTFERMDQSLEFLLGFFDIIKNTIVGKDGPVDSSLFLKYKQLLKPTISFE PHYBLDRAFT_161353 MSFSFFHLLYLYYQKVAQGFSLKKKLEEKLLTLSSKGIESYNAA PGKYGSKISTTAKKAQKKIFMRILCILRGQIELFFANKNVTICGSAKSKCRLPPGNVV PLGLTYIKIKALEANFEIWRKSDFADDYWTKRLRSSTARKSGRKAAEHVHKAIINEYA QLDEHLEGESALSDTTTDGSDYIESEQHFVYWLTFDELNDKSLKRSSSKYVLNKKQST FNQRLGLSSITLIPAEEMFTLKRHSLNDDCYLMVRQFKRAVEKSKLSIPADWSQSSDS LRPLLYCVLTYTLNIINIDRKHEHTKQDIVRTPEPNFINKYVA PHYBLDRAFT_18090 GWIGGAAGIIVGSPLDTLSVGTENTVQHGAWKTLTQMVQSEGVG SLFKGVLSPVVGLAGLNAILFVSYGTILRYFERQHGLDTHEASLSEIYIAGCGAGIAS FFFSTPTDLVKIQAQMSRIPKSTWDVAKTIYSNNGVLGFYQGGFATIVRDAPSYGLYF LVYEGTKRLLEVESTGDAAGGSNAMKILLAGGLAGAVSWTSIYPLDVIKSRLQMQVQV QLDRPYSSFRDCLVRSYKAEGLRVFFRGLTPTVIRGFPVNAVTFWVYELVMDAMSN PHYBLDRAFT_138259 MSDSCCTRFLSSPMSSVKILLPSVAIQALLHNKLWPTQSLRQIT LYLALLNTYWFATTFNFSFLETPFLVCLPTVNKKQRTDCGRHRFNWLNKMEIFVSVLG LDLFCEWRKRILDHNGFVDVFLSSAVLLPVLATAVQGAYLLPKINERARLIEAVPTTD DLYEKDRIFPNAHRAYIGFEGLKVIGLAVAGIRFGKMLTI PHYBLDRAFT_138260 MVMIKELELLEELTKGTFGFPIWQRKARPIGEKFSLELPLLIPK SFMETEQTLCVPRRQRSLSYWLLFEVVKS PHYBLDRAFT_61767 MYIVEWATLHKKQSFIMEHSNPEHLFVGCLNFGTVTDQPYNDKG YESQLGEPLPGLCVLQNGIPGHTVQPSIFDVSYHLISVVSCASIEVQMFAGQRLDVST IPDESEQLKQFLCQVFAKKVGLVKYGVYINSTLIICCTTDEGYPFARKTYIAIFGEAS EPKGDPKIYAHELYSIDELSSTIDLTYEIFSRQSETDLKDAVTYSTKRLEIIAKLTEN ERAERAKEALVAEKQKVPSQVSSQVSSQVSSLVSSPVEAEQDIFKFIEEKEDDRKRPT LPKIAPERKALEKTIATKKSVYETGNKHFLKTIIWEKVSKQNNGNKKSEELKELYQQV YTSVQYVFYKFMGVLERLTEKLTEKPNYNV PHYBLDRAFT_161357 MSAENTAKIAKAQPSRKGKKAWRKNIDITGVEDGLEVLRSEERV RGKTTPLEDHQLFTIDTVGDSKVKRQLAKDKPLKVDEILAERSAVPAVNGRALRSSAK PASKGKPSKYTLEKVEKIAKRKAEESAAPVKKKNKSSQTNTYDLWADAPVEEKNPYLE DVRTHKAKAPATMEHTPKALVNHVNVVVPDAGASYNPSMEEHQKLLDKAHSVELKKVE AAKKLDEQLAYRKELDDLAHELEEHNAHVNGETVEDEEETAISADATEAEKKKQNEIR KTRTKRNKERKAQLERALREKKQHERNIRKQIDKLEAIEEELAQRYADLENLSTKRDA HREAEALAGKKRLGKHYVQEMSIEVQLQDELSETLRQLKPEGNIFRDRFVSIQERNII EPRVPVQKHTKYKPKAYERRSYKNFDAEQARFYAKTDKK PHYBLDRAFT_178725 MAHSAVFTRHESHTWKRITLKRSPVLITHSATSTSTSTCSLPSS PLKPPISQAPRIEAASQRPCLRTRLSSSSSSLSSLSSLRSKSVRFDPELEKICLFQKS HAPSTLKEDNETLCAKAKQESLGIVYMHWPARSTQHTSRPIRVEKKLDVTTTEIVGQV QVRNLAYHKTVWVRYTFDCWQTVENVEAVYREPVGGKDPNNSNYDIFIFTIQLNRLST NRPANNNVLATLDFAVQYTVDGREVWDNNEGKNYSVQLIKSVDDSEDKTRDRYRDRDS LVTPAKLPAANSRSRSMVQVTREDEEEEDNLWGPRYDFGNSITQARRNPPVEIPKVHS PMIRSIPTAKTTEPFKQPYSRPVPIPQRQPRASILYASSPPNAAFYHGFPIVSFNPSS PTSCVDLNSSSYMDLVNKYCFYGTSPTRSPMPING PHYBLDRAFT_129342 MSGKGVVRSIKNYAKGFSDVQIRVREATSNDSWGPSGTLMNEIA QMTYNQHDFVEIMDMIDRRLNDKGKNWRHVFKALLLLDYCLHVGSDNVVLYAKENVYV VKTLKEFQHIDDTGRDVGANVRQKAKEISNLLLDDARLREERRQRQQMRDRMIGVTDY MNETMLGTRNEDGEQVYTRPGYLDDDKELRKALEESKRLADAE PHYBLDRAFT_178727 MAERSPRPPFSPTSHPPSSDTNQWSSQDYNSDKNRVGMTKTTPR DDRQVFVIDRPESPTLPPEGGQDDVYEDIPQTPKSSFDQPRSPGDFNGINSTRIPLLS NSSTTSSISSDNWQNVSGSGSFPNGTKGGPHYQSMERTRSVQTPTSAYAPPSQVPPSR RNGSSNQHLRHAVSANGLHHKARRPLHVNDHMAAAIELSASPNVPLAPAAGMYWSRAI TYGRGPSRPLRAHTADLVGEHLYVFGGCDIKTCFNILYVLDMDTLTWSKPRTSGHAPP PCRAHSCTVVERDSGGGKRTHYLYVFGGGDGPNYFNDLHILNTDTLVWTKPLVGGSPP SPRRAHTTCLWNNKIIVVGGGDGARALADVHALDISDLNKPSWTQLDPSGSPPIARGY HTSNLVKDKLIVFGGSDGHECFNDVFVLDLVSNQWTQIELDRGISRLAHTATQVGSYV FVIGGHDGSRYSNDILLLNLVTMNWESRKIYGVAPSPRGYHTSVLYDSRLYILGGYDG RNVFEDVYQLDLSACAYLPQITNFEIDV PHYBLDRAFT_161361 MSKPEGTSIEPQLTILRIKRKRTEEPLDALLVQQDKDKRVRRES NAKTDPKITIPASALPTLFRLAETVEEKSFKSIAEAQKLKDRISRRIQPGGRPMTPES SDDRKDRMMKEQLNTNRQARYRVVQQNRSKNAENLPPMVESASEVAAKDLFQMYEAVK EDDKENSKAKLFMDDDTEDPDDIMCNFIPMVKEYLTLNEKPQLSEEDEYVYDVYYRDD SNVTTGINAANIGSLVWFDEQGEFLNDDTDSELGDHEDEDSNAEDYYQNDYPDEESVE DYEHYHGSGLSSDEYDLDDY PHYBLDRAFT_161362 MFFYMSKRIKSMTPSSLSPHYTAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTS PHYBLDRAFT_138268 MSTPKLPLEIIDRIASFVPRDDMPKYTLVCKAWKDIFEIAMMTK VSITLAKRSEFTWIFYDLFRSQKNYEKGRYAKELTLDPLLSLREKNYGAIQKNLPNIK YLNMGILNPVPLMDSEIFKWNAWPNLRELQAIFDISYLQNKPKVVLATLKQLSGLRRL KLHARTIDKNAFLDQFSTIYSGDLFSAEDMEALHEYLPKLQYLNININFAKDCDGISI DRISPATDMDEFIATISNVSPSWLCYFAQKYRNLRILKLGSSSPTKVSLEYTDPFTER NMSILFRPTSTCTKLLLLCIHEDEDERKRYTQPAIYWNALSSSRQKACFIKYNPLFSS QSIYDYTRDVILPLRLCASKIENLVIQVKTAYIAPSPIIETLTICPHLRDLDIKCDEK DVELDTILDICTKLETLIIECGSVILRKPKSIDSPKHILLTLSMIKVEIEADVLSYIS YRCRGLHYMKLYNVGVKRSFFPYTGEFCVSMPHTHFRELWLYGLQFYYSDSINGNQNI NFVLIPKHTQEIQSRTTVSTSTPMSAFEYSMDASEYYWRNICSRIKCLKFPEYYVREM KDDEIKRASQTFNRLQSEDSKDKLESKNRETDQRVYGWEHDLYKGYVLFKCGSVKTLT YKGLLTNPPPWFL PHYBLDRAFT_129350 MQIFVKSLEGNTLVIGTTASSSVADVKAMIQAREGIPADAQLLS FAGKPLVNENALSLYGVQDDSTLHLNCELLGGAKKRKKKTYTTPKKIKHKRKKVKMAI LKFYKVDQSGKITRLRRECPSATCGAGVFMAWHSDRQYCGKCHLTYVFQKEEK PHYBLDRAFT_138270 MSTPAKITLGASVAFCCATVWGVHYLQTYEKELLRKGLEKEQER RDKKEQQRINMLELEEQRALHEALLKTQKVSSLPSNEPAEESN PHYBLDRAFT_161365 MVRRSNRRDSSLVSYQKPNMPLSSGLLSQFASWARIILMSPSHG KSGKKRKATPGDTEEERRVKSSEPRETDIRTRPDATEENEEPKRLDSRSHFPNVWQRT ALCRTTYQKLRQKSLPLWAILHLRHLLGALQQQLIQPPQLVQTSDAPLPLLSQSQSPS HPRMFMNIERLASGSSCSRKRYGRRANYALTAVAYTIDQDTDLICVHPLWVAPRTNLI NNNIKNNKFDDSDDSEDDDDVPLGSLRFDPVK PHYBLDRAFT_178729 MKDNNEYIELSKTGSDDEQDEPPDICHKSCCHGDEHLTQLNHGQ LMSLTNDGSSTSTLLENAFPRDYDTPENERKKTKKEIAKDLACQALPSLIISVVGFII AGVWMDQFQHWDVFLKTSELFILVPILLNLKGNLEMNLAARLSTSANLGDLDPGPTRW PLLFGNLALLQVQALVAGAIAGIASFGLGLITKPGSSTSYYESMYMTSSSMISASFSG TILGVFMCALILMCRKFDIDPDNIACPLVSSLGDIVTLVLLSGCAVLLERHMNTLLNT FIFFLMLSFLPVFGYLVWRNKHVKDLLFTGWSPIIFAMLISSLAGILLEKYVEEYKGV ALLTPVLIGLAGNLGSIYASRISTCLHSDTIESYRVVEWTLLGMNMPVQTVFLLIIWA FSMGQLHYNAWFFFSYFCISMCCTWTCLKIGKFMTLAFWKMGYDPDNYVIPYLTASID VIGTVLLVLTFSWLTSSGANDMKTIPTEP PHYBLDRAFT_61778 MTGSLSDNSQKRSSYIDQIGLQETKATIQAECKNASLESNLVFI VCYSILPAKRRIEGLRQNIEFACTSLRAHGNMQINQLLPSVRQLTMDEFCNRYGADTL TFLEEQTRKRRGEDVHNILQKRSLGPSVEKKDNSKLAPKVDSPRIAKERDNPPVTRSG QAQSFLRSNNSRSFDTKLQESKTTKHVQSQSKVKPKSKTRSQQQQQQQQQQSQPQRQK SREVESNQGENTGNLKDISGTRSSPGKQLKYDEQQPEVDCGPLFVHFKREKHPKITLR LNSQQEASEFSPFEISAPTEIFEKLGDQQRRRIVDQIQDIQDQLESLKQQLK PHYBLDRAFT_184430 MGVSDEEENGPLRPLIANQNNKEIITRQQSNITPQVKKSNATIV LEKEAHKKKLKMLLTHLQQLEAKIAQTTEAAKRLPFFNDPSGRLEEEQSTRRSADSRS RVNPQSLTDLDITKAAALWRQKIDLHLQLADCYLKLIQLDYNFAEKKGLESLCWKRIY SLVEQFRHALKARAERLAQTNKSAQSETNVAPVVTETGMTLMSFSDDDEEQVNPLEHL RRKEMSMIHHYLRDFLDKADFFYQRLSLLLRTFDREDNGDERKESRFQAWGQSRRLKW YRCVPIRGDLARYRWAYAAGIGDDSKSQTVSREKAREDAWRWYALGSWLMPATGKLYF HLSLLVGDNSQTARKEVNVLNEMHKLYFSTRSLMVRRNGFLNAREGVVVLFENNRRWF TKHLETVQSTQRNSRQRPRKNDTRRNLREVLPRQESEAMRSEGIAGMFVRLHGMLFTK IGLDQFPQVKRRFFDALFPTDIPREDRVLVKSGEMTKDGLQRPNSRTLSGEEMFWFEM AIICISSLHSYDYSSSKLSKLILLNTRRLYGQDSKENDTSVVPSGPSSQASGDTYDSL VEDLRESVIFASSIDLICQIAIELFRRFLDENMADACTPSLPPLPNVPQSLHENDGFV FGTSQTVEEDMTSIEESVKEPWLLYIELLLHWMVVNCMCAKPPSSPYDLPNNQVSLWE AIVGPIVPDLIEKSKQGPEMRNGIQSSISPAFWPLLLEFLNKLLASLPEEHKYDLVNK YVMEDDKETESEGKGVDAAVKAELAFSRLIVKVLGDRPTLPEESHILGLGWVDGVTSR LMKTMPDIDELTKDESTKDNEWNVEDRVLYRKIKVLEYSFALAKQMSHIFEYDPVYEK FTPAKYERYETELEESLEAEYPPLTTESNTGMDQMVSFKAVMAEMDDAVLLSNETDPL DEDDDDPIVTQLKKRREQLQTLLVAANEQKRYGYRKLPTQVKEREARMNHLRENVIPG KTVLILDTNCFIGHLENIKNLFAANKWLIVVPLVVVTELDGISSNAAPLGTVAMNALK LIEETLAKKQRVNGLRVQTSHNNFMYDISIRSEQFVFGETDKNLDDLVLSSCLWWTRQ PERVSSQGSVAACLVTGDRNLSVKARARDVEVVPVSVVMQLTPK PHYBLDRAFT_184431 MVKTNKYIEDSDDEDFKPTKRVVSEDEDSEEEPSSKKPKKAEKE SKEKSKGSSTPDESGAVFELSSKRKITVKAFPKGGAFVDIREFYTDKSGETKHGKGIC LPLAQYKILKDLIPKIDAAIEKL PHYBLDRAFT_103298 YFGHSAGQSFIRGQRIRNLPRCAVALLMGCSSGSLDRKKEYDPD GYVLNYLLGGSSTVVATLWDVTDKSLDKLTK PHYBLDRAFT_88191 NNTLLRRKSTKLWDTPLLEVKPQRKRRLNQYNHSQYHPHHNQPV QVPQAVQWIRGQLIGKGSFGRVYLAFNVNTGDVIAVKQVQPHQQNHHQNHQNHQHDMV KALYQEIAMLKDLDHDNIVQYLGYGQDEAEGVVHIFLEYVSGGSIASRLQLRGGFDER LVRYFTYQILLGLSYLHSKSILHRDIKAANILVEADGVCKISDFGLSKKNDYSQVYDA NSRMSLRGSIYWMAPEVVKNEPYSAKVDIWSLGCTVIEMLTGQRPWIAFNQIATLYNL GCLKSPKIPKQISKEAKDFLELCLQIDPDKRPTAQELLSHSFCYQDPDFNFQVT PHYBLDRAFT_138279 MYILLWLLVVGCGYGYGYGYGYGYGYGYGYGYGYGYGCFVVVVV VAVAVVAVAVAVVVVILVND PHYBLDRAFT_138280 MAAEYEHTFYFCDPSKRSWQSPSSSPPSSYQACHSDEGYDSDEK RSSSHAQEIPILKSTRSITRHLITHPPPWMHSFPPVFIAFTILFHTTHLFAHLLDLLT LERLCVVYGTWLYSTGWLLGTLVFTERLPSDFYYPTLLFWILMLERRNAWGIIVWEFV GRLDHPHEPASANRWRLFVFRTWCLLGTGSFWGLLYTALAHSDGFALSYLLAYTSILK LLLVSTFGGLMMICYWSFWTFQYRGVLWRKELRKGIVVWISEGVTRAGDVE PHYBLDRAFT_156966 MKKHTFNKHDKDLTSHSKKDHGKSTDRIPVRVYNTSNAVATYLT LLQNSRIMSTITL PHYBLDRAFT_156967 MAAYINIWLPDLRSPPVNGQLSLKQCEVSECLVCGTFLRCDHVR FISNCSIRSGS PHYBLDRAFT_161375 MIYEIEIEINKCLNASAAASQLGIHILAEQIWVKHYYEVPESIF EKNQGDIVFLGMSILSVICLLSKHSFILWKGTVRKSLSKDTTGFKSGSKLTWISQQIM FFLDESAFHINLKRDMTWSKKGTPAVVTVPITKAKATSILGAISSRGLINVSLRVSKR IKKINLGCETNSYSIGTVIKY PHYBLDRAFT_161376 MKYIQPSMFQISQIYTSKRYARPVETSKSEQINKSRAYNILKKI VLGYLTYLMTVRPIPRCESKHSLFWRLLEKESEPRIKSEYNLFFSVRYLNDTSYIAVR TTIFQCVYTAIKCIDVKGNRWTKVIMILFMNMAILHTMSLCFLHKQLAAFSILYDKNI DIGSYNVVESCIGYESLYTETGTYANNDVSEERDISMSSIEATTKILYKDSFLEKRTQ CDTMACAGMDSESNAINSCEFLQEYCIQQGFDIVYFTFMSHLYHWIYWRRMCIYCNCA RLYFI PHYBLDRAFT_105004 MCIQALPLVRRANSGEATFYGVGLGSCGKKNTNSQMVAALSSSL MKNLKARCGKKVKVTNGKKSVVVTVVDSCPGCAKNDIDLSPAAFKKLASLGAGRIKIK WTDA PHYBLDRAFT_85840 MTTKTMNNRVALDEPAPIDSYVIKLGIVGDAQIGKTTLMVKYVE GHYDTEYTQTLGVDFMEKTLMINRPEGRTEVTFSIWDLGGQREFVSMLPLVSNDAHAI LFIFDLTRKSTLNSIKEWYRQGRGLNKVAQPFLIGTKYDEFVNHSHEDHEEVTRQARK FARAMKAPLIFCSAAESINVQTIYKIVFAKTFDLGITIPEISQVGGPIVEYRHC PHYBLDRAFT_61791 METSNTDVESNYTDALNDMDSSSGDIEFYDCVMELNNNNVEVAD KNSNNSRDFEISEDTTGTTDDYIEISDDEEDTIVNQNNEGDDDSCVFLYENITQQRLS HIQEDDVVDITEKMKIVSKAKAKELDRLRRSLNVIETSHHKKVAHTRDRYNRISKYNF QSYDMSRVCGSEVYKAYMRVKEYNSSLEKDVLTIHTAFVDGSLRFKKFGNMGRCFGGL GVYWGNNDPRNLSEPCGAEIVSSDGAELMAILRALQVCGDPTSSLRIESDSMHSILAI RGRFTIIDPVIRDILKRIKFQLNLRQAKVYFAKVPAHNGIPGNEAADKLAKIGSTTCM RRFEREYYKNSYKNPYDIPYKSPYKSPYKNFYPLR PHYBLDRAFT_138287 MLNHLSLHLKLARAVEHIMLKSVYLKVTERTARANKERENMMKD ELSCEYPLTKDFSPPQGLEKIPHYKPVNRPTSETEVWSMFIDPIIDGLLSDPEENYHV RWTNTKDNNNDQERPDSVVCKMVESTWAHSVGCGECKVAEVNNNLYILAWDLCRLGYF NKHSINENMIRHGVTFYVTELAYDGLYTMTEICHIQVPKSLTTMDTLVSRRSLVSLLQ IVHVFNQVKEEQTVASKKCSSMKRDGPSLKWLKSFASDKKDRRRESSISF PHYBLDRAFT_61793 MVWPEAAALPVGSEGEFRGYPTTWNFCRCDRERNLDGRLTTVPE GGTVVPITFQDLEPKKKEKSIEDNEEDKSACDSIDELESKIDNLCSDTELMKMCHFIP NFSYFSTVYFNWAHNYHLLYYVRGSDIPFKRPNFVNAMFKDKTSCPKMIVKETSCPIN CC PHYBLDRAFT_100643 KEALKRASRALNELTGYNHIELVKHKVHEQAQAFEATRDSVQLA KRSYETAIETRSSTQREINELLQRKHLWTGDDVTRFTELYRLEHEHSRAEINTKELYR QAEKQMDREYMALARSIMERYHEEQLWSDKIRSVSTYGTWALMVVNLLLFVAVQTVFE PRKRKRLTDQFEELLVAKVDEEEAKIMSVFDK PHYBLDRAFT_104968 MEPLTVFSRQVEKNRLIQTDFGKDLSILNLELKVGHESTDLSTL ENQSIANLLEDKLSQCIRHLENLHTRVADTSSKVLVTGDLNSGKSTFVNALLKRELLP ADQQPCTSMFCEVLDSTLNDGLEQVHAIPDVQKYNRLDPATYHIVEMRHLYKVITDQF DLYKMLKIYASDARSTQESLLHNGVVDIALIDSPGLNTDSVKTTAVFARQEEIDVVVF VVSAENHFTLSGKEFLWNAANEKTHIFIVVNRFDSIRDKDRCKRLILEQIRQLSPSTY ADADDLVHFVSAGNVDLEPGSRKLDAPDFARLEERLRAFVLGNRTKSKLSPAKHYLVK LLTDINVLSQANKQMAGQEYEEATEALQKDLPAYEHLLRVRDRLLNQVEKVAESTVST IQKNTIARLNTAVEHVEAAIQGIEYPGIFLVWQYAQDIADSMSQSLLKEVRQAEQIAR QDTSLCVDRIHDMGTEHLGNYPRVADVENMLVKNNSRRVEVTVEATDFFDLVFDEKLS GAALSLGAAAMVGGRMLGFKDAVSSIWSVSNMVGAQNIRRLVVPVVSIAGIGLAAYVV SDMRSAVERKLVKKFQIAARETSHVEAHGQRISRESRKVLRMEGWEIHTRLQKAIENK EQKRSEMEIMAHDSQETLTYFNSLLEKSVLLLDNVNAVQIEANGIQSKEIEEKAC PHYBLDRAFT_98697 ITRYFEEILGQDPELLPTVNVSAIAKDADQHEILLVCQMIIAIA VQSDNNRIYIDMIQSLTQKSQHCLMVSIEQVMSHFNNDYEPSPMNSNNNRLSQVSSIS NTKSLIDIDMPYRYQLEFEKILDEKKQYETSHTQLLTEYENDVIGEKEDLKTRLRDMD EAITQANSTGKADFVMRTEIDHLKQDLERSEDKRHETETLLESHMSAIHELKKKVDEL TVQAEEASGLREQLEEYRHTTERMQKLENTLEKYKRKVEETSELKRQIKALEDQNTSL LERSHQVEDEYRKVLAFKTLMDSYKEQVQHLELGNREILKERDRLDAELRGMAETCAY LESDRDRNAEQVQMLEEHIKEYELGVGK PHYBLDRAFT_61797 MSEEGFLQFLLYCPIKGKIAYNSYGMAFPAKVPDPPIGSTTTLG QANITTPQPSTTTSTTTNTRSYLDVATATPVPGQVPVVLFSNLPTSTDRVWRESTSRH SVFFTPPTDSTLTYGDDDQDSQNILTDDEMADGDHS PHYBLDRAFT_161387 MQMLLVLASRHVYGCILSDAQPMPTFHRDLSSSTIDYIYASKDI ASCHSSSTVTFVQPLWTDHCLVRTCLSFPMLSHIGRGLWRANPRLANIPSFRSSLSDC LSSFIPLLSPSISPQSQWDLIKVEVARFTRSYSRTTRPSLATLEIESTCNIHHSRSLS IRGRATVLNSLILSKLWHVLRVVTVPLSFFRRLRSIMSKFIQYRSFPPISLGTFCQPI RLGGLEVLDPQVQQAALQLCWLRPLVRSPLSPSGLVPPWFSYVLRLDSSSADPLVPLI FPSLRSSHQRDFDSPLATLLATIDLLPHNFSDVVVNLPTCLSLPLSYLTTAQPDHPPF PSAWRDLRVSDAYEVDPSFGVLAQRPLHRILRRPIVLHRFFERLYTRSLVLHPVLYRV TIPPAICAIQFPSLDMPSGTAVDVRPFLTALVPGIPWHRLSTQSFRLLCNFHSKSARP ISPTLVPRQLRRFWSFPLPHGARNVWFRVLHKNIPCRSRLNSRIPTAFPDPSCALCSH PLDNQTHFLFQCPVKLSVWSSIWTLYFAQTATPTVLLSGLQSFTFPPCTDSSLSAGYM APSLAVHI PHYBLDRAFT_76840 MDESSIRHPNPRNKPAVPPPLIISPTLNPSYSSPNSFQALDPTF KHVRSQSTLLPTKKKRKKRNRSLLARIPSPLAPAESPVLPTPVQSPTTTTTTTTTIRP DTTTTEKSTAAPPPAETANQNGPSFSFWDYLRDELTVSDFDSGQEIKRERVTNFLAVP GALEKLMGFGFVVCLDSFLYTFTILPLRFFLALYHFAFNFFHNLQWFLFRKPSVVRLR PSQKCDLLKGLLITITCISMCSFDPSRLYHFIRGQAVLKLYVVFNVLEICDKLCCSVG VDILDALFSKATLGEPGQSIGRAAYAKRQLKPLTLFLLATGYMIVHTSVLFFQMITLN VAINFYSNALLSLLISNQFVEIKQSVFKKFEKENLFQLSCSDIVERFQQVVFLVIITL RNVVELSESSPSSILPSTFVPLFKLPASMPLNTLMTPVVMVIASELMVDWLKHAFITK FNQIRPSIYGKYTDILCKDLVIGSPSRSSGQRHAFVDQSPVVSRRLGLPVLPLACMQV RMMQQLLPMIFMSHHSQSGHGVSVASMLTNSLLNYLTDHHGTVSRMLPAHIQVVVLSI AECGWLEQGLDKVFRVVTWTAVIAIMALCLLAIKILVGIHLLDYAYKRYSSMEARQKA DDEKENEMKSMKKEEEEYRTGVRNYLNDTSDNVMGQKPIKYTLENVDRFSMVRSRIP PHYBLDRAFT_161389 MASFVSSLFQPWADKPSTFQPIQFSPQYPPYDTQHQPQSQSQPQ AQPQAQLQIQSQPQPQPQPQPQPHPQSQSQSPQSWIADVGLLVDKLRDDAASMITSKS IHTMSSLDRKSIAQGMRLVSIAADEYDEGNEALGLEIYLSGIDKVLMALPNKPDEKTK MALKEKLSSVQERVGIIHLATLHNNPGSLSNLSEFPPSFSYSPSSLPSPSPSPLSLLS PVLNTFWSYRPKSICSNQTSPEPTSRFKRFSQRVIDTSVSFAVVQERVGIIHLATLHN NPGSLSNLSEFPPSFSYSPSSLPSPSPSPLSLLSPVLNTFWSYRPKSICSNQTSPEPT SRFKRFSQRVIDTSVSFAVFIKQSPLPDLVYLVFENLLRFILWADHRWQVHQKIEEVG MICVKALLRADERYHIHEYASEAAYLLVAASLKALVAYKEAPTYPHTISLRS PHYBLDRAFT_178737 MLPSLKLFNQIPCPDLPDCNRGPCFYSHSLPPAPSIKRRKIITS TKASVDRPFKLPNAPNAPITPKKPVTDRHLTSRPTQSASTLAKRPNPQTSSTPRPELV PTNSRSQLVAPPSIYKTTPKPSHVSTLAPSKIATTMHGPRRIAHANTTANTGPPVILP NIRSHTPLKIRQVTANKIYESFCRIYNGLQPDLATKHATDQEDQIHQKTINPAGYKQM AMTVLMGLKKRPEATGPNDIGIHGEWVDPGVMATKSIEAESRKYCIPKEELEEMGYPP LELLDSPGGGMGSSVLHKKMKCDRCNRDYKVKDVLEQVDHTLCLYHHGRMRMVMKSGE KHRVYSCCEESYGSEGCVKGPHVYKDEDFETMHEKIGYVETPAANPSKPRTGIVALDC EMGYTTAGMELIRLTVVGEQSKILIDEIVLPSHMIIDLNTRYSGIKTLAGVKHNLESI RAELFKYIDSNTILLGHGLENDMNALRLIHPNIIDTAALFPHPHGLPYRYGLRALSTR HLSKFIQEGSDGHDSFEDAQTCMELLEVFLKNKSKQTR PHYBLDRAFT_161391 MTPPTSSITKPKRQELDAFIRGRIIGQWEKDTTYGEISKALDIP KSTVGNVVKAFRDKGVSKPLTRLGREPKVTKRTQSVMVRSFRSEPFVSIAAQHQRLVD VGISICMTTFLLLYSEHILLSTLLKRKYRP PHYBLDRAFT_61804 MATHYFRITLLVLSFQIIQETYAAPIDPIGGESISRSQAYEILK TIVLGYLTHIMTIRPTSDTDQMNTLYWRATFFVYPTIGIGTAFKAIFIAYDGDKILGI DEADKYIKEIDENKKQHWIEAWASDCWLPLKEFFLSKFSTPGSKYDSSGNRTEEKESS RDDFRTKAAELRDKIKKEAEKDKDYTHRPGDNVFYLAAILHLMKPRQARRAKHCILNS SIYLGLEANEAQVEKYKDNIIPSQNMRITGTGIESTYQAGIKPFTVRYLSEKMLDQIH TAYYLDATSYTEICITIGQLVYTVIECIDTQGDRWAKVIMIIYMTMSVLQTVSLWCLH KQISALTILYDEDINIVIYEIGDLPSESDILNPDLYDKEDVIGIQTRIGNIRNRMPNS YYMSSHEDTSSNREEDTPPKNSGPPQENSKPPTILYEGSFLEKIIQKEKIINNNGYKT YIEYHTYSYITAGCGGMTLSLLAGIWAGYNVHSVTQWLVLAWILSPVLLVPMFYLKNR LKIRKKPKLVIILWGIVYIFGFIGAGEFHFYIFPYKELAMSHYIFQSL PHYBLDRAFT_184438 MPTSKYILICILLAIAVPECYAPPVATNNPEQISNSAAYDILIL LGYLTHLMTIRPVPRCEGEYSTFWRVPYFIYPTIGLGSALKAIVFGFYGDTILGIDKA NKYIKKIDKKKRPLDKSLDERFLEFLASFRDKINIEAEKDYNYTHKPGDNLFYLAAIL HLMKPRQARRVKHCILNSNIYLGLEATPKQINQYRQLILSEDMVVTGKGAGCTYQTDI SPFYFCYLTEEMINQIPVARYLDNTSYTEICITIGQLVYTTISLCVLHKQLAAFSIPY DKDINIVIYEIGDLPSESDILNPDLYDKEDIIGIQTRIGNIRNRMPNSYYMSSHEDTS SNREEDTPPKNNGPPQENSKPPSILYEGSFLEKIIQKEKIIDNNEYKTYIEYHTYSYI ISGCGGLTLSLLVGIWADYSAHSVTQWLVLTWILNQVLWMFLTGLKSTIPSYRKTKRS IILSCLIYTIGFIGAGCVFTAAVNGYVLYK PHYBLDRAFT_178739 MEDAREVALAKLSELLKHPDDLNTKVGPLSRRLAKEKASIDAQL STGVQTQLDNVQEGLETLALSSQNNDKVKSNMRRIDKLCRDAQSMIHDFPRINKVSQV HQNFVATQEKVKAFRALYQRLQGIEQMFTPLQQDVFRPQDNLLHVHYELFKLEEFRDQ TMHQARGSPQDVLITLKTYFRRVDVLSDDFTQHLWQIARHLLELVDMGLGASVVKLVK IIECEEHADEKALAAQQAQTSHQDMQGHKKWRLAEGNPRTIKSYRVEFFEQIHQSIVE RFEDELAPYRENGDWSGALDITDFIFNDLELVFDHVVPKFPKKYKIFPHFVLEYHRHT YELLNGMVDQDLDAGTILRLLRFVRDYYATMSTRLGVTEELLEPQLLDGQEQTLVDEY LKLVRNKLAEWTSNLMSSESRDFVVREHPPETTPDGQYGMAGAVDMFQIINQQIDVAA DANQGKLLYLVVYECHKVMKDSQSFWKKLLLSEMRRQLEQPEEAPEGFVEYVMALAND QIKCSDFAEAILKRITPLVEAKYKTQVEDKLSTCVDGYLQIGAASREALLEVTFNDIK PVFDDLFTARWYEQPLVPSVIETLKDYCNDFTHLNKFLFDKLVNDMLDRFLMLYLEAM RHKNARFRMTTCLDKIQDDVRMSFNFFSTYKSVEELEERFDVIERVHTLLESNRRMIF VDYYSLRQAYPDVPLAFVEDVLSKRDDLDKAALKDIMEGIKAKAREYEPEANTPPTIF SRIKW PHYBLDRAFT_76844 MSLPFIDNLPEKDSLVKFVLHNNVYIDETFVGSSVCSTEDKVLY HHPTMPYSQSHDLDPTKISLLGSLLQGTTNDPLWTLVNKDWHDFVYQSSDPFRSSVSL SITSDGLSFEWLDHSYKWLYATTKDAQDVLTCYSDHETIATLKYIDDITELTLWPLEK DTCPLSPLSSPGHVPSMDITALDPNHSPVPSFRSLPAHLPEPLSRLTSLLVLTALVIL EHNTLPPMCLCSPDDMASISSSPNSSALTSLYGPNRGLVVRADTLKSIEIHPDRHRAW ISWFPCCLPGGWLDFLWVKWCGPRKKERKGGWRQQS PHYBLDRAFT_97182 YKRSFYRATWILTALDAGFFTAMTLKPMWLRHICSILFTFYYLV FADAAEERVRRVRATISIEHMRVSWEKVPQNPILRTMSKLIRPKVTVREIMSIDRPAH NSQLPPTEIYFFYAGAPETLSQHDTILLQFPGGGFVSMPPPCHEDAIAVWANQTGLPI VSVNYKKAPEHPYPWPIEECFDIYLRLVETRGTIIGLSGTKKLNIIVLGDSAGGNISS ATTLKIIAHNQQLKRQQNNGVPLKTFGADEGATDYTESLLPMPAGLILIYPALDFEMS CWMSPSQVSLIHADSTTQLFRTGSLETLWQSKDHFSHVSPLSVVPDVEKNQSLWRRLL GRKKTRTTIRQHVNPILTDKKAWQSSNLAMTSRMSFFNDRIISPDVMRAMAIMYLGPY ATPDFETDYLLSPIIAPLELLAEFPKTYMICGEKDPLVDDTVILAGRIRQAKGEFLGP EKADNAVHVKFLEGISHAFLQMMAFLPEAHQASRTIGDWIQEIASE PHYBLDRAFT_138305 MTFVPRLLCPVCHCFLWGPRRRQVKGTAFLVYTMTTKRSSDNNA SCSKKVRFDIPLIPIDAETASVRHELLSRLSEITPGRLHGLQQQYDQLYQLLHQTTTT GESNSCLLIGNRGTGKSMLVRSVLDDLAKLQDDPNFCVVRLNGMTATTDRLALNEIAR QLATQQRNQEGSAPDRSFSSFSESFEYILSLLKAGNKKTLPVIFILDEFDLFALHPKQ TLLYNLLDTVQSAQSPLAVIGLSCRLDALELLEKRVKSRFSHRQIYLFPPATFGQFVD AAKDNLRVFTEGHAENSYRARFNKSVETLFEESTITSLLRRIFDLSKDLRMFYKICFE PVSLLSRDQPFLTTISFHQANLAQRADSKSEMLKGVSLLELVLIISMKKLMEKDIDAF NFQMVYDEYKEFMSRTQVRGLGFGMKLYKKPVALKAFENLQSFELVCPTDIVAKCPKE YRMTKLMLEESQVVETVLKYKDCPSIVYKWGTGAA PHYBLDRAFT_161398 MSFREFIFLCALLYIIVPECFAAPIEIQEAIEGQSSNQINKTKT YTILKTIVLGYFTHIMTIRPSPDTDQKNTLFWRIAFFVYPTMGIGTATTAILSACESD KILGIDIFQDFFAKRKEDALKKRGKGGMSWIAVFLSDIWDSIKCVFLQLLGALSIRTY TPNEKEPDKDPAEFQKEVDNLTEEIIEFEQANGRTLPREPDNVACLAAVLLVLKPRQA RRVKHCILNSSLYLGFDLKDEEIETDKDKLICTEDMTITGSGSIHKYQAGVKPHSARY LTPTMLKQLQSAHYLDNTSYMGMCITFGQLAYTIIECVDADGDKWAKVIMIIYMIMSV LQTTSLWILHKQIAAFSIYYDKPINYEGSENYDKPINYRGSEDYDKQKDEDDIIINPM GDSSSLFEQIMPRLNDILYENSFLDKIVKQEALYPDNGYKTFTEHKYWAYMLSGFGGL TVSLLVGIWADYKAHSTTQWIVLAWILSPLLLIILTILQKVTITEKGILYSLSVYFIY LIGIAGAACVLAATVEGYSIKTE PHYBLDRAFT_161399 MASESISSAYHADAYLGIDTFKKSLEEYERLKAINKSSLYEESL ASSSIEKDDTSETKLLISDYDNLRQRIRHLRDWLVEYTKTNQQLEIKEYDNAPYLAAL LHTMGPDKGRKIKHCIVNTSLYIGFDLLFDTALVEINSNESENMKIAGSGAMCRYQKI VFPKVVRYLSTDMLDELMATYRLDETSYTERCVTVMQIMYIIYECIGGRGDRWSKLIM IIYTIMSILQTGSLIALHTQKTAFSIHYKSDITYTKLNNTSGFNESSSNGTIKSTKRE SKSHPESKVRDHRNILYQGSFLHQFVREMIPYGNKEAIESANDMDPTVLASIIGGTGL SLLLGIWADYTIHTSTEWIVLSWILSSFLFGIITYFSMNGNITSIIPLFLPIIAVPGG LTCVLAATIEGYPKEHPI PHYBLDRAFT_138308 MATSILGRQTLRACARVSPLITRPLAQRPGTCRSLYISGLHVSS PSLRASPASLIKYRASSTSTNKQQTPVTPAEPNTNEPTSRIGKFIKFSKDLISFYKNG LKLLWANGKTAKALKQRVQNEGYNLNRAEFQLVHNSHKDMLKLIPFGFVFMILPESIP LLVMYVPGAVPSTCLKESQVIKQREKLDKIRQNMSKNVIMSADSIEGISPEDFLNPRT FAKTAKAYNYDFELTRIDRAHLSSYCCFMGLSGWGTQGILKKRLNKHLDYLFEDDKLI VKEGIETLPLPELQQAAEERGIRSINANGDSLRRAIKYWIAVTAMDPPVSRGLLVFSR MFLLNANYK PHYBLDRAFT_29337 MAPQQKSGLAVGLNKGHIVTRRELKITPSYTKGAASKRTTFVRS IVREVVGFAPYERRVMELIKNSKDKRAKKLTKKRLGTFLRAKKKIEELSAVIAESRRH PHYBLDRAFT_104637 MSIPEELKYITPYVQRSQELAERDPIVSYYAQYYAVKLAIARGP NNKDTNAYLSHLLDSLEKLKAALGTDNEAIVDDIVGYAHVENFALKVFLNADNEDRSG NASKYVSK PHYBLDRAFT_120611 MSDDDFMLEDDDQDYDFDYEDGSDDEEPDVDLENKYYNAKARKE DDPEGAISEFQSVVDTEEEKGDWGFKALKQMIKISFQLGKHEETLKYYHELLTYVKSA VTRNYSEKSINNILDYVSTADNMSFMEKFYQTTLDSLLETKNERLWVKTNLKLAKLWL DRKEYGRLNKILRQLHSACQKDDGTDDQRKGTHLLEVLALEIQMYTETKNNKKLKELY QQCLHVKSAIPHPRIMGVIRECGGKMHMGEKQWDDAQTDFFESFKNYDEAGSPQRIQV LKYLVLATMLTESQINPFDSQETKPYKNDKEIAAMTSLVSAYQKKEIREFEKILKTNH NSIMGDPFIRTYIDDVLKNIRTQVLIKLIKPYTRIELGFISKQLNIPVEEVEELLVGL ILNEKIAGRIDQVNARLELDRRLTDALRYEAIDKWSNNVSCLGKTVIGKAT PHYBLDRAFT_29342 MRFLYFSEKRHDTNATPASSTAATTTTTTQSLLVVCLNIGTDPP DVVKTNPCAKFECWIDPTLLVPLKSLEAIGKNLHTQYENINGRTRFKLSLDPSVEETK KVCCQMRRNAKEERILFHYNGHGVPKPTASGEIWVFNRNYTQYIPVSLLDLQLWLGSP VIYVWDCSSAGNIINAFNRFAEQRDTEAMRLAEHNQGSLPLSAWRDCIQLAACGPTET LPMNPDLPADVFTACLTTPIEMSLRWVVMRNPLLTSNITMDMVLRLPGRATERRTPLG ELNWIFTAVTDTIAWCVLQDNPELFKRLFRQDLTVASMFRNFLLAERIMRSYQCTPMS TPGLPETHDHPMWQSWDLAVDMCLFQLPALLAEEAGGPAYTYNSSTFFAEQLTAFEVW LSEGAVNPKIPEQLPIVLQVLLSQVHRLRALILLSRFLDLGPWAVNAALSVGIFPYVL KLLQSPAAELKPVLVFIWARILAVDRSCQNDLLKDHGYAYFINILTPNNAMLSIPHVS EHRAMSAFILSVFCTNFKMGQQACLRSNVIAACLAHVRDPDSLLRQWICLCLAQVWMN NSEAKAAAVAENSHEKLCALLSDSVPEVRASAMYALGTFLGDPNKTEQIVNIEHNIAI SALSAMSDGSPIVRKEFVVALSHIVHQAGPAKFLAAATQTIEEDQNQRGLHLDERAKV RTRPGDWRPNGSENHFGTTSYDSVYAVMWKALLNLSVDPHPSVSKAASIVVDHINATL LKTTPLGEYASLVVRESIERSAEHGSLDRSQSFHHDEKSSSISPHRRPVKLTRSVSFA STLRTIYNFGNLSTGGLHDNNPSGSRDTLRGSSPLAQHASTLLGANSKAQGSVRQTGS DKKATEIEHGLPLSSTFYDWSCEYFTEPQMRAAESDEPGSVVYNQRKWRRSRNEMILA ESNATKGRAGTSRWEEQIISVYNETEAKLLLFHQYESHLVAADAYNCMVVWDWKTGTP LSVIDNHNPLSSRITDIKFINEDDVALLLTGSDDGVVRLYKNYDGSDQEDAVLVSSWR ALKDMERSSLRQSGLVCEWHQSRGILFTGGDARVIKVWDANQEMPIMDIPTQSTACVT CITSDQVNGNIIIAGFGDGLMGVYDRRLKGNESMVQRWDEHKAWIADVHLQRDGNREL VSGGLGGDIKIWDIRQTHSLRTIDAHSNVDMNALAVHDHSQVIASAGDDQIIKLWNMD GTQLSSLRSSSGFLGQRCVTRSLAFHPNLMVLATGGDDGYITLYGA PHYBLDRAFT_161405 MLVSELPFEILANIAAFSSQETKLQCTVICKAWQIPFQDSLWDT VKITDNKDDGNSVLDRITDLSKLYKKNGHRVRSLILYMDSYICGSQLQTAQEYFQRIK HLSIQQKTLHWRVSGNFTNWRAWRSLTHLEIEISKLNHGRKMDKTFEILSFLPQLTSL HIIDSGQTSQYRFTWRTMDFLHGCLPRLQTLQTKIPLIDIPIHQIKQMTNIVPAETIT KVNLSLKYSSFGWVYYSNLKYPNIHTLGLLTRRQMDDYEEDSMSTSRLSNDEDMSDDD NDMIYSDDDGDQDTSEDDIEDENISDDEISDYTLEDVTKMFSTLPYFFPSLKKLEMNK NLMSKGEHDLFWKLIRKFGVSLKYLNHKCCSILMDMHNPETTVIDYVEAFSLNIETLY YQVDPNPMMQQPNMTAHPMLIHYYLYLTELNIRLYTEDMALDVLLNHCYSLKRLFLSV NELTICEDAAYRLDPHNLERLELYGVKARTSLFNYLSVRCENLTHMVFCNVRIFGPIS QETGNICLDMRYTRFKVLRLGNVRFYSERDLGLAEYEYKDRMIHLITIEQIESMHNKT SPSNSLLQVPKAPTIEQLWFLYFKDKKRREGCFIAQKLNRSQARLIQDYFRMFERINK RPCDHKGNKYSKDEPEENCYWADHPPRGYVTLRCGYVANYSGKSIFESKFVTCN PHYBLDRAFT_17540 MGNDGGSIPRRIELVKEKQKDVKQNPDIERSATWLHCALSKLPL EQPVVSDALGKLYNQDSVIEYILDKTAYGDGDKICSHITSTKDIVKLNLAPNPGYDEK LVSADATTMGNLERDIKGRFICPVSMKEMNGKHRFVYLSTCGCAFAEQALKEIQTKEC VSCGKPYTSSDIVLLNPTKEELEPIKKAMEANKLKQKAERVRVKITNGLDRIYDTQHL ILFFLAVVES PHYBLDRAFT_29346 MGNFELICEVPTDFGATYTKYKSTKSGLTVVLSDIEAPLVNGYF VLATESFDDFGCPHTLEHLVFLGSEQFPYKGVLDSLANRAIAQGTNAWTDVDHTCYTI TTAGSQGFLKLLPIYVDHVLYPTLTESGYYTEVHHINGEGEDAGKFVVYSEMQGCQNS SSDRLHLRMKRILYPETCGYRSETGGLMERLRELSVEKIRDYHKAYYRPDNLCLIITG KVDPADLMKALEPVEENILSKGPLPPMQRPWVSTGDFPNLTKNIEETVLFADEDESMG TVLIAWNGPKCHEYFTMKALEVLNLYLTDSPVSVLQKEFVEIEDPLCTGISFDSTDHL KTTLMLTASNVPIEEIDEFPAQLFETLQRLVEANDIDMERMKMVIEKEILKVCRTVPS NSGICIDFLYGSEDGEDLKKAVKDKEYLAQLIDLTVSDWINLLKTWYLDQFHVVLLGK PSAEFAEQMTEEENQRIEKQREDLGEKKLEELHDALEKAMAANDVPVPNEILDNFVIP SVSTIKFIDVQSAQNNSKSIKNAVQEHINRDNSADIPFFIQFDHIKSAFIKLTAHISA SSIPSHLLPYTRIFLKSIFSLPVERDGMTLTYEEVVKGLSEDTLEYDSGLGTSSGFRE LAVFSIKVEASKYKKAIKWLQDILWHTQFTAERLKIVANQILNDIPQSKRDGHSMTIA CMRIIQYDATKSVSASRNVLFQTAFLTNLLQTLENDPNSVVKELNIYRDALCNPANIR LHVAGDILKLEEPRTSFKDFSNNGPLAPITLAQDVLSAAGISPGETGVIINLPSIENS FSMHSTKGPSKFDDPDIAPLLIMIELLDTMEGIFWKLIRGQGLAYSCFLDSDVEAGEI SFSIYKSPDASKAFEQAKFVIDQLTTKKMEIELSAVDGAKSAVIYSMVSRENTMDRAA LQSFANQVLKHMPASYNRSLLTAIQATKVEDLYRVLDKYFVSLFDPKTSNHVVVSTSA KVPEIQKSFSSLGFNLKSTTLNDIAGDASS PHYBLDRAFT_161409 MSNSSDSENSKKKEKNIYQENNKQFDDFRQSSDEDSREIFDDSL NSPVERGSLLIKTGVKRQRDPKDVSGNHKSRHTMETDHAHVEEDSPHSFTLAHNIAED NPSNPGSPIRSNSTNELRYREEDLNSPFELLRAFSRLYQLRRTEERFSDESEQLPTTI NTISKSSEKGKEKATDIVDKSHIKQTHPRQESVPSSQAKGLEQPHSRQDFVQSSLDKS FYKPASRSRISGRPKKQEPIDKSLPRPLFLLRRKNRHLAPDEPEETLSSISYHSDTDF SRLDHRFYSYGSSESDRPPDASLDGQSILGELGDLPHGWQSPFQEDQERSETSQLPPQ PSLVDISLDAESQDIIEPRSQEDYGYDEMQDPNIHEEIDFPGFDDVITGREESHEQIH DKLSPEDLDIFQNYEQPRHYDRVLPSQRFTERKIEERVQANLDGVKIPPVMAIGNLMQ RRIFSRFTDKKIEPGAVQKIIEASELFFEQMADDLKAYTKHASRKKLTCEDMKLLMKR QRLLTGKRTLEVVAHEYLPRELYDLVCISATADNELYPESVEYISSLIHNSILLLDET HKTIIIANC PHYBLDRAFT_11102 LTTRAGGAYIPPHRLRQMQQNVTDRSSEQYQRITWEALKKSING LINKVNKSNVKMLIPELFGENLVRGRGLFCRSMMKAQSASLPFTPVYAAVASVINTKL PAVGALLLTRLVVQFRRAFRRNDKTVCLAVSTFIAHLTNQLIAHEILALQILALLLER PTDDSVEIAVGFMREVGAHLANVSPKPNNAIYERFRAILHEGQIDKRIQYMIEVLFQV RKDKYKDNQPILQELDLVEEEDQITHYIGLDDEDLEVEDDLNVFKFDPNYEENEKKYS EIKAEILGEGSDDESDSDDESGEEEEDDESDEEAEQKKMEIQDETNAEIIALRRRIYL TVMSSVDFEECCHKLMKLDIREGQEIELCNMIIECCSQERTFLKFYGLIAERFCKMNR VWCENFTSAFTEVYETVHRYETNRLRNIAKLFAHLFYTDSLAWTCFSIIRLTEDETTS ASRIFTKILFQEISEFLGLKKLKERIDDPYMADYFQGMFPKDNPKNTRFAINYWTSIG LGALTQELREWLRTAPQ PHYBLDRAFT_61822 MFSIVRTPFIRNVRSLHTATGRAATVPQPRGNVSDVEGFLKAIG RGCEENAGKFESWEKLFTADSRTMKNDGISVKQRKYILGWLEQYRQGKDIYAISVPSP KKKK PHYBLDRAFT_161412 MYHSGILLPFISLLAFIYTSYVLCPGDAVSSDYRFSSFRSIFFK GTHGSRPISYRHFTITKDRLELGTSTGTNAAIYFTRSQEYPTMLIDTQKPAISNPDSF GNSMPKHKGEYLADNIDRVSLPVATSEPWSSSIRTFIEDKEYFYESTKDSYKEYIFNY ADHIYESEDDDDDEKFIYPADLANRANHISWKIPLTSLRFTISITSPRLMPITKDNIT RYIPVSEETLNERLHLTLSIIIMAITFFNYIAIADSFYQYYVILHF PHYBLDRAFT_103343 PSRLTDVRLRNLVVSCIYSAEINDFIITSVDCIHLMEGLVGVEF TVEEKNRIRRNLEGLRPITVGKGRPEYAAFFRLIMNFPEPRPRNIEKDLKVFLWSDLE EALRKIIKKYTPSYS PHYBLDRAFT_153957 MLFYSFFKTLVNEQVTVELKNDLVITGTLKSVDQFLNIKLDDIQ VIDEDRYPHMISVKNCFIRGSVVRYVQLPQQAVDTALLQDAARREAAQPSSAQQANVR VK PHYBLDRAFT_61828 MIAQTLEINWHDTKAVYSTDFSSDGMRFATGGADTSVRIWNIQR RPGTNQVNEHKKSHKSGNALPVVIDFLCELKRHSAPVNVVRFSPTGEYLASAGDDTCI ILWRLATNKDSAFGSVHSEYEKETWTVVQMFYGHTKEIYDLSWSPCGQYFMTASIDNT ARVWSIAEKTMIHVFSDHTHYVQGVTWDPLGEYVATQSSDRSVAIYKYRKGPLDKPIF GPCFRKHYRQERPKTQKSEGSEELTSSRLYHDENLVSFFRRLWFSPDGALLLTPSGLF KSTPEGTTGTGAGTGAGAGTGSEETVESGADEVRNCAYIYGRNLLQKGPVAYNGNHPK PSTVVRWCSKPYERRSKHYRTTFGLEYRMLYAVATQDTIYIHDTQQTRPICVLSGMHY APIMDLAWSPDGTILMFASSDGYLSAVVFADGELGELYTAPPAPPPTPLASLAPSPSS ILPSPQKQIVTPPAVAQIVKDVEMTEGVRTSNSVQTTIGDLLGITVRTETTERKRTSE VQTAGPKKRRIAPTLISAPRKL PHYBLDRAFT_138326 MSGDLCSRHVTVYFLLDLTRKTCASRVLAFPSTIKMVANDQAYT ALQQYLQNTFNPAAAVQKEAERQLFAFEVQPGFSIVLLRLISDQQVDVTLRFAASLYF KNFVKRQWVPESDDINNITPEDRVAIKTQIVQLMISVPEKLQLQLSDALSIMAETDFP EEWTNLLPELISQLSPTDYKTNNGILHTAHSIFKRWRSQFKSDTLFAKIKYVLDVFCE PYMQLFQITDRLMTENANNEHALQILAQSIILLIKIFYDLNCQDLPEFFEDNIATFMA LFQKYLLYNNPLLVSEDEEEAGPLEKIKTGICEIVELYTQKYSEEFEQLKDFIPIVFE LLANTGQESKYDTMVGNGLATLTCIIRLRKYSDIFGQETTMQQMCEKIALPNISMRTS DEELFEDNPIEYIRRDIEGSDSDTRRRAAADFIRGIMELFEPQVTSIMSRYINSYLER YAANPTKNWRDKNTAIFLLIAIATRNATTQVGVLNTNSHVDVVDFFTKNVLGDLQTDV NAGIPFLKVDAIKYLYTFRNQLTKDQLLTVFPLLVNHLQSTDYVVHTYAAIAIERILF IRQGKTMLFTAEDIKLYAETLLSELSRLIELGQTPEKLSENDYLMKAVMRVIITSRQD MVQYVNVIMGKLTGILAVVSKNPSNPRFNHYIFESIGALIRFICPISPQAVDEFETML FTPFQTILTQGVQEFMPYVFQLLAQLLENHSGQDLPQLYIDWLTPLLNPTLWEQGNIP ALVRLLEAYLSRGVNTIISQNKLEPILGIFQQKLVNSRQNDHYGISLLTALTKHVPMS IFGKYLPTLVASVLKRLQIKKTKEGMVFDRFTRNFTLWFCLFCTLDSTGGPDTLISVF ESLQPGLFGQIITIFVIPDLPIVRDPVDKKICGVGLVRLLTQSDAMLQEPYVSGLWTN VFLNLVDQLELAPEIAEDGPDELYTLDLEEEGGYQTSFTKLATSNPVRDDPVAGFPAC PIFLAQKLVAMTPERREIVKQLMAKTEEANKYLPKYFESAGISMAQL PHYBLDRAFT_61830 MLNRVKTNIYPRYSLIGERLPGEHIEPGRLYKPENKEADMSLSQ SSFKRTTVCLQGRFCAPDVAQRRRRRSKFDRLFERSNFDQILIEKQSLSASADELIKD ILEVIKKEVNIKLEQMAAVKELEQTLKANKYIHKYTVKARYSDMDGGQKFYHYHYIER VFFLLRALFSDISNAAGPDIIVRFWITSHVYVI PHYBLDRAFT_29356 MASLTPEEKYTLITRNLQEVLGGDEIKKILAERDLKMYWGTAPT GKPHVGYFVAMSKIADFLAAGVEVKILLADLHAFLDNMKAPLELVGHRVKYYEALIKA VLTSLGVPIEKLTFIVGSSFELSREYSMDNLRLAACVTEHDAKKAGAEVVKQVDSALL SGLLYPGMQALDEEYLGVDCQFGGVDQRKIFVYAEKYLPQLGYKKRSHLMNAMVPGLA GSKMSSSDPDSKIDFLDSAKDVQKKIKKAFCEEGNIAENGILSFVKSVYFPLKTLHGN KPVFTAIRPEQYGGNMVFNNYESLEKAFADKTVHPGDLKAGVIAAINELLEPIRKAFE DPALIELTKLAYPPIETQLKQKPKKDKKAEKKEKKLKEAAAAAAAGEATPSASATFAA VPVVVAKQEEIKNEEVKKA PHYBLDRAFT_178749 MTEMCVSDLSLCCCLCCRCHISTVEYQNQSKPAHPSEPSLSSRS LDMDTSKTPVKLAKVTKVLGRTGSRGGVTQVRVEFMDDTNRSIIRNVKGPVRENDILC LLESEREARRLR PHYBLDRAFT_161422 MSTTSLPHQRSSSNSSDLLPLPRDLDEDSFSRDLIHQFDKKRSR LRLEMVELENSHDAPSLHSSATPPTMLTVSPSPPTPTPAPAPPPSTTSTSPDPTNRRR SAGDLLRRSSAYFKSKLDAFKVSRSHDNLRDVHSIHDPTPSKTLGIRHLKKKPTRQDL FSLSSTSLPPPAKIAINTTIAIPQFQHNTHHQTQQATLHAHFGAIKPPVISQYPPKPL RYSPVDPPNDDHRPIVHRISLPLLRRNQPDPNEGGTTRRRSDTEATHQKKKAINTRKS KKGKERANLAEGSAL PHYBLDRAFT_178751 MDVSFLLSSAMVLGPVVGYVDQYAIIRKTQSSAGFNSATCAILL FANILRIFFWVGKRFDTTLLVQSIVMILAQLILLQVVVEYQHSSPSTLYGDVRSSFSS SSLLDEDLQAEAEGYESRRPWYRLRFWHWDHYLDYVNCLLAFTTIIALLYFFLRSYPA FIEVLGALSLGIESTLPMPQCISSFRRKSTSGFSKLVLASWFLGDGFKLFYFIYTNSP LQFIICGAIQLSIDTMIVVEFVIFSSRVKKWMGTSTSWLRVGDENDPAFLHDDSEH PHYBLDRAFT_138332 MPHSFGLRARTRHMFSRKFRDHGAIPLSTYLTIYKTGDIVDIKA NAAVQKGMPHKFYHGRTGVVYNVTKSAVGVIINKRVGNRYIEKRVNIRVEHIKHSKCR QEFLDRVKENAQKKQAAKDAGVIVSMKRAPVQPRTARYVSTAKNVPQTITAIPYETLL PHYBLDRAFT_161425 MPRLRRSFGTCALLYISVLECYGLPENTTEDTRATYSNVVAFSV IKAIVFAYLAHSMVIRPSMNTISSGTFGERFLFLAFPTFAGSLVSHHISAAWYGDRSL GIENFKKPLEVYSKTISEEADDNIIHTIAGEPSGSYFGSFSAQNDIELESNPMKANLN MVQQKTQRKSDWLVDYGNKTQEFNIEDHDNATYLAALLQSLGPDKAKKLKHCLLNSSL FVGFDLLDETLLEDLNYIKTDQIRVIGLGVACKYQASVAPSVVRYLPTTMLDQLYDSS SVDRVPYKEVFITLVQLGYTVIECLNPNNENKWIKSVLLVYMIMSMGQTLSILALHTQ EFAFSVRYRPGHPKQNISSDNTCTPASDTHLDKDFGCTSRPLEGQNETANEYCDAVIH KGSFLYQVLQGLNIYTNTEEPLDPYEESVWNFFVVVLLFAMPFLLGIWADYASHSTTE WLVLA PHYBLDRAFT_138334 MTIQSLLTDSFSFLSFTYCSILKSFEGAKPIRDSPVVSKPVHRE LSSSLIIIMDERRQGAIKEVYDRYIGRFKD PHYBLDRAFT_156982 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLTLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTG PHYBLDRAFT_61837 MSYILIASFHDSGGQFIQIHSLTSMVHLDPYIKAFFPLITCSPS LSHLFFIKLFQMSTQSILRSKSSSASTSYCEMVDSLEVGFSIEKQVVASCQQHRERSV RFSDVVTINNSAFVMVVNGSKGNQDLSAYQQYNSSSSS PHYBLDRAFT_104739 MHRQKKKINRFEAANHAQVSTDYGRNDISKQYPTRLNFYLAPPS TEITIEEFELFALDRLQVLKTLETASLRNLRGAELERVMNPVLEQHLPLKSNISQSPT LYEDRRKDHISHYVLRLAYCRSEELRVWFLRQECNLFRYRFEQEGQEEKRRFLTELNL NWKILDQETKDSITPQLEKSLSYSGSKKELLQVRQRVQSETYFEVDFEKVPDLLSRRS VYIHKGKAYVPMAEQVSLVMDEFRLRLSKALEVTSKILPRMEEDDRLRPILLNVEKQY TGKSYGASNNSDGTVQASDVDGLISEHAPLCMRNLHDSLRANKHLRHGGRMQYGLFLK GIGLNIDEAILFWKLAFANLPDDKFQKEYAYNIRHNYGLEGRRLDYTPYSCMKIIQSN QPSSGDSHGCPFKHFSPENLETRLYKDKIDKPQIQEIMTLVNNRHYQLACTRHFEVTH TKVTEKATIIEHPNQYYEMSKAAAEIATTKPDTQVVTESMMDIDQ PHYBLDRAFT_98138 DISIEFWASVISGFDEMVKSDVQNLRLHLAVGIPPSLRGMMWQI FSKSRDSNELECEYRELLKRTSPHEKIIRRDLARTFPTHPFFQEKDGEGQQMLFNVIK AYSLFDQKVGYCQGLPFVVGCLLLHMPDEAVFCVLVKLMSHYGLRGHFTPQMETLHER LYQFDQLLLQTLPQVHKHLETHGIKPTMYASQWFMTLFSYRCPFELVFRVFDLVFVEG SSILLNFALALMKKNQKTLLNLEFETLLEFFSNRIFDVYQVRDYVADEPAAFVHDAYG FNITARQLEKISKKYAVESAKEAKLHSPEDQLRRQNAELAEKLRRMEHSFNILQDEHQ DVAHQLISVKMEMAQMNDENQELRRLLGKYRSD PHYBLDRAFT_161431 MNQTKQPTQGSNTTQNEPRQKYGKQKTNKGKSLDSMSKIKKRIR DVSRTLKKGARTAKIRVESERRIRALEYELGEKTIDAQEQKNATAYHQVKHIERKKAE RKLAQATSALEAASEEDKEEAEKLVEERKIDLLYTKHFPKSLPYVSIISKSDEKKQNT TREEVREKIRESIKNGEDFTDMQKFYRDKCRAWLEKSKKIPAAKPVDVDEEAPVDDKM DEDEDSDAEKDSKKDDFFE PHYBLDRAFT_161433 MIKFHKPSNPFKGIDPRTGAVGAELLLLVVSLLMLILGIIFTPS WAYLMKIGDLYTYMGLLILTCLWSVVAIIFSIKRSGKGVRIATIVLAVLTGCQLVVGF IHAMLLYMYYRPSMMSSCLQRQPARLFMWSLGYDNVPEMVKLHQECDSQWTRFAAWRM ITWGIMTLFTVLTLLAVQGYSRHLRPHLALRSSEDASMDPSYEKQNPEIVRADSMRKD RYTDDVNQGLLESNHSEEEMTNVPPAYPQDSNSLAIARDMYKQRQKLYAEIAKRRHAR EGSTRRSRAMNSRRQMSNGSVHPLDLTSPSENGSLNSAVMKDIEQGEIKGGVEDPSYS SENNQYEDPAHQSYAVIGSQTGDHRDSFSAEKIEYDNYRANNAYNSVDLTDSPAGQPV QPETSYAEQYEFLSLECNAILLNISLFIGLTHIVSFSFFHQLIFLESDKLFHAGKKVT ICGLVEPKCYPPPGNVVSLKLASRLPLKLSYNSNPDKAANVLGMTFSSGMEDVSLDSS TYPQSQGIGHNKSQNETNQDQPQLNTSNTMLEEEEGNNDRDRLD PHYBLDRAFT_129395 MAIQAPKAKALAAKKSALKGVSGQTSRKVRTSTHFHIPKTLKLA RKPKFARKSIPHAPRMDQYRVVRQPLNTETAMKKIEEHNTLTFIVDVKANKAQIKDAV KRLYDVEAAKINTLIRPDGYKKAFVRLTADVDALDVANKIGFI PHYBLDRAFT_184454 MSEEASNKRQRVSKACEQCRRKKVKCDGTIPVCGNCVSLSLGCT YKESTKKRGPPKGYIEAIEGRLHRLEALLGSIIQEDDPRSQAILAELNAPLETAYGEL VRPRPMRRTMTLGYEEGQVEPYPDHHTHSNNHRHQSTDPPSSSSSTATPSNFGGAETA NDNLGNLSIDESGQLRYYGKSSGYYMLRSSKNFQNGAFHFNSRGYRARAENRKPSGYP MAVDPFEMPPDDLSQHLLDLYFAHFYPLVPLLHKKSFLEAFKSKDRKPAPLLLNSIYA VASRISPDIRVRADPNLPDTAGDLFFERARILLDLEWDDFKVSTVQSLLLLSSHQNGA LKNIRGWLYSGLALRMGQNLGLNRNCNDWDLSATEKEERKRLFYCCFVLDRLTCGMHG RAPMIDERDYDTPYPTEDDEDDVGHSPRIIENFTQLIKLCELLGAVLRDLYTVKGRKQ LSVMASPDSVISSLDRGLNKWMAKLPQNCQYRPPNSRLNEKAPAPSLELCQVHMLFYT TLILLHRPFIPGPTQSTSPSVFPSASICSFAANKILDIVESLMAEGRLKNVNNYSLYF MFTAGIIFINDAASDDSMFAFEAKISINKIIRAMDEIEATWITSARHCNILGELAGLR DINLEAVDDSYIRQSERIKVQAPMSIAVPNSPDTIPDAIPDIIEELRHPIDVDRRMTL KQPGYLAVNYNSNITSVQSVSSEESGMPSYPPLTQPQSQSQSQSQFDYPQLPPMSFSD NNGQSSRPFDQVGTAFWGMPTSFDFDEWNDYFSHRNQQQARADANLSGPALSQPMNQP LNQPDMSQTFNGVRPDRSDIRQMW PHYBLDRAFT_176550 MDDDNSCLFRSIGYVLERDVSKASILRKVIANAIAEDPITYDDV TLGKPREQYIEWIQKDASWGGAIELSIFSKYFSIEIDSIDVQTGRVDRFGEGLYPERV FILYSGIHYDALAMSPIEDGPMEFDQTRFPINDESVFKAAQSIATVLRQSHQYTDVTN FTLKCQQCKKGLVGEKDAQMHASSTGHTHFVEYE PHYBLDRAFT_138347 MSTQSILISEFSSASTWYCEMVDSLEVGSSVKKQPVAPSQQRRA RSVQFSNIVSINNSSNTTVLNESGIGQAQSAYQRNWLLSPVKTHGVVSISTKKNTSYC MIQNVFPMSTQSILISEFSSASTWYCEMVDSLEIGSATAKEPVAPIQQPRARSVRFSD TISITNSSNVIGMDRSEGDQIQSSYHRSIRESTITTTTLPPSSSSST PHYBLDRAFT_161438 MLCESNKEVHSICCIILMRYNDSIKVSFFISLVYTPQSNKIQTP RSRADHIIANEPVYTPASQIIRLSEKKSSCTYLKANETSNGCATGRVSLYAEVVQDIK KKLE PHYBLDRAFT_61850 MGSIYGSHCLGCNNIEEEGGVVKDKFPVRAPDGVNRNGCNRNLE RGGNGRRKPSTSEKPGNIRNRPRRTQLLQFTIDFSNNELDLKHYMLGGSDIAAFFRQY QNGADKILKPIMLETNVQELLALGDNIITPKQEKLGLLTLAADMNSLKGNVIEGIGDL LTKLPLDSILESKKIGEVDIQTRYYEPMLSGILTDITKRFILRWPDKTDETAPKIRPD AIISTLIQLKFGRHLGYENEDPILIFQVNGFQLILYVIQRINHRFYTIIWLTAQAPNT EIFPFNIIDVISFKKNNLALLLIILTFTLIGKKIAWSPVGSLSVLKTPATRVIAYIVL GTVTKVYTFGSKVKKEKGIQKKDVEVVEKKEEENERTKQYWSDESKPTLKGTTTAH PHYBLDRAFT_138349 MSVAFESPSPTPYGHQNPHSSNDAYFRQLSTNVQRPSTQPINGT NIHQEFFNAIQTRHTGQSPLVLVTSENRPSSRSPVQKSPPPTFPIEPINCEDLQSLLE SDTKDHILLIDVRSFLQFSYSHIQYSINIAVPSTILRRPSFTIEKVYTAIVSNSERER LQQWKTYDQIIIYDQSSEILSDQCSARFLASKFDLAGYHGRLSYLKGGFELFKRTFPF MCDSGTRSNLQHKGESGMSLKLPSNHKPMSLGPLTVPAQDVCNPFFSNIRQNEELGMG PIKDRIPVRLPSGSSLDSNTDINLPNTPRCINISTYNHSGYTVRAPEWLKAILSLDGP KHLAEMYEKLERAEQKRLQNIVSYHSKHSHSNPSEFPLSIVAGIEKGALNRYTNIWPF EYSRVKLHRKGDSGDYVNASYIQYVSQPSQDINILPDVSEASLRTMRFPDSKTDIFRR YISTQGPLPTTFEDFWNVVWEQNSRVLVMLTKEEEMHKIKCHRYWPTSIGQTVNYGQS NVTLLSRTIESIDSQNQEDCIIISQLEISQPNQGRRRLTHFQYTGWNDFGVPDSPIGT LRLVGLADESQTLYEEHGGAGPMIVHCSAGCGRSGAFCAIDTAIQRLSKSLTQDVLFE TIARFREQRVSMVQTMRQFVFCYEAICWWLLGYGELPVSDRDLSSEEESDHEELMCVD NKRHFQDGH PHYBLDRAFT_161441 MSQDTWTFSNTDSLLGYAFAFYFLEKRNNGTSKHNIRLLCRNKD GLEELERLGGEVIEVDYRNECDIQTAIAGTRFLMFNPEFSGKRIWEAKTLLNASKCEG VEYVTITSFIGVDRVLREMHNNKHDFSNMVEYYHLEEQVRHLFGDDNHCVVRLPFFSQ SLYYFSPMIEKESMIGMPVDTKARWPILSLLDVVQGMYHLWTENQIKRLNHIESNKAL FQFTSAYNQDGKGLSIIFSEVLGRTTQYTQISASNMRAYLQDIRENENFQERPFSQES KDPERPERDVPFTFPIARYLNDNYIDTLLELWLMFDCGFSDILTDDLSNALGYMPQEL SSFVQNNRDQFRRLQ PHYBLDRAFT_120644 MSLLSKVVRCMRTIAPLELAETSWDNVGVLVEPPFPLPTFSKVF LTIDLTPQVLDEALSDPKVGTIIAYHPPIFKAMKRLTSADVKQDMIMKAIARGVGIYS PHTACDNCVNGVNDWLASGLGKGTVAPIERSVNPPAGQEESGSGRIFTYDEPFPLLNV VAKIKQLTGLPFVRVATAEAHKTGLIRTVAICAGSGSSVLGPVKADLYFSGEMGHHDV LAALAQGTSVVLCEHTNTERGYLSAVLKPALERQLAGERSESGENIEVVVSKVDKDPL VIA PHYBLDRAFT_56904 MMKDTVTRFARHVVGPKVMEMDEEERMDKGVLKSLFESGLMGIE IEPEYEGSGCSFTSAILAIEELAKVDPAISVLCDIHNTLINTMIRKYGTEAIKKKYLP RLATDTIGSFAISEAGSGSDAFALVTKAEDKGDHYVLNGSKMWISNSAEAELMIVFAN TNPSAGYKGITAFLVEKQWGIKIAKREKKLGIKASSTCELSFEDVRVPKENVLGVVGQ GYKYAIESLNEGRIGIAAQMVGLAQGAFDIALPYIMERKQFGKPVGEFQGMQHQYAQV AVEIEAARLLTYNAARLKEEGKPFVMEAAMAKLYASKIAEKSASYAVEWMGGNGFVRE TGVEKFYRDAKIGAIYEGTSNIQLQTIAKIVASKYA PHYBLDRAFT_74554 MSAEQKTVAVNKMKALHIEKLVLNICVGESGDRLTRAAKVLEQL TGQTPVYSKARYTVRTFGIRRNEKISVHVTVRGPKAEEILERGLKVKEYELRARNFSE TGNFGFGIDEHIDLGIKYDPSIGIYGMDYYIVMGRPGNRVARRKHCKSKVGATHRIKK EESVEWFKTRFDGVVSNK PHYBLDRAFT_176553 MSTFEKVVVIDGKGHLLGRLASIVAKQALNGQKVVIVRCEELNV SGEFFRNKLKYHAYLNKRCVVNPRRGPFHFRAPSRILYKAMRGMVPHKTARGAAALDR IKVFEGVPPPYDRMKRMVIPDALRVLRLKPGRKFTTLGRISHEVGWKYQDVVAKLEDK RKAKSSAYYERKAALIAIQKKAVESKAASLKTVNASIAALGY PHYBLDRAFT_138354 MTKVYTIGVSGPSCSGKTTLSQILFSILPNCVVICQDEFFKPDN QIPIDQTTGLANWDCPDAIDFKALTRTISHVRTNNGQLPEWYQSKAIKNDHDKRQILP RQVTQQLDPWLKHIQPDIMFLIVEGFMLYQDKDLSNEIDRKIFITASYEMLKTRRETR TGYATKLGTWVDPLGYFDDIVWPQYVKWNKQFFVDDSCSIVRQDVAHSMLVLNTDVSS IEQTSSKALDYLQTQFK PHYBLDRAFT_138355 MKPISCTVIQSIYSLLQSGKSCREIARELGIAPSTVSKYRKMAS FRIELLPAGRRSIISKSRKDLIRLQMLNGEFRTSRALYYRLLEEGYNVSYPTVCNIMK ELGLKRVTKADSSKFQ PHYBLDRAFT_138356 MTDQDIPSQIPLVPTYTSNTHSVKPHSVEQFSTIPNAYIVISHT NQNLDYGIIHKYEIGGFKGYSGKFSSNVIRIIQTYEEVDYIEQDKYVQAASIQKQAPW GLARISHREELNNLTFDEYIYEKDGGKHVKIYILDTGIYDKHNDFEGRASFGVNLLNN SSSEGDNGHGTHSAGIIGGNIYGVAKKAKLVSVKTLDEDGTRTVSDAIAGIHWVLKRH TFDVQKSLLNKWRYKEAIIALNCVTTISFVFNQAVDEGTHYGISIVVPAGNSGNNACY YSPPSAVGAIKVGASTYYDTAAQFSNYGDCVDLYAPGQNILSAWNSDKSAKYVLSEHR QQQHML PHYBLDRAFT_153967 MPNQDLLSQSSLISVHLHNNHSVKPHSIERFSTILNAYIVVFKP ETDQETALTHYNEIEEIQQSDISHTNQNLDYGIIHKYEIGSFKGYSGKFSSSVISTIQ TYEEVDYIEQDKHVQAACIQNQAPWGLARISHKEALTDNTFNKYLYNKHAGKHVTVYI MDSGIYEEHEDFGGRASFGVNFLKGSPDNDTNGHGTHSAGIIGGKRYGVAKKAKLVSV KILDENATGSVSNAIAGIDWIVKCHEFDIQKSLLKGNTYKGAVATLNFITTSTKALNK AVIEGIYGGVTIIVPAGNDGQSACDYSPPSVTAAIKVGSSTYYDKKSLFSNNGNCVNI YAPGQSIISAGNSHKNSKRVLSGTSEAASHVAGLAAYFISMREGQNTPEYIKSKIMDI ATPDVILELDPYGRSTLKPIAFNGFKL PHYBLDRAFT_105457 MANRETHSITDQDFSSQTPLLSKHPSEAASVTLDPIRQFLSIPD SYLIIFKQGTKQETVLSHHDEIEEIQRIEKFLTSRDLDFGIIHKYEIGNFSGYSGKFS SSVIRIIQTYEEVDYVEQDKYIEAASIQNRAPWGLARISHREVLNSVTFDKYMYEKNG GKYVTVYVLDTGIYDKHNDFDGRASFGVNLLNCSSEEDTNGHGTHSAGIIGGKVYGVA KRAKLVSVKILDEDGIGTLSNAIAGINWVFKNHAFGVRRSLSRKWIYKGAVATLNFIT TKSTALNQAVDEGAYKGISIVVPAGNNMGNACNYSPSSAKNCITVSASTYYDHDTRPS NFGECVDIYAPGQNILSAWNTDMNSKKVLSGTSTAASHVAGLAAYYTSMVEGQSTPEY IKRKIIYTSTPDIIRVDLVSRSYFEPLAFYETNT PHYBLDRAFT_98547 VPDAYIIVFKPETKQETALSHYNEIEDIQQSEISHTNQNLDYGV IHKYEIGGFKGYSGKFSSSVIRIIQTYEEVDYIEQDKYVQAASIQNKAPWGLARISHR KRLNNMTFDKFIYEDDGGEYVTVYILDTGIYEEHEDFEDRASWGVNFLRNSPDIDIDG HGTHSAGIIGGKIHGVAKMAKLVAVKVLDDHGTGTVSTVIAGINWVSKSHQYNIQQSC LHPLDSIYKGAVATLNFFTTKSVALNQAVNIGTYHGITIVVPAGNTKVNACEYSPASA TEAITVGASTYYDAGTSFSNFGDCVDIYAPGQNILSAWNSGRHAKKVHSGTSEAASHV AGLAAYYASM PHYBLDRAFT_3618 LNTFHLQRTLGTGSFGRVHLVQSKFNQKFYALKVLKKADVVRSK QVEHTRNERSILMSISHPFLINLWDTFQDDANLYMVMDYVPGGELFSVLRKSKRFSEN IARFYAAEVLLGLVYLHNKDIIYRDLKPENILLDSEGNIKITDFGFAKHVVDVTWTLC GTPDYLAPEIIQSKGYGKPADYWSFGVLIYEMLVGSAPFYDENQFKLYEKIVACKPVY PSYFSASAKDLLSHLLTKDLSNRFGNLKRGCSDIIEHPWFQPMDFEKLIHRKITPPYI PKLQGEGDTSNFDKYPEETVPYGLAQADPHRHLFPEF PHYBLDRAFT_99519 EIKETLGTGGYGQVYLGQHIDNPDKKVAIKSLQHSIMDDRQRTF LRTEIALHTHLSGHAHIISLNHVVKERDWTHVMLECGLEGDLFEAITDRNIYSANHNL IRKVFLQLIDAVSYCHARGVYHRDIKPENILVFDKGHTIKLADFGLATTDPISKDYGC GSTFYFSPECQGDFARESNRVGYATAPNDVWALGVILINLATGRNGWRLASLKDDSFR AYLTDPDFLLKILPISRDMARILKRILCVDPMRRIGLDELRERIERCKYFT PHYBLDRAFT_100304 VAQVYRYRRQIGVNLGCVFILERWLCPLKLCQQVPAAKGWESEH DFLKSCSSPQEARRILEDHWETYVTQDDMAILASKGINTIRLPIGYWIVQRSLLVPPF ASFEGVYDSAWNWFLRTIHMAAQYGIGVLVDLHGAPGGQNSDTHCGVSTGRAEFFTNP HYQEVTLEVLCTLAHTLVKINNVVGLELLNEPTDHPSLEQFYERALTKLSYPDTIGLP LYISDCWNPDGKYTQWLQSRLQLIVMDTHQYFCHTPRDHARSALQHTQDLKTLVRDRV GRARDQLAGCLVVGEWSVVLNHQSIPAHENDANVMRDFGKAELQVWDQVSAGNFYW PHYBLDRAFT_138363 MSPIAPTTAFIIRHGERIEYIQKLLKLDKPINHQSYLDHVNKTW QPDPRDGIWDPPLSSIGHKQAEQTGSRLMDLLLDRGIKPQKALIVIYTSPFLRCVETA IGIASGMAKYNSKLEPTREKDDVILMKDILMDAQPDHGSLLRPILRLDLGLGEWMSDK FFHEVCPAQAMINRRQETLARRQAMTYAAAAHPHRLFPKGTLETLPPLVIDYGHHSLC TEFNYPESYLEMIDRFEESQRHCVERATLGLPVKLEPETVVVPVFVTHAIGVNALLDS FRNQPTRPVETGYCAIACVQSNHINTHGNTNGFRATMNNGSLISSSMSSSSSSYSSSS GSSGSDQSDGDLDEDEPQYAEIAMPTIMSFKNRWTVNMAVSNSHLQDIC PHYBLDRAFT_161456 MHNSCMKLGCAQSYDYVVPRKEAEAEAEAEEEEESLALVVAICN SVSAKMNFDPLHPRISVELVHARTCSIGIPNIYIQQLNIQNIQSVPHFPLIPSYSEQY LSQNLQ PHYBLDRAFT_138364 MSEPEHADRLLLIFVHGFHGSDTSFEDFPNRIRTILTNTHKVDV DAVIYPSYKTMGDISLAVQDLTTWLIDQVNTRQKESKAWGGKGRLMVALLGHSMGGIV SAEVILGLKKRLDDPLDGAFIVGLLAYDTPFYSVNVKYVSSRVISYADQASRFLQGSS SSSSTANARQIGNTAQAAVKATARTASSSSSSSGWGLFAGIVGVAAIGAAAYVGRELI SNGINKAFDHLEFVNTLIDTQGCEERVTRLIELDDVLFKCFYVQLPSTGDQPRTFIDL PPDETSHYFVPVSSNASSEVTAHTTMFSSTRNVSYYILGADSISLVSEMIERFRRKHG KSV PHYBLDRAFT_138365 MSTLKQRHSEILQETLRYRERGNVPIKTISRLVTKYETPEYAAY KNVFDRSKWIPTREER PHYBLDRAFT_138366 MNGSRYIETLEKHILSFLSSHLVPEICTYIFQDNSLGHKAKNIW AHIKDKVRSSKFQAQSLKELKELVQKEWDATDLNICQTYCLNMENCLIICEN PHYBLDRAFT_96857 EKRSELLAVLSILSTTPGTFALTSHLRQFKNLTQAEREAVFLGW KDSYIPQIRNLYKALVTVSVYTIYAAQESALHNLIGYKIPTKEEEKAKYLDPVPERLK MLTLEELKQSLHYDAIVVGSGAGGGVVAGELAKSGKSVLVIEKGRYIHEEDMIQKEDT GYANMYETGGAFTSLSGSMNIFAGSVFGGGTTVNWSACLKLQHFVREDWARQGLTHFT SPKFSNDLDRVFERIGATAKGINHNGPNQILLDGASKLGYHHADIPQNTGGRAHDCAF CFTGCKAGVKNGTMNTWLRDAADNNARFISQTKVLRVLTEKGKVTGVECLVNNREVVK VYANTVVVSAGSLQTPGVLLRSGLTNKNIGQNLRLHPCSIVYGFFDHNVDMHSGSIMT AVSNVAENVDGEGYGVKLEVPLTHIPSFATIQVWRGAAAHKEAMLKYRQASPIIVITR DKDPNSKVRYDGNDNMIIDYDVSDHDKISIVEGIIHACKITVAAGARSVSVIMFAVEP FEFADDEESRTDNPRFVKWLARVKKNGLPRYGSGIFSAHQMSTCRMGISPSVSVTKPT GETWEIKNLYVADASLFPTASGVNPMVTTEAVALHVA PHYBLDRAFT_76860 MINYVTASAIYVQQTGDLKLQMSAKLPELDAHYPKDLKLKQTVA SERTPVRRRLEHMFPPIWNMCDTNRQLMSTITSMDGLDAKSQVIGIERIVDRPHQFTA DNACYYGQLTNLGRNSMSLLGTRLREIYVDKLGFLPDRYDEKTIYIRSSDYPRTQESV QQLVAGGLYPPTKREDDFKLKLRIRDPRDDNMFPNPNCRKLRTLAKEFNKTVSVLCKD ELEIVSDQLKPYVDTVSLSSHPSANGVLDTLISAKTHGFSLPATVTDDVLQRLEAVVV KEWFYGAMESPVVRRLGMGRLMGDIRDRMVNRATRLDKDTPEADHQLAIYSGHDTTVG PLLIILNGFDERWPPFGSSVIFELFEKETAKWFGTKTEHFVRVRYNDKLLELPGCAGS GDHLAEDKSICTLEAFQKIVKDSIPEDWEQELFSIVKLGQALCLCLWVYMKKIINYFK QNT PHYBLDRAFT_184459 MEIAVRKATRLDYQPPKQKHLSTLISLTFQNPALIADMMDLLER RLRENSWIIVFKVLIITHVLMRDGNNERVMDYMGKHPSALDTSRLREKSSGVIQIQHI YVYTAYLAQRVAVYRELNFDHIQAIKTNKEGRLRHLTVAKGLLRETIALQKQLSALLK CKFHLEDTDNSISLFATRFLVEDLMVLFQVTNEGVVNILEHYFAMSKHDARASLDIYK RFARQTEEIIEFLNRARHLQSELQMSIPAIKHAPLSLAEALEEYLNDTERDGAPKQDT LKVSSPTVSSPKTSSPSNLATQSAFSTVQPPVVQENQRPTELIDFFSSLEKEQATVYY NQAGQASFNSSPTSLQPTQSFSPATMQSQHNPFRATMVQPQSFDPLTNLSSLPAGSLV QSPATITNANPFRSNTLPPPSSAQNSLSVLGSSFGSMTMPPGSINNNNNNTGGAGISF QGLQAQMTGMPAAQSTVQRSQTFSQFQTPLPTSQNAFNPFAHTTQQNTLDIAAPINPF GHPHTLQSLQKPTHMNASPWGSSAF PHYBLDRAFT_138371 MSISVDLLHGVMTTGLDCGQWTADCSVCASKKGCGFCANELGSC VNGFWQGPLDTNDCPTQAYFYDQCQLSTRSLEIGIFVAFVLAILLIVFLCVCCRRRRY NDETEEEERQSLLPSGTFGTKYLRRSATYYQWNRPPPPPPTKARFTKALQNQKLPTQS PPLSLNGPSQPQNANWEDRRLALLKKYARGSSTGTTR PHYBLDRAFT_61877 MRSNGVSYITNSIFVDEAEFKVHLIRRAGSTKRSEKSTVKSLAK RGLGITILAAVAYQGVEKFQAKMVHGGTPESVFIEFVKTIMDSLDQDNADPHNFIINN VSRNKFPLVTLVIKHICRIPDINSNVRGSGETWKRWYSFD PHYBLDRAFT_61878 MGNNVIKQEIYNRKGVFEPGLQTFANLYLTRLIKFQCLGKPRQR QGPQKHLTTDIKNEIVNKYYRKNPMSRATIARMLGLSWTTVNNVVEKYAKDGTVEPKP RGGSRKKS PHYBLDRAFT_184460 MAFRPPPTGLARHVSNLLKGDLLKKAPVWLPVLQSIPPGPSIIR AQHHNPIEVAALDESKEAFRQSNTRNTVAATRHKQKHLRTKPPRPVAIVYPEDKLRRQ FYRDHPYELARPKVLVENNTGLNRTDFSKLLLPEMNLYEVDGEAVVKYQLYLMTHEKM PERKAYAKATSEFYEIRALQEQQERELRKEMFTIVESSHKHSQRALRLEERALKQV PHYBLDRAFT_138374 MSSVKPTYLGVSEPISIAPPSANDEKLNEKLEATLKDHNMYETK EKTCLRKKVLEILEALTKRMVENVYRKKGRSESEIMIAGGTIRTFGSYKLGVHAADAD IDTVCIIPCYVTTTDFFDEWCSILQAQAGVKDVSAVKDSYVPVDLVCARISDPFVPVD IDVNSTDLLKKLSEKSLRSINGTRVADEILALVPDVTAFRTALRCIKLWATRRAIYSN SLGFFGGVAWALLVARICQLYPNACASTIVSKFFMVVAQWAWPSPVVLKAIQGGHGFS TLKPWNPKLSHHDRSHLMPIITPAYPNMCATHNVSQSTKTIILGELKRAATIVDRIMI GSSSWNVLFTEHNFFGTYDHYIQVVASSDTYECQLKWGGLVEARLRHLLLNLDSTDGV ALAHPYVHGFDKAHLCQSTEELSAVINGIDTNGYPITSENSDDWKNKTGVYFTRTFYV GLYIKLNPAWTSKKVDITHAIEDFKAKLTESNSYEPARMSISFQQLKRSMLPREFIKK DSTKRSPQSNDLATQATPGKKMKIFGDSTTDQSEENNTSNDLSNTCHSLGATDYSPSP PSVSTTMDTNFI PHYBLDRAFT_61881 MQAHIRVLDKLDNEFNTLDQQYSRGELRVFGSDTEKIFKELDVI RHKQIEIAGDHIAIESIGDISHQSSRSKEADVQDDYQREVNNFNKKRIALNNLMKKLD DLRTSMTNFREISDLDG PHYBLDRAFT_161469 MGQLDRQLDQLRRGEIISEQEVKELCNKAREILIEESNVQRVDA PVTICGDIHGQFHDLKELFKVGGECPETNYLFMGDFVDRGLYSVETFLLLLALKVRYP DRITLIRGNHESRQITQVYGFYEECLRKFGSANVWRYCCEIFDYLSLSAIIDDKIFCV HGGLSPSITTLDQIRTIDRKQEVPHDGAMCDLLWSDPEEIEGWGYSPRGAGYLFGGDV VNGFLQTNNLELIARAHQLVMEGYKLMFKDTIVTVWSAPNYCYRCGNVAAILKLDDNL NKEYKMFDAAPQQDFRGLPIKKSVPDYFL PHYBLDRAFT_104703 MLATHEEAIPLNPSQPSDNQEKGGWVDEIPPHLEPYLHTIPTQG LSESEVAERQTRFGRNELIEKKRNKIAHFMSFFTGAIAYLMEISIILTAITNDWIDFG IILAMLIINAMIGYIEESKAESAVAALKNSLALKSRCWRSGRLTEIEARDIVIGDIIV LRLGDIVPADARLLGLGSTGETAESDLLVDQSALTGESLPARKKLGSIVYSSSIVKQG QQLAIVVRTGHHTFIGRAASLMTVTTDAGHFQKVINYIGNFLIVASVVLVSIIFIYDL VEQKVKFGVVTSQHVLQALNEMVVLTIAAIPVGLPTVMSVTMAIGAKQLAKRQVIVKR LTAVEELASVSILCSDKTGTLTLNELTFDEPYLKAPFVKSDILLYSYLASEPATHDPI EVAVRAAAQKGNPKISPNDSGHAEGYHVTSFMPFNPADKMSRATIQHLESEKVFRVAK GAPQVILALVGGDSEAERKVDELASRGLRSLGIACTKLGTNDEWQLVGMLSLIDPPRH DSADTIRECAKYGISVKMITGDQTSIAKEVAGRLGMGNSIMDADHLVDHNKSEQEVAE ECLRVDGFARVIPEHKYRVVELLQGMGYFVAMTGDGVNDAAALKKSNVGIAVHGSTDA ARSASDIVLLAPGLSAIIEGIKTSRIIFQRLRSYALYRITSTIHFLVFFFIVTLVEDW QMPPIFLILISVLNDAATLIMAVDNVSISLKPDMWRLGLLIVLSCVLAVVLSIFSFAH FYIFRDIFHVTPGQLSSIMYLHISSAPHFVIFSTRIESFFWNNIPSWPFTLVVLATQF VALILSVYGVFGKIPNVEPIGWPRGMIIMAISIGTFMVVDLVKVFTIRLWNRFADKQR KPNIPQGRAQRFQQQQNEQHSRTGYDRAERRESVNSVISF PHYBLDRAFT_178767 MKFSLSLIFLFAAATALAASVHTPKLSPIGCKCIMTTPTKTNTD ASMCLCYNKSDGTRNETHSCELYLDNIFTYCNNHHNTTSEWDNCVKAKCPCNA PHYBLDRAFT_61884 MRFNWKNSDIATQAIVNTPKPRGITRSILVVIHNSTVMHVSLKL PLRKLKTENNPIPFPKKRTNKSKGKQYPITGSAVPQGRTKGLQRLPSYCFSPKLNAID EFWVISR PHYBLDRAFT_138380 MPIHKQPGNEINRVETASEVDDSAHVSLDIVTTPTSIEEQEEEK TTRHCYKTAVPPVFNASNQPERDRENEIKSRIAYLRDIRPMPYTDYEGKEHSLLAAPK LCPKKERQPLKMIHSKFLPLPSAIPFWINSPTGPIFYSGARSFYLTWPCSGYSVFL PHYBLDRAFT_120658 MSNIEKFVNDSIANNKVVVFSKSYCPYCTKAKKILADLKVEVFI VELDKHADGAAIQDYLQTLTGQRTVPNIFINTKHIGGCDSLTAIYNSGELQKLL PHYBLDRAFT_61887 MNPTFTNTNSIHQNVLNMVPSQEITVFQEVPQSGRTQTVGRPSA WNDNIIKVLLRLIIDTGFYAKHAILDNSGKTRLWQKLHDDFCNHLDVVRYAASPLNAG FSSKYEAVKYIKDKFQSIKKDFQKITAEIRRTGSGGPPPQERYIHFDDMKEITLSNPG FFLSMLMETGRVVEEVVAEAVISICQDDGVTYKNTQLTTPSFVSEGRSEMSQWSYSTP NPVPSPRQGTEPVSTSGTRRTTPSELQQAFLENSRSQTDIMTSMHDLLSTRYQRLEEL YEDTLQQYRLDVIASQEARRLDWESRELIATREYNRQVIADEREEESRRSLLEILSRR KRSRGPSSYLTRINELN PHYBLDRAFT_138383 MSLMAVCDHKKMFIKIKVGHPGSQNDTHIFTTSYFYNDMIHNPQ ALFPDLRSYIVGDSAYPILKHCMIPYSHSTTVRREKHFNKHLYVLTTERMYIDDSQYE EPTMYGFARTNNMDGTTLDIVNNDNNNNDNSDNDDDDATITPVLSTRSRARLSTEGKL RRDEEKDSLYIVS PHYBLDRAFT_138384 MNNINTDLTETEILAVYSLQFSSQKTIASDNDEDYEEAETEVKR LHYMREHLLNMHETLIQIYSNIMLAGNNHMTDDFQTVCYLGSPMHITAERIVHNNLDG SNVYWWILCHPHLHNGVGINSFRDHYRINRRTFNCMVNVLSRDSEFKASNERGNTSHS IWKQVAIVLWRLSNTHLGYRMASEMFGVSQAAYHRFTERFLKAMICCFLNDTIKWPST IRESRRVMSGFAEASRRTGNPHLKSCIGAIDGKLVVVQKQSSFGNS PHYBLDRAFT_104648 MLSFSDGWIAKLKKRLRVKSRKMHGKSFSAPKDTKEINLRVEEI KKKLRMYERKDIFNFDETGLFYKQPLVSTISTAAISGQKTDKVRLTVALICNSDGFWK LKPFIIDKYAKPRCFGKKNGKLLHYLYYYNNDKSWMTGTIFKDICKIIDC PHYBLDRAFT_61890 MFEIGIQAALISLKSGQEALLGHQEALEKKQDTMQLQMTSFYNE FKDREFPDRTIVTSTSLFHLFRILEQTLAGHCFLKRIKTGCVSIIPSYSETMESIIPD ATETRHQLQGLASSGEGVRSENTLVSSYLVEILASTIHE PHYBLDRAFT_138388 MPSNSSRKTDHKGKEKASASISTSANHVLAGRVAPVVIQTLRSP RKISPSFSSATIQDQRYAEIVEMFNKVSNSINGVKDDIAAVNSNMTAFKNRMGVVVDM SGKTHTAFADFATAYANDQTRMASLEPSLMPFYVPQTSLSDAKVSVIISFESDDPALV AENESKKKWNLNKINHCNSIAVINYLKSYISAQTCLAGTHPPTGNPVEKAYLKLFQKD AMSDGESDIEIFNRLLTMVDNIDCIHHVSNAGVGTKPRMNRYPATLLPCSVPATLSQS LPRWAINDE PHYBLDRAFT_138389 MLTLNIDWFQPFDGVTYSCGAIYLAINNLSCRPKEANTSDINNY LKPLVNELIELYKDIKIKTHQCPNGTSIQAALLMVVCNIPAARKVCGFTSHTSTNAYH KCKRQFLRLAGTSSIDYSGFDFSKWLFRTKNDNCKDAEIWRNTIKPTKRQCHEVAHVV YWSKLHCLQYFDIVRCTIIDPMYNFFLDTPKRMLERWVADGLIDSKKLVAMQKAVEKV VLPPNYMSLGTKIAKGFPYMKADEDVLSLPEFKNWIEFVNACRYFTKPSVSEEDIEKG HKCLEEFCKGCETLYDLDLLSSNMHLHLHLRQTMIDFGPVYGYWLFSFERYNNVLKNI KTNRRNRFESTFMRQFIEESWKGDFVCRLLKPMHTLACFEIFYKFTTNNNNNTNTNTN TNTNTYLSHSFSISKYLEASQNLSMTIRRNESLPLSALPLKTRPLSFMPKHEYDCLVE YYQAAYKNPQISGCKDVIDDSPFVNDWIEIVKSVDLLGQSYKGCIGTNGCGSYIQAYF TERTGSKHANLHSSQHVFAFVKWFKSTLDKTRELEGVELLQDEFYKQDFQSILPVHRI LLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_138390 MASIRKPTVRKECQCSICKSKTLEFDRVSVKTFKCHQEKDNHDI THVQIPYKDACDTISSAVSEPVNQEEDSFEFEQEDVEINSELRKEEEYEDESNVEMDN DKVALFVSLYVVNEGAVILIAIINKILQFLFDPFHLPVSVADLKHLAAFEALTSGVKK YVACSKCHAIYDNEAAPLCCISPNFGKTSLCGNSLLKSGPRSKAPKKTYVFHSIKKVL KTFFQCPDFENKINS PHYBLDRAFT_73769 MSDINTTLLNSIQKIEVDLAEIKQALHELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKQVLNTGGKFKGKNKAQKYNLLL QILHEQDWKARCKEVPKGQPLPPLVPLSDHDLTVKRLHLKTLGCTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLTKNGGLHIHQCKRMRCARSLLRESFKSDNQTHKRRM AEKNKTQRDISDSLLSSSDMSETGDVESPIMADVLSPPPTASVEPARKRSQRSVNAYF TEQYICRNR PHYBLDRAFT_161479 MSFSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYDSSQVSTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MERGAKISHGETVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQESCVDCGKPRYKTD PNQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTNIFDGDNYKQL VQQGLFSNPDDIAIGLYTNGFVNQKKGKNSYTIIHCIIFNLDPSIRWHDMYFDDISAQ LRPLEDFKVGNPSKNIYQPSIYTQLSTFSGSSFFALDELHLIARGIGKLVYDLITITL TKETKFFYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYILTSFQGSFDNVFANI DGTRAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLVKGCVLALQWTLTSELLDEMES VFQPVQHYLVHIPYIIKQQGPLRCYSTCSMERVIGIFSKLIKSKSKGGRNASFLVERF AIHNYTSTAISICDEINLIRPKPYGRESYMNLPNDPSGAQLWEPFHQFVNLNDDLVEG VGGPSVKEALLKYYWRTTGLTGHEFGDSVVIVAARLWMDLTVYSSCMYRRKKNETSHG NHYVMFTCPYRNNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVMKEHDAAGHDS SVPIVKQRSQSTRTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFYVIAPYYIFNN NMRITKGNLSIL PHYBLDRAFT_138393 MENHFTTKRNTFLTLTATDNQTFVCTICETKRVLGSLQGLRRHY TKKHPNETGEYEKLLKRRPAMFDGLSSSASTATATTTATATTTATATTNLNSNNGPAP MEFIIENPQDTYGHEISDKNEFSDDHILFDSSDDYDETTDDKDMDTRVEYDSQDHIAR VAAEMRTFQSLSHAMNSYSNEDSSRQHWGIRFLHICDSMGNSE PHYBLDRAFT_138394 MDKNKKLIIKTYQQQKFIRTANISMYLIEWLVFENRFSLNNSQC ALCLVLMKVLPSLTSEIP PHYBLDRAFT_138395 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIALSFSS TTIQDQRYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGIVVDTSGKTHMAFA DFATVYANNQTCMASLGPSLMPSYVPQTSLSDAEISDIILEIFAEKLWDWKFESDDPA LVAENESKKKWNLNEKINHRDNVAVINYLKSYISAQTRLAGTHPWVISDKIKNRYKHS HCTFHESPEQKAKKNSKGRANSSTLQMSIRRKSTYMDNWVAIDAAMGYKTGNPVEKAY LKLFQKDAMSDGESDIEIVDNLPRRCLHVAHPTWRSEEFNRLLTMVDDIDRTHHVLNV GVGTKSRMNRYPATLLPCSVPATLSQSLPHWAINDE PHYBLDRAFT_161482 MAPIRKPTVRKECRCSICKSKTLGFDCVSVKTFKRHQEKDNHDI THVQTPHEDTCDTISSAVSEPVNQEEDSFEFEQEDVEMNSELRNLNDTNDILDIQTRN QPFSETDCVFGPEDNVQYTSDTYEEEYEDEFDVEMDNDEDSLLESISELNLIHQFIVI SVALFVSLYIVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEVLTSGVKKY VACSECHAIYDNEAAPLCCTSPNFVAHGVRWSELHRLQYFDIVRCTIIDSMHNLFLGT AKRMLERWVADGLIDDKKLIAMQKAVEKVVLPPNYTSLGTKIAKGFPYMQADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQ FIEESWKGDFVHRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNIYLSHSFSISEYLE ASQNLSMIIRGNEPLPPSALPLKTRPLSFIPKHEYDCLVGYYQAAYKNPQISGCKDVI DDSPFVNDWIEMVKSIDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEIQYLF VHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQDEFYKQDFQSIL PVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_161484 MVVSSKLGIELLWWLKLKKFLRGRIEDLRLETKICEKLCRFCLL RKDFVSKFDQVPTLNYYCYLYYFGSGKLYDWGQFNNLIFNIDEIVKENLGNNISQLLD RNLASLSEKLVPNDVMLCFPLWTLRDGP PHYBLDRAFT_61900 MKANKWKLWVLIYSPVVLKSVLSSLHFNNWIDFVYVCCHLVKPS ITFDNINTAHRHLKSFCEKCNEIYTTTILIYNMHLHLHFRETIFNFGPVYSYWLFAFE RYNGLLKNISTNSKNGFEATFMKCFVEDIYKNNKSLPPTSLPLSASKPSSMGDIDYSH LLEYYKLTYLTPDLVHYQNAAASPFFVDNQIIKLKSINILGQVFYGNNGTTGRRSYVQ SLFLGSDRSIETTFTCQIKYIFIHSFTPPPTSPYYEADSTHHDQHVFVFVNWLLLHGD KS PHYBLDRAFT_161486 MKIIMLNSTTSPHCQTTEILLNTKNGNITTRVNISSGTMAQWTR RLTTNQEIPGSTPGSLTLEFPDQFGYIYSEFEDSLDQERHQNDNCRFQSGLFFQCYNT AKIDQTH PHYBLDRAFT_161487 MSCLNRDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSRSSFFDYIPTLILYRQTNNVIVFCVCQLFCFLNCLAKKACCSKQWNTVGVDCTRGG PMDVRKE PHYBLDRAFT_61903 MSSNTQQSKKMKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTQLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYR LPMVLRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEVKSVSSAVVE EKTRRHISYMLQRAKALPEKIARQNRISRRRSRKRNILADYKAIHLADKANLESKFGE TVVNLLDYDMLSDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRLANKGPDVIGNSV YPIILRNTELSNEKKARVAAWIHTRQQL PHYBLDRAFT_161489 MNSTTKTYTVMCTCSSCTKNAIGGILQNAQTFKHHNNADKLLDI GPKNRVNTEVVEEETDVEMVDVSETSIDYEDNYSIVSAETTIQSVPFLREDEIFQFEE SDVETTSLASDNDDPDSSDESEDESEVEVASVEDFEDMVASKILAFVVASLKIHEMSQ TSQFMALFGVIFQAFYLVQAGGTAMLKFFHHLLVAFDKDTDLPLTIDALKTMTGFNFM KKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTEADRAVPLMVF PYNLLKNALAQHFAKPGFEHQIENATEAEMWFCAESDAERAVLEKQHGTRFSELHRLH YFDPVRYTIVDPMHNLFLGTAKHMISVWKDLRYLPTAVLIRMQRLANGILVPPGYAIL STKIESGFPYMKADEWRLWCLIYSLVVLKDALPEDDYKNWTLFVKACQKLTGPSVTYS EIDSAHQLLGEFGKECETLYGESSITPNMHLHMHLRESMLNFGLVYAFWLYSFERYNG KLKNIKTNRCNGLEVTFMRVFLEKAFIEFLEGVAQVKSNSDSSSPLNLDAGHPPALPF SLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDHYQWLFEFYVKAYQSTSV SFCVVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKRGSFVRVLFLERTNDDVS EFPDQIEYLFTHTIKIGGVKRVSMFTFIKWFPAYYSSSHQPLADQGLQLWDKGFMEED ASCIVPVHRLHSCFALTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_94085 PEQFFSDDEYVLADAGYKAMNYIVPIKKKPKNSELSLADQEFNT KISSMQIKIVHEFGILKERFYSLKSISVRIKRKEDIVKVNTWIQVCVDLNNFLI PHYBLDRAFT_161491 MSTPSAIEFVSHQEDDNQSHSWMKPDGGKDGLSSNDWLQYFLLK DDADNLRKYLGGFKNGKKVKVSKTRVINNCCQYFDKHGVKHTSSQIKSKLTYLITKQY PIAFKAWEDSTMRISKEEMSQHEKDELEDMNIMRKVLGKSKAKSPAVCNTTTLLDLNK AQDMSKGEDGEDSEDGENDRGDEASESSKSYKDQEEQGADVSNEISSQREAIIVKNTA KRSVKSIENIRHSIKEFNDARILLLESKYKEELKNQKEKLQKELQCKQQVKGVILMAK TFDWSEKKTKNELEEVYNQYLN PHYBLDRAFT_161492 MQQSFASLKTKIVKILVKQFIDVNQAMIQQSLTEKVLVEGLEET LDNIAGSGLLSLEDCPTSKSDPLYERQYTHRVINENEITDIVMEKYDADNNAANNNSN EEIVEVKSAVPFKRTYSASEKFECVCTLLNILEDKDINRDFVKKKVSSQVQSKLDFVI CL PHYBLDRAFT_105401 DKAAIKEKIEAYSACDIYNFDETALFYAAPPRTTISCQKFSGWK ENKKRLTVDLLCNANGTDKWSDILMIGHARRPNCFNKSNKKQEAVDHGLSMYHYNSNV PHYBLDRAFT_161494 MSNNQKKDSYVICKCPDLPVAKDDAMVDLPLSQEESIFTAEDTI TGAFVVDGNEIEEGDTGFDFKQEENFDETSGTLIVESVRPSSFDNMPLYIRFVAVFIV IFHLIFLVESGGSILIEFCNTLLSLCDMSGALPLMINSLKHKTGFNMATDGMTVYIAC SQCHSIYPPETSQRVCTFKKFSQSAICNNNLFKVSTGNRSLPAMVYPFNSLNWANALN NTEQTHLEKENGTRWSELHWLSYFDPVRFTVIDLMHNLYLGTAKQMIQIWRKCNYINE KNQLTMQELANGIVVPCGYARITKKIANGFSFMKADKWKSWCIIYSPFVLKHVLPAKN LENWILFVDACRLLTKTSINDKEIDEAHSKLQLFCTRFQTLYGKSAVTPNMHLHLHLS KCVHDFGPIYAFWLFSFKRYNGLLKNIETNQKGGFESTMMKRFLERTYIGSFIQSFVN HLPQFAIDFLHCISNSQDQLAALHPSSTASTFSLSDFVEYSLNPRHSALGCEPLPPSV FPIKLDQRITMCKGHYECLLEFYRHAYGSHDLFGYYSNCESNQIFVNNQIEKMKRISL LGQEYSSGSYFRTYYLENNSKDKAVFPDRILYLFQHLITINETVITHTFAFVEWYSSY SSGSYQPMLNEGIELWNEPSSVLNYECIIPVHRLYSPIAIAKYRFTITSEFKHLVIPL PQK PHYBLDRAFT_161495 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRIIMLQHSQGTVSN QRPLAPKRAWLNLEGDLSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMLPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLRRQEEGK KVDLPTLQTKIVRHIGNRKLQEKKTGEKKQEENRRACLCQRRVKSCERRQSALKANRA HFVNSFGENVDSILYADYMSDLESDDEREVEEQDSSSEKSFFWRFRPSWRSKEGDRFV DELDADYEAAHDKKNNTRPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_161496 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLQESFKSDNQTHKRRM AEKNKTQQDISDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSRRSVNAYF TEQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKEYTSVLFSSDASSSQWSSGLVKI ILPKILSIVKHGYQHSERSSHEHAVDQESLSHATDIAMINAILALEKYFLAYKCILLI YKVIEGACYG PHYBLDRAFT_157000 MFTCPYRNNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDSSVPIVKQRSQSTRTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFY VIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_138413 MSRNRHILSEITVGEKSIIGSLACMQADIKAVKCQLSDMGKNVG AIAATSSDNAVPASTVANPISAPIVATILATASTKITAPPRADFAAGPSKADTKNVYK FIKGYMWNSNFWSDEPALVQANKNRSRWATTFVGVGTREADLNKYVYMTYCSHKCEQN KDREAKKKLNTVSRRHGREKEHFRRCKAAYNKNKIAIEEKMMQDCSALLIREAMSEEW RSNEYNNLIELVDEAVIADLGSNAHQLLE PHYBLDRAFT_161500 MPEINKAHDYLQSFCQQCLVIYKPGFLTCNMHLHLHIHETINDF GPVYSYWLFGFKRYNGLLKKIDTNQKDRFKTTYMKTFINDAYKSDYVQKVLTCPSLVP FIPLLQKLTFSATTTANYDSYASYASYAFCASLSRQNFRLQQFVNISLCPSPSTKDNK PLPLSSVPLRSLNASTMSDIDYSQLLHFYQLVYANPGIVSYHNASLCPYFVDNQITKL KSINLLGQIYKGKNSSRNRGSFIQAMFLGSNNVTKTAFTGQIQYLFIYSFKPPPHPNS PASQVHKKNTSLHIFDGYQLQLIKGAKLKALMSTCQTSCQTITIVFCQYIALI PHYBLDRAFT_161501 MSSTSNTHNNKCFCNECKNNQEGYSLVQRRTAQRHTKKTRYKAF EKNTSAQSSSMEVDVETFLFQEAGPLEILISQTNSPFWKANIMSENDDMTIDNDTDND KEESEEVEDIIEIKVKDIVEIKVKEFDNEDPFATPNMPENPVHRFITTFVVMFASHYV VNKGAVVLIKFINKLLTIYKQDFQLPLSLSGLQCMTGFSAMNKGVQRFLVCQDCHKVY EESALAPSHCDSMKLGMRSACNC PHYBLDRAFT_138415 MILSVPPHVDIQSHFSSEEQMVCLLNWFCKVFATNTRTVTSYVQ HNIDTDDSHPISGSPH PHYBLDRAFT_161502 MSTPNCRLAPSLASTDVQLLQALNVMKEEMKAMKDKITLMDTRI GVVITGKATAINDIDALSALPAPVHVPTSVASTSAALPTTKSSDTNAVFNPKLKSRDQ AEIQANAIKPKWAVDVRFDRSLNRELVKQLLYYLEKKFAGTDMRTRNLHKCIYTNFCS RHRQQRELLETRQSLNTNSRRSGCETDDTYKADIDLKMSQNCSGLIQKLVMSEGESDN DMSPSQPRNEIRVACPSWRSDELNKFITEVDSFVVKQLGANSHQLLKRVYGRTVESAV SIDLDPALPQWALKYGS PHYBLDRAFT_102202 NLPREERFKPENVILVGLMPGPKEPKTEEINHYLKPIVDELLQL FTGITIPTFECPASVNIRAALHMVACDIPATRKTSEFTAHNSTCACPRCVRQFTRLPS TNQVDFSGFDYSTWKIRSGLENRLHAEEWKSASTPSERHQLEIENGVQWSQLHRLGYF DLIRGMIIDPMHNLFLG PHYBLDRAFT_61913 MHNLYLGTAKQMINIWRDSKLISDKDFLTMQELANRVVVSSGYA RITKKIGDGFSFMKADEWKSWCIIYFPFVLKHIISAVNLANWILFVKAYCLLTKPFIT VKETAEAYKYLQEFCIKCQTSYKKPAITPNMHLHLHLSKCINNFGPVYVFWLFSFECY NGLLKKFETNRKGGFESIMIKQFLEKAYIGSYIRAFSTSFDKFIITFLHRISNSHTGK TALINNVASHNVVCRCAQCSRNSQGYSLVTSRTTEHHIRKDELERIERLDTA PHYBLDRAFT_61914 MLTLNIDWFQPFDGQTHSSGAIYLSINNLPRSEHLKPENIILVG MMPGPKEASADSMNHYLESLVAKLIDLYSGVSMTDYRNTQITVCAVLMCIACDIPAAR KTSGFTSHMSTCTCH PHYBLDRAFT_138421 MEEDFIFDNDNEDNVNSDHSDDEEAFISAYQEESIFFSEDTNLK SLVINSNEIEEGNTSFDFEQGETLDVDTESSVTSRICEFSINSMPIYICFVTIFIVIF HLIFLVDNDRYILIEFCNNLLSICNLAGSLCLTINSLRHITGFDKATKGMTVYVTCSK CHLIYPPGTTIKNCNFKIFPESNTCNNPLYKFTIASHSSPVMMYPYNSLKHTLQQHFS KSDFEQRINLWRNHPTMENTKLDIYDSAI PHYBLDRAFT_161505 MISVFRPFGPLVFCSFCFFFIYKFSLFSLFPTFIIFTDFLDTRV LLPSDASPSQCPSGLAKAISPKLLSTIKHGYEHNEPPSHEHIANQELSFHMSVIDMTI LASPMYSLGLQINPFASGRTTIYKQATHNWAFLWEILFGEYLFYQNIGHFKLYEG PHYBLDRAFT_161506 MYNILLDSFQTMVNNCQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSIANASGELLAVIVPTTIPASASVPFAASSIGSTLDWYT TPSEAFFGISSAAPSVAPSVAPSVGPVVLTGANAGELSKQDCTRVLALIRGESKKHNF KSNKLELVAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKANISNCIYTNFCGTRR RVKESYEARKKTNSRSRKAGRETDHFDRRELTYHTFKAEIDMKVGKSCDGLLQKEAMS EGESEDDMPGVSSNHAIRTYNHFLAVVNDFMRNRMDFNSRQMLKRSFGRDAVLAVPPR LTSLLPHWAFRDEFQ PHYBLDRAFT_161507 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVNDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDSFATPDMPQNPVHKFIATFVVM FASRYVVDKGAIVLIEFINKLLTIYEQDFQLPLSLPGLQRMTDFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSILHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNS KIGKQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFENWINFVDACHLLIKPMITFDEV NTAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRDTIRDFGPVYSYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIP SIRQRTFHIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMTSESPYFVDNIITL LKYINILGQVYKSKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFTHSFTPPPTSS FLTPLLRTHRHPTQLLHNSQHTFAFIKWYTPENDKSREYEHVETCFPTFSPDDFQCVL PVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_138425 MTEIDQSILNDVDMYHDENNTSNEDESCACNFEDSEGEAHIYDS SQISTNTFTKAKLMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIMMERGAKIS HGETVDALLKSKSSVKGHEYDICSSGCRLYGINDNQESCVDCGKPRYKTDPNQSQTPA ANMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTNIFDGDNYKQLVQQGLFSN PNDIAIGLYTNGPIHQKPTHLDSFLIPIINELRDLEAHGLVVKHNGVELCRSKVYLLL ASGNIPAVADMAHIGSHTSLFGCRFCKTKGKCPTNRRHGMYFDDISTWLRPLKDFKVG NPSKNIYQPSIYTQLSTFSGSSFFALDELHLIARGIGKLMYDLITVTLTKETKFYYTH PDNTLNTTEYPFHIPRTDLVTIGNCITSLQKYIPTSFQGSFDNIFANIDGTRIVDWLD FLLYLVPTLVMPYLPNRAVKTALLSLVKGCALALQWTLTSELLDEMESYFKHWHSFLY QQQQGPLQCYSTRSMERVIGVFSKLIKSKSKGGRNASFLVERFAIHNYTSTAISICDE INLIQPKPYGRESYMDLPNDPSGAQLWEPFHQFVNLNNDLVEGVGGPSVKEALLKYYW RTTGLTGHEFGDSVVVVVARLWMDLTKNETSRGNHYVMFTCPYRNNRNVIVHSWLVGT VQFYFQHVDFYGFPHFLAFVEVMKEHDTAGHDSSVPIVKQRSQSTNTLGHQTQPTYAV ISVNDICHQVGLVQYPPNGNQFYVIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_138426 MSDINTTLNSIQKIEVDLTEIKQALCELQRQFSNQFAPAVSAED LTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKRDWKARCKEVPQGQPLPPLVPLS DHDLTVKRLHLKTIGRTVKHDIIDKDYPAASKEWKNIPEKNREYYMMHLERLAKNSGL HIHQCKRMWCARSLLQESFKSDNQTHKRRIAEKNKTQRDISDSLLSSPDMSETGDVKL PIMADVLSPPPTASVEPARKRSRRS PHYBLDRAFT_61920 MNQIRISFMLHDLNLYSINAYFTEQKLVLMLRGNVGKMNYVHCR RGMLLAWGTMISNEYNEKEKVLCVEETENKSEIFPVHRKIFLLPPRAQNHITKPLTSA SHNQDDTSQQTAGQTYRADQSSGTRHHDMVTSSNLSLELVSPKLSMISLSELTSVNKI SSYKQPMFKMVNILVEDITEQQASKTYYCSYSFSQKSIIILYK PHYBLDRAFT_102425 NLPYEERFKPENVILVGLMPGPKEPKTKEINHYSKPIVDELLQL FTGITIPTFECPAGVNVCAALHMIVCDIPATRKTSGFTIHNSTCACLRC PHYBLDRAFT_138429 MKTCSSNIVNFEISQPISVSQQTKVASSIVPGYISEENTATIDN QTVNAFNNGDNNNDQPMYDANLNHAMNDIHVKTFSLIFDFSQLTPIPNNDNVKNLEFI KIIKNFGISHEAHGMIACHFNKILETSNDITYRAYFSYLRDKLLERFFSCVLIVVDHQ TPFSISN PHYBLDRAFT_61923 MNNTEIQIISLLQAMHSKIIFLKTGQDIIKLELNSTREELNLKI DYLQSQLDNRGFSKQKTVPSATDIPCNSLIRAPIPNTWDITLKHVFKMMSEDLGIEIN NEEKATLQVSTKIICDELAVHPLVKDLGSCPSWRSIPVMVRKQMCTKHTMLMKDAGIN LIRCHENWTSASRISYLWRDHYRRL PHYBLDRAFT_138431 MTQQLLLVVSLDPRQLLSIFLLCGRLRVSDAYTYDYTIGAPENH WRTFWNSSIPPNGFTIWWRLIHQKISCRQRLYDRRVKDVDSSNCIICNNPEDYWHLF PHYBLDRAFT_61924 MAHMVIEHAQHTSDISINLILNQEKAYNYVYPEYLRLVLQKFRF SDTIVHCISQLFFSTNILINVNGFGLPIDNHTDQSPLLPLKYLTYANDILIFFKTSSD LDTLHRHLKTYFRASNAQIKMHKAQALYLSDNILKIVKIIISYCQNLQAICPQTQTKQ STLSYLIAKLFWVLDNATAELTHFFWRATLPPNDYPELLLPVNLLIIDCKPFVGALQT GCKHLSDFATNRFWEACRDHTQSPLVPLPLQAKQ PHYBLDRAFT_138434 MSVPPFVDSSLNLKTILVPDTFSLETDDRLVCKVCDTFSQARNY IGHFFQQIDSGRMVLCPWFISCLEHQPSTDTPSPDLSSLLSLVQLDSSSLGKASTGSL QCYLYPQSGGKCFGIERQPKNVSTYLYLDASPAHLASFVKMLLKISITFSLAVLSNAR CGTSFSLAFAWPGTLLKSVFSLPEAASYDISLIKAFGFSFPLSLLKRFGVLIGNLFLM TNPFCQFLLGCNDDDAQNDIVNRYVYIINPFKIGWLQKATNCKKPTQLMGKSNGNGLV VRTNVAKEGYN PHYBLDRAFT_94810 ILDDILSVLKATPTNSSPGMDGLPYPLWARLFSHLTVQNLAVQV YNDAMHGVFPPSWLETILVLLSKAGDTTSLRNWRPISLISCDAKLFTKMLTSRL PHYBLDRAFT_138437 MSTPEGLNPQDRAHTLWQGNLPNRAPSTTLCPKNKSLHIFTAPN FDKNMIVDLASAAWASTIHPMRVLFNLRKLVSARDVDDVLSDRVGRMTSLTLRYTRSK DLLTEAFFTDPSSRTKATSDELTYQNTRIIATLRLPSNCYIVKVNLYHINACDPSTDI LKPIENAFRPFGKIVQLRAYLSHRGTFYGEATIYLDTSEQDEVRSLPSRLHLGGSLSC LAELRSTDITPVCGYCREEGHYLRSCTKRSPLAPRTSHLAANDAVQARYPRAAADCTC QYCIPSNTPILGRYDRDTCTTSHIINLDSYPCFTHSQPTCYTHNMSLFHISDEYSRHD DQKPDPTQRQSPNSI PHYBLDRAFT_138438 MAIQPHSDPLLCPVATYNSYKFRIACIACVRPHPVLSNVRIYRL VRGVYFPNRPIGAEWISKHIWFVMNKISRPASMARPRARALGSTFALAAGASINDILM HCSWALSTVFDTFYRLF PHYBLDRAFT_184462 MVALATVSVLSRRWRPPTLCSITLEQHPNIFQIVPKDLFDARID SLDIFVRTSCPSIHLEYYVYVFTVACVVCSAAFSLAARSAGISMWYPLLLLLIPAGLN IWTNQRRSGLIDRIRSFERALKKTLKEFTKLDTAAHRIKWCVRRPNERDPLTPAERVG LFCLLIEVVDVDSEMEQESLPPYQAAVDFTNSNTMNGILVPSDCLPPSYTEITPLPSA VYQRPLPLVTPASSTSPIAPIPAQLYPRRHEPVALMQTPVASHNQY PHYBLDRAFT_76865 MSSVSSHEGSDKDGFFHRSFPEGLASRYAYLRKTDLLDTFESNT RPRDTDAWSVAATVDDQESVMSGHGGNMSIAGSISRVTTEGKETDDDRSTLDGSARNG VSSSNEPALSIDNPGIRLETFRDLDMPSAFHDNASVITGDDEEKYPRSVAAEFGDDGT RSAAGDFSHIDSSDDEERTADSGYQGNSQAPVALNDAISAPPQPQPSFASATKPYTTL IEENEMLREQLQNLERSQKQQIEVIANLRTLTACGEEVYGRALHMSHATPEEQDRLGR ETTGLYLPSTSSFPDAADYSCQQNNGYLDMERNAPLSHIVNARTLTQRLAQLAEWLTR FVQDAVDQDKRITLEQSLFTQIVESYLSSLPFGTEKQELLNTAYSDQIRRFQSTLGSN FSKWYRRQTVQSLSLNPATKEYLGEMRTQMTKHLEDLLASMRKTTDDQGDVIPDRQLW DDILDLCMVLSLEIHGGDADVYAKPIAAGSKYDEEIMAVVGDSTADKNKIVKLVVSPL FIDEDEVVLLPARVLLE PHYBLDRAFT_61930 MQESIHLKRQYISGTKKSQPNNLLYVRGKSNLVTDFLSILFQTS RREQTWSTFENIHSKDSLGFYEKHGHELVYETILYSSYALSYYRFYNTIVPKINSKTG SPNVKFVLRNLYLLEFFFFHNSSSTHWDVC PHYBLDRAFT_129416 MAPHSRVAIVGAGAVGASTAYALMLKDVASEIMIVDVVADIVKA QTLDLSDAGLISSTKIRAGTNQEAGQADIIVITAGAKQKEGEARTELVGRNYKILESI IGQMQPIRPDAVMILVSNPVDVLTAIAQKLSGLPPNQVIGSGTFLDTSRLRVFLAETL HVSPNAVHAYVLGEHGESQMIAWNAASVGCKPLLSFPEIQALDKEEVHQTIRGKAMEI IRLKGSTYYGIGACAADLCESIILNKLDIRPLSVFVEKYGAVLSMPAKLGWNGVEDVY EIPLTDEEEEQFAASAKAMKEIVEGLN PHYBLDRAFT_96590 IKMMAENIISCDVLRDSQWKTLPSSHLVPGDVIQLKTGQVMPAD AVLLQDDIVVDESSLTGEPLPIRKVPLRNDEQYYSCDTCGDGKIHSLFSGTTVQYTSL KPLALVLATRTNTDKGKLIQKILYPQNVSFIFHEQLKLVFCILMGYALILLAVGSWWL GGTGMTAWFYGTICAAQVMNPLLPAMLVVGQSVAAGRLKKKGVFCVDLPRILMAGKVQ VFCFDKTGTLTKEGLEYYGIHPSHGTNGNELKEPSFGDIIHNINELNDTETGRLMKIG LSGCHSVTHIGAEIVGNPVDSAMFQASGAHLCETGDILLSPSGPDHVPSTLKVLRRFE FEHTRALMSVVVMDTKTQHIHIFCKGAFERIGNICSSTSVPSEFDNVTSHMAREGLYV LGMAHMDLGSAIDSSQVASWSRDTLESNQLQFLGLILFRNSLRDDTANALHALQSGST RTVMITGDNALTAAAISRTCGLMPRVTQLVLGDVENSEVVWRDMDTNRLVNSDILQLA SNGNIELALTGYAFDALVDTNKIRDILFETRIFSRMTPANKRVCVELMMERKITAMCG DGGNDCGALRAAHVGIAMSEAEASVVSPFSTPRRSVQACVDLLIEGRAALATSFASYK YLIMYGETMATVKFFTFYYTMSFSQWNFILVDAFITVFCAFAVTRAGPATSLSPYRPT ARILGPQVILSVLGQLVINAGFLVGAFIWLYTRDDFFRCNEWDSRATDASKWWLLGDS FEASLLTFVSLFQFVNAALIFNYGNVFRQFWARNYLLIIVWAVFVAIVSYWEL PHYBLDRAFT_138444 MAATCRSWYGIFVLIVMASYTMAYPSAPGACDVELMATQGHGPS QSTCDQCYTINIIPITSPNSLLTVQITGPVKYQGILLQVKNELNQTVGMFTDYSDSDF APVACDDEAGDENFGVLGHSNPSPKSWPFNVGWTIETIQSKTQLRVQGMVVIDYDNYH VLPETPFKIKRVPTISKPTTSTLDTSTVLEDLPTKDKNYPTLIDKEDPFVTLHTPTPT LIVEAPQFVKPKQPNQLFFQVLCLVFGMYLIMSFGRCQYRRKKRSLTTSSVDQEAQQS LVSKYA PHYBLDRAFT_98622 NGSQESDGDDEVQIVLDDTIKTEELSPSAQPIQSTSTDQSVGNG LDPDDPSQQPVLSIRSLVGTKDAGVIIGRGGKNVSEIREMSAARVTISDIVPGAFERI LTVSGPLAAIAKAYSLVGEKILLEQPVGEDGQDQTLTIRILVPDNRMGTLIGRGGSVI KSIQEQSGARLIASEETLPMSTERTITVIGTPPAIQTAVYKICEILMDHMERINGGMM HYKPQPQMTNSANGNNSSNNSIPSASSRGYHSMPRSNPGMASHSSSSYGMMGMGMNVA ANPQFYYQAAAGYNGASHPRGGCADYGMVASSQAQQILIPNEMVGCIIGKGGSKINEI RQISGSHIKIADPHGDNNERLVTITGTPESNQMALYMLYSRI PHYBLDRAFT_104999 WTPEEFNAGRRLVSFWRNIGNNVVTCGFSLIESWADDNDDTLTI SCIYWQEQDAFYYTSVDCIRLLEYLVDGEFTQQEKNRVRRNLEEFHPLTLGKTKSETS RFFRMIMGYPGPRPRNIEKDLKVFPWDTLEEALRKIIIHYTASYSSTATVHQSTHRSN T PHYBLDRAFT_138447 MSLYSFFGCLFLAYGPILSIFFLYIARNAQYVLLMVSSAFFCLI ALLISSVIWYLAKKTQSTHAVTIAYSVAIQEIFRWGFFRILSRAETGLNLVSRNPNSP FNRPVFGFVSGFGYALMSCLVSYISLLVESLGPGVKMCQSCPGLSLFFVSAINTTIFS LLHMAWMMVAFEGFSSYKTKSGMLQITWVIFSHYGASYATLFNASDIHLGCVYSALIG VVILAISCAWIAYGLKSRNYINRHTN PHYBLDRAFT_157004 MAPDPTCQVCNQQFIEEIEDDADDPRRFLSGTESQPPLMNIFRS ETGEGGPDENALGPFFESIMAAILGQTPMRPNGTNTPGNGTDTDGTPETGGGQENQRS PFVLYTGLVDGGNIHPIRTNMPRRASSENDSAQNRDASNQTPDGEAGNRVSSIASVMQ MLQTLAGAPLDAGFVGNPNDYVFSQGALDNIISQLMEQTVGRTAPPPAPDEVIESLGD RVLTQAEQDLQVDCAVCKEEFDIKERVIELPCAHIFHNECIKPWLKMNGTCPVCRHSV VPETAGARDGSQTTNDPLSSGQATDTAADSNQSTNDTSSGNQGQQPPTSASIFSWLGG SRQDRGGSNTPSWPANIPGPFSWGPGQRRASNGHNHSPASSDNNQDHPDNDAPDLDL PHYBLDRAFT_161527 MALPAKTPPPLPRDPSPPPGSPTPSLNTPSTPTGSPPLSPSYVA AAVKSVDNSCTSRIIGSIAGNGAPRIWKEDSSPFSVFYEVPAEGNPLRPLFFEALNTA FPLGVGRGLTYASRTSRTSFEFHLVDQEACSRACQVGFPFNGRTVFASPAIPSTFKLL RLRVSRLPLHGYADFDELAENLRRCLAIYGQVQEISLNLKYNYPDGTGTIHMLCPPNP DLHLRHLEHEIKYNETTTFLATWARMGTHCTFCKEMGHEKEACTKRPKETRTCFRCGK VGHLAHQCPRNEEAESKRPRKTARSPTHAPAPPAAQMYHGLLPSETIYGSQHAPQNIP LPPLATESLSRPRAAPGAIPGVLPANHPDFLIEAAASTGMDDNVQLVKTSDGELGNEE TKKSDDEEYYSDDDIDEIAKYFAQMEDDPMDGENDGGQDPPNPALTL PHYBLDRAFT_184467 MDIQNTFNMQFRTTSSVWSQHCGLVCLAPMISIVNPLTSVCGRC ISATVEHANNNFSPFQICMVYAPATVGQRYKFLSALLANSLLLPTHPSRFILLGDFNH SYHTRSPRPRLAPHTWLQFLSDHLFDCVTMPDSTPMPTFHRGTTSSTLDYIFSSSDMF SHRISSSVDYIHPQWSDHFLVSASFLFDSGTVLGKGLWRANPRLSYNQHFCLQLDSHI HSLVHSLPTSLSVQEHSIAQRDAFCFSLLTTIQSSCAIHLTRSLSIRGRATVLNTPIL SRLWHVLRVISVPVSFLDKVKSVMGQFLQHRMFPPIKLSTLCLPLRSGGLGVLDPSIQ QGALHLRWLRPLCLSPHSTSGLVPPWLSFLLRYHTSGTDPRLTLLFHDLRPPDLTGLA GCFRNIFSAIDRLPHDFSLAPNIATCLALPLRSVCLPATSTTSFPPSWQHLRVEDAFL VDPSFDVLCRRAPADFPRNPLILRKFFKRVDSRDTLLQHFLVRAFLPSHILQLNDPSI PSRSGSSINASPFVCGLLPGIPWSKLKPRMYRSFCSSSVSPPLSSTLSSSQWLIFWNL PIHHHVRNIWYRGLHHKLSSRSLLHRILPGPFPTDSCPICEASTDTPDHFLFSCPLKI DVWSTFWQDVFGSHPTLPILHDAFYNLSFPYTRPSDIHAASLFSCALLAIWRHHWSTV FDNTPFVSSTVLSTVSRLVAIFKAEKSRDDLACSLAT PHYBLDRAFT_61940 MINSVVLGSFYGSRLESEKLPAPDLESNSNIVAVLKSILVTISA GAKEASAARTGRSQINSNAIPSVRIAPMDLLSDDAFIPRPFIERKPLRPHAKEVPT PHYBLDRAFT_138450 MPFNIEKVIRPNILALAPYRCARDDYSKGVLLDANENAFGSALP IKYKEELNRYPDPYHPKIKERIVKLRNVSLIRQVFLGVGSDEVIDLLIRVTCTPGKDK ILTTPPTYGMYSVSAQVNDVGVVHSNLNIENGLYQLRLDDITASLKNNPEIKVVFLCS PGNPTGTALSHDSIREILKSGYEGIVVVDEAYVDFVDHENGTVSSWIDTYPNLVVIQT LSKSFGLAGISPHRLGIALGNPDLIQILNNTKAPYNIGTPAASIAYEALSDVGLATMN THRSYLIRQRSMLVQRLLAFPLQGLGRILGANDANFILVEILDKNNKPCNVRAGKVYS RLADCLGVVIRLRCKEYGCFGCVRITVGTEQDNEYLLDQLEIALKSV PHYBLDRAFT_161531 MSHVDKSFKNWLKKVTLPTRKIVTSTTPNTGRVFSVHLEVSIRY AQSTISYHDTARSDQPQLGAIPIIVARCGAFLKQNALSTVGIFRLSGSSRRIQVLQDI FDKSSTSYGVHIDWHGFTVHDVATLLRRYLNHLPNPVITHEFYQPFCDIMHNRTYTTT NQRIIAFRSLIHKLPLAHQHLLLYLLDMLNVFAQNSCVNRMDASNLAAMFCPAILSHP SQNTPISYVVSQRVIEFLIEFHSLFGMQTISRRKSSRSRQSSSSAFGRIITVSNGKCP SNTVPALRRRRPATLEINLIRTPMYSPESLGDDQFNIGTNIPTRDTKEQEEEEEEERG GLITPRVSGDYDRGNSHVRDDENGQKKTVYKLHDAPVTILPSSTETKPTRPVSLNRET IQLCDAIGSGMSNIDTKLPDPKYKNGLTIDTNLKETSNFEILALTNTNTKIVFDPSDK VLSQSTTSVGTDTVVETSIGSSTDLSLDVLTDEISIEDTDSILEMSLKENLAREEQLM QDEEVMFQWRDLLTRSWKTDESTPKIPLTTSSSVCSKRTDYFGHASLPDDDRSGSHTS TPSRFLEEEEEDDDDDDDDDDDEEEEEEEGNLENKSEMKHTAKQFSCEATWNTDTQQN QPHFQRICQEALLPMNIKGQSNSNRRLIQIRKMRLVLYSWLLYYVLWLCMTLFHNNGC HCAPIIVIIILVSSRLPKPNKIYELKLHVCLCKRRDLPFQPLQFVTVQTQKQVLHFQG LWFMICFLTALGIYGAQAARPCKAIQKGYVSNVYLLIYLSILY PHYBLDRAFT_157006 MKVLGLVSFLVATVAGVSAQLSAPMKNYNVTSPVSNGPYVVGQV VPCTYQLFNEYDTSVLKLQISLESATNSSINFPITTNADISKTTEFSKFEGNYTYYEH SINYQIPSTVAVGQYNVVFLDATTQTKLVVPIEVRAAAVIPSTTIPPSKAATEGSSSP TGGSGASTPASSNIFAGNSGAMTSPGLATKTLFALAAVAGIAFML PHYBLDRAFT_105239 MQAAQSIAGLTTETKQTTGDMPPPLVGASTSMVGHHLYVFGGRL VSSRQMTNHLYILDVRTLVWVRHIAEPGSADPPRPRYFHSANVYKNTLVIFGGMSQSQ RRASQGLCALDDICIFDLGTLTWRQSQIIPSLFSPQARYAHLATCAENKLVVMGGQDM ENQYIHEINVFDLDRLQWVHNGPLDRQIGAYRAIVFVPSRVDPALVGHTTFWDKEERL DADDVPVCVYSNYNFADVTRELQSFYPQRPHPITFHDHSSAMSGTALPPGLRFPTGDL LGHHLLLTGTYLTPTHQSFHIWALNLANLVWVRIDSGPLLSGGSWNRGVLNETSQKLY VLGHRDRDLLEDYNHRQVNFDHLAIVDLEAFGIYSYPKPTCAPIAQELGLSMLNEPSM SDMEVVTV PHYBLDRAFT_157008 MVNRVAVTVEHYTPEDPLRAVDIYTPPDVQPTTPLMVFVHGGAW VSEDKADHADLGMAWAKLGMVVAIPNYKLSPRKYTKESIVHPSHCNDIAESIIYLEQT VGKGRQIYLSGHSAGAHIIMMLLIDPSYSILPLIKGAVGIDGIYDIKLLLRTFPSYIS FITAAFGDDDEKYAECSPALIPVAFNIPPVLIVHSLEDTLVDYAQAQVMYDHLRPFAK VDIDISIKGDHAIMLKTPQLASLIATFINAKE PHYBLDRAFT_61947 MHLSFFHLNIPRVRQYQLEPDRVISCRKKGHYLCSGRIKMSPLT KKCRPPKLRVQAVQAVLPDCPKCFFLQKERNAENTAFFLRNIKNTGPVEIVAAAASGG RAANNSSENDNSDSVGASSISTNHSLRDTKEKVTEDLEYHSFDELGENFFLDKDSTHH NEAAQILASSTKIPNKPLTHVCHLLLNKLANCAPSTRLMRELIRSSPLNTDEPFDLSI NADLIFTEVMGTHFHTGDLITVKNRLPNCGYITKEQFELFDCLKLPVLH PHYBLDRAFT_61948 MFSFLRRSAGVLADSSAKALRMDGLQGWQKELPMAALNSKKDLA QWAIGCDKDIGGFTEASLDITPDNTGLFHGNLSLELPANREIQHSGYAAIRSKVKPSS MFGTPCWDTSLFRYLGLRVKGDNRKYFVNIQTDGVIQTDLHQHRLFLRTPGQWETVMI PFRDFILTNNGMIQEEQVEMFREKVKTVGVSLMDRQEGPFKLEIDWIKAMNTEFTEGD MDRVPPSKETSDIS PHYBLDRAFT_29391 MTLRELKIKTNVLKRIYRENIGYAQEEQQQLKRIDKLIADGADE ADVRKQKEVLEDTLQMIPDVQKRLAKAYADLEDKVTDPAYADSEELKDALQALEEYKP E PHYBLDRAFT_161539 MKFTPSIVPKNLALADVTKKVHMTFDLDEETPITQKKNKKSKSE KRPATEDRSLTNEDGQIKKKKTRHHAAHDPPVTKQSGSLESPKTIKPVESMDIVETTK ESEPSAENKDSKKDKKKKNVKESSPTTTPVKKGKALDTMVHVIKKPAHKLFIAKNNVK ASTDPEDVKERVRKNREKKRLAKLARKTVDGVVTKVPSLIIRPQEVKVKMPLKSIRQF ILYCLTEASTPSWAPIVNKGLVKNLVLVFAQGLDITYFGAEKNRQNVPNTISFTDIKD DSIGKSSMPFFSSTFSHMIVSKLSGEKGKIESPISELLKCDVSLTEKNKMLQEKWDRS IEHKDNMKEYYVMTLNQLKAADYPIPPSLDPTVKLAEGWKETRAVKVPSKEKKLIAVD CEMVLTTSGSALARVTLIDEGGIVLLDEIVKPDEPVVDYLTQYSGITPDIMESTTCSF TRAQKHIRKIVDHDVLLIGHSLDNDLKAMKLVHPYCADTSLLFDHYRGPPYRPGLKML ARTFLKRGIQEQNENTIGHDSAEDARATLDLFKLKLERGPGFGVYGKKTELIFDRLRK FDPPKTGAILESADAANRLLGSTVGSEYVRCDTDQELVEKITEKVQTQNFILSMFRCM KTGDDIKDDEEIPSVIPQTFSDSHDVASRLTRFDALFKELYESLPPSTVVVVMGGPGD VPRYKQLLAKSRRYHETYLGNGVKKNDVPEQDVWTPTDQKIMLGECAKAKLSSTFVTI KQ PHYBLDRAFT_184474 MSGEANSYLSHYSRPDPQAAARYATQQEGVSEEDEGMFSSVLSR FTGDDDDDDEAPHKRPIQDDEANEAASAHDRIYSQNNGQPDEGNTSRDLGSAAALQAF KMFSGGGGGSGGGSNEMIGMAMGEAMKLFNAQGGKADQSEMLQSAAMMALKLYTTSQA SKAGGESSGGLGSIMGMLGGGGGSSGGMAASLLSKFM PHYBLDRAFT_104141 KFALDHGAPIDAVVNGFMPLQLACISDNNIAVVQYLIDRGAGVN AQRWSKKHSADKSQAVAGAIGSTALHVACANG PHYBLDRAFT_120669 MSVSSVKFSPDGKWLASCSADKTVKIWHALDGKYEATLEGHTQG LSDVAWASDSQSLCSASDDKTIRIWSLSTRETAKVLRGHSNYVFCVNYNPQSNLIVSG SFDESIKIWDVKKGKCMKTLPAHSDPVSAVHFNRDGTMIVSCSHDGLIRIWDTASGQC LKTLVDDDNPPVSFVKFSPNGKYILASTLDNTLRLWNYHTGKCLKTYRGHENNKYCIF ASFSVTGGKWIVSGSEDHSIYIWNLQTKEIVQKLEGHSDVVLCIACHPTMNIIASGSI DKDKSVKLWFDKSQPLA PHYBLDRAFT_120672 MSDRKLCMIPGPIEFHEDVLTAMSTPATSHVDPLFVPIFGESIE MIREVVVSKVAQPLILSGSGTLGWDQMVNVIEQGDEVLVLNTGYFGENFADCLKTYGA KITQLRAPVGSRPTLDEVTTAVKEKKYKMVTITQVDTSTGVLSDIKTLSLAIKALSPE TLVVIDAVCAVGSEEIQFDAWKLDVLITGSQKGLGVPPGLSIVVLSEQAINIYESRKT PVAAYYSNWKKWLPIMKAYEARKPAYFATPAVQLIYALHTSLKQITSQPIEKRWAKHQ EVAAKFRKAVKDLGLKQVAVTDDAAANGMTAVWLPEGLVAGQLVGSLAGKGVQIAGGI LGDLSPKYFRVGHMGISVMERNHIDKVIKAMTESLAELGYKQ PHYBLDRAFT_161545 MGQLFETCLNFSRNYYRCFCSIVVDRQDYREVIFALFADTAYVN GVDFEGRLRGRYGAYYFVTTFCVNLSPQTPNSHSFKASYAEDHKELLSLKCGAILLNI SLFIGLLTRIMSLSLFYLMFPKFGKTNWEQIEPFHAGKKVRICGPVESNCRLPQGNFV P PHYBLDRAFT_138467 MSGFELDTTSYTLLTSILTAAALLSIHNTKGPDIHPLLLNTQSD VSRLRHPGESAIYRSRMYPNGSPLLSTFDRSMRTLCDLYEAGGLSKQRTSPFVGKYTD SGAWEWTTYEVISNKSKHVQAGLRTWAGLVPVSGNESSFVGIYASNSPETVAIGLACH CNGLVTVPISAQATSSHVSHVIKDTLLKVLAVDPVNLERALSLVPGTNVKYIVVLGSI DAANKDAAKKAGIELLTFKELEVLGQSSTVEAVRPGPNDVASIMFDSTSNQLSKSGAV LTQKNLLANVASYLMVLPPQQKITSNDRLLHNLPIDNVLGHTISSVFYYVGGSIAFEA DEPKKDVKATLSKISEAKPTILVSGPHFLHQVKEVIESNYGNSFLFRRGFNKKLECFK EGRLVNDSKYDMLVFRDIRQTLFGGNLRVVFIDNDDNTEPIAPFLRAVLGVQVLKTFN RAETGGTMTASMFYDYNADTAACGAPLPCNELKLTDLTENGYTAEDKPNPRGEIWVRG NNVFSGYWNDPTSTSDVMDADGWFMTGMIGEVFPNGTVKLLGRK PHYBLDRAFT_104869 MIVITCTILVLQLSQNILINSFNKPERLKETDDIIALIPNQTTF QRLKKPLLGDPDFTSSLPQLQYTFKPENSTEKSKRESRKQSVKKAFLHAWNGYNNHAL GFDEIAPLSNRTKNTFGGWGATLVDSLSTLLVMELNNEFTAALPEVAKIKMVVDQNVS VFETTIRYIGGLLSAYELSGEKALLVKADQFGEMILPAFDTPFGLPYHTWNSAKQKGT NNHTLVADVGTVQLELITLSFHTRKPIYAEKAQKITEFLDSVGYAHGLFIPGLYPSGI NLYKGRFTDAVCTFGAMGDSVFEYFLKEHVLLDGSVRQYSRMYIEAIDNMKIHMLQQL PGTSLLFLPPFDTRKKTFKKSMDHLTCFAPGMLAMGATLLDRPEDMIIAKGLLETCVY MYRTSSTGLCPETWLFPATEPYNPLTYSLSDEEMAGSHEWWYNTQLPPPPLKEKFPAK QTDIFPDIFKFNSSSKNTIEGLMATDRRYLLRPETVESLYILYRMTGDTKYQEYGWEI FEAIEKWCKTPSAYASIRNVNILEIYEQNIQDNQLDSMESFLLAETFKYLYLLFSPTD HISLDKFVFNTEAHPFMRRSWA PHYBLDRAFT_157012 MKFSIIASALLLAASSTYAAECSQGYYQCGGKLWTGPTCCTAGF TCVAAENNEWYSQCVPNAQVNNNTTTKAATTTKATTTTTKAAATTTSKAPTTTTTTTS KAPVTTTTTKAPVTTTTTTTKAPTTTTTTTKAAATTPAASTGYSPISGGFSGNGHTTR YWDCCKPSCAWDGKASVTKPVLTCAKDGVSRLGADVQSGCVGGQAYMCNDNQPWVIND NLSYGFAAASLGSAGASAFCCGCYELTFTNTAVSGKKFVVQVTNTGDDLTTNHFDLQM PGGGVGYFNGCQSQWNTNTDGWGARYGGISSLSECDNLPTQLQAGCKWRFGWFKNADN PDVTFKAVTCPAEIIAKTGCQRN PHYBLDRAFT_61960 MAVVASVPHLDVQTRNREYNIIFFFEKCTRSEYNSRNGCTNQVE CKWFIEAIKNTGPMYHLTATHGINDENKNILYLRRTGVFYDFGKYLKLANLEHLYWIL PHYBLDRAFT_161550 MTNLKKHRLVLLCLLIDPYHSKLWGCCLIAAGKNKNKNKSKSKS KSKSKSKSKSKSKDIDIDIDIDLDIDIDIDINMLCCCCCCCCCFCYIPEPLFNLNQNR NKNKNKNKNKKIK PHYBLDRAFT_61962 MSLSLSNRQPSLQFHSRPMAISTITSPPLASSHLLSPNPFFRPG TEIPTLASSDSSPTSSSSSTCSSTSPPPIQKALSVRSPRLFTPNCGPTDPETQMTQMT QMTQMTQMTQMTQMTRPYRPENIHRTSIPSSHRHGSHSYAYSPLQRTHTHSNHTHNQA SPQTMASYTSDSSSNSSPCMTPLSPSSPLSLQERRQRNKAASAKYRAKKNQQHGEMRS LIDSITKENDLLSRQLDHAQRENSRLKATCDRLRGKMLAEKMLKRLLGQDKQHPNSTP NSNPNDPKHNKEDEVMSLADPSECYFQNHSNQATTAAEQQHQRHQRMAVLERFDEDID FEDDVDDEEKNQAWNTKERIGRVSPEGKPNLFG PHYBLDRAFT_138471 MKASSILVIFIALAFANAQQLSDKARQLGLNQTWSAPFPTSPLL DDSAATYINTNWHNPNNYFYGTTDVSYVPDPITTTNNSANNNISSQVLQIKYNKGSYS PTGTKSSSASVGGAEFYSTPFRNNSYDSVLLRYDLAFDKDFDWVLGGKLPGLFGGPPG QGCSGGDKATGSNCFSVRLMWREAGSGEAYAYIPNSDATCSLPLVMCNDQYGTTFSRG LLQLKKGQWTKLELYIKINDPSKSNGILQVWQDDSLLISQTSLQYRTSNAVAISSLMF STFFGGGTANYATPQDTYSYYRNVEYSVGLPVTLTPQQSSASNLARLSFTLLLTGFIT LLYLFSL PHYBLDRAFT_184480 MSARGAQDLPPAEGYSEVRYRRYLPKRGPSGLVILASVAAVSAF GFYKLAVGNLERRELKRENLWARINVVPLLTAEADRDTYRRTQAANAREAEIMKDVKD WKAGESVYNNTKYYIPPNFVVVPEEN PHYBLDRAFT_120681 MGYHDEHISSETMNQPLLGSPNSVMQPSPMYRGRSGAGSPNSPG LHFQNSSPSPNGSFTEPPRRQPRRYKTVRKVKLTRGNLVLDNPVPTKYLQSLPIKEGE EFTHMRYTAATCDPADFESEKYLLRQNLSGRDTELFIVLTMYNEDEVLFCRTMHGVMK NIAHLCAKTRNKMWNAEGWKKVVVCIVSDGRTKINARTLSVLSALGVYQEGVAKNVVE GKPVAAHIFEHTTQISVEPDMSFRGADKGIVPVQLLFCLKEKNQKKINSHRWFFQAFG PLLRPNVCVLLDVGTKPGHNSIYHLWKAFDINKNVAGACGEIRAMAGKYGSNLLNPLV ASQNFEYKISNILDKPLESVFGHISVLPGAFSAYRYKALQNDHNGVGPLEKYFMGEKM HGSDADIFTANMYLAEDRILCYELVAKRNEAWTLHYVCNAYGETDVPDNIPEFVSQRR RWLNGSFFAGIYSLAHWRKVWSSNHFIGRKLAFMFEDIYQLYNLFFSWFAIGNFYLIF YIMTSSMESEELNPKPFSADVAKILHIVLNYIYCVLLVVQFIIAMGNRPQGFKWAYIG GFAFFAVMMVYIMFCTLWITVVGIITAVDAASATENAVVALFNQSTFRQVVISFLATY IMYFVASMLFMDPWHMFTSFIPYIFMSPSYINVLNIYAFCNTHDVSWGTKGDNGVSTD LGVVKAKTDKDGGQSVEVEVPTEQKDLNEQYEEACLDLKRKFVPEAEHRDAKTKQDDY YRAFRTNLVLCWIISNMALVAVITNGQILHWFGSYEERSTAYLGFILWSVAGLAAIRF LGSCSYLFMRIFTG PHYBLDRAFT_161555 MVTESRFKKITARLLCRLSKHRARTCFWQDDHSFYYTAEVGVKR TLIQPSGPRVELKGCLIAEDKIDQNMAQKLTLLLSAHVNAIYFYKYYSIYNPNIVWLK IYGSIRDTEAAHNVICHNLSNMIHTTSPEIFDKEYELFTLEFKVYELFTKYFTKI PHYBLDRAFT_138474 MLDVDEIPERPNINTLASFWAGFVGGIAGLAVGHPFVKVRLQSR ELASRYKGTWHCFAMIIKQEKVFGLYKGMASPAVGVAGANALVFGSYTFLIEKQAELR GRPISETDLPPLLDVFIAGMGAGTITSIMTCPMELAKVQLQNQTTTGDAMKYRGPIDC ITKLYYAGGIRQCFKGMGSTVLRELSFGPYFLCYESICRGLTPKGSTMSHHEITGPKV ILAGGAAGIVSWCSTYPADVIKTRIQSEPNRYKGFVDCLRHCYREEGYRILFRGLTPT ILRAFPSNAATFMGYTWTMRLFTAEKPFYQREEVAI PHYBLDRAFT_161557 MIKVFTDFLRRFSSNSNIDHKFQHFAVCRDLKLCAEVEETGTRC HISEDDILASFGYKQEMHKTMSTVSNFSIAFGCCSILSGLSPMWGDAMQSGGSVAIIY GWILVSIFTFGVGLSLAEICSAYPVTGGLYVWVSRLAPPEWVPIACWLTGWCNWLGLT VAITSADLGLAQFLSSVIGIKNPDYDAGIYWQYGIFLVIVFIHGMINSVSVKYNGFFN QTSLYWHLIGTLLIIIVALVMTPNKSSAKWVFTDFQNETGFSSNGYVFLIGLLQSQYT LSGFDSAAHMSEETRDAARSAPRGILYAIGVAALVGLAFMLSVNFCVQDFDAQVVNAK ISPQMTQVFLDGVGYKWTIVFTVIIMGAMFFSGSALTLGSSRMVYAFARDGAMPFSSW LSYVNPRTKTPVYAVWANVIFAAIVGLLYIVNTTAFNAIVSINTIASSLAYFIPIALR LTTARKSFQKGPFNLGPFSNIINLVSCFWILFTSVLFLCPTEYPVTASNMNYACAPLV FVLGGSIGYYHFRARKWFTGPGRSLEPDPQIDGPAVTEEYFSGDYSPDKVTSYARHAD GENLHHRRGSVGDDENKL PHYBLDRAFT_157014 MCKLGDIPSPRIVAMGDLHGDYDNTLTILQFAGIANQTGHWIGK DAIFVQTGDVVDRGLDTIRLYDLIQQLREEARDAGGLVIPLLGNHEIMNLVGDLRYVR PEETATFGGAKERSKAWAADGFIGSYLINLNMTTKVGSSVFCHGGIHPYFAKFGVDWI NDQTHQSIEGYMKGRGRHGDPEGVFSGEGPTWYRGYALDPEEDVCPMLDAALKMMEAD RIVVGHTVQRDGKIHTRCDGKVVLIDIGISYVYGGHRGAIEIIGDQVIAIYEDRKELL SPQPKPFHIEL PHYBLDRAFT_138477 MTSSSDNNCDYVRGVSFNTMTDPSLPDYSFTLNAKTPEYKRTRR SRMFMEMMDDGDEIVVLRVLTVDLNDKKKGVHKTLKEECSDARQSATDISVTIEFVIG KVQSSIHRAISMYQPSLLVVGTRGMSELKGIFSGSVSKYCLQHSPVPVTVVRSDPKDS KNKSTRKRLGSLIGLPVSSDSDDDQLGDDDQQKKRPASRRKSIIEGFGGLLSRTRSRS SSRSQSRSRSSSRSRSQSPSKIVENHLAPPS PHYBLDRAFT_120686 MEQEYDVVILGTGLTECILSGLLSVDGKKVLHMDRNDYYGAESA SLNLSQLYKKFRPGQEPPAELGRDRDWNVDLIPKFMMANGEIVRFLTYTDVTRYLEFK QIAGSFVYRNGKISKVPANEMEALSSPLMGIFEKRRMKKFLEWAQNYNEQDPATFQGL SLEKSTMAEVYKKFGLETGTQDFIGHAMALHLDDEYLKQTAKDTVERIRLYAGSVVRY GKSPYIYPLYGLGDLPQAFARLSAVYGGTYMLNKDISEIVYNESGAVVGVRSGGEEVK TKQVICDPSYVPTKVQTVGSVVRAICILNHPIPNTGDIDSVQIVIPQNQVGRKHDVYV ACVSFAHMVCANNYYLAIVSTIVESDQPEQEISAGLNLLGPIVEKFVSVTPLQVPVED GKKDQVFVSRSYDATSHFETVCEDVHDLWRRVTGDELVLKKNDTEEQVNAV PHYBLDRAFT_76884 MGFALPDGWIERLGDHPIFDQTNSTKSNISSQREGVTFLNNQEK CIAMTKTNDLIVAVDSEIRILNLNLVKEAWLQEAHDNDNAPPTDKEWLWQVPYRILDT PDINFPINSITPNDNGRLLAVAGLESLAAIALPREGFCTVTKGLDYKRELHCRTMRIG RRYFDEASTSVLKVAWHPLSEFHSHLVVLSGDSMLRMFDVSKDIEEAEQSFDLSPLSR KKPSKFKGFSFSEYMGPSEEAVSFSLGGDSNKDGGWEAFTVYFALRNGHVYALCPVLP YASVVKREHMETLGCVADAKMKMLLSKGKTFDQLDDDHQALYYLQQLHYQWLDEMLKS TVVDRQNHPSLVDRSMINIQSNKCSLPFTVKRQGPFLTGGNFDGVLDINTAQISDIQF IKSKASDILVVACTDGSVRNFLLGTQVDPQWLMPVQNNPRYPWQAKLGEFLSSTDVLP KMALYESIKLNKNIQGHTRMNIVVDPIYPDTYYVYHNRGVHIVLMKQWIDLLAEMNAT FSKGGNKKDVGDSLQKWATNKSGSSTRCLVDSSPYKRGESVPIIGLCIVTDIYLSHCL FALSATYSLLSVEVGNRRLVSIEKGSLVSKAVESQLRDAVEDRKGGEDGYTCILSLPP FEVPKSLQALQQLPNQSKVVIPASMGGNKKIIVNEETLRFFNKSAEKLQTDIRTIVKA GEETEKRMALQQIELRQQVVMAQLIDKKLEDIQTKMDQVRKVKLEQAMKQYAKLSMQI DTILAHNILSYQPERSEEEKQLLEKLRQDRKKVQGKSGYIARTNKLLKEVEKLKEKLP QKKTEQPILYTNTLSSTQLTSLKHDLDDQKHRMNDMTKRINSLMEKLTVV PHYBLDRAFT_184484 MDIQNTFNMQFRTTSSVWSQHCGLVCLTPTISIVNPLTSVCGRC ISATVEHANNNFSPFQICVVYAPATVGQRYKFLSALLANSLLLPTHPSRFILLGDFNH SYHTRSPRPRLAPHTWLQFLSDHLFDCVTMPDSTPMPTFHRGTTSSTLDYIFSSSDMF SHRISSSVDYIHPQWSDHFLVSASFLFDSGTVLGKGLWRANPRLSYNQHFCLQLDSHI HSLVHSLPTSLSVQEHSIAQRDAFCSSLLTTIQSSCAIHLTRSLSIRGRATVLNTLIL SRLWNVLRVISVPVSFLDKVKSAMGQFLQHRMFPPIKLSTLCLPLRSGGLGVLDPSIQ QGALQLRWLRPLCLSPHSTSGLVPPWLSFLLRYHTSGTDPRLTLLFHDLRPPPPPDLT GLAGCFRNIFSAIDRLPHDFSLAPNIATCLALPLRSVCLPATSTTSFSPSWQHLRVED AFLVDPSFDVLCRRAPADFPRNPLILRKFFKRVDSRDTLLQPFLVRAFLPSHILQLND PSIPSRSGPSINASPFVCGLLPGIPWSKLKPRMYRSLCSSSVSPPLSSTLSSSQWRIF WNLPIHHHVRNIWYRGLHHKLSSRSLLHRILPGPFPTDSCPICEASTDTPDHFLFSCP LKIDVWSTFWQDVFGSHPTLPILHDAFYNLSFPYTRPSDIHAASLFSCALLAIWRHHW STVFDNTLFVSSTVLSTASRLVAIFKAEKSLDDLACSLAT PHYBLDRAFT_157016 MNTPPKRELTRAFFPHAKTTLDLVWDYIKEQFAWPDVLRWKGSV LVPTLPGVFGMTCFACVICICHIQLNFFINIPVSVLGTVSVALGLLLAFRVNTAYDRY WEGRKLVQTVIATIRNLTRQVWINIPEETDQDHREKMRCIKLILAFMVATKHHLRHEY GIDYYDLEVLLPPDWVPCSVNHSEDLNQPVKKTVKDLNLTPFAQRALAKASALPFVIS LHNSVKPPNHDEPMEADDPIDIMNARRSELTDRFPEAGLADVSCADLKLAASGRGRQL TMQQQDFNRSGRLIMNGDEEAQLEGRSDEDDTPHHDNAVVPDSDQATVRVRSSDEDVH TTRHKHHFSNLRRRLKPKRAFSGPEDLPYHGDSDISLPIEILFKIALYINQAKAAEKI ESSFVAVTTSSLDTLTNSLTAFERIVHTPIPKAYNIHLKQGVLLYIFFLPFALVETIG WLTAPIVALVSFTLFGIEAIGAEIENPFGYDENDLPLNRYCDDLKKEVEYIIYHIPSK SSSILLDGR PHYBLDRAFT_61974 MQQLLLDPNLKGKRVKGKGLKFSCALRLTLLIVLKKITMNGDAL FSNNTDTTDSILPYNHRPRTDLINKLVLTDTQIRAITLDRPATYICRYRKTIGSKLGE LLVLVSQVKIIVKHLMFEKITKKKYFVNLEYRNADILFRLDLILQWKSCITTVI PHYBLDRAFT_184486 MSDITAVSPSDLDIDTDIDSGFDITNLPESFKRFLKDNDIDPRI YTVTKLPRFVRWNSHLDQSLLPTLDQLREQLKTQDVWKVQGLDGFFGFNLVKNGPRLF DIPAYKDHSLFGIDLSSAVAVEALCIEQDDHVLDVCCAPGAKLCMIANIIGQKGTGTV TGVDLAPHRLATCQSLLKKYRVGERARLFAADGTQFAIPAPSRLGSVVLGDVKLDEAR PAKRRKTQKKAGNINPFWAPRMLRFDDMCPSRLYDKVLVDAECTHDGSISHIIKYEKW GWDTFEKNFMDKERLATISELQRNLMKQGWKMLKDDGLMVYSTCSLTIKQNEDNVAWF LAHYPDATLENVPCVEKLDIKLASIRRTDLKEATPEIQDAIQKSCVRFDPLTSRTSGF FLARFRKSSSTK PHYBLDRAFT_161567 MKYNSRIYRIRYRNYYRSTTHQPASVSDTSSVSPKLDKSGPVLV EILEDTKKYRVCKTDIVPDDVDQIQKHVRHYADEFNLDLIVITGGTGFSERDTTPEAI IPLLTRQTPGITHLLLASSLAITPFAALSRPVTGIRNKTLIITLPGSPKACKENMAAI INVLPHGLDLIRGEPVAMLHAAIQKDENEKPNPQKITKHHYHTCTHKHDAPGHASQTG RSKDLGSFVPTRARSSPYPMVSVSEAQSIVSSYTLPIGTISCELGAGLAGRVLAEDIS AVENVPGYRASIMDGYAVHVEDGPGIYTVGSVSLAAPTTEKKVLQRGQISRISTGGPL PLGANAVVMIEDTRLVKSSEDGKHEETVEILVQAQKGENIREIGSDCAIGDIVGKRGQ VMSNMGGELGLFASVGVFSAHVYQEPVVAIMSTGNELREASSENGPLIEGQVRDANRV TLSAAVTGAGFDVFDVGILKDSVESITEGISTALEEADVIITTGGVSMGEADYMKPIL EQKFGAKIHFGRVLMKPGKPTTFATIKHESGKEKLVFALPGNPVSAAVSFYLFVLPAL RQISGHPHPENVSLLVKIAQDIELDGRPEYHRVRVFVSGSELIAESTGDQQSSRLLSL LAGNGLLELPARSDSLAKLKKGAAVKCIMLGPLY PHYBLDRAFT_17480 STVSLPTHAQCSSVFKRCTLNAIPHTKDLLQRSRLPLSLILEPY PNLQSNDINIPLINNSSVIRCTKCRSYINPFIQFAEGGTKWQCNLCDTKNQVPPFFDW DSINQRPVDRWSRVELNHGCVDIVAPSEYAQDPLQPPVYVFIIDVSVQAVQAGILPVV ANSILEALDSIPNPEGRVKVTFLTVDSGVGFYSLSGKQPELMVVSDLSEIYLPRTPDD LVVNLLECRPIVEDLLKRMSEMHVKRCTNLNCLGVAIEAAKQLLISSGGKIVCFQGSL PSVGEGAIRSEDFKKAVSSEMPIMAYQEDFYRSISSDCCKANISVDMFVFGSNSVDLA TMNMLPRFTGGHTHYFPGFNGANGAEANKLRQEILCMLNQDIGLEGVMRTRCSSGIAC TSFHGNITPLSSDVMLLPNVPQGQSFCVEMSIEAEICTSTSFFQTALIYTTSSGERRI RVMTLCLPVTKSLSELYARADQVAIAKSLCLQSIEKAVTQKRRHGRDHLVKETAEICK AYNKEMTGAKQNGENNISICHNLSLLPLLIFGILKSETFNEVNIVPWNICTQTTLLLR TLPLQEWARIVHPNLYSLHNLPPLMVSKDGIQNHTMPLPMNLSLEKFDSHGCYLLENG QRIMIWIGRNAVPQLCKDLLNVSDIHQINTGQVDCLPKIDNPLSQRVNSIIEHIRSRK NSHTYYPSIYIIREDAESPLRSLFLSHLVESTGSSHATEKKNIISNLNYLSWLHHISN SSY PHYBLDRAFT_138484 MQILSIQKRIISKCQRRQSSTTNTASRVSTFTSSMGQCMKGLAS VKKAFSCNKVQNTVAPSLISSSSTISDDSFVSALSDDENFSKSCPVSEKSMALDSLIF DHPSVTVRIRPAAYRSS PHYBLDRAFT_157018 MQQTKVQTKELNLIWSVPSSDVLHAFMQELSSWEYSSDLVLNFD VHITREVADVEPGLLSDVIKIHHGRPDYGLVLETIRQRNSRTHVALGLCAADETVQKC GNQVRGATFSNEQSWWSICAERFEL PHYBLDRAFT_29416 MSNTFLFTSESVGEGHPDKICDQVSDAILDACLAQDPMSKVACE TAAKTGMIMVFGEITTKANLDYQKIIRNAIKQIGYDDSAKGFDYKTCNVLVAIEQQSP DISQGLVQKSFNIEDIGAGDQGIMFGYASDETPEMMPLTIVLAHKLNRRMADLRRDGT LAWLRPDSKTQVTIEYKNENGAMIPLRVDTVVISVQHAAEIETDYLRKALMEHVVKPI IPAHLLKDTVYHLQPSGKFIIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDWSK VDRSAAYTARWIAKSLIAAKLCRRALVQLSYAIGVAEPLSIFVESYGTSQKTPEELVA IIRNNFDLRPGVIVKDLDLFKPIYQQTAAYGHFGRDEFTWEHPKTLKF PHYBLDRAFT_61981 MIGPEIPSHLLQPKQSPEPSPADESSTVSIGPVLPPHLANRSSS VPETQLPSVPTVPAVDTAPASDDEDAFLPELPPDLLEQRKKTEPKPQIEDRNNNNNNK QQHRRRRPVGPAMPSCPLSVATYEDAEDIGPVLPSSYDPEKMAVQSTMADIEERARQS KEQMEKKDDPSGKIERPEWMLLPPEVDYLKAADSGRSRTFNNRQLSDRDRDNSGWTES PSDKKNKKRKEAKDDVPRASLEQERIIKHNIEKHNRSERPLSLMEMHQKKRKVNREME DVRNRPFDREKDLKGYKPMDKKQKKEFMRQSGILDDRFGSSSRGSFL PHYBLDRAFT_37167 MKWSIKLHPLAIVASGAMTGIVLIAAAAYLFDNHSSRSSLDSSR GGRLRRSRNVRRRRLRVGNSRMTEDQDDGSPVLLGMSDTDDDDDGDGPTSLFKEWNED DNKNLLNLLHAISDNQSRKEGFIHRGITCNKCSVTPVRGVRYKCANCIDFDLCEMCEG TNSHVNTHVFLKIRIPIPPLSNPRNALLPAFYPGNNINRPPLTHERIRELQDKSHFDK VELEALYEQFKSLATVEKTEPGIDRETFEQCLGPLGLEKNLITERIFAFFDQDRDGMI NFGEMVSGFSVLCKGNLDEKIE PHYBLDRAFT_129453 MSSVLATCSPFKLALVQLGNVTHDMHANLEHARTMILVAASRGA DVVVLPECFNSPYGTSYFPQYAEPLSTSASVKMLSETAKEANVYIIGGSIPELAEDGS GAIYNTLTAYNPGGSIIGTHRKVHLFDIDVPGKICFKESETLTGGSWLTHIDTRYGKI GVGICYDMRFPEMAMIAARKGCMAMIYPGAFNTTTGPMHWELLQRARAVDNQIFVAAC SPARDPDASYQAWGHSTIVGPSGNVLQTCEENETIIYAHIDPEEIKTIRTNIPLYEQR RFDIYGDVSKTVDIKEGKGFKRE PHYBLDRAFT_99386 IIHNLEIVDVLGMGGYGQVYLGRHLETSRLYAIKSLPQTGLDLR QRNFQRTEISLHSRLSAHPHIIGLKHVIRQPGWIHVVMEYGPEGDLFSSIADKDVYFG NHDIIRKVFLQLIDAVTYCHDNHVYHRDLKPENILVFDGGHTLKLADFGLATTDPISK DYGCGSGFYFSPECQGDLAHPAHRVGYASAPNDIWSLGIVLINLAAGRNPWRQASLKD ETFKAYMSDPDLLFKILPISKELHTILKRTLCVDPIRRIELDELRERIARCRYFTRTP PHYBLDRAFT_178798 MRLVIIFLMTISWVWCISAQTPVLDSSQIHTILEQGKRHRHRLQ LQKTQLFNNYETFHNEKDALLLRLVNVKLEDLEAFESLVFGMMKIYANTVDKSNRLAK YDFRSPALKLAYEFEHAIKESHLQLQKDPADDLGHEQPSEHFEDAHKAYAPHHSHSPG DAEDSSDTMNQLLHGMLQEVRENADHLEQGMHQNNPALGSDQAGSIETVRKLRDTTQG KKDDENVATLIDQDNNEYIMTRPFDTTVIYEGTLVAI PHYBLDRAFT_178799 MQLLHDLILIIVISFAFGWLFSAIGLPAFFGYILAGIISGPSGY NLIKELIQTETLAQLGVVLILFVLGLEFSLDKIKAMWRLTLSGAVCILFVTVIFFVGL GVVLGTTLKEAVFMGACVSLSSTAVVVRCIRLDQLEHLYGLLVMQDVLLGFMLAILPA LAKSGIQIAIAIFKTFVSFAVFGLVCFAVVRLLPALPTTFRALFPKRQIPHSHELTML GVITLCMIMLLISEHLGLGIEIGCFAAGVIIRTRKHLFEASIGLVEPIRDFFACLFFA SIGLHIYPSFLASEAVLLLTLAAAVIGFKYIVTSVILFLFKFDIHKSSTMALALAQIS EFGFVLASRAKQLNIITREAYYLLLGVTAISLMATPLLWKLTNKTPLNSTVHAFHREP EEYAPVIVSFEEPDKLA PHYBLDRAFT_178800 METKSKRFSFAGLTSLTRSFSFSGTSAKPVLAEEPPAVDVESVK RTAEADRRTTEVDRRPLEIDRRKLRDKKSQSLFIRSFRSPTKAGVVKEDKSKTQYDPA EAAQTNSSDVRSSIRRSLSAVVYASPHLNSRHEKDSPRMSGLVPVLVTPGMSDSHGGI VMDDPKQHLVEAEIKLPKRKTMEYDNTLVLMPDNRDTALTIVWQGYGYTINSSAATSL TTHILGSDPWTDRDKTQEALEGRFEGEVWTSYRGLIHPLHLFTPLPNSDASQDKGAWE GLSVTELRQYYDNYGSMMLKIREARMAEQQRYYHDLQLKGHVEDDWMIPEVSQPETNI TE PHYBLDRAFT_61987 MLIKKKDNKLIDSETDKKSFERARGIRIHRNEYDHTLDIHDKLN KDILIKTQQNTIKRCQLKKFYKCYISSYIKGLKIDCIYGIIYGIKIKCLIIRTRPRTA HFSVVFDVWLLLYLGVSIYLGSLQHQNQNLNQVENSLLQTSLTYITLFAIPGLLISSD IYNQPSLSVIPIIPNPLSFE PHYBLDRAFT_178801 MTMTDDSPQFSQDFTRLKHITYFKTNLSFLPNAYTTTETNRHAM TLGFFCLNALDLLGALDESVSEQNKKDWIEWIYAQQLLPETDDEKDPNLDYCGFRGSS SSGRPFDPTATHTCYQPYDSAHIANTYTALLNLLILGDDLSRVNIKAITRAIRSLQQD DGSIAPTEGSYERDVRFIYCATAICYILDDWSGLDMEKTLKYIQNLQSYEHAIGQSPG QESHGGSTFCGVGALELMGKKDEGISNKEKLIKWCLLRQSTGFEGRPNKNTDTCYCFW IGAALKILGVYDLVNHDNCRGYLMTTQSKYGGFGKEPDAFPDVMHSYMGIAALSLLKE PGIKPIDAALNVSAELVEKLKKNK PHYBLDRAFT_61989 MQILSIQKRIISKCQRRQSSTTNTASRVSTFTSSMGQCMKGLAS VKKAFSCNKVQNTVAPSLISSSSTISDDSFVSALSDDENFSKSCPVSEKSMALDSLIF DHPSVTVRIRPAAYRSS PHYBLDRAFT_120705 MLRALPRISPSKHIQAALKPKFIPISVQKKLLHTDHEENKYVKI VEVGPRDGLQNEKQLIPVQTKVKLIELLAQAGLPVVETTSFVNPKWVPQMGDNHDVFT TIQKKANVSYPVLTPNLQGLKGALKAGAQEVAVFVAVTESFNRKNTNCSTEESLQRAG QVIKEALDNGLQVRGYLSCVLGCPYEGPVEPELVATMAKKLYDAGCYEISLGDTIGTG TPGSMNKLLTQVLKVVPANRVAVHCHDTYGQALANISKALDHGVRVVDSSVSGLGGCP YAPGAKGNVATEDVVYMLNGMGLETNVDLDALIDIGQWISNELGRDTGSRAGAALLAA KRAKLLRAKL PHYBLDRAFT_104416 MVLLNLDGTVKGGTLVALVERLTMHNALDTSYIATFLLTYKSFC KTEEFVECLENRYNMHPPEGLTPDELENWTERKQKLVRLRVFNVIKNWLENYYNREDE MILDRLEFFTTTVIRDTSSFAADQLERLIRKRRDTDAYEDVRTMVPNEKSRPIPIIPK NSACLRLLDADPLEMARQLSLMDFKLYSTIRPIECLSKAWSIDDSEGNIAVNVKQSID YCNRLTCWVTDSILSHDEAKKRAVFIKHWTQVADRCRGMNNYNTCMAILSAFDNSAIG RLRKTWDLVGSRTNQMLGHIRKLMGANRNFTEYREMIHSINPPCIPFLGIYLQDLTFI EDGNPDFLKASNTLINFAKRQKTADVIREIKQFQSPPYPLQALPRLQAFIRQNLNTDW DVDQLYKKSLMLEPRETS PHYBLDRAFT_157025 MTPVEQSHSLPGPIKHLEADTLKKARFGQPYSEIYGQYTPPASG QMIVNNTYSPMGGPGFQQPTALGPYSTGNLNFPNMTQYHAPAGTQTMNPPTPQFIHNS LGSPSTAAAAAAAAVAAAPFVNAYAHAQVQAHAHAHISTPPLQSTHQTARTVYVGNLP SETSVAEILDHVKSGVIDSIRPLPEKNCIFITFVDASVAAHFYHEATTKRLCLNGTEL KVGWGKPSSIPSNIQLALQNGATRNVFLGSLDESITEEFLREDLARFGHIEHVKMIKD RNIAFVHFLSTSNAVKCVNTLPTETNWTTRRVNYGKDRCAPAKVAPLYPSNYPAFPVR FSPMTYDPYTGTVEAYPTHASLYPPTSLTGVANRTIYLGNIHPDTTCEEICNVIRGGI LSQIRYMPDKHIAFVAFVDPALALHFYNQSVFHGIVIKNRRLKIGWGKASSLPSAVVA AIQNGGSRNVYLGNMDDSMTEEKLKQDFSDYGDIELVNTLKEKNCAFVNFTSIAAAVR AMEGIRSKEEYKKFRINYGKDRCGNPPRAHKNSVNDPHGPREIETELASRTSLTVDEC QETVSGMLESVLVANGI PHYBLDRAFT_157027 MNQYQSQQPPAPPQAYYGASQGGYYQPPPPPPTTVYIQPPPQKE NGGCMGFIVACMACCALEQLCCCCAGEICEACCC PHYBLDRAFT_184497 MIDSGKDKPKRPLSLSTTMMRVFRSKGWVFPLLSVLAVIYFVLF FSSSPIPENTILETPPPPHPLSRLTQERRALLASVLAHIRIGYVANRTPDTDTPPLVV IYRCKETKCEFALNLKAISTAYLFAMLQEGAAMGFLMGNIPLQWEWYFEPVPRYMALS TQQTSMYRERANPETIYSMEISTQVLANTDFASQFRSKQVRILEATHWGRWTALKTSQ WMKPMRGKYRIDELSESEWFWVASRLLFNPTDWLLEQLKPFEMLLGGHLRWSDSLALH DPTSYIAPSVSRWLRIGLRGDSILKSCLVQQIESLCGNIPKNMCHVFVSSSTAGDLHD LLKTLKAYATVYVLPDAFGFSSSTNGDQRMLYVRPFLEWTILSRMDRLLGPLNDEFFQ SSAWAAQVHTDLVSVNHMGCHFKVLESW PHYBLDRAFT_178805 MLSHGNEPLSTKSTTSLAALRRQWINTARSFRNKYMQLGEGFVG RYPFSCFLLSCYVVFSLCLTATHLTAWYMQPSAQSTASVLVPHRTYDAAQDYSMIDTL SHGLRMSKLLPLSHYETTRNTEPYWLKGQAPNTDLTLTTGLKISDWPAFRRLAERWSG PISATLMVSKEERSISGELASIVEEHRKRPDLFGQVDIHLLEVPEKAAGIAAMLIPRN GERNVARLYARTGYVCDMPIGVLPSPHLQTTWKANHTGYQALLDDGHMLVLPMFTSPA LPATKLEILEEISKNKITPVDPIDLRQWQTTDSIYLVKHYDPSYSPIAIQSKTAVPWC PERFMDQRSACFFDIYLAGGEFYGMGSEYALTVSEPETIGHVNHVIETRMYAKYFWEQ CVHHARQLDALGLWKSPRSSHVRGQCSRVILNWGRGLIGKPH PHYBLDRAFT_37182 MSHTHYKAPSPHYETASPRTRYEDSRFPPINPHPFYESYPAEPV MSDPSTRHDTLPTQQIPEQKERPLESTRCGSIGYDEREMRTYELIVSQQPLHARMCGF GEKDRRPIDPPPIVQLVVRQKGQEGAMLDIQTLQIPFFVLHVTLWSDDRKEERNIISN PPKCTRVLMGSLVSSPSLLKNTEGEQGLYFAFPDLSIRTEGRYTLRFSLMKLVSSDFQ EDATSSIIAQIFSDPFTVYSAKKFPGMTESTELSKVFAKQGLKIPIRNDVRSKKSD PHYBLDRAFT_153993 MPFFTCNTSSNKVSDAWFDVDIIIEKLLQAHGYQKQKTHCLCEG EIEYLCRTAQTVFLNQPMLLEVASPVKICGDFHGQYPDLIRVFDTCLYPPDSSYLFLG DYVDRGKQSIETICLLLAFKIKYPDTFNLLRGNHETSSISRVYGFYDECKRMFNVKLW KTFVNCFDCMPVAALIDSRILCMHGGISADIINLDQIRAIQRPVDIPDSGILCDLLWA DPSNEHTGWQESPRGVSYTFGPDALSKFLERLGLQLVCRAHQVVEDGYEFFDDRRLVT IFSATNYCGEFDNAGCVLKIDRSLTCSFEIFKPTNPKHKHIYCNILNNRSLPLIYIYI PHYBLDRAFT_61999 MVRVSKRSKIVYSETGHYINFLIYKDITKKEIQMHSFYGESSDR GQEDPCEYAPCGPLINDAIVWGNATMRGWIIKHQPPSFSFTSHKKPRYVILADRYLYT FKTDHQTDHYKEFLELTRLTHVFVTDQFAGVPHCIEIRKMNGESYSWFIQAPDGPTLM LWLERLKKTLFWLRTNPTGTLNPNIMASINIEENYGQRSTESMSMSMSTPTTSTSHYL ASTPPLTPVSDEKNSSWDVHSSHSSYSSWSHSPGRTRLPIILPPQPPPPTTKLPPIPS EYAMMHLRNYR PHYBLDRAFT_138504 MERGNCICTQIATLLEPVENDESEEYDLVEREDSAIGNALEMAQ NTDFFRNQPKTNVVYQRPLPGNPVEFRVMFLGNMTAKDKHILRSKLCQMLVESYRTSQ KIKTKTKTRTITKNSSPLSREFLYQALTHLDDHGRQSYCPDSGIHLIEVDMTWFKPID SLRNHYYHDMDIDVFSPPDVHQRAKRYLKSHSALTAKEIYQTHRGIDFAVYLYGSLSD LSREQTEGDLKILGLVQDHRIPILSLIRSNDDDDDNDDNDNDDNDNDIITSSGNSNSN SNSNSNSNSNSNSNSDIVGGSSSDNAPIGLKKAHKDTFASRTIVVGMRHKLRQYLNAY RIECLSLTGIQPEAPTFASQAPLPSPDLMCLDEFSSLQKESLRDLHHTLVQKLNRTSL NGRYGDGDGDDDDDGGGGGGGGSSSSSSSNSSSNSSNGNNGNGVSYGYSYGYGYGYGG SGCDGCDVAAATGAFSDMSDLFDTTATIINDTAAVAATVSGGQIGCLSRCVTYLLAGI VLGITLAIILYTSFFCRLTEIPSAELLPVSNAPDSNSLRYLFSVSVGGESSRERSSDK ATATQPSSLWVRLTGIDNQTSYGDWPMKLNTSTGLYTASVPSPCLFHSPTDFLASVWI AGVMSPDQSVQRLTLEACQPQHIQPKQERNDASSQQNSKNNKQQEIEEQEIKEDDEDT KGDEEANDLMCSLSSPEATKRTKRYGGGWQMIAWQDSGFETLWKEWIKKIRSLLWIVK DRAWECIIKAEITSASLSERDYQQEHIVYHKASGLILPDIVIRFWVVLETTDSGTLEK RCRTQFKEP PHYBLDRAFT_103436 MDQSGDSAPSVRKRTRATADQLAVLEDTFAVNVSPNSKLRKQLA EQLQMSERSIQIWFQNRRAKVKHIQKRAQMKMHHASIRAQL PHYBLDRAFT_161593 MIIAACGKPYGFSLLALLIPLCVRISMYITRCFNNSLSLLEIEI KYAFGSLTVSLVIVFLQNRLIPSEMIVVEIDTDIDYRSPYFNIPDEVQKPWNLIYLHK I PHYBLDRAFT_76903 MSFNKSFVSRGVVEGEEEKLDGSEVQASAPIQDYDPRTLFERLQ EQRNIKDEEFHEATRLSNLIKLVDADEAEFYKTLSDEQKSLDEKRKMKEKDELETYRK AVEQARLTAPPPPTLAATVSATSVTMTQTSLKSTKRKSVSSPFQGLVVKKKKIDGQDE NSEPKSTPTSISTPKAAESKPKVVESKPKETLNPLGSLVAYSDDSSDEED PHYBLDRAFT_76904 MSNRQQPSDLKAFLCKEVESICDAEPDVLANFVMTIIGEKEIDN ALRQSLEKNLRDFLDDQTGPFIDRLFDKLNENNRPVEILSAAIEDPLNISSRRLSDFS DDEDDGDRNFKHRRQRQEDQEDSYTTRQVEERGKRRFPENNQAGGMNKHSRVDDSRRG PDGIPMGPAAMYNNTVQQSTFDGRGRGRGNRGNRGGMNMGRTQRPRCRDYNEKGFCMR GDMCPYDHGVDRIIVDEGFNGPFPNGPGHMGAMSGRGPQPPFFGMPNTMVPNGMGPDA YDPERATLMPNGNMPFPTDMSSMLIPETIPPIQMSQPTRGTARGGMRGRGRGRGSYMG GSSGGYQQQLHSKSGTTLVVENIPPEECQITKVHDFFQKFGTLTNISVQPHQQKAILQ YGTRAEAEAAYHSPDAIFDNRFVKVYWQREKEDGSQQPQQQQQQQQQASSAEAQAAAL AASIAANEPDPELVAARAAELAKQREEKLKKHQERMQAVLDAQKQKEQLLQKQIDEQK QLMEKLGNKDMSRTEKEELLKSLKKIGADINASRSGVATAASAPQTQATSTTTTTTTS TATASATAVSGTPETPEDLKKKLAILEAEAAALGLAAYGPSFRGGRGGYFGRGRGYPR MRGGMTRMSLDNRPTKLLIKDIPEDTAEEVQKHFEQYGTVTSYESSEQGVIVQYSQRF EAEKAMSVGANFPKGTLQLSWFTESSSGAPSTTDNSTESNQSSTEANPAQS PHYBLDRAFT_178810 MVSTWSVDYASINTANKSKVPNPVGYDSHAFHNAKLGLKGGKNL NAQDDHDDVPIKVKRAWDVAMSPAKNIPMNAIMIYMSGNGVQIFSVMITFMLFFQPAK AIMTLNQTFERFESKGAAAKRPEADLLLPKLTYIGLQLVIILLGVYKINNMGLLPTTT SDWLAFLPHKEVLEYASI PHYBLDRAFT_138510 MTAAAIEIHNNMEPMNAFLLDWHVRVTWIAWMTLYVFWGAAWFS RHAFDNSNESDLSTGVHSGTADPALDGQDVANHHKTVQPPSWSRGICNRLNRAHDMLR DQVFMLGSVLTLNTFARASTRAVMILSWIYVAFAFIMFFVEAGYKNGYVRFLYSLLFY GIGLTICGLAYRQGFHA PHYBLDRAFT_161599 MVANTRIRLITFDAFNTLFRQKVNPSVIYAKEAHRFGINVNPTN LQANFGPVYKSHVKAFPFYGRHQGKTPQLWWQELVYKTFLSSGVSQKELDSSFDTLFH SLYHRFTSTESYALFQDTLPGLNILRQKGFRMGVISNSDERLTDILKSLELEGYFDFV TLSVDVGHEKPDKDIFEVARRVKGNSDIAPENCLHVGDTERKDYYGALNAGWNAVLLD REKLDFEEPFETSDPILKKNTLVSLVDLYPFILKNLP PHYBLDRAFT_161600 MPLASLLGTTKPSFSFVSSPSSGRGSLNDPSSMTMDSPNGNNSV PSVSAAAAVAAAMARQRATTPQTPSSVPTKQRLLAHPSHLQPESRPPNFPGMPMARPV KGKTRASLGPEMTNDAAYSVMRHAVVVGGGGGGGGTNGGNSNGNGGGGVPYPNDQRLP PLTRHTQPLSRQQQAPLNQAQPHKNKYGFLVSGGPGVIMNEADGPEYWFSADSIANYE PHGYEPHYEPPNYEPPNYEQTFEEDQFEASTSYETIGSYENTPSYDSVGHYETIASHE GADNRRRVAWKSWRQILARIAHGRSAAFPGSFTDHRSIFTDKMAALRQEIQQVMSKNG LAGWAGLQKKGKKRGHFKFIIYILDTHAGLLESVSQQETNKRKMIDDSGLFWEYQRQQ TDNQAALMIYQAEEDYHTETQNVRERLFAALEAKRRKLKEEKDNGDFTFDVPIDAQIR PQKRNLRKRGNETTEIFHNYILNPFSLLRPSLVFRLTEDDMSSDMIKMRGGIEFPVKK ACAAVHKKK PHYBLDRAFT_129474 MSDSTENITETLTSNVNTSQNDTPIKPHKVAMIQEPSTDAEDSE DEPVTETTEEGLLDDYPDDTTEVDAIHMRVADIPSLGLERFQNLQRLCLRQNFIIDVK GLEGLKELKELDLYDNKISRISGVNSLQTLESLDLSFNKIKHIKHIENLVNLKDLYFV SNKISKIENLDTLVNVTNLELGANRIREIQNLDHLVNLEQLWLGKNKITKLENLGALR NLRVLSIQSNRLTKLTGLEGLVNLEELYTSHNAIEKIEGLENNHKLTILDVASNKLTH IENLSHLKNLEDFWANGNQFGNECFAELERELGNIKTLQTVYLEGNPMQLENMATYRN KVRLSLPNIQRIDATYVR PHYBLDRAFT_104709 MFFFDRREDILTGVYEMKYSAPSKIQERALPLLLEDPPRNMIGQ SQSGTGKTAAFVLTILQRVDPSLPVTQAICLAPTRELARQIIDVVGTMSKFTSITSTC VIKNFDFKEKISSHVVVGTPGSILYAIRSRHLDTRNIKVFVLDEADNMLEQNGLGDQS LRIKRAIVKNPQIILFSATYATRVEKFASQFAPDAVKLTLKKSELTVEGIKQFYMDCP SANSKYDALCNFYDLLTVSQSIIFCKANEIARRMTENGHTVVSLHGGMCSEERDLIMD GFRYGEFKVLITTNVLSRGIDILQVSLVINYDMPELPEGGVDVEAYLHRVGRTGRFGR TGVSLNFVHDKDSWDKMNFVEKYFNRPIHRLSIDDLQETERIIKSMI PHYBLDRAFT_76907 MEFPIAFAESVHNNDPEAIIPVFHPTEFPTLAALNTKTDSGWHF IQKQEAVDPVPKTTFTHTLTSVTDGENAWERLDYCSAANQGRPLYASVADKAISLPDP KPSIVAPTTKPTKYKYLTIVMVDDMLDIDHDLGEELWDMYKTGHSKGSARRFSGKKLL SYKLDKEFTDNVFAVEAERMLGIDISHTILGNPQPITDYKSVRRLRHQVLRRIQKCNR YVPVKSPREVYKMTGCNMELSVPLSLPTKLSRAIRCELL PHYBLDRAFT_129484 MQIFVKTLTGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDSIDNV KQKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDSIDNVKQKI QDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKT ITLEVESSDSIDNVKQKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLV LRLRGGMQIFVKTLTGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLIFAGKQLED GRTLSDYNIQKESTLHLVLRLRGGL PHYBLDRAFT_157037 MHSQQQMAGRDMPPIRGIPGNRAGRGGFKTRGGGMPMRGGKGNM KRKTRDDHEDDYMPHKGMGPNRPPDNDIFRARQVKRHRDDPPY PHYBLDRAFT_104465 MEEKVEEKKPAVESQKQRKSEESIPHPAPHSVEPEDSFISAPAS WQIPPGALQAKADHANHVRLLEPIIKVNHKLERLEERVKEYPDDLEAWNALKTEIQQT GDLVQMRKFYEKFLAVFPTSPRQWLAYLEMELKHSNFNEVEGLFRRCLKTVLSIDLWK FYLGYIRRINMGENGAAATPEGRTIIEKAYEYVLNNVGIDKEAGPIWADYIFFLSSNK TTNTWEEQRKMDSMRRAYQKAMAIPLNNVEHLWKEYDQWENTLNRLTAKKFLSERSSA YMTARTALREMRGFTDQLSRTIIPRRTEWTDKDLQQLEVWREYIQWEKGNPLHLEDEE AVVERVAYAYQQAFLVLRFFPVLWFDYAMYYKEIGKVDKALTVLKQGMEILPTSLLIH FAYAELCESRKQFDEARQAFDSLLEQLDQDIEKLKSTAQKSVEKLQQEAEEEKSGMNL SCSLVWIMYMRFARRSDGIKSARSLFSRARKTTNLTYHLFVASALMEYHNSKDATIAG KVFEIVGYKLFADDPAFVCEYLDFLIEMNDDNNTRALFERALSTMPAEKAGPIWSKFL DYENKYGDLAGVQGVEKRRNEAIQGSKYRDGT PHYBLDRAFT_157038 MQDKGAKGIQDVGSFFDACCTGQVTTMNVCVHELINETDAGMMH VKRADEWC PHYBLDRAFT_184509 MTTTSNLSTSRVFSPLVLYHDGDTSPLTMSLKTNSRRLMIDEHK TKTIDRLQYDAHLWTTPSDAPKDTQRPSLDTTGKQTLDVSPSTSTSSSSSTSSDSSWT SRSSCDSIATIDSPPRTMEPMIYSSTPPLTRELSPVQSAVCSMPPNTIADHHQMQQQQ KQPVKDAAKKRRRGNLPREVTEFLKHWLIQHKAHPYPSEKEKGDLACRTGLTVNQISN WFINARRRILQPMLDSEQIIRSQWMSYPEIASIEARKIRQLSLYAQQDFSIQRSQLPN LNFFGQY PHYBLDRAFT_90417 ALRIRPLTGRDRAQPRFANLAQDDVLKVHESTVQVVPHNKLFTF DYVFGPDSTQNEIFSALGDKLIQKFVDGYNVTILAYGQTSSGKTYTMGTAQHSGRYNA EEEGIVPRAMAQLFDILHQTDSAIIRPVSFVEIYNEELIDLLNSAPPGETPPVTIRED SKGHIYWTGVKEVVVHSTDDVLFYLEQGTQNRATGSTDMNEKSSRSHAIFSVSLRQEK WMPSQTSGLSQASLSRAASPTPSRSTGSRQRPPSSLNVRPSLNDLRQPEEGDWIITSS KFNFVDLAGSERLKRTAAEGDRRKEGININAGLLALGNVISAL PHYBLDRAFT_120760 MSAAARPVINVYSKTGKDASATLPLPAVFKAPIRPDIVNFVHTN MAKNKRQPYAVSEKAGHQTSAESWGTGRAVARIPRVNGSGTHRAGQAAFGNMCRGGRM FAPTKTWRKWHIKTNLNQKRFATASALAASALPSLVMARGHRVEKIEEVPLVLADDVE TLTKTKDAVALLKAVNAYADVVKVSNSRKLRAGVGKLRNRRHRQRRGPLVIYNEDNGI VKAFRNLPGVEVVNVRRLNLLQLAPGGHLGRFVIWTKSAFGLLDALYGTYETPATLKK DYVLPAHIMTNPDVARLINSDEIQSVVRPAGNKHEKRPFTQKKNPLRNQGVMNRLNPY AQVLRRAEIVADLKRKSGKVSKAQPAKKSKRTKHVATKFLETLHSA PHYBLDRAFT_161609 MNNVQECSLKQEQSCIPLDDEKESVEPKETADQTPFRTYRIRFY GLFLIALLNIVSSMNWLSVAPVPDYASEFFGNARLTSVNWFSNVFLLTYIVAGPVSSY VFDHHSIKTGILLGASLQTLGSWLRFFSSYVKNDSGRFGLAMVGQVICAVGQPFILNS STPYASLWFSPDGRSSASMVSGLANAVGMAVADLLLPAVVLDSSDMWIGFLIIACATT AVLVPSIFIPLKPKTPPSYSASTKMDHDLPFHTAVLQLLQNRDFIIIFITFGIACGLF STVTSLMTQIVTPYGVSVDDAGFLGAAFIIAGIVGAVVVGLFVDKTMKHKLVLKTFVP VVGFMYLALLFVVKEDNFSVIMVISALLGFFTFSLLPVALELSVECSYPVSEAVSSSM LWVCSQVFGLIFLVSMDALREEHGVPENNMRRGLVMATGLAMPLMAFVAFYTSPNKRM EIEKRNRVDNQTHVSRIV PHYBLDRAFT_105245 MGHHATRAIEDSSTVLQFGNPGPIHDLIQRDAYVTSYNRRDRIP DWVGEHLTADSIIKGSGVDRDRSNFQEDTAIPSLYRALLSDYKLSGYDRGHMAPAADG VATQDAMDQTFYLTNMCPQVGAGFNRQYWAYLEAFCRTLTASYADVYVYTGPLFLPQL NNGTSSASVTKRKVKRSGPAAYTMTYSVLGDVPNLSVPTHFYKVLLIPTSDTYALAAF VLPNKAIATSTALTSFQVKLEDIEKASGLNFFDKLDRTKFTDLCKSTTCAVKA PHYBLDRAFT_138522 MSKASSAHTYNYIANDEQTRISPRRFVLRARQQPIQTRISTNNE RDRRTLDPPPIIQIRLQDALPQETHFFMCANLAHWSDDNEIYTPNHNALSGQAVSSLY KLKDIDNNDGGFFIFGDLSVKVEGRFRLKFTLFEITASGANASVAMFSEIFTVYSARS FSGMLDSTFLSRTFSDQGARIRIRKEHRSQLSGSRKRKHSIQNLSVSPPPTEAHSLTP SSWYPSTDDSTCPQKSASKFRRLDQDTGFPVVDTHVSVQGSYSLDDQSPLYTPTGYSG WRSQSGRPPMVDTHQDVPMPIHSRRDSMSSNSGTESTCSEPSSASMHHLTPSHPLTKP SFVPLCTTKFISPQQQMCNEFGFVQRGLEHPFSRATFVQSEHNKRESPGSQTPTLSPS LNKRAFYDNEDTHMVQLPPLRYIIPDNHYPCPSIFDKDEVVKTNAAKAMVQLSSHFNI AQTPQPIHPTSHTHTNYYATLSPTF PHYBLDRAFT_176572 MSASVPTTQIFKDNIFAGKVLLCSGGGSGICRGMTEAVLRHGAK AVIISRSLDKLEKAAKEMRESTGGEVIAIAADVRKPEQLEEAVRKTVERFGHIDYLIN GAAGNFLAPFENLSYNAFRTVIEIDLLGTFNLTKAALKHIKASKGAIINVSATLYYTG TPFQQHAGSAKAAIDALTKHWAVELGPHGVRVNGIAPGPIESTVGMEKLGPQFDATAV PLQRMGSVEDIAQSTVFLFSDAANWISGVTLVVDGGHWLNATYPGYPDFILTPLQGKL PHYBLDRAFT_62022 MNNTDYTILQILQGMQETLFALQKGQEELQKGQEALRDEQALLR QKIADVHKDMNGRESPGPTIVHNNLGGAIPRPVPNIKDITLVHIYRMMSHDLGVKLDK GNKAILHICTGLVCDELATLPSVQALGQYPNWSAISQEDKNWACTRHACLLGNNGIDF TRCHKNWASVAKVSQLWKNRKKRQ PHYBLDRAFT_138526 MASSAIQPDPPTGEHLINSHTNNSPTITTPTPGLPLTYLTALTN TAPTFRHAIVLGSNDPLTKPRTWRESTSQFSVYYTTPPETSPEFIVFFDALLQSFLPG EIFGLNPSNKAGTLFELHLSSKDVCARACRVGFRYNNETVLASPAIASSSKLFKLTLS KLPRFPPQEYATLDTKLRNALTKYGYVHDISINTLFGFMDGSGHAYVERPPYEEGALL PLRFKMDFDDNTTFLATWLNMGAHCALCQTMGHDRDNCPTRPKETRSCYGCHQVGHLR SKCPRAAEVDNSYKRDRKVPEPHGPHRTTATRNTTNRPTVTHSGSHMKKSLPPSFTTA NPYALLDPSLSSAGSQHNPANTTKAVPADSRTKTPKTPPLPFEANLVDRGTLPADDPT LTDDDLAEVEAYFEKNCEDDPMKGIEETIPQ PHYBLDRAFT_62024 MGGLGVLNPKLQQGALQLRWLRPLFQSTSSPSGLVLPWLLYLLR HYLPDVHPHLPFIFPDLRHPRFRTYTSPFFNLFAACDLLPHDFDSTVINLPTCLDIPL ASAVVVPHGLPAFPASWRHLRIRDAYEINTTLDILSRRLPSSFPRSPRILRKVLQRVD DHSLFLHAFVIRACLPQSILTEQFPDLMARTGTEVDPSTLLSALSLTFPWKRLSTRQF RSSCEVVTSSIEDVPHNIRAIKWRQFWSFILPYASRNIWFRLLHHKISCQSVLHNRIP TSFPSPNCSLCGTEIDSQDHFLYACPLKLPLWHTLWLAHFGFSPQSSDIHNALYKFSF PPPLDPTSHPASILGSVLLALWRHHWAFIFDQSPFVAANATTTANSLLSRLQSEENLD QRPFSV PHYBLDRAFT_104565 MEEYEITSRIAVARRDAEALKERIKQRKDALSDTTCKNEMAKDI DSLPRVLMKNRKALKGHLAKIYSMHWATDRQHLVSASQDGKLIVWDAYSSNKVHAIPL RSSWVMTCAYSPSGDFVASGGLDNICSIYSLKIREGPVRPTRELSAHTGYVSSCRFID DRRILTSSGDMSCMLWDIDAGVKTESFDDHTGDVMSLSLSPNPNVFVTGACDATAKVW DIRAAQCVHTFSGHETDINSVQFFPNGEAFVTGSDDATCRLYDIRADCELNVFTHESV LSGITSIDFSISGRLLFAGYDDYNCHVWDTLKGERVGVLTGHENRVSCLGVSGDGTVL CTGSWDASLKVIKANW PHYBLDRAFT_129524 MDHKKQRVEKAIKPLRSRIFQPFRAIGYITNDIPFVIETRGQDY FLTTSVGNNFQTYNVAKMNLLFVSPTTENAISAMTSANGITYAACGNSVLAFKRGKEF SRVGGEGNFIIFQLLVLGPYVVGLCDDNTLKMWNTATRELYTEIEFGDQFTATAMIHP STYLNKLLISSTEGTLQIWNIRTNSLVYQFKSFGSPITCLTQSPVVDVIAIGLLNGTV QLHNIKVDEKIDSVRQEDRVTAISFRTDEEPMMATANMHGDVALWDLNTRRLVHKMKG AHSGSISSVAFLNGQPVLVTAGADNAVKQWIFEKHNSVPLPLKYRSGHYGPPSKIRFY DSAGKAIISAGRDQSLRSFSLRRDAQSFEFSQGHLASKSNKKGLKMEDLKLPQIVDFS TCIAKEKEWDNVSTCHLNDNAIRTWSLNKKSKGKYTMISKDKSAIKTTTISACGNFVF AGCASGQIDMFNLQSGAHRKTYGGIDGHKKAVTGLATDNVNRFVISSSVDKTIKIWEF KSANLLHTIQLESPVVEILFLRDNDLLAVACDDLGIRVIDIETQKVVREFWGHRNRIT DMTFSPDGRWIVSSSLDATIRTWDLPSGTMVDIFRVQDVVTSLTFSPTGDYLATSHVD NVGIFLWANRTQFTNVSLHSIPDDEEVQLLSLPSLNGDGGELDEDEDEMTTVVDPLNK TEQLTEKMITLSLEPRSKWQNLLNLDNIKQRNKPTEAPKLPEKAPFFLPTLPGAAPQF AIEEKKESSESKKSFFQLNEMHFVTDFTRLLRSGDESKDFSEFVAYCKSLSPSAIDLE IRSMTVDGQLTAMNQFVNAISYMLSTRKNFELAQAWLNVFCIIHGDLVVANPENPIHD KLSAILSQQKSEFGRLSDQIHYGLCLIDFVRKA PHYBLDRAFT_104584 MSAIPSHVSERYINSRYNKALNIVQHLSASSSVQPTKEEKLELY ALYKQVSHGNVNTPRPGMFDLVGKAKWDAWKNQESMAAIEAKYRYVDLLLRVASEVSV IKGFFD PHYBLDRAFT_138531 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQASSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_138532 MDRSEVMHRLWNRDLATVLNFRHILNNLRYDGTIPVRFTRVIRI GRIRRQAEEDLQEGRRPTRATAGYYSQLVLQFWYLKSHHLGTR PHYBLDRAFT_161619 MNSNSSNHPAETPDIYARMIEAQASTSREAEANRPDNTKRSYAS KQKEFREWCDEAFSSVLPEYRYTVVNRTSRRNTGKSIKYSTAISADAFVETDVEPTEV LLQRAMPLLYKKLEDTQNEFNALRREMLTEFRAIQQKMDDLVSGRTSLRFFREDEGER AGIRPMSTPSLPSPAINTESSSQQPAEYFNGFVSFIATAIVIFYFVKLLYSIEHLSKE AYFIQSNSNILIIKIEGFSFIAILNISYLLS PHYBLDRAFT_138534 MTISKNLAFSSGFPSPDPKSYTKSYPGKIKGSFKTNYSRQRNTG PNTSLVPSFNNGSITDNDQIQKKIATANVQEIDQIILAYERNIYRVIKQYCAQHKHAE DTKEAKSRRSEISDISAVMRLFYTHRRASFGPRQEIKPKAWYYNLRNNGSDTKVPSLR LLGYNPPNQDSIVFGTIDIYLSAFEAAMISREEDINLAWSNWLPVSLGKEHESWYNST IKDRPLSWEQVKQLLRDKFNIAKQLRINGRVYNILPSNKDGTTGSPSRFSATQVKIGG SEDSKVTNKLMAPFSPSLYHKGRQERSKNYTATSNKNLSLRSGGSTLGNYKSQYPPQC NPGLRKYVDSGAGYSRHRLLGVLPDENMKLFCPMAREDIICKTKGCRELQNTAYDIDM MIQNRTCRHCYKIWSHDHCCSRERVIKQK PHYBLDRAFT_138535 MSQFTNSNTNTNSSNLAGNYSGAQVDPSMVDQTAGRTGTTTGTH SVGQSHNLEGNHGLGGNHHNVGGNHNPGFTTTHTDGNTGARTGTYGNDHTSGLSGGNN LGDRSAGLSGGNTGANAGIYNNEMSGLKGSHTGAHTGVNPNDHHTSGLTGNHNPTGQH TSGLTGNNTGIHSNDHHHSSGLTGNNTGIHSNDHHHSSGLTGNNTGINSNDHHHSSGL TGNNTGVRSNDYSTSGLSGTHTGTHTGERHSSGLTGNNTGIHTGEHYPSGRTGNFAGD NTTGVTGNRTGNHLGEPHTSAFTDSRTGAHTGESHSPAFTGGHNSGYTGTHNGPPGDE YAKERVTGQTGIPTGSGTGASTGGVPGASAGVYSGGVSGVPHGADSADLGARSSTGAP VGGTHSSTNDPLRNVSATHHDSKHNAIDPHYESKRDISEHGLGDEHTAPSAGDKIKGN LQKIAGKITNNPVKVAEGEELAATGHGL PHYBLDRAFT_105303 MPKDILKVVLIGDGGVGKTSLRNQFIHKRFTNAYKATIGADFIT KEVQKDDNTKVMLQLWDTAGQERFQSLGVAYYRGADACILVYDVNNYSSFQHLGRWRE DFLKQSSLPEDEAKHFPFLMVGNKIDIDDRVVSRRQARAWAEQNSSDRMRIPCFEASA KDGTNVEEAFTYIAKMIKVPQLELDLNGEILTLSSDSLYGRRKSTINRLCC PHYBLDRAFT_161623 MNTSLPFVSNETSSWLLYILTDSALPTGGFVASSGLEATYQAGL LTADNLSDFVTTSAHSYAWQTNGFVRAGWEAPDDANPISQLEESDSICDAVMVANTVA RRASLAQGVAMLTLYLKCFTKNATPDGVKVVKSWKNKIRAGQTDGHFSVCFGLICRYL DVDLENTLHLWLYLFTRSLYSSAVRLNIIGPYEAQRLLLDSRGSVEKIVKKTKDVTVD NCCQTNPLLDVCQGMHDRLYSRLFNS PHYBLDRAFT_62034 MSSHTLRSSNIISQFISEENTSKDQTPIKDDGIEPKKPFCYRIR SSKAFVFSTAAIGVFTSNFVHSILFPLAPFIVARINNGGKETSETEVASSAETGILVA AYAIGLLSGSPVFGWLGDRLTLRRLPMLLGISVSIGANLLFMFSTAYWMLLLARFLQG FSNACVWTMCLCIVTDNWPSDELGKCYKDEDASMGTYTISLGLQMGKLMGLYASGMVI GLPVGGVLYSELGYQAPFIASLIICAIDFFMRIAIIEKPVFSKTWPLNTPTISEEDGY SNEKPKPNTIRPNFDDAPLMNPVQNAKLSKLLRQPRLLVSLFQMAVVASTMSSFEPTI SMWLASEWHFNAAECGLILLAYILPCIVSSVFSGWLCDKIGTKAVAVVSLSFAASTCV CVGIPNHTNSFWTLVPVLAVSGAAIAGCESSVFPEVAKVIATENNNPDDHSGIARCYA MVNVASAIGLCVGPLLAGFLYSAIGFFWLCCLLSIPFVICIPFAYFYVGGSNTKFIVR PPKH PHYBLDRAFT_120768 MNNAWEAIQQKTFTKWVNNKLDIRQVPHIKELCADLATGVRLIQ LLEIIGNAPIPRYNKNPRMRIQCVENVNMALEFIRRIVPLTNIGAEDIVDKNLKLVLG MLWTIILRFTIDDINQEGKNAKEGLLLWCQRKTAPYDQVDVRDFTYSWTDGLAFCALI HCHRPDLLDFSSLDPNDRHGNTALAFDIAEEYLGIPKLLDVEDVCDISKPDERSVMTY VAEYFHAFSTSDKVGTAGRRISKFAEVMSSIWQMQHTYEQRVLALMDAVDNAQQQWKD TVLNDSYDDMKQRVADFSHYKNTQKREWISEKRDLDLLLGNIQTKLKTYNLKPYFPPD GLTLKDLDNIWYSLLQDEALYHQSLNSRIRRIKENLRKAYANAANDFQNQLDTISAEL ASLDGDLESQLNRLNLTKRKVDPLQLYLHNIEGLDQECIHANTEENDYTVYSVEDLAF GLGLVQQAIQKKNAFIQNQVVSRNMTSLTPAQLEQFEQTFRHFDSNYNNTLSPAEFNA SLASLGIFFKDSEFISMFRAVAEGRDEITFEQFIRFIVSVTEDKSTPQQLHDSFRAIA ADKPYVTELDLSMCLVPAPFIDYLKHTMPQSREEPGALDYSSYVMQTFI PHYBLDRAFT_129530 MISKPEINHSSNHHHSHHHHQHNQQQSTPVASTRKRTYLRPPQV ATLQESFESNPLPDASSRASLARQLGVTDRTIQVWFQNRRAKSRKMESISSVLGQTNS FNQLRPGMLDTDKVPAPPRYQATFRTLMTPERFEELRQQQQQQQDQDSSSQPRRRPRS SSKPEPKTLFVDEPCQRALSEGISLISSQVPISSPPVDNLFNTSAQLVSLPVNVLRVG SWTRFADSNSPGTDWDLACYGSPIERQLIWQVQAEGHYFRVQIPFGSIRQLRLSQQVQ VETGDIVGQLDVTLEPTMLEFSMWRQGVDNDWVRCGDFSEERQASQSAIHTLQGSHDA FKLALLDLVTLSPELASKVTINHPSSLVDRMQATPQPSLPSLDTFRDITLSPSSTPEP SAFAPNLTMSIYGAGGGIQQAKPVMAQESLFQAPFYYQCEPAAQWNYVQQMLVPQTYT INTPWPMNTDL PHYBLDRAFT_17801 MIDQPEARTRIERAKVDINSNEFAKKVAKKLFYSLAHPHGIPPG EADSKRSLYVRDFVPYFKTPEEAEKAFGVFDKDGNGNLTRREFRDTVLEIYRERKALS QSIRDTSQALGKVDAMLFIVSSIATIFVCLAVFQVDIWQSLVPLGSFLLALTFVFGNT CKTIFESVLFLFVTHPYDAGDYVIIDTQILLVHNMGLMGTVFIRADGQKIYAPTTVLM TKLITNVRRSGDMGESIVINIDFRTKTEDVHQLRDRITGWVNEQTRDFSHGFDLRVVD IIDVNQLIISMWLPHKGNWQDLGKRWQRHTRFVMALKDILSELNIVYELPSQKIRHSH DSSPFDAQSFPAMVTPQSFAADTQPAQSRLYTQSSLSV PHYBLDRAFT_176575 MMSRSATGRLRALTQTTARIVATRPNVLRTVAVPILNATRLYTT TVVPSTKKVIPYTVDKFPGHVRNENFKKITEQDVDFFKSLLGETGVVYKNEDDLFAFN TDWMNKFRGKSQLALKPKTTQQVSAIMKYCNDQKIAVVPQGGNTGLVGGSVPLFDEVV LSLQNMNNIRGFDDVSGVLSADAGCVLEVLDNWLGEKGYMMPLDLGAKGSCHIGGNIA TNAGGLRLLRYGSLHGTVLGLEVVLPDGTILDNMATLRKDNTGYDLKQLFIGSEGTIG VVTGVSILTPHRSKAVNVALLGLNSFEDVQKAFTHSRKELSEILSAFEFWDINALEMF KKHAVTKAAMENEYPFYVLVETSGSNKDHDDEKLNNYLEKMMENEVAQDGVVAQDETQ IRSLWAIREGFTEALGKEPAVYKYDISMPVPKIYECVEDMRAHLTQGGVLGKPDSAVI DVVAYGHVGDGNLHLNIAANRHDKKTSELIEPYLFEWVAKHKGSISAEHGLGVAKNEF LGYSKSPVMINMMKTIKNLFDPNGIMNPYKYLPTK PHYBLDRAFT_138543 MASPINSPSLEPTPTPAPTLFESDLIGELPTEQIDSDDNDEILA EIPVYLNNKLSKYLHLFQYPLRNAPFTSRTGPLSIRMKPNSKKVELDLPLDTRSAFYN TDRGEDFAMGMNDKTIKTAYDRRMEEHGEQSSGNMYNKNKQKEDELLDKMTLTSTDIP SQTKYLIGVLRQDELHVTPLHSIVQLRPGFKYIDKIDEKLKAANKRIQDQEKLEETKK PVVENNQAQAVQVSVKNAEQDGPGRRNLYSMSVRNAEEEQWQPMVYYDERSSQAEKVY ESLYATKKDELECATSSEDYLEMLSGIKHN PHYBLDRAFT_86187 GHNIQRGRTLIRPDRYQEPPPLLTGKQSTSRGFFDPWVLFSRVV TFWALPPMLRAIGKTDPGMQQAWREKIALCFIIACMGGFVAFITVGLSSVMCPPDEAN NQRNYAAYNDTMNSPSLLGVAGWQFDVSNSQVTSNVNLYALASVPGTDITNYFKHGTD LPACTSIDNAKAAAFHAVAFDPCSADNGNGGCPLGDLSQATYNQIHITNSSRLVGFDW TQLASKNLTNFFVIDGNVLNMDPYINAYPTPIANDPLDAMIRAVLNQTYAAGGRDATK MFFREPEFRASVNCIISKYMAGHIDKDTPGCFAASLVLFCSLFIVLAIVLARFFMALI FSWFLSRKLSRSPPPATRSPPAVSLGAPEMSETRNLPALAAPVRQASSTTVKSQVEVG NDLYTVMLITCYSENTEGIRGTVESLSMTDYPDDRKLLFLIADGMITGSGETMSTPEM CLSLVTIDNPDMRNPEPKPYIAVANGSKQHNCAKVYAGHYVCEGHKVPMILVVKSGAP EEEGKGKAGNRGKRDSQLILMNFFSRVTYNDRMTPLDYELFQKIQYLMGVTPDHFELV LMVDADTKVYSTSLRLLVNCMVNDNLIMGLCGETKIANKRDSWVTAIQVYEYFISHHL AKGFEAVFGGVTCLPGCFCMYRLKARKGDGDWVPIITKPEIVQEYSSNTINTLHQKNL LLLGEDRFLTTLMLRQFPYRKMVFTPQAICKTVVPDEFKVLLSQRRRWINSTIHNLFE LVLVRNLCGTFCFSMQFVVMMDLIGTLTLPVAIILTAVLIISMAKTQITSFAVAVPLI LLIIVLFSPAFLILITTRKWVYLTWMLVYLCALPIWNFVLPVYAFWHFDDFSWGETRK VVGEAKGDDHSNSDGVFDPTKVPLKRWEDYERKRVRAVKRRERKIREL PHYBLDRAFT_89037 IIALCVLFTSTVVSQDSTSPNAPSPAQASPAYLSDIPIPQGVVL EYPRDEDVQWGDLPPIPDIDLSGYPARGELPSTDNPEVQNAMNYIDWTYVPLVDPREV VNWVVDTSQYDIASDPYCWWSASICKRPKLEYLPEDIYYCPNAGDWGLNYDDGPFKLL DRDHPDREWEQPRFYNSLVKYNKQKATLFFVGANVVSFPEAAQRALNDGHTICSHTWS HPYMTSLTNEQVVAQFYWTQKAIKQVLGITPKCWRPPFGDVDDRVRAIAWQMGMRTIL WDQDSNDWNMPGTAGLGHISPDTVNGFFQAWVDKRVSGNDNEHGHITLQHENSNSTVA MSEKWLPELQSVFNVIPIHQCINDPSPYWEESFIYPTLDNPFPDQLELSANNNNQTKT QVTRDLSDGPNA PHYBLDRAFT_74571 MAASFNTLERERLFRNPSEDSYGVPILQEVVAPHLDSFNSITKF GDSEEGLLDYAVKDIGKMSVFDNSQNDTNVTESGQILGNKLTYWIEDPKIHKPMVNEN DMLSKRRTVLPTESRERLVTYRGKLSVKLCWRVNDGPVSSESFGLGQVPIMVRSNRCN LYKMYSKQLVESMEESEEMGGYFVVNGIEKLIRLLIVPRRNHVTAIIRNSFQNRGSAY SSYGCAIRCARRDQTTQTNTVHYLNDGNSMLRFAWRKQEYMVPVVLILKALVDTSDKE IFDALCQGDIKNTFLTDRIELLLRSFKIYPLYTRNDCLKYLGEKFRIIMGLDDDLTDR EVGEALLKKIVLVHLDNNRDKFNLLIFMIRKLYSLVSGECCQDNPDAPQHQEVLLGGH LFNMILKEKIGDWLTGIKQQIRNEIRMFPAKVDFFNNKYISTACRKTPSDVGSKLSYF MATGNLVSKTGLDLQQATGYTLVAEKLNFYRYISHFRCIHRGAFFAELKTTTVRKLLP EGWGFLCPVHTPDGSPCGLLNHLAHKCKVVNEPLSVDKLPPLLATLGVSQAVLHSINR PGDVAVMLDGCIIGWCTPKSAEKIATRLKVLRVNGEKGVPLDIEIASVPVSYGGEYPG LYIFSTPARMMRPVKFLANGKTDMIGTFEQVYMDIACMDDEVVPNVTAYQEFAPTNIF SIIANMTPFSDFNQSPRNMYQCQMGKQTMGTPSAVINRRTDNKLYRIQTGQTPIVRPA LHNEYGFDNFPNGANAIVAVISYTGYDMEDAMILNKSAHERGFGYGTVYKSEIIDLSE YRTHGEPISYHFGLDPTKRKKFMGKIGDDGLPHIGAHLEADDPLCSYIDESTGRSVIK KWKGPEDAYVDEVRLLGDDSGTSPLQKIHIKLRITRSPVIGDKFSSRHGQKGVCSQKW PAVDMPFTESGMQPDIIINPHAFPSRMTIGMFVESMAAKAGALHGIAQDSTPFKFNEK YTAADYFGEQLIAAGYNYHGNEAMYSGITGEELKMDIYIGVVYYQRLRHMVNDKFQVR TTGPVHNLTMQPVKGRKRAGGIRFGEMERDSLLAHGTSFLLQDRLMNCSDYSRAHVCR LCGSIVSPISTKVASSLSSKQHYECRSCGTSKGIDLVAIPYVFRYLTTELMSMGIRLT LGIAPGAEDYKK PHYBLDRAFT_138547 MWPLIKNRPLAKQALESSTLAMVACHHADLGPRSPIIMSKAVQK MDQFTYINADGGFGPSSRSGGPRGHNLWVLKPYYRKSLSDWQSFYGVHVNEL PHYBLDRAFT_120777 MASSSVEKDLERLENLQVGDPPETESQPTTSWPVRQEPRPDDHP WHNSGISSSSHAKQRRSLATNNQNVGICNTHIRPTEDIFNANDPRIKEDINSIIMQYL SDEGYYASQAVLYDETNVKWKEREERTIEAKRLKKAILDGDWQEVEKLCTKPLVKNHR SFLYSVYKLQYLEYIEKREIQKAFTFLNKRLKPLEHLQTNPNEFKDLCYLLTAKSIHE AQSFKNWEGIMAARENLADQLQNMLDFETADRTGNFYAHKYPNSNRYTPPDRLLTMLR QAVAYQIEFSRYHPKIAPAVNSLLEDYTSFVIPNAVGANCIGHQGNVKCVEFIGEDGK QIVSGSSDNTLRIWETETGECLDIMDGHRSRIWDISSTRLGDFVASASGDSTIKIWSV KNAKASCTNTLTGHAGDVYSVKYHPNENHLVTGGYDKTVRLYDVVTGATIKSFLGHQL AVTKTIFNPLGNLVISGSKDNTIKFWDIVSGLCIRTISSHLGEVTSVEMNSSGTLLLS SSKDNSNRLWDVRMVRPIRKLKGHQNTSKNFVRAGFADNNLIVGGSEDGNVYIWDQAT GEVLQKLRGHSGVVYEAAWNAKQSMLASCSDDQTVKVWWYDEKVPLEA PHYBLDRAFT_97025 MKYGAELQDNIFGPWRSSYVAYDALKKELKSRTQNRIWTDLDEY EFVCLLDNEHMKVHDFINAKLSEIDTRILYCERTIQSLQNSETMNSDASYTLMDETLT EILFDVNDLSRFTRVNFLAIQKILKKHDRWTLLNLRQEYVLKLREKPLDKQKFDVAIV YISALHDICRNRGKKHTGNSAAGGDQNAFERATAKYWIHPDNITEVKAIVMLHLPVLV FNTAKKWEPEDSAVSSVYFDNHNLDLYTGRLQRDEMAEAIRFRWYGPFESKNAFVERK THHATWLNGASVKDRFRVNENRVNEFVSGAYTAEQIAQDFRATGADEAAVKDVHFIAD GVQTSFREKQLEPMLRVFYNRTAFQLPGDQKLRISLDTDLTFIREDHTDGIHRRQNPP NNWRRTDVRTDYPFNHVKDSDILRFPYAVLETKLQTHLGQEPPTWLTKLIESHLVHEV PRFSKYLQGASHFYREKLPLLPWWLTEIDVDIRRARSENTGLTHTQYTNLRPDSKRQG SSSQNSATNQPLLDNDPLGGQLQSSKKQADQFYSPGKKTTASSSTVTNLGSGLSGSTA NLTKEKKQKQGLGVPVAFDEEAQVGEKKKKSKKLKLEPKTFFANERTFIAWLQFCALL LSVALSLLNLGSDETARIMGGVFIGLAIVVALYALYLFEKRAWMINNHSEGRYDDIWG PAVLCILLVVA PHYBLDRAFT_62045 MGYLRHFTLIMFKSRRKKKQEKEQKRLALAAEAEAAAAAAAEAE ALDKKSKSSLVYHPLEEIKVARDFRTSVIVPQLQDLASPFRNDLIPTSLPKQQFSSPL ASRTTDRSGPEKPTTGKDSNQQYQDLAAWRALRNQSRYSNAMFGGKQRGPKMIPGRKA PTHQSKQENINDSEPQNVYEVPICPAMTPPRSSDIHPDLYPSHRVEECEYEDEDSDVE NYFFKDFAPGHEKIRSQTPTRQRSKRYSARARSNKPTRQFDVLSFAQHLHENRLSVAQ PRATSVVMTEEDERELQILLQRTPPESQENMPVYPAQRAQQIQSAQQVQPIQQRKPAT KMSLPIHSEKTLQETKPNKRPSQRKKFIPEKPLETSPVQRSALGSNSFEDIFLKNAPT NKDNQERENPQEKIEVIEDKVWLDITGVPNGVPARYSNSTASSSDTPKSIENIQSDTL HKLTQKQSLPYITPPITPPEIPNRDNRVLLNKKPSLLSQKLNRANSVEHNNINTAAIG RPIHPPSALRNMQLPSLNSSSYAFSSPRTAPLPPSNNLGRSPSSSSNFTSTSSSSIQD SATSISSSNTRTRSGDSGFRQPTLVTITDISVSLNKSIDSTVAQRQRNNGHRRSVSLN TSLAPNIMINRVGSTDNERDPAGRTPNVSSPLVPQSSVTRNKRDDDGGGGVVPGPPKP QGLFGTLRQVGGINRSQGSFRGLIRNFSTGNHRQQESGSDDGAPGDSFSESRGMSRAA MAVIHHSVVNSKPVEEPGLDKPRPHVLTANNSIPSLSSNHQSPNESIAVSKTGGGTRL ISQLLAKAIKPKKTKKAGAGADLSLDINSSAVQLPRSQVVRRTIIYVQPDSIPLSDFL DGQDVPPLPCPLNPVLSQATWKSKKLDENISTESLLQSSSSASVVPPTRPRRNGDSPG IGTLNRAADQLSPTTPCLQGLELREMSDGSVEWGLVKKKGNRKSFYRPSGPAQLPPSS SLPSPAFSSSSSSTSVPFSQTRLLVEDEIEDDVEDEDWFDNHLLAIMASDTDLPKPNG SNANAPAIPRRSPRRPQANCINTPESTLKKADTDIYYAPTMTLPNLLHMMASNNDGDL LGEKTGKSIEDELDDMMKMFASH PHYBLDRAFT_17872 MAAIDQPTKNTADHFGSEEPKIKTLVIDTNAIINGISLRNLAEE FYTCPEVMVEIRSSHSRDFLTRLPFEIQIEQPSEESMKAVVEFSKKTGDYASLSIPDI KVLALAHTFAMKAPGHEEIRSEPLKGPAKKGPTPKKTNTPAAPQPVKKETDEPVKEKT NESAKEEVKESVKEEDPVEYLVQSVDDIIIDENIDEDDSDAGDWITPENVGEFQAAQL GVTPEEMRKPKKMEVACVTSDFAMQNVLLQMNMNLVSAGGHRIKKVRNWVLRCHACFT VTTDMEKKFCPKCGNASLMRVSCSTNNKGQIVYYLKRNYNYNLRGTKYDIPNPKGGRQ VNNLVLREDQNEYVKATRGHQKKKLVDLFDPDFTPIYGKMETRTPGNNMYGTDVIGFG RKNPNATNKRTGRKK PHYBLDRAFT_138552 MRKQDATCHVVEEGLMFSAGDNSRVVAVAYVKKNMFENYRRSNT VFVPFAIDLAALVNCLSIVSLATGVLSDTCSLFYDGNGGPFEIMREDINAHVVTKCKV NTYDIDGNNATIEMRDDFMESFQVIMKASALVNVFYEIDSTCERVTMSFSPVDNSFRL TGKGTKGSWEVR PHYBLDRAFT_157044 MSAPQAPHLWLRAETKPMEHRAALTPSTAKTLLDAGFKITVERS SQRIFDDEEYAKVGCPLVKTLSWKTDAPADAYIVGLKELPENDNSPLHHTHIFFAHCF KNQGGWKELLHRFDAGKGTILDLEFLNDSNGRRVAAFGYMAGFAGAAVAIDVWCHQKI NKGKTYGALKPYPNENALIDFSKKRLAEAAAKNGNVYPTIMVMGALGRCGTGACDFAR KAGIPEKNIIKWDIQETKAGGPFREIVESDIFVNCIYLNTKIPPFITRQLIDGPRKLS IICDVSCDTTNPNNPLPVYTINTTFDKPTVPVESSNPYPLEVCSIDHLPTLLPRESSD MFSKDLLPTMLALKNRKDSPVWNGAEKLYKEKLAASKA PHYBLDRAFT_62049 MSAIKLIIATESSCTDYLSELITKEEDTGLNFEEIRSLPALLGL GVDFYFRRVLQNIFFLPVTVTLMILLCRMSSPGSLRGLSLLVGRAESTISVIFNYIIE KPFGKFYSDLKFDYQQFRTENLVRFGKAINKLCSKKHRPKNVLDSYAKLLTRFTGQTL IKKPSSVKHSNIQILRLIQAFLSNLGEYLSLAFEYSILYVDYSEILIWVYSW PHYBLDRAFT_105314 KAYQSDPRFRKYVQLIEKNLQSFDAVNEWADIISFLGRLLKSFQ AYPQFPVVPRKHTVAKRLAQCLNPGFPAGVHQKTLDVYSYLLETIGSEQMAEDLSLWS MGLFPFVQYAATHVKPQLLSIFEKHYFPLREKLRPAMRGFIIALLPVLEEEGSEFFDK GIYMIDYLGKTTELPFFYSCLWLVMINNPSLRAPALNYLLRRLPKITDDQDVHTYLGS GENVQLMTRAFSATLGDQQLLVQRSMLELLVQNIPLKNRMIPHDDLVLLIRSALAIVL RKDMSLNRRLYAWVLGTETSSQSQLAYFYAFAEKASTQAIRGLISTTSRNNLDHYDGL VADTQRPYKILISLMDKWEIGQTIVNNIFIDSLVSLQGHVKRGVYTTEILQTANMWLE MVEPYLIWMKLFKLIDASFPSKSSSLAKSSSTKTLDSMLLMEFTIKTFRFSDDEVRQV HLPLILAALTRKLYESISHPSFIDVLPQVNECVELIQLILRHLPTVIFLDKAPHQDEQ TEEFKEENQFVLGMNILDYVRGFYGIGRSHERASSNLPGLDDFDNALQSPSHAQDTGD MSIASLTASPLPPPQSITATLVRPSFETIRGIVLIHELTNNLSQFLIELCSCEGREFG IVDAGLTTLTQLVKRKFISDTVLYDKKALRGVVDKLWGFLATPLNVLHRRTVELIWLL TEISLPHQIETIVTNYLIVPRDEERMVNYAKFSIFWELSESKPGLSLFSRPMMIMLDL LREGTNPMNKRAEYFVYMRPFDTERIDYIFTGLATLVSYSGLIIYIFTFAFTFYKCMP IHMHCMRPCVCVCVYVCVYADMYVYISFMCVRTSRFIMSEPSEKLVSTLTKAVQSIQL HAVELLYLIISKLDIVDMQITQLIQKNVLEKLLYCVTVGQLDIQQKLMHLLHATMSIM ASNALGHKSSSMADRSHRRKGSVDHASNDMTQYSTARISSALYVKCVTDALVIDTNRS MLQQWMDFVLATLPHLRGGFRHMVVPVLVLLCEQVRISQKMIEFRVHGQQSRDADLTP DNNEAPTESDLVVYLTGLEKTLMFSLTERNLSDDWYPADKTDIANLVIPKLGPNSTLK VLSQIVYNEDQSRLGEKPHDVILYNLPVFLHILLDTWRTCRKPEESSEPIYGSLDLKR QAFAASIKNIKSHLERIFQRLYKYSEPLAHLWPLIHAFAKDYLSQANAYKNFLPGLLR FLTVVLDTLTKYQGFDDKKTRKDAQDLYQRCVDYCILIAGRSFDQSLWLRRSTTVMSL SDTIASDISRNVSTSNVSDLEKKASWKSREDIMIIQVNAYLASAVIPNLRLLVADQDR INSLLNNLVYYVIGPAMRTKTGKMTAILDQVCEMARMPFTYRSWRKEVWEVFTDNRFF YMNASTAKKWRTIIQTVFSLEKERFSELMGRITTTPNTAFFTNKDQETLNRSLNLRRL SYVIFCGSPDQYVPQLPLIQEKLVELLKLEHGEMVHVEIYLCLRVMLVRFSQKHLLNF WPVLITELVSKLNSKKKKNTHTNTNTHRYTDTQYGYIDFFIRLFDAFLYDNANDRPEE AQIGLAGCKFLDLLCALETDAFQIYQWIFIRDTVETLIKAPLNDGPTPIMEMLGEKLT EGSSTTASSLSSSPLSLSSSVPPLGELKRPMLTMHSISSIRQLGFFIEHVGLYVYQSS FTLAKPDMPFIESLLHNDLLEGDIDSD PHYBLDRAFT_76922 MPAAVGLAWMTFGMVSVGLYLFSAGFINYYHDKHHSRVAVTLVS VLSVGLSLCLLALLPVDVFLVSLTVDHQTGLKQEWADEDTVYWITMATFIVYYGCHAL IGLLLFFVLPFAYFYYKKYDEDQDRRQRALTGIRYTVGFGILASVLFMIGLFGRPTQL PVQLELEWFLNLINESHGEKAISFVMACPQLLGMFIFVAYTAPGLSILPFKFLKDRGR VDTEYEDITARLASNIEQQRKIEHHPTSSRSTHSEQERTLHNLIDEAKIFERQLKDLE DDRNKWRYKLWLMFRPFELAFGIFLLVVSFLIVLCIILSCFDKMVFSICGSECGYIAS SPDFFQPINFILVNIQRAFPLDYMLLVGLIVYLLVVTMVGIDSLGLKFLWVTLYRVER KATGAQALVISSTLLTLGLLAANYSIVSFIAPAYAHFGSQVYCNSEEGDVRDCSLDVD LIVPCDIYGPTDICTPTVISTSIDRISFNSPRVSAVYYYSHWVFVVTYVAGFVMSLVR KPPKDIGEEEGLLDRTGKKAYQTISDQITK PHYBLDRAFT_138557 MNYEYTHLEYPFAAERMFGRQTPIDDIYYQNTRRFSYSGLLSNQ SDASLSDFEQHTPSPVNTYVELINDLDDSKVHSLIDYACNPYMSQMVDTAAFLPAHHN QQQQQQQQQQQQQQQHNHHNHHQHQHQQSYPIDEQLFNKHQNQSAFQTLSAQSDSNSS CGYPQGFNSPMTNFGNPYHLNYHQPQINYNIPPLFMSTPTDVLSAFPMGTLDISAAIN SSAQLSASSASSASGLSLSLSPSSPSLSLSCSGAVSVSGPESLSPASLSSSSSSLSSS SSSPTMYNNSPSGPVNHRDFDISFGEPSASPETFSISPPMTKTKAAKKTPKDKSLTKQ KTSTPRQPKTQSRSRGRRSAHDQVSDEDKSFQCKFSGCGKAFKRSEHLKRHARSIHTL EKPFECPYTACSKKFSRSDNLNQHIRIHRHTGKDKSNMAALRRSSSSSESSAGSAHAS CL PHYBLDRAFT_62054 MLWLCLKQSNVVFIRIPRFYVSKKNRVLTNQNTSKSGLYSESHH SIPYKIDAISLNLDIKRKCEKMLWLRLKQSNVVFIRIPRFYVSKKNRVLTNQNTSKSG LYSESHHSIPYKIDAISLNLDIKRKCEKMLWLRLKQSNVVFIRIPRFYVSKKNRVLTN QNTSKSGLYSESHHSIPYKIDAISLNLDIKRKCEKMLWLRLKQSNVVFIRIPRFYASK KNRVLTKFINSSQNRCRFCAPDVTQRSISGRLQGIFGTQTV PHYBLDRAFT_62055 MLPPIPHGVNPNFKISATEAKHLKLYKAIVGITVSKWTSASDLV KSALDSNCNDKTYDKFKATFSTISDRFVQQPDLIRFSKRLVKYMDLKTTRDNYNDTFA ELALEKKLEKEEERLRKRIKIKQTQSLCKELDAEIDHSSTAAEEDTSDIDSGSSSISP KTKDKATFIQKTIYEDAEELHNRYKQNDDLSPCERKRMCAGLSGILDTADDSYHGQKE LFNTDKWDFITKHYSQFTKMFLDVKPHSNLDVLYKTWDSVYGTLSKNHSYFKARQAFN YISIQSDIDEHTFKQLQIIDFFLDTLDSKQFIINPKDATKVTEKDYESQIWIPLFTRL FNIKNNNIRIKSGESVPPESTKKKAEQYGTDKNIIGFKIDFRLVFDYKELEIDLASAE VSVSSANEAKERHDESKLVREAMISTEAIHHILKSQGYVYTWAIKICGLTATFSSLMY DDERMIYVNVHQFILYFPTCISELEDLKDDLEMMFKFKEDVERIGYKIEKSLKRSERK GRNVCPSPERKPAPCLFTYFTPPRNEAHRSIIPINNNTLIVQLDLILENTEKDKRIDI LSFSDFFSCSLNQQSYLRSNTPLAKTLYHQNTIIYRVIRGVKGEIHSIFIYLPAHFQV LLSVVAIRESVFSILFDHLRSII PHYBLDRAFT_76923 MDNKGSWYFSSSLYFHQHLYPYSSLYFQLTTIDKKPRFSVSNSV DATPHTILVALIVLCSRSTINFSIDNKRLTRVEPLQNIKLDIAPRLDHFYQKRQLTRN QAHEPTARSVEHDDTLRLTIEAYNQTFHLHLEPNTDLFHPNAVTVNEHGVTEPLIPES FRVYRGYAIETYYSDNRWKADQAGIKRDDFTAQYDVGVLGWARVIVRHDIKHSLDYPI VEGSFRAYGDLYHIKATSNYKLAKRLDDAELSTAFTCQVFLRI PHYBLDRAFT_87709 LIFIQLAADCSYTKYYESTEKARTQIINDWNSASAIYESSFNIA LGIINITVMSEVCPTTPSTDTAWNTGCSDSYTISNRLSDFSRWRGTMSEDGAGLWHLM TQCATGVEVGVAWLSQLCNTEANSQTQSGVRQYVSGTGVSSIIRDEWKVVAHEIGHGF GAIHDCTSDTCPCSGSSCSCCPLSDTQCSAGGTYIMNPTSNVSSNAFSPCSITTICDA FPSLGTCLEEPNSKTTQTLQMCGNGLIEDGEECDSGGTDTDCCNAKTCTFKTGAVCDD YNDLCCNKCQLRPSTYSCRPASTECDIEEYCTGNSSSCPTDLYSPNGASCGNGLQCAS GQCTSRDTQCKSRGSSLNVTSSCSSASSECQLYCNNPDGLGCLVFNGQFLDGTSCGSG GYCKSGSCDFGSTSDKALSWLRDHKNIAIPVGIVIGLLLLSCISRVLCVGCRRRRT PHYBLDRAFT_161646 MFTSNKTQTSINSQKTTLCFSIADKVGALEDCLSAIKATNVSLT RIESRPSQTKDWDYDFFIDLDGVNAIKVKEVVEKLRAHTKDVRVIGAESTNGNVPWFP RKLSDLDSFADKVMEMGEELSSDHPGAKDPVYRARRAEITKIAKTYRTGMAVPEIKYT AEENETWGKVYKHLTAMYPTHACREHLYVFPLLIQNCGYGPDKIPQIEQISRFLKDCT GFTIRPVMGLLSSRDFLNSFAFRVFYSTQYIRHSSKPLYTPEPDCCHELLGHVPLFAD PDFADFSQEIGLASLGASDEDISRLATIFWFTVEFGLCRQDGEIRAFGAGLLSSFGEL EYCLSGKPEIRPFDPTKTAVQSYPITEFQPVYYVAESFKDAQEKVREFAANMKRPFSV RYNALTQSIEVLDSKEKVVRFARNIRDDMKTLTTVLDSMM PHYBLDRAFT_62058 MMLKSNVRNHLDVSFETMCKIKFYVIQTAQSLTTISKTTMNSIQ GDYIYRELRTAKLQIVMYRKAAYYTVCGINWGIMRQPGFEQNKFLIECYTCSQHKKVE YNNDEWLHERDAHQNAKDKLTKAADFTRQIMLF PHYBLDRAFT_138562 MKLSILVIATFASIASASFLPFFHNQQEQTYDPTSTDLIKPCGN DQDLLMQVILIDDITLTPKIPVKGQNLTIDFTGYLKKEVTEGTTVSILVKYGVVQLIR KKFDFCSEVAPEVQEECPIPAGPLTFHKDVALPKEIQGEVVTCLIGQTSFPRK PHYBLDRAFT_129547 MSILRSIVKDTPASSGNNDSDPDSTEVLEDSSRSIIMGIISQLR KGMDLHRVTFPTFVLEPRSLLEKITDYMSHPDFLLMASKETDQQKRFIGVLRYFLSGW HVKPKGVKKPYNPVLGEIFECQWKYGNGTDAFYVAEQVSHHPPISAYYYGSPENGIRI QGNVRPKSRFLGNSVVSLTEGDSYIEFSHFHNERYDFTMPNMYARGILFGKMVLELGD NCVLRCRTSDLTCEVDFKTKGFFSGQYNVLVGKIKKESTGEVLYEISGQWSNEIFIKS VKSSTKTSLFDVSTAPIRQKTVAPESIQAENESRRLWSKLTAAIKNNDMDQATTEKLA IEDRQREEAKYREEHGKPFQPVFFELVNGQYEFKANNIIDFQNPQHGKQQLCDLLYSH HPKQTNNNQP PHYBLDRAFT_129552 MSNNSSIFTNPNPPPPHTFSPMSARGKYVVGVCAMDRKARSKPM RYILNRLLAHGNFEIVIFGDKTILDEDVENWPGCDFLICFFSGDFPLEKAVKYTNLRH PFVVNNVAMQSLLWDRRVVLSVLDAIGVPTPPRLVVSRDGGARVDKDAAAEFKEFTGM DMERVLAKYTNNSTGVKITEDGIEADGKKLLKTFIEKPIDGENHNINIYYSKDKGGGG RRLFRKIGNKSSEFDSSLNLASTEGSWIYEKLMETENCEDIKLYTVGPTFVHAETRKS PTVDGLVKRNTDGKEIRYCAELTQEETDIARKVSKAFGQSVCGLDLLRVQGKSYVIDV NGWSFVKGNDHYYDQCARLLSEDFYRSVQRRPSMLVDTIPPEIAPENSWRLKGFVGVF RHGDRTPKEKVKISVMSQPFIDLLDGSKQEVVFRQKHQLGLVSSAVEECLEKNLEDST KLRTLKEILEKKRELPGTKVQLKPQFDKTTGELKKLQVNVKWGGEFTHAGLHQARDLG ENLRKDMNILNRNVMDNVKIYSSSERRCRATADVFARWFLGQPDSIEGIITESKFLLD DSNASKEPGDAVKKQLKELLRPGHIIPELQLAQMGWPKDLPQPHLVLQEISRIMARMQ RVMNENWSTKNVDELQRRWCCFESPTLFKERWEKMFRYFCNNVSGDDSDDPEVRRRAD AERVIDPSWIPEMYDMLKYDALHNRPFLQAIFSADGTVPDDRNSRRLSGDNTTPCCGN NDLRDLYKNVRLMFDFIAPAEFGITDTEKMNIGMLISLPLLKSILRNLADMKRADNPK TRMYFTKESHVHALLNLVYLSGVPTKGPRNRLPELDFLTQITFELYERNQLSDGKKEY SLRIAFSPGAHYDSVLDLHMDAEHCLKVAPRKNLLPHLSLEEVVAYHQKYLKVPNLAS IEKQIEATKLYYAQEDNQ PHYBLDRAFT_161652 MNKKMMMYSKKIELPKTVCCKYFKENLTHKQKDRQSRAIYKDTI TKIKNLPGTSIEMKEYLDTLVHEVNIQADNQYINYGYIESQGPTNITIIRGKKRRVSK ENDSSRNPSDNKDSSDSDSSYQRTPVSPPSVSLHSSQLEELDSFVSDKSDSTYLTEES KPYGDILKTRKYILTLNEVDAGDNDGKEVYNYDTNSSLCERLNISSICYNADSSDIYE LKPEVLDDDEYKKMKDWRMKVKKCQLYFPPAAATPKQLHLNTFLCTLTDTIVHEKSQQ ERKSEIGFTIDHVSPLLKYIFPAKGVLSCKWERSSTLQASTACKKVRTDLILIANGQE VGCGEIKTPCPTSQLLEEDRSRIAETLKRQLHARIMKSKDVKEFFTFGIIFDGFNIEL YMMIFDFQRTLPYQFYYVERVKLPTSFESYNNMEETIEYLLSFKNLILSSLAGEEDMH KPYAYHNFTRLFKPTIAFIKD PHYBLDRAFT_62066 MLAYDIPFEILSKIAILLSVKDKIQCILTCKAWQSPFQDVLWDT ISVFSKEKVREICDSDIKANIYKQNGGRVKLLIFGRRLRVSDQDLNTIQHRFKNLQSF CAPDSSLSSTEFGKIADWKLWNSLKELDIFIPVLDKVRSLKESLKILSNLPSLRRLHF TDGGFEDSPIYTLRDIEALHTHLPKLESLSIQMVLAPLEPEDIQLAKNTIPANTLTVL EIKLEFKDYRWLYYFARKYYNLRTLSWDSMHDMDIDDFKDSLSHMLSDISPVFPHLNS ITVSSICCSEQKQATFWRIFDISKSTIKDARFLFTGVNDGKVLLEEDVKGCIELCSRT LEKLSVTCDVYAYNSDTISLSFNTCPRLVELYLDVRFLPIELDTLLDQCMSLKSIKLS VDSPSVSPSAFNDIMTHGVGFIELITSKLDTSILKYISFRCRKLYHMRLCDVLVYGPI SQETGNIYIDMSHTHFEFLHLDQVHFYPSNKPYCMMNGNKPQDKNYLPDMRTIPVRIL EICQQKNGGHDKMTPSIKDKYKQPSIESIWLHTYHCPEAFYPWKSVRVLKKREVKMAK RYFCGFQRKSTRDYVNMTRENAMIEMVLRHEWKSNLLRGYVTFRCGDIKKYRVTGHTS VKVDCGCQLLIAFGYIQRILSMSLGILKKLRLSQKIFYQEPSVCL PHYBLDRAFT_157047 MFESLKIIGQMSPSCVDPCFSWTRSQAHFRLKFPYPKKKEIEKK TMKLKKLP PHYBLDRAFT_161654 MYQIGIQVESLSHVESQSGIAVTSGIAEWVPSGIEVCAFFFIQS LSKGIVCKMSFSCEEANCTKKSYANMRLNKHSYDDHTLQVTISIQNTSYTVNKIESGF VCPKCNSILESTSTFKAYAERHNKSPKARKKKGVYSESDIETARRISNDMKTLTKPIA TLAAVDRLEYTNLTPIALLSGPLSYYLLGDATDVQELHEQQPEVIFSIPPDPSHQPKD YETNHTLLTFLMNNCSMASSLKNEHYIELDTNHLKKANRDWLINPEARYAVLQSIY PHYBLDRAFT_161655 MRSSRDLRVASLMVSIPVFLVIASLVSITVITVYTHFISWLQAF STRELSTQAPLPPQPPSAAAAAYTALDTYTLNCLVCPINRHPSLIDLIHATLCRLLSP NIYSFVVPNQAQSFSFFPQLPWMVLSLLSFWIVSPKLQPRYRAACMSFGQWSTRTAEH VSLSISNKVFSSIEYITFLWRKYPALAEARDISSSSDTPMKLIQVKQNDNALVRRKKE KGGRSRTKRSEARSHTSGFREPAGQVDHTIMDTVNPAPFSNLEPPHTADISSSQKTSI SDDSEWTLVREKSFRRRTGQSIRCQDPQEEKNAEDKTEMRNMNISSDTKPETHILLCD IPNSVVCKEKEDPSDTFQARFQVDQSDGESTLNDDDDDDETLDRSEYSLLTADNVLIL DHYNKPTTTSTSRDLSLMYEDGKTDYLDPPYLSKGQVPEDNNTNGELITEQRWYSPFS TGFNLAQLCDDPQKPWDCPRPPLVRESLGEKPESYFHHWSKNYVWGNPCIGIHPDQKT PSDSLPYQKPFSLPLPEAYSASRSKLNPSLHQYSASPNYLSCLPNRRAISAPSLFSHS STSISKTTYHKPRHPTQNENTKAHFM PHYBLDRAFT_161656 MYHQFQQGNSEDSWNYSCDELWRSPSINNIVHSATIPDEQTPLL SQIIIPSTDRVTRWTESFWKRGFILNILPVLIVLIWCSIPIPFDDCATLPCDYDPYSL SPAISILPLAEKPNNQPTLINFWFFLFWYYGVYNAIALFLITKMFSIYAVNWWPKPLG AKVTFMLFWLTTQAVAVVGYYFTNLDKYTLSWVFLTFLNMWLPVLGALINIHLHNMKR SQRKLYPAFGDPKTISIFISPSEHTHIHIPASYRRFLWFCVALFIALFALVSGEIYAY VFLSTLPHTSLDAVVYVYSWVGAIYVMDAITDYIIHRRVRSYPLATVFKLYFFMIYFI FYRNLFARLRSVDQFALVQLGSFLWVCIYYPLVITRHTHSLCVRMFGTTLTYEEYRDK IGRSFYLRNLAENTTMLGFLCWVNILHFGPNRAAYPYFHFDEQVSEDSPYTHRTTFIA ALVIWTSELTSAYITRWTFKRYFKHSVTQQAIREFTQYPEMIIGFVLVMVHVLQNILL ALIKLDFA PHYBLDRAFT_74576 MKFGSQLKETIYPEWQAAYLDYDGLKKKLRKAEKDRPFTEKDET EFVEMLDSNLEKVYAFHKEKMEEIRRNTDEAVETAASQIPSHDSVEEMGRIQGHINEI ADDINRLSRFSRLNYTGFLKIVKKHDRHTNYVLRPMFMVRLNQCPFWNEDNDALLIKL SELFSKIRDGGRTMSFQPASELRDAMEVRVDGRRTVVKRFFVQSENVLELKTYILRHL PVLVYRDASKQQANIDPPISSLYFDDADFDSYNSRVETALGSQIIRLRWYGSAKGNSS IAFERRTLAEENRGELKDRFVIKDKYIAGFLKGEQIFIEKTAKKMRKMAGKSEEEVQK FEQLVHQVQDTVMSQQMQPVLRTFYKRTAFQIPGDNSVRMALDTDLCFIREDSNMFPN DNVVRRGENDWRRPDVDTNFPFQKLKDGEISRAPFATFEIKLDLGNNEKEPDWVTELQ DIGILEEAFEFSKFVHGIAVIFDSRVSLLPYWLAQIDDDDTKQLPVLPSQSPSVVKGS HSHKEVRVHMEYPEPSERTSLLRNNQPSSSVPKKVITPLRVEPKVFFANERTYFSWMS FGTLLSTFSIALFNAGDTVGKVSGIVYTLVSLSTLIYGMGLYYRRRELIRARAAGPYD DVMGPTVICFALLFAVGLNAYLKFTVKGPSLFYL PHYBLDRAFT_178836 MGVLTIVQSENGYLFCGVQGGDIQIWDLETYQMIRSIKGHSDDV LSVTIKGTGFVSASADGKINLWSEGFELEESIKQDNGVLLSLTHSQDYIVTGGTNHTI KFWNTQHLTSPVDNARKSSDLNDVNSGDMMLSVLDRWVSMRTVSGMPKYSEECRKGAR FLKNVFQSLGAQSRMIPGASGRNPLVYGKFFANQIQSGKDAKDPSFKTFEKPKTVLIY GHYDVIPAENAKGLWESDPFKLSGRNGYLYGRGTSDNKGPILACIFAVHELLKQGDLD VDVLFLIEGEEENGSIGFQEALDEQKAGLIWRIQPLFEGVDVILLSNSYWLGEDVPCL TYGLRGVVHASITVYNGLSDLHSGVEGGAMSEPLVDLIHVLGKLIDTNKRVLVPGFYN DIRPITPAEDTLYDPIVKWLQRSTDKPLTSSSRSQHSCITPSSFSDSGSETSEYSNEN GLKQQLMVRWRYPTLSVHKIDVSINNPTIIPRNAKAVISMRVVPDQKISDICNSFQSY TKKVFQDSKTENMISVQIESAADYWLGNPENKYFKAAEDAIEKEWQIKPLYIREGGSI PAVRLLERFCNAPAIHFPMASDQAHLPNERIRLLNLHAGRRIIKNFLKTVGTQKEKRV E PHYBLDRAFT_154012 MNTHANGTTNTPPRAAFNIPSVHNDNKSMYPPYFDVIIAGGIGG SAADFLMHSVDTVKTRLQGQPHHRAFKYHNMVQAYRLILKEEGALRGLYAGVTPAMLG SIPGTSLYFGIYEVTKRTLTANSVPEVVAHLAAGSLGDLAASVVYVPSEVLKTRMQLQ GRYNNPHFISGYNYRSTWHAAKVIVKYDGIGALFHGFKATILRDVPYSALQFACYERF KKIAQHNCVEPGQQLPLGIDMLTGSMAGGIAGAITTPLDVMKTLLQTQQGSKKGVKAA NSPELLNPVPQTTKQPIVQPKHYSGIVEGLVWNYKNQGLGGLFRGIGPRVFWTSLQSA IMFVIYEQVLHLEQSLREKGEWPPNAAATNLFKS PHYBLDRAFT_161661 MDTPNNHNWKVLNEKFVFIYHYSDHKRKRVKNEPPWHSMKNLSI SWKRTNELDDMNAHDLSKWHGGKKLKLIDAKIKIKQNEFDHRISTRFLYILKDQYIDD ITRISLLNRMSVNLKEVFLVTKVLANVSCEVNQPLLARDSKLNTDMLSKTIEQKIDLK QMFLKFNRLWAIQ PHYBLDRAFT_138575 MEKKGQDYRQADTTVSNEPNGIISQESKLICKRNNTSTVSNFLS FEILAIQKSPILQKEFRKESNLQFLTRYTADIRWSKLNSIRQGVEKSVDKMVLQIEEL PELFLIKLAQDREIYLFKALKKDTVKWDSVVSLAKKSYSQESQRAVFCHLARYKKTPA TLIVQMVTGRNKNPEYSDYEHPTTTETSDSTKYLGNQATIWSDEGAYDSYDITYNQSY YFRNVSISRPFRTPIAIKGTVVPAIFDSRASNSVIIIGLAHRIGLVTSGGRPPLPSLG GPVKEPCEITFSVPIDMAEKLRPDNM PHYBLDRAFT_95067 DDLFEHLSDTHVGRKSTNNLCLQCHWNDCGATAAKRDHLTSHLR VHLPLKPHPCTICKKPFKRPQDLKKHERTH PHYBLDRAFT_105376 MHGSPQQQLEIEKLQEQFRVSDETLDNILHSFEDEIKAGLAAQS ENAPHQNDLKMIPSYVTGYPTGNEKGTYLALEISGVDIYVCQVKLKGEGGKLAINQYQ YKIPDHLTAGDDICVLIDYVADCVADFQRRIGSGHHTVYSMAISVGFAVRQTGLNRGT ILALEHGFEFPNAVGCDAVELFHSRFHAKGLGVKIVAIANDAVCTLLAHAYQHPSTRI GIVHCAGTNCAYYDKVSNMGKFLSQHPQESSIQRDMIINTEWCTFHLNTLPMTEYDRL LDRESNNRGIHIFEKMTTGMYLGEIVRQVLISFVDRHVLSFDVSDEDCLLRIPYHFDT SYMYVCEADDNWETLEDTRVVLEEMCKIGETTLADREIVKKVCEFIGHRAAALLGAAT ASIVKYIVECGVGAGKEEGFAISISGDVYKDYPSFHPRVCETLKALIPDEVASRLSVG IVKHSRIVGAAIVAMMAEKMDQQHQVEI PHYBLDRAFT_93066 MATVPLDVGLIFVREYYTFLNKKPQRIHAFYSKNSVLVRGDEGD VAKTYRGQEVREIKSMEELNFEDCKVLVTQVDSQMSANDGILIQVLGEMCNLDNPPQK FSQTFFLAPQHKGYYVLNDIFRFLKEEV PHYBLDRAFT_74577 MCGICAALCMFINGYDAAVFNNLQGLDSFMLYMGLDKDAPKDQN MIGAVTSSYLAASIASGITVSPLISHYLGRRATIFAGCLLVAVASIVQTFSTNIGAFI AGRVILGIGQGIALPAGPVFMSEICSPEVRGRMLSFWQMMYSVGALVTSFTAYGCKLN EGLGLWQWKTVTLLQAAIPVICCATIFTCPESPRWLISKGKFDQAKRALERTREEDQV VEEFEGIKASIEWEKENSSNSLKELWVDKSIRRRMILGLIMNFGQQLTGQSMMTSYST KVYQKIFNDDATVLLINALNFTFGILFTLTCTFFSDKLGRTKMLIGCAFGQAAMLLIA ATVYLGTPTLPDGAKSFAIGVALVLVLFLFTFFYKPGWGATTWIYTSEIFPMRVRGTA VAICTNTQSVGGLVMGQAFPSMFTTMGFYSFYVWMGVNLLLVVLIFLFYPETKGVPLE EMDPRKNINRR PHYBLDRAFT_184532 MNQSSPLRESSSEDAITKKRTRVTPGQLTVLEETFIATATPDNK MRKQLAHQLQMPERSIQIWFQNRRAKVKMLQKRDLLREEQEATRARMYAKASSYKSSW YPSLGYNRPQVKMPIQRAWSTDNQPLPPSIPNFYPFLVDDISTPSMAPFDTMSTRNLY SISPSPSLYPMHISDNRQRRTADSELSIQSQPNISVNSPSHLITVNTVTVGSWHRMKI DREDLLCQCDFRDRTLTWFIRDSVYNFKMVLPFDIISVMRLSILEDSILATIDIDLIE PPMFYMENGGTDMTNGGFNWIQCSDFTEGIQATCILRHTLQGLAVDLRREMLAMAGAD EQLCQIMRFPTQQDPQMSQFDQMLAQNWRHQSVPVHGFQPL PHYBLDRAFT_104018 VLEKFSSSSTDPSPKLGIWDKIRFCIHTQADISFVGGGDLCVVL KGLRNPYNLDGLGAGLANIWSNGVIVRIGSNNLEKEAIQITSGAFKLIVP PHYBLDRAFT_87880 IDIAQHFHVVDSFDVPRLTYDYHRKAFTKVSEPPSLLASPREKG NMFCERLNIIRQRLLRNESFCASSAQMSDNDTYLKITPIKSLIGHDRGHFLLFGMLTQ LEEGKIFLEDEDANIELDLSNCLYGEGLFTDNSFVIADGVYGEDHIFHVEEIGFPPPE SREVTDSVFPHVDFTGLPQPLIENHILKLEETSHNDVFFVIVSDVWLDQPKVMNALRR MFEGYDSTKVPLAFILMGNFTKRPFSFSSNESAQYRDNFSILGDMIASFPTLATHSYF VFVPGMHDPWGGNTLPQPPIPDSFTSRIKQKVRKAIFTTNPCRIRYCTQDIVIFREDL LSRLWRNVLLNPQLGTVSEPEKHLIRTIIDQGHLSPLAMSARPIYWAYDHALRLYPLP QTLILADQCANYGATYGGTHCINPGSFPNSDFTWTTYFPASCTSEK PHYBLDRAFT_157053 MPNFSAEQVAEHACESSCWIIYKNKIYDVTTFVGDHPGGQDIVM QFAGTDVTNVMSDPTLHVHSDATQDILNEYYIGELLPSKENPVPVTKPLVTKAKEKET FLDLRKPLFPQLWNATYSKKFYLEQVHRPRYVPYYVPYFSDPAMDVLSRTSWYMVPLI WIPFVVYQLWCSLKTGHSDVMVTGVTFATGVLFWTLLEYCLHRFLFHLDDLLPDHPKA LLVHFTLHGIHHHMPMDRLRLVMPPALTVLIGTPVIRLAHLVCIPKIAHGFVAGAFMG YILYDLIHYYLHHAQVFKIHFKEMKRYHIAHHYKEYDSGFGITSKLWDYVFGTVLVMD ENNSVKTL PHYBLDRAFT_62088 MTPENSGFYTVDADKLHTSRKPFHLQRRFPQQQSLTTLETAELK REAFLQERREKLHQKFQQVQSVVRQVKARREHRKISILKSLETAELNRRTQMEERRMA SKNLVERAKVIASQNIRRHQAEQERLRARLESRLKASEARRLCLQDLRTSKMASRRKQ PLKEESFGPTSKTKGVSKQSPKISVKTCPETGHTKKQVGWSSLLKAYQSLGLPALTSQ VDFNELGRILHQSRVVTVTSKMLSIALSLADKDSRRRARILLTAYMMLMCPREILQDR NGSEEQILLTSAKDVLVQFEIWMAAHGPHAGQVERRVFAESWTRYRSLFEAWKKRDQK KLVEDMITYYLELSNVKRSLEEHDVEGVGAQLEKQLEQVKAKIVQVGGPASLERLNNL ESKKGTQEEVKQDKEEDKAPVYKPDLSTIFGPFSNIPNERLAHELIVSPDFKLQHKEI TPLEQHVKTIATKAFFDKLENELDNGDTSSLPGLLADVRERLLGLSPLDGDLRETILE IMDIELIQQQAANNTLDVSKYIQTIIDAIAQLCAPVRDEAIAAIREGSQPIVQIQQLL ELLDFMALDLANFKLAAIRPHLVEIGVEYEQDFFAKTISNNPEMPITNIWLCKAFHRL HDISSQRNPENVQPHLNRPSHKAVIEDAFVALVTASDTINSETVPETLLWDADRLTGY QNDIQALVIVACLLMLAKNFGSPADPPQLATTLFELLKDHTTTIDNLSLEIQRGLDEK RKPLVRSMVDKTLSHTDTIYLLLTRRVATIIRSYLNSPQTLTPAAAASQGLEHVFQPL TEVCANLYRLATHHRKVYGSWYDTIISEEEATISSTRVD PHYBLDRAFT_97048 DEERVAIGTRVAEGHPNYQLMYDMLTGIRIAVGRVSAKIKRDLT EEDFRAAHKLVFDVTGTELTPGVKYDFKFKDYAPWVFRNLREQFHIDPADYMMSLTNK YILSELGSPGKSGSFFYYSRDYRFIIKTIHHTEHKFMRKVLGKYYQHVCENPNTLLCR FYGLYRIKLPHGRKIHFVVMGNVFPANKDIHETYDLKGSTFGRLTPEEEISKNPHAVM KDQNWVQRHKTLELGPLKRELLITQLERDVELLKRLKIMDYSLLIGIHDLLRGNREGI RDHRLHIPDTRPSDRDSSSRAHKRENKADMVRKALKSVNPVQLSSSELPESPSDERRY CVFYAEEGGFFSTGQNNDPADKIYFVGIIDILTPYNIVKKTEHLWKSLTQDKHAISAV NPLEYGTRFMHFMKKTVTRT PHYBLDRAFT_138587 MSEQLKKQAIIHVILFNIDENLFIMSTAIKEGLKEEGIDSTVFV SNTKNKEGLSETIQQYGLSFMDAEHPSQASSLVLDPSWHQAHSPTDTKLVMMIGTDGC KARDLKQEATATFIIGINKGEMVNIPTGTVNYGFPHGMIIISYGFSDLQILRSRQAEG PEKASPSEKMSSRYKEGIVQAKEFASLIATKRSSSAAIVDDGIEEDHGIEQVPSRQLP PGGRNANAVQGALAAKQAADVALTEKAAGGPTRFDEASAHHTGSTRNVPPEKNNSGVQ DTPKEKARTSRWKRLLCCR PHYBLDRAFT_62091 MVRVVPILRLQTNPWRVNKCFTFDLPHLIIYLYVFSYASFRGTT FPGGRRHFDFTGPQSDQDLFVFFCMRQHFVIKLPKNRPYFPKEGEVGAYGEIDEHSRC GDKVDTPFCSKGLEKDTGSRTSSHNELVRLDRNVVPRQEMSSPSYIVKHIVVAYLVGY NVRTFFWVFSLMIFPVIFIMNIM PHYBLDRAFT_17470 MTQSSHITDLVQLSPDPSPNDIAEVLRQRYLNDHFYTSINRAVL IALNPYKLHDGPLASTSADYVAEYKDTQCAKANQWREPHLFQLVNHAYFHMRRTSINQ SVLFSGESGSGKSERFRQAVGHLIALSTHKKQTRLQQHLQSSQVLLDLFGNAKTTVHD NASRLAQYLEVHFTERGRMAGAKFMPYALEKYRVTMAAPDERNFHVFYAVLSGASPEE KARLGLSDWTAYHYLARTSTARASSIDDSQNYVNLRAALKTLLFHKHTVTQLFQVLAA ILHLGNLVFIEDPNNAQDAAIIKNMDALTTAADLLGVDPKALMSTLTYKSKLIKRDIT TLFLDPAQATIQRDELAQTLYVLVFTWLTEQINDKLSAKEGSHSLIGLLDTPGMTAVR TTAHASFDQLAFNFITESIHQFMLSSLFERDVHEYAEQGVPMPMEAWPTNTATVDLLV HPAKGLFSILNTQANRQGSRPTDDVLLDQFANANRSSSDGILLSFKKSDTGARLFAIQ HFWAQTTYDPRGLMDRNEDYLCNDFIALFRGNAYSAPTTNGLVASLFNEPMIEEDYSI RNRVSSPQLGIRPLQSPTNLDKSDDKTASRLSDSSVPTVVDQLMNGITDLTQALSNTI PWFVLCMRPNELSLPNSCDAKKVTVQISSFRLTELIRRLRVSYNTIFPMDEFFDRYGI ALTMLLGQSIEAGTLKERCKLVVHALGWNETYAGIGRDKIYLSNNAFRILEDELRSTE KSDSKKTKIPTGGMSLRHRRDNFDGYSFNDDQVSSAMSNDDFLDEASPVNDFHASDLF SPSQSDPTEIERKAEYPPPGEPEHEDEQDQISSERRKWLILVWGLTWWVPSPCLQYCG GMKRKDIRLAWREKLALCILIFLLSASMVVFIVFFGPLICPQQDVYSFSELQSKSEKQ SAYVAIRGEVFDLTKFAPHHWASEVIPDSTLFDYAGKDATNLFPVQVSALCDGTTGVV SDEIVLDFQANLTDRNAAYHDFRFFTNDYRPDWYFEQMVYMRKNYRLGFMGYEPSDIL RQATNVVSVGDISTHRQWAILHGDVYDLTYYLMGGRAPRAPEGQMPPPNLDLNFMDNT IIELFRQLAGTDISAHFDALPIEKELRTRQLVCLRNLFFVGKLDTRRSIQCQFAEYFL LIITGFLCTVIVFKFIAALQLGAFREPEDYDKFIICQVPCYTESEESLRMTIDSIAVL RYDDKRKLLFLVCDGMLVGSGNDRPTPRIVLDILGVDPNIDPEPLSFLSLGDGMKQHN MGKIYSGLYECSGHVVPYVVVVKVGAPSERQKPGNRGKRDSQMVLMRFLNKVHFESAM TPMELEIYHQIKNVIGVNPSFFEFVLMVDADTEVMPESLNRMVSCFVHDSKIIGLCGE TALSNEKDSWVTMIQVYEYYISHFLAKAFESLFGSVTCLPGCFCMYRIRSPAKNQPLL VSNQVIEDYSENKVNTLHQKNLLHLGEDRYLTTLILKHFPTYKTKFTADASCLTNAPD RWSVLLSQRRRWINSTIHNLGELIFLPQLCGFCCFSMRFVVILDLLSTLAMPAVVCYL GYLIYRLATNAGQVPMISIITLGGIYGLQAIIFIVRRKWEHIGWMIVYILAIPIFSFF IPIYSFWHFDDFSWGNTRVVVGEGGQKKALPVDEGRFDPKTIPLKKWSDHENEIWESG SIETKVTAVTATSRRTFGSPAPPAYPPYPASVKGGPATVYNDAASFRYSPAHLRAPSV LPPLQLPMMSSPSLYSDVASGYHEPYLNGPTDDEIRREVQRITASADLMTMTKKQVRE QLSRHFGIDMAYRKDFINYCIEEALHF PHYBLDRAFT_161680 MSNSPSATSSGSSESSSSFVPNFSFMTDASYQQLVDDLSIIDDL DAVYGNYIDNEAFDKQVADVARNVSFKHQSLVSFFRDESRYAKELSQFQDYYATQIQK WIDIPANRASLAKRKVPEYKEHLQMFFDGMSMMHAAHTAFISDMEARLGMWGPTQLVS DIFTSLYLRILAYKSFFDNYSQIIVMLDTLYKGPSFNKSIHACASEANQSIHPLLYYV RLPTTRSVTYSRIITQLLDYTEPSHPDYLGLVDIRHNLILLEKELHEKVNDCQSHLMV LECSRIIENCSVPVTIDRRLILRATLIKVSLENPLLVNDTRTYVLYNDSLIFCKKVKN LRKERLQCKGVLDLVGATLRPIPKSLVAQMTEARKPVLPAFFSKKLEEPQSPQIPVYG FELVVNDRNSDGPTSQNFSFAVDSLPTVNGTGVVYRRRHVVRTNTLSEQTLWIDKLVK VLQAVNSS PHYBLDRAFT_161681 MTFNSTSIVVDEIGYIWVIFEALQKDYQSSSVAHLPGYRDLRKQ SHVGQACDFLQISRFQALQNKGNLTDSLAGQSGQPENILCSNLAVTTFPSGVRYFYLT GLINSNYLSGDRRLSLILQGKMLCPQRSSYAKDYKELLSLDCDAILFDILLFIDLLTR IMPLSLFHLNSPRVRQHQLAVRKFPPTPMAATQLWLGGGTIELSILEVAGHFGLFDIA RTSQDHVKRGFGILTVLQGIPI PHYBLDRAFT_62095 MCLTFKEYKIITSYKTQFRIKESNKLLKTHRYSIGFGWFYDLVS VLSPSFASVYHFSSSKIGLRFLAGGVGSILCTAFYCALSDRVSNHRTKRNNGVRLPEY RLTSNYIGLPFLHLGQLLYG PHYBLDRAFT_138591 MRALQSLGVNHTGLSRLLSIRLYRQFIRPQFEYGLAISCFNIKQ VAVLEKAQNTCLRMIFGGHSTSSTSVFRHLENLPSMRERILTLGFKFVYHAFWLSDEA LFTLLRPVLTNPAHQWFKLLANPIWLSLSNHQNADSKACKHAIRSFLNQGLFLQRSQQ ILLSACRSSLGVDPILWLPMTNYERSRFIRWRMGWLPGRPQPCSCGLHTTSHHHVIEC TGAAIRLHLYSTVQPNPIDYVLNMLPLKKLKNNKNNAFWIFTWPILCRIMLDIEQICL PGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_161684 MVNLTFTPYVSPPPPPTNHPRLLWNLSKLAQPDTLKIYIDTASA SLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDALDTAVGRRIPRTMQKYWFWSVDL QEAMDLRERSYQRWRHSSGLQKAICWMRHQDACHAVRVSVQRRRRETWKEFCNKLATQ DFAKTTATMKRIKSHRQTSPVFVDPGGPQVAANKMADHLQQIFSGQFLPARRPPDQTV MISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDHLRAEMLHPIVKQVSPVLCLLFQ LCWQWAKGTIDPSLLISRNCVSAINSMRALQSLGVNHTGLSRLLSIRLYCQFIRPQFE YGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSSTSVFHHLGNLPSMRERILTLAFK FVYRAFWLPDEALFTLLRPVLTNPAHQWFKLLANPIWLSLSNRQNADSKACKHAIRSF LNQGLSLQRSQQILLSACHPSLGVDPILWLPMTNYERSRFIRWRMGWLPGRPQPCSCG LHTTSHHHVIECTGAAIRLHLYSTVQPNPIDYVLNMLPLKKLKNNKNNAFWIFTWPIL CRIMLDIEQICLPGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_62098 MIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSHCQTT GKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQYKIPEVHSASEPVVSPSVSI LGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDEHLSALEAVQKENIELRKA LATVNATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASQTHHSTEPTK TFVSKTPRKRAPTARAIAAVVCGMTIKENADQGFQIVYVPNAIRLPISTQCQRLRKLK IDNARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNFDPLDPVHLRDPALA SLSLDDRATKAIHVHNDCMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPT KKDLDISIHTASITIPSFSDL PHYBLDRAFT_76936 MSGVAQACSLRQEIPCVTHTICCINVHISTAEYLFVPSHKEGSY KSINIIDSSLISTILFPTPDIIEPTRTPIADYSEFTIKMEYPLDYKTNPSYIEFWQKI AKIHATKVLVRYHCTNDKGNDVYKTLTYEQVDRMSTNLALEWSKSICEAVTVGFISDH TPFYLISMLAIMKLGVIFLSLSPRNSPDSDASLLKATETKFLIASTKYKNTAQSTASQ VEGCRVLIFPDFDLEYLSKQPLNSQTDFMSIICAQTPESIILIGHSSGTTGFPKPIRL TNRFMIHVIQSLSIGIEDTNKNIEYTCSDVILPCTPLFHSFGLFAIFNVLLVGASVVF LKQLLPSIPELIFAIEANKCTFMMVPPLVLEQMLAHCQEQSDFSPLQQLKLTIFGGAP LKQEVGSFCESKNIIIRNKYGASEIGSFMISDLAPNTKQWNTLRPLPPLRKYCIWEPY FADSELVHLVIKGDCPSIAHGVVNREDGNYGTRDLFKETSPNSGYYSYYGRMDDTLIM KNGEKTNPLPIEATIRQCPIVSQCVIIGESRQCISVLIELSAESPKEYTTEEITDIVY HYIREANKSAPTHSMIFKQMIYILPLGETLPATAKGTVIRKHAYNEYKDVIEKLYVNF LEGPQNSADESIAAALLWSPEEVKLFIVKSAAEVLGIPQADIKDSSKSLFDFGLNSIT SIQLRNRLSKIFGKLSQNFLFQYPTIDQVAVALLRGPQYHNTWVDERLSKTEEILDSY LKRASQDFEITKNSYVKDQDQVVLLTGATGSLGSFMLRDLLKSPQVSKVYCLVRGKEA GALNRIYHAFESRSLDVSLLSSPKLVALPMNLTDEKLGFAHDFYSKLKSEVTIIQHCA WLLDFNHTVEHYDKECIKGLYNLVKFSYRQINPIHLHFISSISASAGWGATIPESPLP RNTKVAMPIGYAQSKYIVEYLFNYLTKEKNLPCIIARLGQVCGDSINGVWNTSEQYPL MFIGGGSVMKKMPRLNTAIDWIPVDYAAACIVDIMLKTTSKPVDINESVYHIVNPYRV TWEDLLKAMRYSGMSFETVPVKEWIRELKKDDKNPAFRLVSFYENTLDETFTMPVLST EKTILFSPILRNCSALDTQLLKKFVLYWKSIGFYKN PHYBLDRAFT_62100 MMMMIMGDIPSTLPEQKSTIRSLASNSKSNIYQLHYAITSQFIP SYLGFAVTSIQSAVLFNSVSTELSMPSVPTSIIVLKSFYSCSNKSLVKLVRIDLFGFS SQIFSLVAMLAYQCLLMIQSAVIKIFVWIKSSVGI PHYBLDRAFT_62102 MKDPINYKESFIDLWNQQSKIHANNVFLRYHTRLNEMEVYKTLS YEQVDNISTSIAWEWSSILYGVKSVGFICDHSAFYLIAQLAIMKLNILFVALSPRNSA SANGSLLKATNAEYIIASHKYSRMAYASTAKLDNCKVFIHQPTDLKAVSQKTYNNSKK IPKFFSRVLGREAPVMVLHSSGTTGFPKPITLSNRYILTNIRHIDNCIQDTNPNMIYN SSDVLLPCIPLFHAYGQAMVFSTILHGSSVAFMSQLPPSMKDIKLAIRANKCTFMMAP PLIIEQFSAYLKEKNDFSALQQLKLTLCGGAPIREESGVFWESKNIVIRNHYGSTETG PFMSSSFAPNTIHWNNLRPSRLIIEYCVWEPYFADPELVHLVIKGDCPSMAHGVVNRE DGNYGTRDLFKETSPNSGYYSYYGRMDDTLIMKNGEKTNPLPIEATIRQCPIVSQCVI IGESRQCISVLIELSAESPKEYNTEDVIKIVYPYILEANNSAPTHSMLFKQMVYILPP GKTLPVTVKGTVVRKHAYNEYKESIDTLYRDFHSGVSEEPLQNITEYQYIAPEEIKLF LIDAAAKVLNISPNELKDTTKSLFDLGLNSILSIHLCNIISKKLGSVSQNFIFQHPNI DNIQRVLSSRHSPYEVPIEKSLDNTEEILKKYLKQASQDFEITKNSYVKGQDQVVLLT GATGSLGSFMLRDLLKSPQVSKVYCLVRGKEAGALNRIYHAFESRSLDVSLLSSPKLV ALPMNLTDEKLGFAHDFYSKLKSEVTIIQHCAWLLDFNHTVEHYDKECIKGLYNLVKF AYRQINPIHLHFISSISASAGWGDTIPESPLPRNTKVAMPMGYAQSKYIVEHLFNYLT KEKNFPCIIERLGQVCGDSINGVWNTSEQYPLMFIGGGNAMKKMPSININVDWIPVDY ASACIVNIMLKTAGDISSAEDSVYNIVNPRRVVWRDVLNAMRLCGMEFKTVTLEEWIA QLQQDDKSPASKLLPFYENTLKEFSEAQVWNTNKACYLFPSLEETPTFDARLLNKYIK HWKSIGFYKDK PHYBLDRAFT_138597 MYYNGKKSFIGYWSEQSIIHADKVFVRHATGDQDTYGAYKNLSY EQVDRMSTNLACEWSDSLQGVKSVGFLGDHSVSYLLALLAIMKLDILFIALSPRNSPS GIASILKTMESTFIVTSQKYNKLAQNSSKEMEKCGIFTHEPLDIEIMSNQPLNPFSTE IIPSHPKVNPEKPVMVLHSSGTTGFPKPILLSNRYMMNMLFFFRVCFDETYRDIVYDS STTFLPSAPLYHLFGQIAFFSNISIGASVVIMRQLPPSIKEVFFALKHNRCTIAALPP LILEQLLYYCNEENDFSALQQLKITFFGGAPLKQEIGNFCKEKGINACSVYGSTEIGP FMGSNFSPFSNSWNSLRLPSIVKNHCFWEPYLGDTELKHLVVKAGSPYSANNISNRED GHFSTKDLFKETPPNSGRYIHYGRMDDTLIMQNGEKTNPLPMEATIRQCPIIFQCAVF GQGRQCTGVLIELSSEECQKYAPDEMIDLVYSAVQNANKDAPSHSVILKQMIKILPLN KKLPSTDKGTIARKRSYEQYKVIIEKLYMDFLETPENTSSRALNNTTEWAPEKVKAFL IEIAAEILGVTYADLKDTRQSLFELGLNSLLSIQLRNQISQVFGTISQNFLFQNPTID QIQQALSSGNVSDTTSIQQSLSKTEEILDSYLRRASQDFEITKNSYVKGQDQVVLLTG ATGSLGSFMLRDLLKSPQVSKVYCLVRGKESGALNRIYHAFESRSLDVSLLSSPKLVA LPMNLTDEKLGFAHDFYSKLKSEVTIIQHCAWLLDFNHTVEHYDKECIKGLYNLVKFA YRQINPIHLHFISSISASAGWGNTIPESPLPRNTKVAMPMGYAQSKYIVEHLFNYLTK EKNFPCIIERLGQVCGDSINGVWNTSEQYPLMFIGGGNIMKKMPSININVDWITVDYA SACIVDIMLKTAGAISLAEDSVYNIVNPRRVVWRDVLSAMRLCGMEFKTVTLEEWIAQ LQQDDKSPASKLLPFYETNSSDYSGRQVWSTDRSCRLCPFLNEAPTFDSRLLKKYISY WKSVGFYKENLL PHYBLDRAFT_138598 MNYSQGNSFVGYWAKQSTTYATKVFARYSNGDQDIFGAYKNLSY EQVDRISTNLACEWSESLQGVKSVGFLGDHSVSYLLALLAIMKLDILFIALSPRNSPS GIANILNNTDSSLIVTSQKYNKLAQNSSKEMEKCGIFTYEPLDIEIMSNQPLNPFSTE IIPSQSKASSEKAVMVIHSSGTTGFPKPIFLSNRYMINMTLFFKENIDETYKDMGYDS SNIFLPSLPLFHLFGQISIFSNIVVGASVVFMRQLPPSIQELCLALKYNKCTIASFPP LVLEQLLDYCKEEEDFSALQQLKLAVFGGAPLKQETGDFCKSNGINARNAYGSTEIGP FMGSNFSPSSNEWNVLRVISSAKDYCFWENYNDASDLKHLVIKAESPYSANNISNRED GHFSTKDLFKETPPNSGRYIYYGRMDDTLIMQNGEKTNPLPMEATIRQCPIIFQCAVF GQGRQCTGVLIELSSEECQKYAPDEMIDLVYSAVQNANKDAPSHSVILKQMIKILPLN KKLPSTDKGTIARKRSYEQYKVIIEKLYMDFLETPENTSSRALNNTTEWAPEKVKAFL IEIAAEILGVTYADLKDTRQSLFELGLNSLLSIQLRNQISQVFGMISQNFLFQNPTID QIQQALSSGNVSDTTSIQQSLSKTEEILDSYLRRASQDFEITKNSYVKGQDQVVLLTG ATGSLGSFMLRDLLKSPQVSKVYCLVRGKESGALNRIYHAFESRSLDVSLLSSPKLVA LPMNLTDEKLGFAYDFYSKLKSEVTIIQHCAWLLDFNHTVEHYDKECIKGLYNLVKFA YRQINPIHLHFISSISASAGWGDTIPESPLPRNTKVAMPMGYAQSKYIVEHLFNYLTK EKNFPCIIERLGQVCGDSINGVWNTSEQYPLMFIGGGSVMKKMPDLDTVIDWIPVDYA AKSIVDIMLNSVSKSSSEKESVFHIVNPHRVTWNNVLESMRESGMVFDIVPTKKWVEE LSNDEKNPAFKLMSFYENNFNESFKMPIWDTRKTISSSATLKMSPIFDVSIFSKYLSH WESVGFYNEKK PHYBLDRAFT_161692 MHYIKSKLKILDSCHDFSRQITTTYLALGQQKKISSMQLIPRSV ITFKLLKVIKYQILCSYLFCMCYDSLCMPHRERQEGLYILNLFRILVQTTFWNKKKKK IEFALEFKNKLLWFALSILTFAREYTNPCFQWHISFITYLTSRDAVKTDLYDVNIRDE SRGF PHYBLDRAFT_138600 MSVAPMPMNERRLYRKLTVNTNQQQINIRPIIKGAIKEGAQTMK EAYSKNSILEWCGHKIDEQRQSRFLYTLFKQVINKASLQSRDFAVQAEGCKGVMVWST NTEGCPGPCLLGIAKLAGLIGWTAALKTLVKLQPAIDKMRKKVIFKYERCLTIGYIGV LPQEQRKGLGSALLQYVLERADVSHYTVLAEITDQKTIPFFEAHGFKIEQKTYINKCQ DLPVILMVRTPIETGEPLQPLYVRPGRRDSNYIL PHYBLDRAFT_161694 MFNNTYPQTEDTGFNGVPELFNTHLYQLIQGQPEFSDTVMIDAS LISDALNPAVLGQNSISQPLIWQELNRLAFLDLQQSGGYRSPDPLYTGEFLYSQNLRT EENLPLSLGTPDVNQSPNVSSSFSQPSLSPSSHQSQLSVSDSCYESTADEMVERLFYR ATENLNQTGGDAGIGSCTQSSYHCQTPVSSSVYSPSSEFQPDCPNIPQAVARKSGKRK SKEPIGKSFINYPYNGKTVNTPGGSAKLYRCSQCDFSNARKRNMTRHEKSHDEDRKRW KCNNCEKTYTSKFNLERHTDKCFFLRRQTMPTNNNNNNNNNNA PHYBLDRAFT_184535 MSSRGYLPIRKYYGHDCVCEETQPRRGKNLPEGCLDSRAKKLHQ QEKEDYEFTMGWLMENAHVVYKDERNHVAGLNEMPEVSLCKAHSSTLYRAKKRHERSA HQTPPSPTDSNATLLDDRQSQNQNQNQNQKQSQSQSQSQSHVYHEPYKSTHYSHLNYS APYSGLNGGLAAKVREISQDYRQSSHDSSPSSPDFSAMEASTSIKRKRITKQLDQKPY SSASTPLYATGVAPLLSTIHQPPSSSTSPSSAHRHTTISPNFSSQLPPLHSRTASVSS LSIYSRQHGPVNSASAPPLSLPNPCPTIVETVSLKSIPSPTDPQSLYYLRNLVITDTF TFRDLLAEVDMTGSPPPGKRIVVSDARNERTFPLDQAIRSVIKRPFSSHVELCIGLAN KTSIDWSTYV PHYBLDRAFT_184536 MTIMVYKCTYKAIIQGEKSKKEKKRKENFSLKTIHISMGFSLVT DNSLTPLAAAFYSQVQATAQLVRVALELEWTIFSRLIGGVILATTAYIIYLIITLRHT RQPLVMWERLAKPFVKLFRPRLFAFLLGNADPYTRSIDMRISTFSRGFCTGIMRDRHK NRNTLKSIHTTALATFAETVGGLALLSLINNKDRATLTSLKIDYNKRALGLLTASSEC VLPAEMEGTHTLTTKVVVKDRTLDTVAVATLVWEIETKEA PHYBLDRAFT_37284 MPNVFSKLATSPWRQALKQFLKQFLASYTNHRTTIRRSIILFFF LRSALNVHRTIQKFKKEQKKPRKIQSLDEEAMKKRRVDVDVHFLRQLHRVLKIVMPGV RSKEFWMLVVHSGFLVFRTILSVYIASLDGRIVSALVRGQMREFMLGIVWWMTVAIPA TYTNSMLTYMQSKLSIQFRTRLTRHIHDDYLSDMTFYAVGNLDDRIKNADQCITVDTN KFSQSLSELYSNLAKPILDVFIYNYQLTRNVGFEGVFVASFIVQLSSIVMRKYTPSFG KMVAEEQRLEGEFRFRHSRVIENAEEIALFEGQKIEKNVLDTGYYALIKHVNRVFRLR IPHGMLEDFIVKYFWGACGLALCSVPVFFKVPGVDLGNVGSRTEGFVTNRRLLMSSSD AVGRIMYAYKEITELAGYTSRVVDLLDVFEDVKAGQFQKRLVSSASTDSNAKSLSGRG SVVESQNIEFVEVPIVSPNGDVLIPKLSFHVKPGMHLLIVGPNGCGKSSMFRILGGLW PVYGGTVHKPSHKDIFYIPQRPYLSLGTLRDQILYPHTVEEMHARNITDDMLLAILDV VQIKHIVEREGGWDSEKEWALALSGGDKQRIAMARLFYHAPRYAILDECTSAVSMDIE KIMYTHATDLGISLLTVSHRPSLWKYHNYILQYDGQGGYVFTKLDAEKRLALQEEKNA IEQKLLEVPKLEARLEELREIQQEQL PHYBLDRAFT_157056 MVQRLTYRRRLSYNTRSNRVHVVKTPGANLVYHYEKKPVKAPRC GDCGDALAGIKALRARQFGNVSKTQKNVSRAYGGSRCAHCVRSRIVRAFLIEEQKIVK RVLKTQTQKK PHYBLDRAFT_8303 TNLYIKNLDSSITSDDLKPLFNKFGKIVSARVMSNPFTGVSKGY GFVSYGKSEEARAARDEMDNVMVRGKPLIVAYHEPKKGRQQQQQQ PHYBLDRAFT_138608 MTYQNTLPNRVPDSFSRQRTLRHVFELQRMAKTSSHVSEKEKGT FLYSTFDALFSADTRRADIQTRLFTKKPYTRYMINALVNISLTLYFEGSMLLTAPISF LCVMTIFPGLIVFLLLIGVCLKIFMENMGGKELGRTMSERYGKGSVSYLLSKETADTV LATLPILGKPAEPLSAASSRHRTFDMAIAETAAALSALIYERNSDKIQEAFEVCKKAL EANEVIDPTDDIVTPTEKKMKQLLWESEVGVRSVAEKWGLSFTGISELKSVGGPFCGM FWSDTEPFIVIAFKGTTVTNYREFLVDATLQRTDARPFIFGSTHQGFYESVFPTSGSE DQGDPYSAILAAIHSKAKTLQQNLQITTPIQVWITGHSLGAAMSSLLFARFLKCPEDL PATLCTLRDCYVIGAPAVGDSEFASNFASFSNNPVSRSSTLWRIINQTDIVCRLPPGY NSRTIGHFSSKSDFFNYCHVGIGIKLESEDSKTPVSIHPSSYQPAMQVTIDTKNCLPL PGLPRECQSVNTTEPVLDPFDGLPPWMMTILEYPYIKKMLISWLAKYDHNPLRAVESL YPVFLKDHIPYHYHEGLERAKAYYTTKV PHYBLDRAFT_176585 MQALHRLTSLPRLVPRVVPSLIPRAAFSVSSVVNHKPNARKQLQ GTGAVPQRTSTFHHFWLRDHCHCDQCYHPITRQRLVDTFTIPRDIQPVATDSTEEGLR LTWPDGHQSLYLWDWLHTHSYSPVLRSSEPLQGKLPKLWDVSIAKNLPTVEFEQVMET KEGLKEWLYQLETFGISFVENVPITIEATEQLGRRISFLRESHYSKGMWCVAADLSHA DTAYTTLPLDAHTDNTYFTEPSGLQMFHKLEVDGTGGDSLFVDGFNVAEELKKLSPTA YRTLSNTRIPSHSAGDEDILIVPTPRGYPILNHGPDGQLFQVRYNNNDRSTVDHLSSS QLEEFYDALFLWSKILKDKKNELWNTLDPGRAVIFDNWRVLHGRAAFTGQRKLCGAYF PWDDYKSRARTVRMTPQDKDRLL PHYBLDRAFT_138610 MRAIGSLCLSLAISLALLTGTEARNADSIDKCPPLKVRRNGPKD VTDVRIDDIKVIAALGDSIIGGFGLMGKGNGTTTINTVIEYRGESYPIGGNDGAETLA TYTKRYQPKLKGASVGEHLVERCNLEYCPPTEYRPSIDNLNGARSGAVAKSLDPELDY VIPRMKNYPGVDFENDWKLITIQIGSNDQCGSCTSFANESTVEAYTLYVESAIERIQN NIPKIIVNLIGNLRVSALLPLAEAHAEYCPSTDTSVNYFTPKAECPCLASQDQTDTMD YLADNYDKALINIAHKYRARKGGDFAVVYQPYDINFISFPVQALSSLDCFHPSLTLHK YFSKIFWNSLFLPSQRKPQHYSYDPNLTLYCPKQKDRIATK PHYBLDRAFT_161704 MFNNTYPQTEDTGFNGVPELFNTHLYQLIQGQPEFSDTVMIDAS LISDALNPAVLGQNSISQPLIWQELNRLAFLDLQQSGGYRSPDPLYTGEFLYSQNLRT EENLPLSLGTPDVNQSPNVSSSFSQPSLSPSSHQSQLSVSDSCYESTADEMVERLFYR ATENLNQTGGDAGIGSCTQSSYHCQTPVSSSVYSPSSEFQPDCPNIPQAVARKSGKRK SKEPIGKSFINYPYNGKTVNTPGGSAKLYRCSQCDFSNARKRNMTRHEKSHDEDRKRW KCNNCEKTYTSKFNLERHTDKCFFLRRQTMPTNNNNNNNNNNA PHYBLDRAFT_62118 MSLSEHCALKINSLFFSFSLTSDVDSRILLTATHDRKFLTITRI IGEPFMDDIKKYARYLLYTLDSYFSSLSLDFFKLFERRGLLSFAGICFAHNTIRLAVW IVTLMSDISR PHYBLDRAFT_62119 MINYPEKYSLSQHIGNIFIMSESFTVFQAAKFQDNTHKLKSIEG HDTTQTNCQLIDLTSQLKATTLLGSLGGWYGLWVSWILQLRVRLFEYWHILCSNRLII NLIDGGQCLFAQYQPNIHGDIKRFKNDNIDIYTLR PHYBLDRAFT_138612 MPNQDMEMLNQQRQSTEDHVPSSENDKKESTTIRVPTTNASAKS DKPPPTMFSRLKNMMPTFAQSRKTIKSSIALALALIININPTTRGALGDGALLVTIVM VFYFPSKTIGVQTEAVVYGTFGALVGAAWCFLGMYLGNLARDKTNPVPVQPACSAVLA VFLFVATFVINYVRMKLPKANFACINACIQTTMALTQVSIIPGFYPILIWSFMKPIAL AGGLSLAVNYFIWPDDSVTNYMLVLKKTLLGCKSFVKEHSDAFLETSLGDLETTLPTI LSKVQGDILMLIDSKRAVQREIIYSRVSAQDLSNITRIVKALRPTIHGIGLSWVLKKQ YYAEKKDSENKDESKDENLDGEFEKLLQSIQSMQPACEVLVDASQTAITQCVSSLDHF HRFVRTTLNSILWPFPRFFYSGNIEARKQQHIEMTTPSTCPSEKLQQAINQFDEMAGD RLEYFKSLYRNGSSSEHRSLYLIYLYQYNIRENALCTLQLIKYMEELEKTRPGRRLWF PHMSIRKWFKSPEVDANIGGDANNYGEMNQQQGMTLVRTATRQDMNTNNASTEKRSIT KTVGGRVYMRDADVEAPVTLRERFFYRTQIVSEWLFETDTVFAFKTAVGVVLGAIPCY MASQVSWYFAWHGQWALITLVLWMTPMTGMFNFTLLLKVIGTILGGVFGIVVWEITQG NPYALVVVCFIVFLPLYHVFFYGNTLRIVALMIKVTMLLIVVYEYDFKRYGTTNYDPV WTLAGKRVLLVLIGIIASSVLMIIPYPVIGRVELRKRLSQTIYDIERSYSIVNASVIC ASNGKSRTEAQEKSFRQLSLDIRRQIEDERMFLLLSVFEPPLRGKFPKDTYAKLLGSV DNMAGLIQGMSHSVRNVDPVWRNIVANTLIQERKDYLTAIMTTLKLTSSALATKLPLP PYMISPDEARRRFKTALEQKIQNGAPEVSPEAFSGYSAYILNSNTFLEELQTLLKAVE DLVGIDDPNEWLRSRA PHYBLDRAFT_176586 MNPLRIFSRAYSTVKVIPTPGITNGFIGAIGNTPLLRLKKLSEE TGSDILAKAEFMNPGGSVKDRAALFVVKDAEEKGLIAPGGTVVEGTAGNTGIGLAHVC RAKGYKCVIYMPNTQSQEKIDLLRMLGAEVYPVPAVAFDNPENYNHQAKRHAEKLENA VWTNQFDNTANRRAHIETTGPEIMEQTNGEVDGFVCATGTGGTLAGITRYLKDQKGDN VKVYLADPPGSVLHSYVSTNGKLFERSGSSITEGIGQGRITDNLAPDVKLIDGSFTIP DEETIKMIYRLLDEEGIYVGASSALNVVAAVEMSKKLGKGKKIVTILCDGAYRYQSRL FSRTWLESKKLSTAIPEHLQKYIVLP PHYBLDRAFT_184542 MRVTFKLSTILPILENCLTENKFLDSDKFTGADALVAEALGSAP PPEFKRLSAWYTEVKKDDAEAEALLDEDEIDLFGSDDDEVDEEAEKVKAQRLAEYQAK KANKPKTIAKTTITLDVKPWDDETNMEALTKAVKGIAMDGLLWGGSQLVAIGFGIKKL QINCVVEDDKVSMDDLSDKITALEDYVQSVDVAAMQKI PHYBLDRAFT_129589 MNLLCQSSGRLLAKHAIPRRSIRNYSTEVTESVKKETKGGFSSG LTGFLVGVSITGAIGYYYLLEEYTAASNSLLKSVEQLETTTKKVRDYAHKIESVEKDV NRLKETVATVQQLSELKSEIRRVYDTLNIDHLELKTHVWGLEQDLKKSK PHYBLDRAFT_120834 MSALTISAPTAAETSQAKELLALLFASSDADRESAADALAAFVN SLGIRSLKHFKILETITKELGNKKSLASRQAAVAAIVALTNKSIEGQAEPYILPFLST LLELQADKSAALKTAAFEAAKNLALKVNANATAVMIPVILEGLGNSCKWQTKMLSLTL LDELAKKNGPQFFVSIPEVIPVVSDCMWDTKTDVKKAATSTMGNICALVENKDIERFI PAVIGCINHPENVPETIHLLGATTFVQEVDSPTLSIMVPLLNRGLNERATPIKRMSAL IVDNMCKLVDDPEVAAPFLPLLLPALDKVQEIVADPECRGVVQKALATLKRVGTSDHE IFSKAEKASKVTKTFEELLPAKLDSFYAPIIKYIDDIALALCIGHRFFKNVWLEAIGT YVRAFLPKEQAEKLAVDALDKCEEAVTAKIVEEEDDEGEDLCNCDFSLAYGAKILLNR THLRLKRGRRYGLCGANGCGKSTLMRAIANEQVEGFPPKSELKTVYVEHDIDGSEADT PLVDFVIASEGVETKDRAQVAKILREYGFTEEMVTTMPIGALSGGWKMKLALARAMLM NADILLLDEPTNHLDVVNVAWLENYLLGLKTVTSIIVSHDSGFLDHVCSDIIHYEANY KLKRYGGNLSEFVKKVPKAASYYSLDAAQIRFAFPEPGYLEGIKTKERAILKMKNVDF QYPNTSKKQLYDITMQCSLASRVAVIGPNGAGKSTLIKLLTGEIESDIGTVWKHPNLR IAYVAQHAFHHIERHLDSTPNEYIQWRYASGEDREELEKNDRMINEEEQKAMSQTFVI DGEKRVVEELVGRRKLKQSYEYEVSWIGRSSVDNTWISRQKLEAMGFGKKIAEVDAKE AAKMGLNRPLTAKEIEKHLTEVGLDPEFATHSRIRGLSGGQKVKLVIGAAMWNRPHML VLDEPTNYLDRESLGALATAIKEYGGGVVIVTHNREFSEALCNEVWKVDAGRLVASGH NWVTGNAGAAIKEEEAEDTVDAQGNTIKAVKKKEKLSSKELRKKKKDRMERRKRGEEV FTDEEDDF PHYBLDRAFT_62125 MSFTSSSPVHPHARNLGPAHIGMMHRQIPKNFCKWKHAQVVDER PDPKGRFVVTNNSFPPGTVLLQEQPFIKSLHHSYRYSHCTFCFLPVKKPIHCRRSGCS WPLVFCSTACENENWETGIHSWLCQFPETQQWDDDILLALDGYTKARINWKSGTDLIA LSDLVSNLEHHPQTQISEYRAKAKEIASLFYLSEHAVDSLVLIQAQIRCNSFCIQHTA SAVSDSLISQMSDSLGKGVYLSASRLNHDCSPNAVVTFGQEDTPSLLVVRSTQTVTGA GENVSISYGPMAVKHPLVERRRLLNDHYFFECQCKSCLPSAEVTGESIYLCQQCPLGR LYRLQPNCPICKSCTEWRIIEDQEKEIEGYIRKGKFEIALECQERIYDKEAYPIGRTV DELARVYAMQGVFGLSAKYSSRSLGIVQRTFGMSSLEAAEEMMKTMQSRNKRNIKETL DQINATQKLYRQLGLDQQKTQDSNELNDMKGFFSQKKN PHYBLDRAFT_17818 MPTCVECGASVADLYAQYSKDNIRLTTCDKCSNFADKYIEHDFI IIFIDMLLHKPQVYRHLLLNRITQKGNGIQPHVFRFAILLILFEVYIKWFRLERYDTE YDVNFIKQPLCYQYLYILALCIFGKKLYDNQIFSRLNLKSFLDYNYVAMALIISSFGK MLLILMVIWDYKQLEYSWLVSIIVLVSNVEALSGKFDRKQEE PHYBLDRAFT_105322 MNSIIYFGFSNDLRQSNLYSLNYQQISRFAFKEFIDTIKFTNRK SIFLRTCKANKGVIVVQLFFSIAATLLKYMNPFFQQKLLEYITDSKGLPLSTAYTFVF GMFSVGVGEVLFNGISLWAGRRWNIRIFMMLDAEIYAKTLKHKDMSGKVEKKTPEGQD EKSKKEKPFSSVGKITNLMSFDADRLADFPLNATMLYTSPLEIFVAIFYLYNLLGFAA IVGLGIMVVFSPVTGIITKIMTKRYKEYSAAKDKRNALVNELLQGIRMIKYFAWEDNW EKRVMEARNTELSKLIRNLSANILLSITYLAVPALVSSASFIWYTKIAGNDLTASVAF VSITLFTMLRNPLNGIPDIINTVTESYVCLKRIESYIEEPEIGDGIVNEPITVPEGPE VKDDAATEVTPDEQPVFSLKVPAFEFPTSELSIVCGPTGSGKSSFLHALLGEMDIVSG RVYLPSKTKLDLDTTYSVVDPEYPSLVLNKVAYVAQQAFLQHASIRDNILFGQEFDPV RYKKVLSQCALVKDLSIFSDGDRTEIGEKGISLSGGQKQRVALARAVYSHAKTVLLDD CLSAVDAHTAKHIYQRCIKGDLLKGRTVILVTHHVRLCLPAAKFVMKFERGAVAGYGY IESLREDDSLISLLGEEIIDNNEYPEDLIEEADEEFDLIDDNADVHNMIKEEKTEKGH VNYKVYSTYFYACGGWFFWNTLLLSFLIARALVFGENWWLRLWAASRSTSGEPIGNLT ATAVPMIYNGMSYFNQVIKDQNVFQSWVHEDDQPRSVDYYISVYLCICFGFIFFNSLR SVFLYWGSLRGARIIFKSLLHRIIHSPMRFFDTTPIGRILNRFGNDLATVDINVARAI SRLLEQITSLAVSVLVMCVITPQFAVVVFFVGALYILIGLFYLRASRQFKRINSVTRS PIYSHFTETLMGLTTIRAYCQEDNFQLNLYQKIDNHIAPFYLLWMTNRWLYARIEFAG TFITLFIGVFLLKNLDTIDAGMAGISFFYARSFLDNIYWIIRMYTQVEMFLNSVERVQ EYTEIEQEAPAVISGSRPPAAWPTTASVEVKDLVVGYAANLDPVLHGISFDIRSHEKV GIVGRTGSGKSTLTLSFFRFLEPTSGSIAIDGIDITHIGVQDLRSKLTIIPQDAVLFS GTIRSNLDPFEEYTDSAVWESLVRAHLSSESRDEEVAEGNATWAVTSLNQTVSDGGNN FSQGQRQLLCMARALLKNSRLIIMDEATASVDFETDKKIQNTIREEFVNSTLICIAHR LRTIMDYDRVLVLDQGKIIEYDTPANLLINDAGTGLFKSMCEKSGELDVLIEMAHSAE KLSDSGKMFEQADQHTTSRQD PHYBLDRAFT_62128 MSLSEHCALKINSLFFSFSLTSDVDSRILLTATHDRKFLTITRI IGEPFMDDIKKYARYLLYTLDSYFSSLSLDLFKLFERRGLLSFAGICFAHNTIRLAVW IVTLMSDISR PHYBLDRAFT_178854 MKFYLFLLSVLLLTIFGTQVCIAEDPALLEGQGTIADDIKKFES RPSLIDRLALEREQRQQKAQEQPQKRRRFAKRVPAVQGLKRQ PHYBLDRAFT_138621 MSKNLKVKVYYSVRGSSTTRLATFQIATDITNESVVPVSLKQCV WAICSSSPDMICRNHDLSIYTVNYEESGPIDQPSSFVWEGHGLMSWVMAPESAQEFVH GKVIEADRTSLVTHIELQPTLKWSKQDFYSALKSQNFDTFSNLAHSPSQPCSCGFEQQ QQQQQQQQQQQQQLSPPWKSLKHPSPPSLSPVHTYTQPHPHFHSIAQPPTQPQSHAQP HAHAHSPNLNLNPSNKRNSYTSDTSIYGHQLRPIQHQHQHQHQFERTSDTEFDRYLKQ KQQSPITSLSPSPPLPSISNLTPSRQGQSTPSTSTATATATPAATATATATSAPAPAP APVSTQLCRPEPIFPHSNPSRAPTLHNYNRARISPPPSLGSVPQNFKPYLSTPGNTPR DGDNELPHLLLARRPEDGHQSELYNQIRRPHYHEHRTPIHLPLPITSADPNSVTTTDI AVTTTTTIPSATAITATTDIPGHNPNNTTSTTASTNTSTTTSTNNNNNNDNNNDNDNN TSNNDQAYLHSPPRRLSEQYERRSLGGKAPGYLRTQQEGSETNTIHQFGAPRPLGISL HDKNANTNNSIIPVIYAPSNKKRKKNPLIMPVESKNSPESSQQQQQQQQQQQQQQIST TIRTYYEVDRDDRGDYILPVEIDSWTVVDLGNVVWDRPAYHNQRYIYPVNYTVRKWYR SMVDPKSDTQYTCCILDNGREPKFEVTADDCPMTYSGPTPTTVWTIIVRRAFAIRNQE YGHNPVGPDFFGLRKNTIAKMIQDLPNASKCSQYVWQTFEPARFNKPGRNRRRTDSTL SSGLYGGVNYSLTSNRVLPPPSSSAVSSSSSSSPMGPNNHSYSYSHSHIHSHNHNHNH NYNQNDNDNNNTNDITTNNNNDNNSSSNLPTSESPITSRRTSQTPVAEEPNVEPGHIS KRQMTSSPPSSPHRPTSMSHPVPQIESA PHYBLDRAFT_157064 MDSSTTSTAELEDDGALFNNEKLDTELLMDELIDEGSGESLESP GSDSGAGSGSGAGAGADFDSDVILENDLFEPMDDTDVGTEDKSSFDRLADGIPGNNNK NTNFFPPVDPAGYYDHSATVESANEGVWYPSTSAMLLAMAVLALVLLRLSKAKRWSTT KNETQSLPHHYTNNKPSVD PHYBLDRAFT_98624 LLTHSHLKPGSQAELLSYTKTLSMYRDNAKRTNNPDVQCDFATF LVEAAQRLQKEDLEAAPDSRHAYLQEAEKTLRPIAMRGHSEAQYYLGNMYASGMLDKN NKSDFSKAFPLFVQAAKHHHADAAYRSAKCYEDGLGCRKDKAKAVQFYRRAASLNHPG AMYRLGLADLHGNLGMTRNVRDAHKWLKRSAEGATVEYPHALHELGLLHERGIPSFIF ADHSYSVQLYKQAANLHYPPSAFRLGECYEFGLLGCQPNARFSVSYYSDAAEQGHAEA CLAMSAWYMVGVPEIIDISEESAYDWALQAAEKQLPKAEYAVGYFCLAGIGRPPDRDE AKMWYTRAANHGNEQALKSLQ PHYBLDRAFT_62133 MTPTIKPWSNNIFQLNDFKKRPLPVGRIDYEAAKRNLDESCKKR SISTKPGTLHRMSGSLNPFSSDTTPHELLNVITLKPAKSLALFGSHTIFHEPIVPIQL DIEQLIKRRKLHHSPPQPSPQPTTTITTTTTTTTITTTTHALSFLKHTPPAPPAAAPN QTLEIPEKNTGFEFAQTNSLKSPLDLNREPNSANTTRFTPEILPTRTFQNTNNTNTTS NNRVSTTPESTKVPSSPFPAQWIPLYPPLIEGPASIDAEKILFIKKFLEATTYLAGLH IAILTNSPLVENTLISQLPDYKFRYSRLSDILNDETEKGQGVMVHNRPANKETGNKKL EMKADIVLMWDGLRLTYPVVFSKLHGKSKPKPSVLKLVALGTEKELREREEDRARWKT VPDYFQTLENGKRPISCSITQASVGRLVRQIIKWIKQGQKGDFVVRFSDMFPTLQEIP LNKNDHSRNQTKICLPNGQTVTNFGNISGGLRHITTPNNVPPTIHAERTECTERTERT EQANHIKPTERTGNIENIEQSDKAGSTLPDKQTNGVTPGMEGLTNHTNKDVGSNLPTG PLPAPHVQPMPQPRESSAPLATTSPRTQSQSPQIQPAQPAQPELHVLPTPSHKPTSTK ITAQTAHTEQAEQTEQTPQKAQEVEAPQEVQVPHEAQVPQALQGPQEAQAEQTEQAEQ AEQAEQAEQAENAVEAVEAAVSGVSGRAIHGHFVEPCAGTYGLESDQLSDTEADSDMI ISEASSRESSVYSMSHNSRDRALQISQEIVCNNPEKKHANSTATTQTTASASAGGETI TDQHTHNPEFSTVVLKQIQKCFEYPSFSFYGNSLTRKHAHNNISNNVSDNPNNHNNLH HNILQQQHQQHHPHPHHQNDYSNQNDVYRAAVTRTGISHGSRRTTPASDDESSYYSAS ESEPYPIPISTVDETCQEEVADDINTMFPGLEALRLSFEEEQQKVLDHIKTVYDDQMK ALKDKYEDKAKHIFSTCIP PHYBLDRAFT_178857 MSEYQQQQGQYASTPVIQEPVNPAESGDNYDLAMQFANRLNTGS DSPRGQQDSASVRKDWALLRDPVLHSERLDSIRSKKGYIIDMDGVIYHGTKLLPGAKE LVEFLMKNNKKFLFLTNNSAPTPRELQQKLSRLGIEVSEDHFFTAGQATALFLKSQMP EGGTVYVIGEPGLSYALYDMGFFMNDHNPDYVVLGEGPSYDYGKLTKAIQLVEMGAKL IATNLDLENLDSQGKKLPSCGAFAASVELVTKTKAFFCGKPSALIMRYAQRVLGLSRL ETCIIGDRMDTDIIAGITSEIDAVLVLSGVTAMEDLPSFAYRPFLILGGVYEIPDDDD KHLVTDSQHEEATRRASYL PHYBLDRAFT_138626 MPRHKRKRSYWYYKQVHGELGESKLAMVTHSNSTLYAHDGNVGM WLEEVGLLWHYADRWMALARHFSMLGLQMTVSGQIKSNNSNSHTPDALYCHLHGAETK GRLKQLKIWTQG PHYBLDRAFT_178860 MDALTIIQNKYKDADKLRTVAHTSTEIYEELQFLIDRGGADDNK DVLQTILEKTRRLAVYWFASGKAMDGEAKELTTKALKLPTSVKFLEDEIEKDKDMVFT PKVVASIQQARYEESML PHYBLDRAFT_138631 MNTQFLYENGKDSVVNEYGRAEPMDYIVDEEQFRLETLSSHTQY LAQLPLENDKTVEAMQVEKEVKPGSDLVMGETSMKRNYTRYSDQDKVRFFKLLFEKCL SAAAAAKQLGIHVRTAQKWAEQYERDSDNIFKKRRQTGRPRILNKEHKKVILEYIDAS PPHCILSLKKARFQPVDWNSKEKIQERLDWIHKWEKTDTDFMTNCVFLDESAFHINLK CSMAWSKKGSPAVVTVPKTRAKTTTILGSISAQGNGVEEVRGRRVELVKDDVY PHYBLDRAFT_161723 MEEVIKLESLFWSCEGSQQVANLLNKIKKVTSEFEGKTGHPSIN FQVLEKIKYPGRRKGSARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKQNKNKQEPLDPVDAPQKNGFKRPATTLEDYQYDNRTSVGKRVKFQPGFPVSHE IVDDVKGGFSPTADGWCGFRVLAHLIYKDQNKFSLVKRDMLAALPKYKTLYTNTFGTD TSQLEKIIQHGSQLDYSNTSNTNTNFIPVCSDASCARNKIDDNFDTYWNKFKEFNKHD RRNAMLSLHSDLDQPIDLTPK PHYBLDRAFT_138633 MTHQQQQDTTLCLSSMTFLTQSRLGHDVYKLDQIKLLDAGQQVT FYEAVSQSPNKCGIRVIHAIHAIRAMFPYETEMYDKVWIMVLFLKSFPELKPSVEAYD NPKLASSNPHRNSITINKPFMQRLVSYSQSALSIYKTYSIVLIVCTNRVSPIQLMAKF KPISEKLWMSLLLPTDFWAQSCFIVSKLTLSSIASSDQLTPLQALSSFLTEASPTLYE NQVECDKDVSNVVDIICYNNKKILMKADAALFGVSGSFKAKNLIECALVFNAATKRKY CQTVGSDSDSLLELLPKLKGKPREVIQDKKQDDLEFMINYQKNLIGKMN PHYBLDRAFT_138634 MKRSRNKRLDKLVFVLVHDVEYYLTQEYERVMSNNSPMSSFTRQ QRICEMEAEEVDDDDREMMIVASGTAEDVNWQVWSFVNENTVYVVQVTEPNLIISCIC FDYQQRYKPCKHMYLLKMHTNRSLYFSPPSVTSTNVIQPVSTSETATITPTISRTSAF IQQCIDINQTLRYANQDLLTMQQYMTEDDSQTLFNAYQCSLQVFQSIKNKYEVHLRRS HTQE PHYBLDRAFT_62147 MTSFLIWMNNKLSALNFNIFKANTSYSPDPSAFAYGFMSPVQQE KMKTATSFRLDATHAISSNVNEILYTLLVRDEDIGRGWPVAFMVTNDRGLQFLKRSSL LVDPKQFIIDCCAAEVHAIQTTFPATSIQFCIFHVTQAWNRKLSDSVKIPGSLLSEA PHYBLDRAFT_62146 MSINNTQSNKTIYTLATISQALECSSVPEVMTLRLENIIRIGEA CAVKWVICNTNKQPTNITAEEAKATGIKLCFSQEYSCHHWGTYESKATLRVVQKQTKK NKCSALLHVKEFFKTPEFYEFVVTKDYAEHTPGDMRSSICTLPFAKKYLHELAQQLEQ SSKSASQIRIDMLRAVDRYGRKSERKVNYYDIWNLINKHLL PHYBLDRAFT_138636 MSAPVYRFPPPKYNAIVFFIPLANKVLDSEHEFLSGLGISQLSL SPMFCPDWASLDSTHCSSLLYVPPEVPKELKAETLSDTTPSKVVWLSFVCGFLIVDIF VSYSKQKMNTNTSATRISGSDSDISATDYIDIAQDFVALAPSLQERNQSLFNSADQAS LDEVHGREMERNQDVITSTDMAFLNAVHDQDIGRAEENDFEAFMALRSVHSISNSVDV KFNNDYTETNEAEYNDSDNSNDYSYESVHGYLHIRNPVNINTLPIKSDFVTKNVNQES YVPFYESNTYAEAATLELFSMFVENNVSCNVFDKCIKILTIILAATNVLLSYYKMDKI LKDEYTVHTATYDICMKGCIRFCDVKASNLIDEKEQCSHCGSQWFRRERDTLVPVQTF KVVHLSQ PHYBLDRAFT_161728 MNLAHHNFFFGCRACLSKGVFKYHMMCFFGDELPVRMRTVESLH QFERNSYGVNGPNVFRDLSTLTSPAFFRLDEMHLLGHVGNCWRKQLVDRRQSTGWTSF CFLFVVPMVVMKNFVLAKTRNAVQDLVKACTISQQWKVTEREVNIMEEAICCWHVFLC YEVAEKRLKPTIFVMNQHMLAHLGYMMREMGPLRAYSCCPIERTIGEYRAAIGSQKEP GKNIENILFHKARVKHCLGGWASCRRPTDRRTSNFEVASNNIAGPQLWSNLTRKSLAV VADECGMNYHNLVSSLACIWSQDSVFMVEETTNVVCITMMWKGNVVYRVQSSFEGRHV RANDLVVLKHLNEYGFVYKFFSQSVLEETRLFAIVDCLCGIWPNNERKFPVWESLTLS EIKVVEVKSITGMAGLIHDINNETIRHVIFPHPHYYK PHYBLDRAFT_62143 MGHYVSFLKATIDEMDQYPHIKGHYLVMDNAPIHTLVDIAKYVE SCGCHYVYLPFYSSELSLIKQFWSVIKSKVKRNKLLETETLMTRISEASNSLTLSNLK GISTKGLRLRREYSTKLNLAPFTIGFLNRPMIIIYNLLLMEWHVDELVHPIIGEPKSQ QMWYSCYPCYSCYPCYSCYSCYSCYSCYLCYLCYLCYPCHVSIGNRNIWFQ PHYBLDRAFT_62152 MDQYPHIKDHYLVMDNAPIHTLVDIAKYVESCGCHYVYLPFYSS ELSLIKQFWSVIKSKVKRNKLLETETLMTRISEASNSLTLSNLKGPNKCGIRVIHAIH AIRAMFLYETEMYDKVWIMVLFLKSFPELKPSVEAYECVLPFYNSTFVYNPKLASSNP HQNSITINKPFMQRLVSYSQSTLSIYKTYSIVLIVCTNRVSPIQLMAKFKPISEKLWM SLLLPTDFWAQSCFIVSKLTLSSIASSDQLTPLQALSSFLTEASPTLYENQVECDKDV SNVVDIICYNNKKILMKADAALFGVSGSFKAKNLIECALVFNAATKRKYCQTVGSDSD SLLELLPKLKGKPREVIQDKKQDDLEFMINYQKNLIGKMN PHYBLDRAFT_62154 MVPRIAKKPKHARVTFFASKVHGQTTFKCNLRRHLQKLHVSEDF TNNGPIENLNNVHDCEINQSSVPENGDSLCEDKDTDSIIGNMTDTKLDNDSSKINKSV SETDKSDNGSNIDMEENSMMSSITNLDSPVDEDVDQLNSLLESCSTWNSNTSTTHSFP DLQAMVLLALLSRLNALLNYQSRKKYKLPVLTSTSVMIDLPKNKSVLAYVNMPSDHLK LLATNPMKAKSIFAIPDCMSDQFICLQQDFWSGDVVEFAGGPANAHFLVESFHMIGIS AVIRVKKLLWVDASPINIDFCFSMSSGKIDPILPVHRSLLLVPHFLKWCITQGPDNPN NENHFYKVRIAPITLFTDDTSGSRSKQYDPYKSWSMKCAALFFKERSSIENIYFLSAI PKKDDASGMSLLPVFVKALTMLENRLVMFLAEDNKHVLVVAPLFWIEADTPYHSKICG LHVPTCLYSCHKCYIMLQRTTEKLKNKIHYMGSHASRTKEYYQIAASTPDRSSIIPDA PSTGKNFKASELSFRYRATDILLHLDSFDLSTNTPIEILHNILLGVAKYLVTDLVNGV LKGHPGLLNKLMNSLKEYRKSQGLSQKFARLLGHCSLFLGRDFKILIQILPIVLATKF NCGIKSHKSYTLKPKVYLLTHLLDNFWRFETALYYETKKRLNISRDICLKFAKLAVMR HIIDDVSKYFYTSLFSNILFFYCFVPEIPHLSPCNNIFGVFALKESRDQPVHYIIEKV SSLRVEHYRVESSVHSQENNFFLTQRFSNNLTTLLNQLTMICKLDIHIHYDSNLVINL NKLGSYWFFASQVYNRWY PHYBLDRAFT_62155 MPPASKKSRLAKSKSQSESGNCFSKNIETSNDDLIDLFEIDVKE DITVDDRELQGITDDVTSAIYSSHFLKWHEGADKSLHDTYQKNSLTAEWRKRKTMKES FDFKGSYLLTEKGFTILSEKESVNEELEPVKVTSRKISANTISLLKLAFNNIKEEIVP FTHIIGSSLPVNHYELCKLKSVENYLRHRLSESKTIEASKKAAMQIQQQKPESRNTIL VKKHINEVVIPKKTWNSRTCLDEYSLEISS PHYBLDRAFT_138644 MASFGENDKVTMPQLSTDEVEHVLVTHNESTFYSNDGKEAMWLV EGENPIRKKGPGMSLMISEFKCACHSTMSNGAWSSRKSNTISLFEVIHSGCKAIFVFA QSDKEVEEDDLCTLRDTTFVQDKERGMWLEKDPYNPTKKWRLDCKKDTSEDSKCCAHH FLASQPDFMSQKTALHEAVETYKSLDKNIHSFLDHTGKLQNIRRYYNCLWCYIEAYCQ DMNVKEAHDVVKNFTSRKHTSHCRNEGKELTLTLS PHYBLDRAFT_138645 MNNNFATPIEQMYSSVLQAVNNYALSNNFTIKIKNRKFLTLHLA CAKAGTYYNKCNISEDKRKKQQISSFACQWRQLTLPQLPYYPKYLASSHQVRMSLLTP ADANIAKIILENHAKAHDIQKAISDKVTDMRKIRISNINNLKYSASREDDESNYAATT LIKNIEAK PHYBLDRAFT_138647 MPLENQDAKANRMPEKHLAMLLPAGLSNAPGMTVESLTQVMAAI NMMYDCTVEANTGIRFLVNAHNQAIAHQALVASSVTQGVTAANVSTNRHTKGEMRAIN LINGRMWARNFHLDDPEFVAENESCRRWNTDERIDHPDNVEVINYLRQYIIAQPRTAG FWEDMIVQKIKNNYKTCFCAVNTTPEQASSKRYNNCINSHRIEIHLHCVDTYINNWLA IDTEIGYKPGNPDEMAYLHLLEKSVMSDGELEDEDVTHIIRVQVLQVARPSWRSAEII FLKLNRLIQFIDFLAAENDKKIATLQSKQRMPRYLKTIAVTPVPGHLTAILPV PHYBLDRAFT_184550 MLYDQQLQQEGEFGALARFFETKEVDGFERFNPVIDESCILNHV FATEDGGIIVNSLREPIVQDGASCRISRTITSYQFIEDAREIEWTMLWWLKLKKFLRG RIEDLKLGTKIRENLCSPNEVLTSKVNVFMSYNYAYNNPLMYPILW PHYBLDRAFT_105329 SVRFKKENVILIGLMPGLKETKTSEINLYLRPLVDKLEKLYKGV RVQTYQCPNGTTICAALFMVVCDIPAAQKVCGFMSHTSTNACHKCNCQFSQLAGTSSV NYSGFDFSKWLLRTKNDNCKDAEVWKNATTEAERHRLEVENGVCWSELHRLQYFNVVC CTIIDPIHNHFLGTAKRMMVKWVADGLIDNKKLIAMQKIVENMTLPPDYTMLRSKISK GFPFMKTDE PHYBLDRAFT_161737 MSNSNINNTIYDISTIQQVLINSPLEGIKMLPLNLAILVKAIFG ETKKYHRAGQYITNHQLRLAQKDTKACSCTAALKIIQHLDNPNVVTFCQTRAHINHVS GDWDEVRTLPLPSEAIKIIEDQLKSGSSCKSTRISALRQIDSWGVGVRKPNYEEIYNR MRKMTTLLYMFASDENAYISIWLNVKLAEQNYCIFEINLSVYNDGKKQFAFGFQSLTQ VSIMRILQSFCLNATHSISSRSNKVLYTLVTRHSQTGKGFPVAYMVTNNQTAMPIKLW LDHLRIKSSFVPMNITIDCSIMEVNAIKEALPHATIHYCDVHNLDSKIKLNASYTSEQ LGNYKTALKNYLRHILIESNEDVFLRAIEDFKLMVQDQSQFLKYFEKKWTENKGLLRR WGRPYVSQQHQRYVTNNYVESWHNQLKTIYFGCTCIRRLDRLIFILTNDVEFYFEQEV EHIHFNNGKIGPIDNELARNSFVASKIQNDMLPSIILNPLGETGNSMDDYNDAVNANE NEVEEEVEEELESGGTAEDRGVYVFDKIAAYSATMHHGFEDLQTLKTISSLDQTKADL IKRALADTVRLMDEYRSENPSYFRNMNTQR PHYBLDRAFT_103533 HGEAGSTDIESLQIDKAAIKEKIERYSAPDIYNFDETALFYTAP LRTTISHQKFSGWKDKKKHLAVGLLYNADEMYKWSNVLMIGHARRLNCFNKNNKKQEA SDHGFSMYHYNSNAWMTRSIFHVFF PHYBLDRAFT_72926 MRTQYTLIITSCFLKAFFFYYIWLLECIYYLTSVGVRIMISTLE LSTKLALYQPDTVYPALLHYPNMNMFLYVINPISKCLDHHIANHFEICDMRKKKPSMS NPQLAEWAKETFGLQKASDASTISKILKRKDQGL PHYBLDRAFT_138653 MSLKPLHEGVKELHLPEDDEKCYCMNKVQYGLPCHHILPVERDL QISDLPDRWVIDARKEDKAWNQPNMQVPVIEKPKEWMIILLSNCKHQRTSSIQEDAKA AAVLNTCQRTLVTQCEERQVSWLELPGLRQFNATKNKTKQIEKEPLDPVDATKNKTKQ SMPPKKNGFKRPATALEDYQYDNRTSVGKRIKFQSSFPVSHEIIDDVKGGFSPTADGW CDFWVLAHLIYKDQNKFPLVKRDMLAALPKYKPFNISNTNTNTNTNTNFIPVCSDDIC VYSDSPNTSSTTFLPFALPNNNTKQQQPLIFNHVNSNHWTTVDLSRNISRKWPTVPKL FSLGCARNKIDDNFDTYWNKLKEFNKNDRRNAMLSLYSQRDEPIDLTPK PHYBLDRAFT_161740 MPSLLCFKTLLTNNFGHLHLYGCHTVVLYASPPILFSQTLYLVL AAGDFKHAIHSNYALGRRGPLAWLQFLDNHMVDCVTPPGQNPQPTFYRALSSTTIDYI LASPDLHPRTTDSQALLSKIENSCILHSSCTLSFRGRATVMNSLILAQLWHVLHVVSF PVSFLKKIRTLMRRFFCFCSFPPIALDTLCLPCFQGGLGILDPITQQCALQLRWLKPI IQNPLGPCGLVPKWMASLLRTENTDCDPLLLWIFPDSRPRTHCSLDSPLHLVLKAIDH LPHKFDDVLTNLSTCLMLPLSSMVNHLYQIDHNLDVLMPIAPARPLPRFITLNCILQR LLNHSLVAHPILFRACIPTFILESRHLDMPPQDGSPFDFDPFISALVLGKPWSHLSTR SYRLTCSHHHANAQPLSPHLSPRQLHSFWSFALPYRARNVWFRGLHNKLSCQALLHHI MPFTVSSPLCNICQMSIETQEHFLLSCPLKSVVWLGIWLEFFGTVPPPSALSSAFTSF LFPLTLNSSIPATSVFGLTILAI PHYBLDRAFT_161741 MALSTNTLEPPEVKNPSTTGSSPPLATSFTPILPTLTPLYSQVA TQNAPPLTEKQPHVIFSSTNNTTPHTWHVGSSKFSVFFTAPPKTSPNFDPFWRALLAA YPRKVNMGITLGSRSSPDTCELHLATSADCERACSHPLVVGVSSFPAQLTVPIGTIVC RVFLTKLPRVPYPELAAQLTKCMSPFGKVREIAVHKTYGFFDGSGYVVLANTPTDEVP SDSLTYQIAYDAMQKILGKWPSMGSHCTYCKEMGHKVTQCTKRPAETQMCFGCNKIGH LQANCLHSSDPSKTSKTSNKHFRHPHRNVKLDRPIRKPKPLIPTALLLTYGGSEASKH NPHKPALLESAELTLPATLPAIMTTSATTMSSSPRPQSRSVDTPAKGWDDEIDDDIIT DFTDRVEAWTLCLQNASCLPHLQFSWTVRPIGRNTSLSPPRFTPPHSKKALDAEAKIN Q PHYBLDRAFT_138656 MVVASSSPTPSNVPHNADNLKLMTMPLPVNKLWNSLGFPVSSRS KTALKIDLLSTNSPKRSWTTWSTLPINDSTQTSLNSPRTPLHITEKIGQKEEQSTKSL LHSLKDADKLRTAASLATEIFDDIKVMVDGKHSSQDMLKNILEKTRCLTIFGYVTAQN ADEDTKELVMRSLNLPSKVKYLENEEDEGDRELVLSSNMVKKIHQYDYEESILKAATQ PHSGNANGYQCGEYNKRGQSFHQGQGFHNRYQQLTQLHNHTSDCTSLLGSLFSASERD PTGGYLGRFLKKVVKEGSQIQWTRPPRPWRNKPFSLLEVRALQNGRCFHTEINDTSRR FIDKNRPEGCLCSGSYSLRVLPFSHLPTQEYTGHPPADIVGIYNQLENERFNTLDETR LLGVHVQHEENNVNHTKNKIEQARVSSPTSHISNQDLSVDYFFIGEDYIYDSSNWGCV AQDQIFAIRFTESIADKFSKMGSTAQDDNQSKRGSSMVVYIGRNQERITHTATTRSTA KCHSEFLTILFAVKLHASKNRNSTIQIFLNNITVIKYASKLMGTASAILQRIVLEIKE ITCKVQIHLKKLPQRFSNDITTKWGRMSMDMFAAHHNNRLPGQSKVGGSGDIRLVSTT LVANNQVPSVDLCQYNINAMVNFLMAHQKDLTQYLNLMRSAVALVFRVLWPDKPNLMM QEPIAAFFMAKHKSEIKPPSCNQDKIWSLKSVLQLLNSWDLTDDLSLTQLQQKTVMLL CLATMWCPQSNIEIKLLAREPKEDFWLIIPKEHILFLTYLDTEKLSTSIKLATIANIV RNTLIQCDVSTTIFNSHSTRAATSTAAVQGCIDIVAINANPRETTFPDRRRRFQVGDN ILILPDHKS PHYBLDRAFT_138658 MAWPTPKTCRDIQSFLAHATALLDALQSANNIEHIWNDKHQRCF EQLKAIIQSNPVLQHPDLTQLFLVATNAFKLGIQP PHYBLDRAFT_161744 MAKINIGSYVMIKLPTRSSKLAPAYQGLYTVIRQTQGGSYVLQD ETGALMPRDYPPLDLKLISVDETALADELVEVQAIINHRGKIGRREYLVRCKGQGPEE DKWLTPDKFTDLKTIQDYWARREKQELSTTDKIAPTAPKRGRPPKKISNNEAANSAPK RRGRPSKQPKWVGRPPKNTQSNTPVMQKRKAPSRPANTMQRNKRTRI PHYBLDRAFT_42417 MEDRSLLVLYGSETGCAQDVAETIARQGKRRHFRTRVCAMDDYD KANLVDESFVIFVCSTTGQGDEPNNMKKFWRFLLRKNLPHDILNGLSCAVFGLGDSSY QKFNYPSKKLYKRLMQLGANMLYVRGDGDDQHYMGLDGELIPWLKGLWENVANEFPLP KDKPIIPEDVLYPFKDIDITLPPSFHIEFIADDTDKAESRLVTSYSEGEYDMLVKAND RITAADHFQDVRHIVLHNDSNPYRYEAGDTVSIMPQNLPDEINQFLEQVDWAGYADRL IKITPADPDRKVPPHWPETMSFRDLFLYHLDVCGVPRRSFFEMVSYFTMDPNHTERLR EFTQPEGQDDLYAYCQRPRRTIAEILFDFKPFDIPFDYILDLFPPLQPRAYSIASSIR VRAHPDELHLCIAIVKYKTKMRKIRRGVLTKWISTLAPGDVVRRVHLSKGSMSLPLPS TPYIAIGPGTGIAPIRSFLEERILDHAKSNVLFVGCRNLEKDFLYGDQWKNYVADGYL TLYTAFSRDQEEKIYVQDRLRENSSMIWDLVHNQHAKVMLCGSSNKMPSQVAFAFKQI FMKEGGLDSEEAESYFSTMMKTKQYQEECWA PHYBLDRAFT_184553 MAKSNEQFLRIGDDIFLFDEDSNVLVAENPLETRACARPTVSNH LSSESLGTCIFTIEPQQSHTETRALEMFLGDDEALDTLSSEGSPEELAELRQLQSKSR KERLQNDTEKRRLFGKPVLYGQVIQMYNSHFSKYLTVTGRTCKTDVSHLQVNLSKEII GYFKIMPRYRIRVDGEPVRWGDTIAIQCVRPEGYLNVGTKPLHSDVHSTDYYEVYSHT RISSWTMKCHSSAAVNQDATKSKYINSAQLVRFYHKEMEAYLETPVLDRDDGDVRLKK HILNPLDPKESDSPLAFWEIENAKTNNGSKVRWKKSVRIRHAASRSYLYIDPNNVRID MSTHKITFSLGLMKDPPCVDNNDATLFTLVPISEPTRSGVPFGSYIRIQHVLTKCWIH AAGDDEVQNVSSVPMPFTLAPDPLSHMQSKIPIPTLHSISKMNKPSVTSTTSRLATRN YTRYDSSMDGKSYSISHEQEEASGYHVTASQDFYYHDCFTITLVNDSLRDTFNYVNEL LPRLQWYLRKERKPDPESEDIGEASNFPITRSEFDSITEILKELVRFCTDSKEADLVR RVGLPEEYHQSLIRDNGIIECVVNMIQIPFSLAKRYEMRNDGQSDNYKRREPASEDVV PIDTLFNPQESRLKTILTLCYNLLRVFLVGGSKYEESNEHVKNQLHVVKVAGDVGIEL FVQHLAYQVGATDMMIKLLYDNNTIVERVSAFRPSVIQILINLGRKKMNAVIRGLQNS TPMLAEDDYESASIFDLLAAFCHTETRGLLVLNRDQILDQMFNLADPSRSFLEMRIPH DTGSVEIKFLNDEWRDLDILLYENLPTIRCFIQSLLNLVYSLSFGANTKYLDLIRLFI GKTVCLKCIGYVNLPCQIRAIFCDLLRVLYIDISPFSEVVLSDFTMQYNLLDDSNEYP SGGTGSVESKSPEFFDHLKHWTLVFLDDKSHQLTELKDEVAFLSSVLTLVKTQLRLGF FSEADDVKRLFRALVYVLDGRTDARNVDHLKVMTQSKEPRYWVERFLLTEENQGIMNA KIQILEIFDLIFDLRLHVRMSKLTHKWKIMETNTSSEPPFSLRTTLTTIFEETQLRQR EKSLMPILKDILKYQYAPLKRIAVVVMHRIYNDCEDLFKKASQVLILNQTQQVLVYHG VKKRLTQLRSFLSVDRLSTHHLPHIEHVLTEFSSLFHGKTIDFNDQTCALENSQDQRN IYGKIFKNLKAHFVVVQLLRALRAPLIESQDMSDSEEEEDNDVRLRTLTACFDFLVEM TIDDKELQGPFVLKNIDLLIDASGFHPMLAKSLYKLCGNNLFISVRVREEHIKHMLEE SQGHQSEYLRILHDFMKAQGKLIKKHQDCTMRLIMENRTLYVPFNTPQDLISSEHIDY CVELIELLSVCGQGDNSFGQSFARTIFSIQDVTAIIQDPSSPSTLKTAMLRFLASVYL DNTEVPSSVPVSDNKNIRTIMESAHNEMIKAKVNPTKDIMDYVYNGVLVFLRAVFEHH ISVETAVDEALFLLCPRLVDLTVDLLTRAANDKHALQHTLACIDSMINVSGFRGSIDP EKLRDKLRDAITRLTGSTKQNIKPLDSMNAKFQGFIRALMAHNGVLQLQKEEFEKMCS HFSLVDANSEEDVKSLIDYLSMMMVSKSQDKTEHYQVSTIEILEEIPLRHIRNRSDKA MSSAVQAEALEAKKVLAQNTLNRLGCTLVAQNLLSSPRRQIFEAALKLLISLLEGGNK NVQDKLEEYFYSIREERFFYSFHQRLEDGIASLKEAQLHLIRTAYKMNRQQCILSLDT LENRSIKALKKSNGHRRHSSSIDISRNSHRKRIKQQTQTSTESALIYQKISTLMANET GEFGTANEDFEGMKDTMRALQLMVEGHNINLQTYLAKQPDNIKSFNIVQDVVEYLHAI VPLCSIQNVRLIIQVLDTITEMAQGCLENQVTIFNDKVINPFNTILREPYVSCPSSLV NELKCKVVVCLLSLLEGGIENSDTIFREMAASLDLSIVKRNMNTIYVNNVDNLEHPSV FDKLECGFLYCMLVMTLSPALDETQHSMFSGNVAFEYFQKNTGKIEVVMDYGQEKQLS RVLFPIPEVCNYLREDTKQRFLWNVKRDSPSAKIEDFVQQSSSIIYEIKYQARVASNK YLSLLTEYSSFWWQASYGVTIILNLLMLIYSSLLTQTEPGSRHVDHINTFRIFLGVIH LFLWVLSTAEFYFIQLPVLVNRHSSKSSDIQLDNLVTSDESVTEEEGSFFSELFSQRR FNLSFVLASISESQFLYHILMVGFSAIGLYYPGFHAIHLLDFVFRDRILQGVISSITL NVSSITRTALLGIIVVYIHSVVAYKYFRTEFDGTKGLFCGSLSECFVTILSHGIRSGG GIGDILEPNDLQPRGWRTVFEMSFYLVVVVFLLNAIFGIIFDTFGHLRDERSSVQQDM KNSCFICSIHAVEFQRHAKKGFEDHVKNDHNIWQYLFFIVHLKNKHRTEYTGPESYVA ACLKDVNYSFFPINRALCLRYNEEDDTERLEKLEELTQKMIDKLGKVEEYIEKMSETQ VRSRSNSLMVSPI PHYBLDRAFT_105035 MSISKNPHRLSYQSSCDCAIDRAIRLGNNYIFITGATRQADTPA AKSYIVYHIRVGDIETKHRYSDFESLQRSLSKLHPIIIVPPIPEKHSLASYAAVQTRV KDDLSMVEKRKRMLQTFLNKVARHPELGRDHVFHDFLDCTKIWTNVVRSPPLSTLPKN LLMMVLRKQQDNQIKILPVNLIPSQSTYTLKNPDPRFEQIEQTTFRIANYMTNHLDKS QRKVIRRLGELVSDYAEEGAAYGQLSLNETKEVSKAIRKIGFAVETSCAETNQMFISL EGEFSEPIQEHAQLAHIVKQVLRFRSQKHAQVELIESVLASKQKAYDNLQRIEEDSER LQQTMTTEEAEADQPPTITPEDISPEDYYSPVLQRNKIRPKRQWSGKPFQFFSAVGNT FSSILDVDPETTRRNQIEKTKDVIEKLQEALQLTRDDLLEASANIDEDLIRFQRQKAK DLRDMLLVYAKTHIRHCQKNKEAWQKARTEVDTIPSWPFPNK PHYBLDRAFT_98368 RVTLTAVAASALTASTILGYQAIKRQSKARDLKNDLLTINLPQT KLNAFGIVDNGSETNFHIHDQSLIDEQLARNIAFLGHDKVKELQRAHIVIVGAGSVGS WAALMLLRSGIQHMRIIDPNPITLGSLSHHAVAESADVGVAKALVLKKHFKNIAPFAR LDCRVERLTKENMYILLADILVGNPAYVVDTLDRVEDKVELIKYCHEKGIKVISAMSA GGKADPTRIAILDISDTMEDPMSRAVRRRLRQLGVDRGVPVVFSTEKPLHITGDKEKI EHFPDFPTRVLPSLGTITSQYGMAIATYIILRLSDFSAYEDPSPRLRDGVYSRMLRDL ETRESVRFNNKSCPLSVQDVGYIFEEMWQGKSVLSGPQDRVALVRWDTSKPLGYLNTV CMNKNEARDHEALPLGVDLLEEYGKGKIYQSVKRRLK PHYBLDRAFT_72942 MSKSCPNHKLTKAKEMKGLLGNTTTMTRKIKPAIILRKGTKEIS VDKVLKVCKHFRNIVIRAQLFVNYYIIVNSDRPIDEYGLKIDRPVVIIIERLALLFNS YYSIIEVLSDHV PHYBLDRAFT_161753 MPELPFEVLSVISKHISKQCASKCSVVCKSWRAPFQERLWDNIY LTNSEDFDKFYYHSAGPHSLCFYVHEIYLADSFCITDIQLLALQDRFRNLRRLHIRSY QLSTNIFSEDTDWTLWNVLEDIDVVIPKVDSDKELFSILQVLSLLPNLKTLALRGPIS TKSTYTWEDLDKIHSFFPELESLKMDILFSVIHVRDLEDIKTTMPAKKIKRMNINILY TKPYWLFYFACKYPSLQYIEWVENKENSSEESWKNALVVFSTLSTAFTHLKKVVLNGT GIRGVPADTKFWKVMHILKVPIKSLWNEVHLNYYRWPKPENSLEESMSVCSKTIESFS CKVFEEHPSIDGISVTFDFCPRLVSLNLVMEPKHISLDTLLNQCPVLKTLTLKCKYLY RLNNTEVYESILENAHGLQAITLLNTRTNPSLYKYLSYRCRNLKKMRLDCSSISGPLY PSSNNIRIDMPYTDFRVLQFINVSFLASEPKYEKSVTKGPRARLLDYLILDQESEEID RTPENLPGQPLGLTRGNQRASRSQINFYLGRKYTEPNSILKWLNIHDTKHALKNINPL RPISRVYNLSAASKRTQEDDMSDEFWKSKFTEEHVLLRCKGFQSYNVKGLQGTLTVSK TSDNSKNLAALKILETLDYKGSKGNSMPN PHYBLDRAFT_129602 MNSIIQCLSGTIPLARYFISGTYKNHINGKNPLGTGGVLAKSFA ELLSVMWNDNYNFISPVTFRKSLIKFAPQFSGTEQQDSQEFLNFLLDGLHEDVNTVIR RPSPTLESPEEEAAFEKLPDWKASGIAWEKYLARNASLIVTLFQGQYRSRLRCLSCNT TSTTYNTFMSLSLPIPAKRSGPPSVSLFQCLDYFVKEEVLEKDNAWNCPTCKKRRRAT KSLTLSRLPDVLLIHLKRFSFDGPFRDKLETMITYPLKGLDLSGYVPSTMTDPSQERP SFNYDLYAVSNHYGSLTGGHYTACVRNKYRDQWHNFDDTRFSVCDEDKVMSRAAYNLF YVRSTVR PHYBLDRAFT_103736 IEESLILNSESDQYVFSHRNKFDVVVYYDQSSQGIHDESETLRN LKLAIYQLEFTKKLGRVPMLLAGGFDAWQEKIG PHYBLDRAFT_56387 MSLRKSTRTIVRTSTLFYGEKPIPKLATKSKSSMKKNKTAAVTR TTKKLKASSDPSSSNVISAASATTISDEKSAELRTFDIQDAIDHLKVSDPKLAPYMTE ESFEYLRKRLGNANTKNPFRALATSIIYQQIHGKAAAAICTRFIKLFDPPVPVPDIVN SDFDWFPTPKIVLDKTLEELKAAGLSTRKAEYIQSLAEKFRDCSVDIDRLQSMSDDEL SQFLCSVKGIGQWTVDMFMIFNLGRPNVLPLTDLAVKKAVARHFGLPIGPKKFPTADE MCRVTKIWEPYRTVATLYMWRGSSTVISAD PHYBLDRAFT_138670 MSFIPRVGISIATKACLNPGPLARPLIRRAFLTPVRTMSTPTAA SASRDQQNNLRVAASHFKRQRHLPYFLEYLMWVVFGSEALHLIWLKMEYKEYKEKVEH KIQLLQEIVTRIEQGQEFTDSLREEIKMVLLNNKQASATNDVDIDDAYLEKLIASSEK QSVAEDGAEFNGPVEEKRSTRWVESTETIDGKKKPVFL PHYBLDRAFT_154025 MSNKTLIRLAICHNKYILILFLSFCETLTTSGRIVILGSGWAGF KLMRELNKEDYNVSVVSPRNYFVFTPLLASTSVGTLEFRCITEPVRGYSKDIDFMQAR CDRIDIGTKFTLDYDKLVIGVGSYSNTFGIPGVKEYGHFLKDVNDARRIRKRVIECFE YASQSGLTSKQKEDRLHFVVVGGGPTGIEFSAELYDFIASDMSRLYPDLMSKTRMTLY DVAPHILGSFDTNLSDYAHKKFNRKGIQIKTQRHVEKVESDHLVIRDEGNVPYGLLVW STGLMQNPLVKSLENVVMDTKKQRILTDSKLRVIDETTQQPYPNVYALGDCATIKDND LPATAQVFNKMVVHGSDMEANCFEFKNRGMMAYIGSSEALVDMSSVHQLAKKSGHLPW LLWRSAYFSMSMSLRNRMLIPYHWFLTWCFGRDISRF PHYBLDRAFT_161758 MRLIIIPGISLLVVHDQKYEQFIQWLDSNDFPKTKLELAHFPSK SSRYLLFLFSRLTNTYTGRGMMAMSPIEAGEVIVSVPRRFLITNKSLMAIYGPHPLST HQLLALHLVLLCRDRESWWEPYTSLLPSHFSTMPVKYPTELQNHLPHSLTVLFTRFGL EQVAQQKHKIEEDFVAAAKFFNSKRDSLQSQEPILYEEYEWAWLCVNTRCIHLKTADA TAKGGNMALAPMLDFLNHAWDAKIQSDFNIKNQCFEIKTLVPYAKGEQVFISYGPHDN LAILKEYGFVVPDNVFNYIQLDDEVWSLFDDMETPEGAIIKKQILEGAGYSGDYSIKK GDVSFRLLCALRLLALEGAGRPGFNRRVMQWNDVVMGQTERISADNERRVMIMLQSIC GQVHEEAAREVEELEEIMNNNSPGMHPFAVRFLHSTWNETKAIASEMLIEIEEKLPLI T PHYBLDRAFT_178868 MTFNNFKFVSVDPTQPAPRGRQLHQAIANENVETIEIPVISCDE VPIPDKVQEVENFEDDEEPENNAGVESSSERANDRIWCKNATKLFLKVVLDTDIYIKT RSAGGHKRKIGHLWDNFLVTFKSEPEFKSLPRSFRRVVDSSKCNSKFRSLKKTYKKAT DKVLAHTSRGITRSRPPWFDEMCQIFSNCDSVQTAVEEPSATRSGSTSFRVPLTTSRN QIQPLNVDHNDPIFDLIASVCTSVTKEVRVSVREFAEAFLDTIEEKAEKKRRVDAKME LKLRKVVALEKRVELMEREAEDRALERERKEKKDAALLSHYKTMNEILSILAKRSVQS SNSQNNKKGEA PHYBLDRAFT_72950 MSSDSGGEEQEKNYIADGWACFRAKRSLSLLIPFSIENAGSATA TTTTINNKVKAPGWDMIETLFNYLVSQLRDICKYLFTMNTDKMLLNVTTCCALHSPYI DNCDTITVNTDNETEIRAVLEGDENDKTDLPVEPFSKDDRHQQNHSKMNSLTKSCLNA NYR PHYBLDRAFT_161761 MGSFLQRGTFPPISLDTFCLPRVQGGLGIIDPKTQQSALQLRWL QPIVRAPRSPAGLVPRWMSGLLQASLPSLSPLFPLLFPSMRPSGWRDLTSPLHLVFAA IDHLPHNFDNVVVNSTTCLALPLSAVTIVPASQARFPPSWNDLLVSHLYTFDPALASL RSISIISSHQRSRVINKFLSRVQLNTLTLHPIIVRACCSPRELTEQYPSLPVQDDTSI DLFPFFNALVPSQTWARLSTRTFRGLCSHHLVRARYFDPPRGSRHWRKFWSFPLPLVA RNIWFRGLHDKISCRARLHSLLPLAFPSPTCSIYSLSSDSQDHFFFTCPLKNAVWIGM WLEFFGTIPTPTALHNAFHFFSFPSSLNSSIPPSTVFGCTLLAIWRHHWTFIFDDSPF VPSAVVGTARKTLTRICQELDLNPLF PHYBLDRAFT_161762 MTPITHAVKRQLTLALLKPDICASQKLQVRVHEAIEAQNIKIVS QRPVLWTKEEAGAFYAEHKGKFFYDRLGPFQALVLSSPDAIKEWRALIGPTHPCRARI HQPTTLRALYGLSDTRNSFHGSDSDETAKNEIAFFFPELSIDNLLKVQDSQA PHYBLDRAFT_161763 MPKSTIPGLTSSSDSLDYYYLVFARDIALAQPSSDNLQQGSNVK FTTRINNPIQFQKAKVNGIVVSKQSFSRSNKSKDVPNAANCVWLDDSTAILRAFFTER SSRRHDFQDIAIGSQLTLFGKVRRLETNENILLCSGYNVENDLESEVYHWVKTIQQSF PDDLSKPFSDNSNNKDKDKDKDSSDNRQVIRKIPAKSIFRTLSSQVAEPKSISPETKS PENGFIDLFSENSLWEGSPSHPIFTSTPILKRPQFGSSLNSPIFPLSIGSTTTPQNQE SKPEPEPEPEPEPEPEPEPEPEPDSDDKLNVDDDYDEEKQAMFDYMDEAFYYNEFVGY ELDELEYE PHYBLDRAFT_184559 MRFQTLSLTAIGLLMFSSSQIGVNAKSFMLRDNLATVERDGAIH TVVSAMYLAPFSSDDAPEAEFELVDDDLNSHLLSYTKRGASCSKYVTIKSGDTCAKVA KSNSITVSKFYDLNSQVNSKCTNIIAGKKYCVKAGTTANVKAKTTTKKKTTTKKTTTK KKTTTKKSTKTTAKASPSNKSVSSRKKIQSNSAFTYYWTAQEADYADNSKTVTIKTCS GKSIAKVDETYADALVMEGAGVVGNKLVNLGDCTCKNYNCFEELNKKENPFGLTSYGS ALRPYTTIAANDIEKGTKIYVPALVGWSLPGSTKKHNGCLLVDDESWSFTNKHIDFYV YEKDHYATLNKAHKVSKVDIYEGGDCSLLNYT PHYBLDRAFT_72955 MEIQTDQIFKLISKLREGEDVVKEEGGVEECNLVISQLMKLFII RNPGYKILFGLLKEEYGCRKQDAVTAKSNRIRKCDTDNIKLNIVRDTYYSGSHYQLIK PHYBLDRAFT_138678 MTKLYSKSKDTWVYLNKNGTEVINPEKAKNPITFTWLGQSTCLI TIEGLAILTDPVFTRRSINEYLGPKRLRPVPCVLEDIQENLDIVLVSHNHFDHLDSKV VAKLGNSVAWYVPLGLKYWFVERGINNVLEMNWWEETKIKLRPDITVACVPAMHWSGF RTPFDKNETLWCSFVIKGQNENIFFCGDTGYSSELFKAIGSRYSPLSLAAMPIGSFEP EFLMKHLHMGPTEAVKAHCDLGHPKVSVGIHWGTFMMSEEHYMDPPRALNQAWKDYVK SRQRIQRTQRIQPELDSIDNCLSSSVRESDSDRILDSQFITTALGETLWIKSGLE PHYBLDRAFT_178870 MFIPRSVKKRTNNTEYDSIEDRTRINKKRRSDLALEKKSNLPNY IKESVQTKPKDVADPEIPQTILNSPGSLEEITQNLPNSTTKSLNDKNHNDGSPNVKIQ PESTKNDDNDNKDDDDDMDIQADSDDEPIKPFSSDQRRIIPGCDEPVCIICGKYGEYI NDDTDNDVCSLECKRIDTDLNSRIYTKQKRTTTSILNDVNAKISPFYVAENLHAKMTN YEEPEDIANMPKWQRDAILKAHEIDVKGDRIPHPICSFDQCKTLGPTLIHNLDQMKWS MATSIQRQAVPAGLAGRDVYAVAPTSSGKTGAFVIPIIVHCRSMSIIHNYKRRAGPYA LILAPTRELCQQIETVTKILSKGMRNTRTALLIGGQPLADNIHRLRKGVQIIVGTPGR VLELIKEHPSLFRPWRLQMLVLDEADAMFSLGFGPQVRQILGRLPDTTKRQTSFFSAT VNDPKEMESLCRRLTRPIEIRISQPKDGDPNKHPEATNNVRQTILWVENASKAKRLMS IINDPKYFAPPILIFVDSRLGAEFLTRAIQKRNKHLRVVAMHGDKSQAERSAIVAGVN EQEPVWDIVVSTDVLSRGVDLPFVRLVINYDMAPTLEDYVHRIGRAVIRGPLPRDAKQ KRGWAITFINSEHEHLLEPFAKMLATKAVAQVTPLPSQLKRYL PHYBLDRAFT_178871 MSALRSVVNVGRWSALAFGIAYGFARNASLQKQEKQKEAQREYK HKEELIEKARAEYARRTNPSLLAVASEVKPKIVAFHDKISDDDLEVLIISLED PHYBLDRAFT_120850 MTEDEDEEDEEDQSQEGSKKKKSIKEQNDNAEVVTKAMLAEWID QLDKTQNIKAFKKMLSAFKTAARMSDEEQQENITFVYKIVSPHVFNKVVTTTMRYAPT IFNHYLKPKKEGGAPSTATRWSFMKSLVKSYLNNLLHLLQNLTDANMHYVAIREAEKC TAYWACFEKTSKDYLKTLLNLWSNLSSSDNVRIQSFLAIRSLATTPVESKKGSSENSF LDLCLKNIYLTFVRNCKTTNPHTLPAINLMRNLAVELYGINQVLSYQQAFAYIRQLAV HLRGAMQLKTKESYKAVYNWQYIHCIDFWANVLSTYCQPKDNGEEESPLKSLIFPLVQ VALGAIRLIPTAQYFPLRFHVLRCMISLNQSTRVFIPLAPFIFEVFESGEVKNKSNPS TLKPLEWEVHLKAPKQYLRTRVYQDGILEQANECLNDFYKCFYTHIAFPEMVIPGIVA IKRFVKKSKNPKGSRQLHSLAQKLETKSKFIIMQRSKADFSPADIKECNEFMAEMRQK LQ PHYBLDRAFT_72959 MPLKFSAFIGMNKHIIIQDIILHRRTSLVDVKRMWINRFASETK RENPAEMDSEKVDWSELMETAIEKSNEVIEQSIRRKRNLIISDTYEKENEIFGHTITK RQAEDSIVKGVKGKKAIRKLEDIVKLDNDFQKGNVDEKEEVPVVEAASSQEYHANVIS QDSDLEFQADTGVPFMNIVYNESEIDSSYKDRPSLTEIEESSSPSTIGYVWTMTLGEL NIISFGKKEMATTNKYIKTLYDDAHSDAMNKILKPGDVDKRLFLSGLFDCSISTEIIE QYLVPIAKLPNTINSCIKSMEKAMDNNTIMESGGTQYNSVEELALYHVILSIFLNHAV IPIKIQTSELDFIVKSVACLLGPIWSSNDQVKIIWDYTTAINKQKMPDTVSSRPDMVF HSNLYEIGNGEIKAVNTPKTAVNLARNRVLETCKRQLHQRLKKARSSKEAVTFGILIY VTFENGYYPYKRVSVGLMPTSNTTYKCTEKMLMDLIQLKRSMNRSLRIDDNTKDTQEF TLVDKNQLLPTLSYVLYSKDINLFESILKEYFFLWLYHYEEACNIRLQAKHLVQDSIP YIILSRDYRFV PHYBLDRAFT_72960 MLHPKQQNVKICLSKKSIFLFSYNLHENTVESAKEISVQAYTLR HDKAASPYPETKKTQSWEEIENALSKESKQLSSMKSSCKYITSHDSSSSSLSYRLTKQ DKNYTEEIYEELNSQKMWTLSTGTIVEMKMTKLAEGPCHSLILDTDDKTWGEYFTQEE LEEIQAHKAKPLKPLPSELFEYLNNITQDEDIETMHESIARTYYSPKKNPSCYWAQKS LLDGLDLHMPDFFKSFIEHSKRDYLSRVWRLILTVFDSSEICSKASSFESNRGRQIAS VTNIGRKKSAKRPDHIFRYGSFELGISEAAKVSDENGDKDIKDSRIKAPKLMKDLMQK ILENKPGLINTLRIPSIIMSGLDINMHILDIPEGYVSRITRTETYSYPNEYMSFASEI KP PHYBLDRAFT_138685 MDGSEVMHRLWNRDLAAVLNFRHILNNLRYDGTIPVRFTRVIRI GRIRRQAEENLQEGRRPTQATAGKPLELNNGI PHYBLDRAFT_72961 MSTPLWMNQQKKTVPVTSFFDFQRAATGRETPAVRLRQAAIDGN IPAVKRLIKKVPNIQNPDPSNNYTTLMYAARHGHVELVELLLNMNHEEEVISVDNKGE TVLMIAAMYNHEEIFYNYASRYQECVHAISKNGWTALLYAAKNGNANLVNYMKTISAD IDHVDYEGNSALHYASAWGHTAVMELLASLGCNLDLENNSRFSASDYAYSFSVKEHMK TLPQVQQEAIDASLSSSFKQSNYHRPCLSSLSSGTHPSLGTTLISRGPSYSGYESPTP TPRASTSSSSIVANSVSMTVSSSPRGSYFQQAINSSNGSGSGSGNNGSGNSGSGSSNT DGERQRAASFGDQARSLRYRSE PHYBLDRAFT_72962 MEESEQGDQECIYQTRAHFDHLDQVIECLNILVKPNQGQDRAQV QDTQAKAILSIRDVLALYQEQAHLLDHHLEDLVEPAVAKLRKDIDIWGQTHTVSTQTH LLFRYLYLLTKTRGYKTIVKFMSHNVDDLEPVFEFLCSLDPSDSRTWESRYVCFIWLS LICMIPFDLKRVDSGAGQKSQESLIVKMLTLCKSYLQITGKERDGASLLVARLLSRRD LCDEHLIPFIQWCEQRLGSEIDVFETAGILSSLCDTYQLSPREVLWPTLDDHIIPILN LPFFATFADNALIRKLRTKLTQRVGLCYLKPKIATWRYQRGNRSLRQNLETTDISGSS QTHTNRVKSKTVGEEEEEEEEEEDISENLEVIFDILLTGLRDKDTIVRWSAAKGIGRI TQRLPRELAEDVIGSILELFEENTYTDPKTQKIDMTAVSENTWHGASLAVAELARRGL LLPERLTETVPWIVHGLKFDLKRGSHSIGSHVRDAACYVCWSFARAYAPSILEPFVEK IAQNLVVVSVFDREINIRRASSAAFQENVGRQGIFPRGIDIIQTADYFSVGNRSNSFL SVAIEIAKFDEYRYHLIDHLVNITAKHWDKAMRVLASKALFELTALDPIYMINTAIPT LIPFTVSKDLQISHGAMLALAEICLALGECRQKDAKLEEYWQSKSEMIKSIANIVPLL PPRSLTTFGSEHIREAACHLIECLSYAKFPSFPDTKILESWKSMVLSSLRRKEENVQE YAVAAFGAMLGQYGITQQDMDMFLESILVDSVMYARRGFALALGTIKYDTEQRLVWLH VVIEKLCFATRKQKDEQYANDAAAKRNAVIGLTNILKGLGDRLKEVISLEEFKLILFT LETCLTDYSIDQRGDVGSWVRVASMECLHYLIPRVAGLDTPGSKYLTKDDTGRVISAL LKQGVERIDRVRASAGVVVNDFIDPVSPTSPQMAMEIPGRDVLQKHITRDLSWASAST LYPVMVHILALPMYRFELLTGLISSAGGLTESLVRHSSACLIEYMNSLPVGQTQVKTT ATVKTNVQPQNQNQNQNQDQDHGQSATIGTSEATLQDISMTLLAIFAKNEKYDRITLP LLDVIGLLYESGTLSKLEDETIHSKILSYTRRETFRCKNIKKLLSAIKVYVGLILLQN TAVKTKALQQMLSYLVHAFPRIRVEVADQLYTYISLLDEDELTVELQEAEEIITTTDW SGPVTEIKPVRDELYTLFDIPKPVLKASA PHYBLDRAFT_5984 DKILLIGEGNFSFARALSEKYLTEGSENMVATCFDSEEVVFKKY GEEVKDNVAYIESLGGKVLYGVDGTQLDKCKAIKHNLFSRIVFNFPHAGSGIKDQNRN VRANQELMTAFFASAAPRLTDGKQPLGHGEIHVSMKTCKPYNLWAVRNLAKAGNLGTK TTFKFHPEDYPGYEHRRTIGFKEGVSK PHYBLDRAFT_26539 RVALRVRPLTQKERLSNCSECLAFIPDEPQILIGTDKSFTYDYV FDSNINQTQVYSKAAAPLLHKFVDGFNATILAYGQTGSGKTYSMGTGLENGADPENEG IVPRCIIDLFQSLQDRAEKEPDFTYEVFVSFLELYNEELIDLLNPHSSQKRKSGQPAP PNTEVLIREDPSGHIYCSGVREERCESPDELLSFLAKGSLCRTTGSTEMNTVSSRSHA IFSVILKQQRPETEGDTKRMKSITSKFHFVDLAGSERVSSQYIYLYTIYLRIY PHYBLDRAFT_7430 KRTNAQGDRAKEGIAINSGLLTLGNVISALGDETRRAAHVPYRD SKLTRLLQDSLGGNSQTMMMACVSPADTNFMETLNTLKYANRARNI PHYBLDRAFT_157079 MNTGYNTSNVIISRDVTEQDAYEYYIHNQPPQLPSSPLATYSHQ QQQQQQPIQRATSKRAQQSQSPHVASVSSMDRISTPTGGNVFARLSQTPTRASRAKVA YRHSSGSVDDLKRRWDLDQQQRTVSSLSDT PHYBLDRAFT_161775 MSYSRAMPHIQPYPQEFCAQPNMENCGNINTINAINTMTNSNSY NQVYRQVFHRPIQSSAIAPRQINRHTTTTTTTTTTTNSATGNGNNNNSSSSDSPVIVP SMATSLPISAYVDYYHHHPAYYYQVQQNYHQRPTPPTINSHAHAHVHAQAQAHAQAHA QAQVQAQAQAQAQAHAQAQAQTHPHHQPVAVQQLPIHHTLENATITYDTVLDPQSQKD LVKDVFFSPAMTPAYNRATTPESLSSACSQSDSESDSGMSLPLHDDTPTDLLLQSASD EIPLFYFGLELEDQQQQQQQQQYHLLINHNHNHQHNHQHNHQHNTCNQHTHQQNQMMI NESQVRLSSSSVKQKPAFSLQLSHFDKAQGSFLDCAASTLPTPPDHRDLSYGQITLDR SNSSGLKRKSGHVEPTASAAAGGYVKKQKQTNWRASVVPVSQDELLGDTYFASDEESS DDEDSLSARVARWNGAVDPFGFESTSTHLSASSDSQMFSAGDHQLSASEDDDDDEDEE DDDDDEDDEDDDESVVSQWERQKTFDHHSNQKHKTNRRNQKPTPVVTIKKDDENYEEN VENEDEETMSSGDEDNEKSCEKDSTSTQVPCRSTCQPTIYQKLTKANVDWCRYCGTTE GVNWRPGPWGKRTLCNKHGCDYKGYGFACKLPRLDLTGFAKESIDDRDRPVLQLFCSG CQRQESWQDNVLVRCEGCPKALHQKCASEHHGLTDTFVASDEAWFCDSACSDNARRKR IVVELPRKRLPLMCAPKNNAAQASDSLNPRTRSLRDVSGNNLR PHYBLDRAFT_72966 MPLGCKSLSLSLLVKRIIIVVTDTVTITIKITVRITIRCSLPKN IIKKKVIYIIVGAILKVEYNIIQSHSTGRQYIRKDVINVEQEIEKEEEGFSELMNKVN KPILGLCFSVNVDWADIIVVTVTVTVTATVTITITIDSDSTTDSTIDIDINIDVDPNL YVDLDTVAMLLCC PHYBLDRAFT_129610 MSSIHGDNVTSEQYEKMLRSLQRKSHIAENDVKAHQEVISKLEA QLTRSESSMRDAKKQLDVLNRERQTYALEIQNLRTQVTQIQTHQLSSANHIAEERKAL ESELEAEKRLKEKAEKARHILENRMEELMNKKNKFMCF PHYBLDRAFT_3179 QKSYKFDYVFKPDSTQDQVFKNVASDLVDRFIQGYNVTILAYGQ TSSGKTYTMGTAPANELQDREQEVSMIIPPSRRASSSTLSENSKAPRQTVHVSFVEIY NEELIDLLNQAPASERPSVTIREDTKGHIVCTGIKEMAVTSTEDVLKYLQMGTQNRAT SATDMNAKSSRSHAIFTVLLKQEKWVPKWVITNSKFHFVDLAGSERLKRTAAQGDRRK EGIHINAGLLALGNVISALSETPKKSLHVPYRDSKLTRLLQDSLGGNATTLMIACVSP TDSNLTETVNTIKYAHRTRSIKNKSERNETE PHYBLDRAFT_138692 MNTSGSSNVNGSRAQRRAQKLQENKRLKKAAKKAVQSNVQQKTK VTKYPADTWHSQLSLVPVDSRGQGIAGPLTLTILPLEAIASMNDLQGFPSHISEYEPD RRASVITTNSTTSSILTLDYSNAIAASVTTLSAETSDNKEHALYEHLYARRIQKLDEI SVYELSRDADDTVTDAQLNSLTISDHFPESDTAPDKQEVLVVAQEASPKLSHKSEETI VPVEEDITQVNEKVSIHEVQREPSIKHKTSLKKKRSTREIKISHTRKVSKAPSLKREY KVASTKKERKRFNVVEKRQLKSKKEKDSKSKIEEDPKSKKKSKFLSLFKKEKSTETTD KIKKRKAWQFWKD PHYBLDRAFT_80449 MESEIPIPQNNWAPLAITTSVLLFIAFTTVSHYSNIKKQAWYVS VVCTIGWFFPFWIVILLPLDLASTKYDSCKGECKVPFTYISHQFLWVAWRAFYWTSFC LTCRTRFMIPMLQAYGNTGDFTFAKRIKSAIKVNVRFYLIYVFVGIFGLIYLVFGSGY NSRQRIQVLVMAMANSWGLFLVIIFMGYGLVSVPRALWFSGSVKRHLYQIYLKAPRAK EECIDSELEFNELAKIMNTIAHSTHARSPEMQALVKKMVAWFPFVLDPEHNDRDGSTH IPQILTEEYLVKLNQDMIMAMRMKDRKLALWKNMLEEAFYFQDILVNRENGDHRFHST LRSLESPSFKTDLKERTEWLWVVWVRPFVYRILAVICTFVSVSIIWSELTFNVKTPVI SIVGILLEACGFNYAAVEFIALLTLTYMCLCVYSSLFKFRLFNLYLLIPNHHTDPNSM LWFTSYMCKMTAPLCYNFVNLLQKKEEDNGELPNNTSTAAKTVFSQFMGIANLLPFLG DNFVDWFPVLILLPSLAVLLNVQGRCFSLCGIQNAGNGDEDDNETGNGLLNTDMADGK ALIAEERAAVERIIHPELGAQRGILGRARNAFGSYASKYSRPNSPSPSVPPVSNRHLS PHASSLRSERDRHLDELLSGRDGHKQAGRPGQNNRQISTSSNASGWASIGDAVKDKIG GFFNKPAPEAFSTLPHETDAQPLDENTLHPNIPSSGKGRVFGRMHPTGASSSQQDPRS RSPSPNPFLMATALRTQGSNSNGNDFVSPFTRFEDNSKKPSNRSVFEGR PHYBLDRAFT_129611 MSNTIQPDLPATMYALQAHEFGPPSTSFQYLEIMVPEISRPTQV LVQVYAAGINPAEAKVRSGNISRFFKMPTILGADFSGVIVGKGSKVSSFEIGDKVFGK LRFPLGPQGTYAEYTVVDVEKDAISKKPNNLPFIEAGAVGIAALTAYEAIVNKGRLNT TPSIIIIGASGGVGTYAVQIAKAFGVHVVAVCSGKNASLVKSLGADETVDYLSQEAIE SLAYRKNTFDFIVDCVGGDNYYNKMAPTIKNGGVYVSAAGPVKDIGASNVSTLDYLFI VKTFIFRSMFSHYKYVMITGLPKKYLTEGILPLLEKKAIKSIALPENVFGLEDGAKAH EAIESHRTIGKIVLKVKTE PHYBLDRAFT_161783 MSHLQNIAVFVPQVRHKASLPTSTKKRTRIKAADVYWCIDNVVN LSVQTFAITFKHWDYQHCHSHYNTILDSNIPEEHRSRLQDEFETWRKTIDCTELWFNQ RHAQALAEAHDNCSEAANNLLISNTQEIKSSVVKYHVPEPAPVTITLQAPTTQVGHTD TSVPPVLPTIGTPVLQSLSPSMTDTLVLTPSQDKLGSSAPASATTSTADIMYH PHYBLDRAFT_161784 MSHQTRMLLDKVPAPCSVFASIDFMTIVNVVSAIYAKTMSPKQA RLQLLTLASTMNDLQANVIEGIAGMLINMLRNPIADQGKFGKVDLQTQFYKCYVACTG SSVGSARTESRKPKNQVNYCIFTNYLPTLNKLFTHW PHYBLDRAFT_72975 MVGALENTPTNRVYQLGQHIFIAWALQHNVSITKFSVHDLINFL VYDHSLGYSLGTIKNHCTAALKLHRDPTSFYTHKDIHSLFARLATMAPPTRHHKTHVD LTPTLSFLASIPSLASSSLAALSQKTAFLLAMAAFLRPSDLHCLQLTSAYLWTNMGAL VFDVHAPKECRRGQQIVKTIMIQPHTTKSLCLIQAFLALRDHPQAATQPPTALFVKSH SPSISVKVTTISTWLRDLVHLSTDQSVSVWSLASSLAMDRGMPVKEIVTLGSWSLDIR WSDITEQYMEQDEDQGIVDDDNFFNALDMVPLGSSNYSFPILV PHYBLDRAFT_72976 MPKLSNKYCPTVPILDDQQHFLRQEIAELILKKTVEKQPVVCDT KEEWWSLTGIQPQEVKQTHQGTPLQDRDTAEYMQIDPPTRLSNFLGPVRRLPSYLSPQ GIKEILTFSLGGSRLPVPYNSFWSIGFPMVVYQSYLSSTRMGSNLGNANQCLSQGLDY YQQLIPRINHSYQDVDEQAHFSWLDIKHKEIVTPAITTTGTFGLSFGHQEHGFQDSRY QIEGFTTINTTTDTTQIYNSSFGPQSHDADPGSHDRTLSSQTLHATLDVVQEQLREEE RRVGYTTTIASDLPSGTSLVGMQYLTMERLIAPTTTKQACSVRRCEQHQMGMCTREQD NPWILVPPVDHNVYQLVGNEGGKSCPTNLPLPTALIHTCQNGQHYLNGVPEQAEWLQG LSSDDTGYQDMEAVSALWVEVDSPAYSRQGECGGGFGISLHIYKELVEAVLFSFSSYL TDMGSSFSGFICGPADPPSPNFCFMETRSEGDSNRCNVDTMVSMGQLLPEFSLEPDWT VLAQDLARGPSGDNSSTDLAE PHYBLDRAFT_161786 MVICSMVNDITKYSLSRLLLGYDMRTPSTWSSSTTKFITRNAST EVQDRIKVIEHLMPEVHEEVQEKTRKRQEQAKSQYDLCVKPRKPFKQGEQVLMKDQNS PAKLLDRWLGPMTVSHVYENGTYQLTGPNFLQLKGVINGNVFIPFKSRYGMVPAEEVQ HSETKFQAWLEG PHYBLDRAFT_161787 MGPTENELSRNSFAASKIQDDMLPSMILNSLGETGNSMVDYNGE WQIKSFVTQDRWYTINVNNNLTQDCTCPNFLSRQISCEVGEIVEERRVVEHRDAHVFD RIATYSTTMHHCFEDLQTLKTIPDLDQTDAKEIERALAHAVQLIDKYRSKNPSYFRNL KTQR PHYBLDRAFT_161788 MFTSDENASISIWLNVKLASLNYCIFDINLSVYNNVKKQFAISF QSPTQVSIMRMSRSFCLDATHCISSRNDETAVPIYHWFHHLCNKSSFAPMNITIDCSI PEQHNLDSKIKLNASFTSEQLGNYKIALKNYLRQILIESDKETFLKAINNFK PHYBLDRAFT_161789 MSHNTNNTIYDISNVQQVLINLLLEGIKMLPLNTTISVKASEWE QCLVRINKLCSTKWNKNHKHIKANLVFGETRKCHRAGKYKSQHQTRIAQKDTKTCSCT AALQIKQYISNRNVVTFCRTRARVYHVPGEREEVRTLPLPSEAIRIIEEQLKSGSSCR SIRISVLRQIDDWGVGVRKPNYEEIYNIMRKICQ PHYBLDRAFT_72980 MSSTSELYNKKCYCTKCSDNQQGYSFVSTQTFQCHNKRARYEDM ERNVSVQRNLVDIDFETTSNQQTRPIEAIGSRTNSSVWEGAPISDNEIAFSNVVFQFL KAFFLYYIWLLECIYCLTSVGVTIMTSSHKSIWITTSNELNDESSDGDENDNDEESNG SEESEDDEENIVEIEVEEFDTEDPFATTNMPENPVHRFIATFVVMFVSHYVVNKGTVV LIEFIKKLLSIYKQDFQLPVSLSGLQSMTELSVMTKEIKRFVVCQDCYKVYEESVAAP LNCDFIKLGAHTTCNCKLMSYVYQSLKRALKILFLCLDFEQKIMHWNQEFKITAILCD VCDGEAWTDLKGNDNEIFVENFCSLMLTLNINCFQPFNGTSYSCGAIYLVINNLPRNG ATVRAALLMVACDIPAVRKTSRFTAHTSTCACYKCNNQFSRLPGTSLVHLRGFDCQQW RHRSDRANRVHAEEWNSASTPSERQQLEVEYGVRWLQLYCLGYFDLVRGMIIDSMHNL FLGTPKRMIETWTKIKKMKNNDLLAMQTVAATMIFPSNYTKLKTKTGKDFSHMKADEW KSWVLVYSPMLLKLVLPSNMFNGWMHYVKACHILVKPSISFIEVDQAHSYLQEFCQSC EDIYEPKVLTCKMHRHLYLHDTIRDFGFVYGYWLFGFERYNDSFLSRAAPIKGNEPLP PSSFPLQSLKESTMSDIDYPQLLDYYKIAYVIPNLISYHDARLSQYFVNNRITKLKSI DLLGQTYIGNNSSGKHGSLVQAFFHMVCSSINIKVLKSFQFYLKKTPIVEYIEAPYFI LWRV PHYBLDRAFT_161791 MAHLKKRATMAKKINRLTSSGDASIELEESIVSKLENIEIDKIA VLKLAYEDIKKEIFSYTRTDPFSQSVHVFNLCKFKSVECYLQYRISDAKIICYKIVCQ KNQRPESQNVIEVKRYNNGEILPTKLDISGNISTTTIWKYLHKWGYVFRNSSKDIFYN GH PHYBLDRAFT_161792 MHFFVNWYYQLVAQTALCIPKLKEHSRKSSYAAKRTESRHNKRA RVEGIRIFENTAMRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDF DDNVEDEVNEIEIEDFNSEDPFAAPDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIE FINNLLRIYDQDFQLPTSLAGLQKMTGFSAITKGIKIFVVCQDCHTVYQDIVSAPPRC VSSKLGARSACNCNLTKSISSGALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTII DPMHNLFLGTSKRLMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSY MKADEWKSWVLVYSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLE KFCNACNVDYTATILTCNMHLHLHLHECIRDFGPNFKTNGKDGFEATYMKNFVQNAYK GDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDPYNPPK GNEPLPPSTFPLKYKKPSVMDDSNYLHLLEYYQVAYNLPDLASYQDTSYNRPALDNQI IKLKSIDILGQHYRGTNNSTISRGSLVQAKFVGSNGNIILGFAGQIQYLFTHSFQLPP THNLHLTRMVHDHQHVFAFIKWFRTSSDRSREDDGVEFCLPTFSPDSYHSIIPVHRIL LEVATATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_138705 MNNLPREERMKPENIILVGVMSGPKEAKIDQMNNFLEPLVDELV ELYGSITMKTPEFPNGTSIRTALMCVACNIPAARKTAGFTGFASTNACHICKRHFTVV AGTSKINYSGFNHENWVSQTKEENATKAEMWFCAESDAERAVLEKQHGTRFSELHRLH YFDPV PHYBLDRAFT_138706 MISVWKDLRYLPTAVLVRMQRLADDILVPPGYAVLSTKIKSGFL YMKADKWQSWCLIYSLVVLKDALPEDDYKNWTLFVKACQKLTGPLVTYSEIDSAHQLL EEFRKECETLYGESSITPNMHLHMHLCELMLDFGPVYAFWLYSFERYNSKLKNIKTNR CNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGVAQVKSNSDSSSPLNLDAG HPPALPFSLAMFQQAATNP PHYBLDRAFT_138707 MKDDYYQWLFEFYVKAYRNTSVSFCVVGRIPIGENVFVNNRIQK VKKISLLGQEYCSGEKKKHGSFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRV STSAFIKWFPAYHSSSNQPLADQDLQLWDKGFMEEDALCIVSVHHLHSCFALTMHKMQ SGTQKHLVILLSRKVVT PHYBLDRAFT_161795 MLQRAKALPEKIAQQNWISRRRSRKRNILADYKAIHLADKANLE SKFGETVVDLLDYDMLSDIESDEEKNKTRYTPRNRHPLVDEYFTVLKKQRLANKGPDV IGNSVYQIILRNTELSNEKKARVAAWIHTHQQ PHYBLDRAFT_161796 MALLPELLVFENRSISAKYNYISYQNRWKVVLYIFSCSKSLTNG TMVRIVILAIGCSHVVVDLLQKSQLGKAFVIAYIHYKNMRDYGLSFLTASKIMIKRFF WPVNKDIYLGRVYKMSLVFILVLFFLLYTDSYSLQIDKYPLVLILNFIAKKACRSKQW NTVGVDCTRVECLGESTEVVFYKVYRARLNDICQKISCFAIDKIKLELSKSIISEKLT KEYKCLLHYNYLLTCYHTLATFNTIPISLIPRCWRKDYLEGEDHLTINNVESVPANIA KITTILPQFDYDFELVYEGFHSTHSKQEQIHIYNLVKNILEKMTKQKLEDLNDPTIVE AIKGRPKNTNFALFSRKQISSTQKQKALQNITNLGLPINHTILTNLIIAPKHITEVFS SEADGNCGY PHYBLDRAFT_138709 MSASTNLLIIHLKDKRLLLPQQHWFGTIDHPQLVAGAFNRSVVV YWNTSRETGDCLFGSIWTVWRDCLKGQPESVLCHLVTYLGKCHIGRTAWAAWAAWAVW KYVTKLTSRI PHYBLDRAFT_161797 MHDKENWLNTYVFKHPHFGNRTSNRAESSHASLKHALGTSSGKL KTVTMKVVKWYEALVYDRKRRLTTECLGESTTVVFDKINSSRLNDIRHKVCRFAMDHI KLELAKSIIPEKLTKECECLINYNYLLPCYHQLAQYKKIPISCIPRRWRINYLEGEDH SIIHNTLPVSKNITKITTITPQLAYKLEWVTQILTNAQSKQQQIHFKEYINKIIELDS KQKLENLNDPTVVEAIKDKPKNTKRKMIALKHCLEAEKEETTKKTKTEKKQKK PHYBLDRAFT_138711 MPHIFDNGSWVNNNRQHEYPGSEIAECIKRNDDTAFRHLFLGGL RDFADNNDTNNVTTLKDNSFAAFVIKNSIGMTHSIGLISGLILLFFGPLLAQVRR PHYBLDRAFT_138712 MAIRISQDEQPSPKHAMDQKLLSHAAVIDMSKKSKFHSFTSPPP TIPLVDSSHSTISERHLQHNVDSAVNTLTAKLYAFDKANVDNPKYTSLCNKAKAHNMT YLMEDIRRFGPALNFETEKGKQFNKHIREHLIHTNWLNTSRDV PHYBLDRAFT_161799 MKADLHLSDYKNINNNTMAIQRRQLALRPVEITNEQLMEILSTL KTNMTAMGTNMAADMSIVQEQIGTVEDTLLNMNSRIGVLATSSTETITAIDSLSRAPL VSPTNTMANAHIRNLMWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLE NLKRKFTHEGFREADLRARLHKNFTSQVSKARKTEEEIKATNTRSRRAGRARDNHTRR LLAYTDNKEAIDLQMKRDCDFTMQMAAMSDGESADEDFENCTKSIVKIVRPGWRSDEF NTLIKLVDEYVIEAMGSSASQMKETVFTSVSNTAVPDDITPKFPQWALRDRY PHYBLDRAFT_161800 MFPSTQTHILDCYCIKCHNSHQKSSYAAKHIETRHNKRARVEDT RIFENTAMRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVE DEGNEIEIEDFNSEDPFAAPDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNL LRIYDQDFQLPTSLAGLQKMTGFSAITKGIKKFVVYQDCHTVYQDIISAPPRCVSSKL GARSAYNCNLTKSISSSALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHN LFLGTSKRLMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADE WKSWVLVYSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNA CNVDYTATILTCNMHLHLHLHECIRDFGPNFKTNGKDGFEATYMKNFVQNAYKGDYVN AVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDPYNPPKGNEPL PPSTFPLKYKKPSVMDDSNYLHLLEYYQVAYNLPDLASYQDTSYNHPAFDNQIIKLKS IDILGQHYRGTNNSTISCRSLVQAKFVGSNGNIILGFAGQIQYLFTHSFQLPPTHNLH LTRMVHDHQHVFAFIKWFRTSSDRSREDDGVEFCLPTFSPDSYHSIISVHRILLEVAT ATIATSRNVSKMLVIPLPKKPYA PHYBLDRAFT_161801 MTVILELEKMIYFCENIPQQYSLLTEDAFLILLCQMTYPTILKD ILIVFGKSKSTISKVFNNIINKLVQKFEPALLFDYHQFWAPVEHCVGFIDERLWPEIL DCYNSRCRIEDIMKKTFDIRGKGCVTLDNISLLKFHTYILDSSNPDHKDVNTAMSSVR IVVENEFAHVASLFAFIKYLQSQHIFLCPVRFYYLVAILLNNFHNCFNREKQTSKRFG VISLISTEYIDDLLGNT PHYBLDRAFT_138715 MDEHLESLSPTATKKRSKYLLKIYKQKKNGDKNESGNNDLYLEL KRLLAELVALDEIKDMVDVDTDYKMIGTILVTAIVSSPLQ PHYBLDRAFT_157084 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPWSERLKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_157085 MHLHLHLGECVHDFGPIYAFWLFSFERYNGLLKNIETNQKGGFE STMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQL PHYBLDRAFT_161803 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLRHQEEGK KMDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLRQRRVKSCERRQSALKANWA HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFV DELDADYEAAHDKKNNTRPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_161804 MSSSLLHVAITLQKLYNFIMGKLSKTKETILSLEVVDMLYLPNI VTEDISDSTNNTCVDSNETDNADQNINVNAIKYFLSLSLFHSVAILENYLETYVEPNF SWEHPTT PHYBLDRAFT_102157 NLPREKRYKKENIILVSLMPGPKEAKTSEINHYLRPLIVELKKL YLGVVLSTNECPSSTLIYAALLLIACDIPTARKTCGFTSHASINACHICNCHISCRTD GKDMNYSGLVFSEWVSHTNEKHCRDAEKWRRARSEAEKMRLERENDVRWSELLELKYF NAVECTIINPMHNLFLGTAK PHYBLDRAFT_161806 MSSDNHGLASAMSTYLRRQLCSAGIVTRVLEGIIKKHFSNQVRE SRRSAKSTKRKNTATRRRQHDVALLHQCGLTYKENKNAIDKFMDKVDCAHAIQKATMS DKESDDKNSLQKLFTSLDKYSTLKTKKRTARKITRVWAMCDAAVPTNLKVPLAQ PHYBLDRAFT_73718 MSSILKLCKINNFAPADYSFNDFIYAAIAHSAAPDSSNFMLPDN ATTAMMLTHSQTTKSISDPLIWMMSTMNNQLKGLANQVLLITGDITLFNQTMTCLQET VINILAGQAAIHNVASRCNTTSSTELFGGFSSLMEEDTQKYQ PHYBLDRAFT_73719 MLVSFKWFAESIMLDAPPGCMPCCYFILILRKAMEYCLALSFED VSPTIDIVQVLFKAPPVLAFLDIESACDTIDCSIIQKFLCFLASNAMLALLQSLFDDV HVEVFLFSVTFHSFQPFTGVLQGSVLSPHLYSVYINFLPATLCAAGELCNTVFDQILA IKIEDKLVNTLLFADNVAIIAIPEAMSVILNSAEQYSKELGYH PHYBLDRAFT_161809 MPKRIPTAPHQPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKEVTDAITHLKNGLDLSNKTNEFLKNSF LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NIVSTDLLKVAENNNRSAWLMTGTYSNKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMARNKAGRRRNRKKTLLDHRIITYQTYTEAIHEDMNRYD CGNILSIDVMSDGELDEDKVWAYRPSWRTDEIILKKCIPYEKEVRISEDLAVTLPDWC FSK PHYBLDRAFT_161810 MSNNNSNSECKCSKCSSNSMRFVLVSAQTHRRHAQQDIVRQYQS GSSSSVVEVMSNDNDIEIDFEDNVDAEDQVEDMHIEDLLLFDIDSLFDSESEDESIIE AIILDISDDESDDFREHFSSSNMPVNFTHAFIASFAAFFISKYVVNSGSAVLLKFLNK VLAHFGQSFRLPLSINGVNSMTSLSNMTRGVQQFVACGDCNKVYEESNVVPECCDFKR LSGRECGNALFFATSRALTIPKKIYIMASSDAQRKRLERENSVRWSELHDLVYFNLME CIVINLMPNLYLGTAKRIMKKWRSSGLITDAHLAEMQLDADKLVLPENYTPLGTKIGR GFPFMKADEWKSWCLVYSPVLLRGCLPEAYLSNWTTFVNACQYLSMPSISMAHLDEVH QSLEVFCRECEKLYKVPFLLPNMHLHLHLRETVLNFGPVYGYWLFSFERCNDILKNYA TNRKDGFEGTYMKKYLEEVYQGDLICQTLPIIRSEHSAIILELTASTANSIATSTSTA ASIQFDINAFLDSPEINFDIIKRNEPLLPSALSLALKDEISMDESEYEHLLEYYCETY DDQTLVHYCQAGHSNNFVNNWIQKFESINLLGQIYKSKTKNQHGSFMQALFETSDGRS TKPYAGQIQYLFVNTAVNSFAGHASQHEVLLQPRAGEGVEVNEVGFEDDSMNSILPMH RICYPVAVGEHLGLEGKVQMCVVPLPQKIYI PHYBLDRAFT_161811 MADHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVE SAILKLPTRKAPGVDHLRAEMLHPIVKQVSPVLCLLFQLCWQWAKGTIDPSLLISRNC VSAINSMRALQSLGVNHTGLSRLLSIRLYHQFIRPQFEYGLAISCFNIKQVAVLEKAQ NTCLRMIFGGHSTSSTSVFRHLGNLPSMRERILTLGFKFVYRAFWLPDEALFTLLRPV LTNPAHQWFKLLANPIWLSLSNRQNADSKACKHAIRSFLNQGLFLQRSQQILLSACHP SLGVDPILWLPMTNYERSRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAIRLH LYSTV PHYBLDRAFT_161812 MGSFLGDHAITSQGSSFLQWIQATGLTCWNELLAFGIPTFLSGG SGTLRSSVIDLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPRL LWNLSGLAQPDTLKIYIDTASGSLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDAL DTAVGRRTPRTMQKYWFWSVDLQDAMDLRERSYQHWRHSSGLQKAICWMRHQDACHAV RLSVQRR PHYBLDRAFT_161814 MYFDDISARLRPLEDFKVGNPVNELHLIARGIGKFVYDLITVTL TKETKFYYIYPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIFTSFQGSFDNVFAKI DGTHAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLTLTSELLDEMELYFKHWHSFLY QQVQNNTLSHSVFRPVQHYLVYIPYIIKQQSPLQCYSTHSMERVIGIFLKLIKSKSKG SQNTSFLIKQFAIHNYTSLAISICDEVNLIWSKPYGRESYMDLPNDPSGVQLWEPFHQ FVNLNDDSVEGVGGPSVKEALLKYYQRTTDLTGHEFGDSVVVVAARLWMDLTVYFSCM YQRKKNKTSHGNHYVMFTCLYRNNHNIIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEI MKEHDAAGHDSSVPIVKQRSQSTHTLGHQTQPTYAVISVNDICHQVGLIQYPPNGNQF YVITPYYIFNNNMRITKGNLSIL PHYBLDRAFT_161815 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATITEIDQSILDDFDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM IEPGAKISHGETVDALLKSKSSVKGHEYDESCVDCGKPRYKTDPNQSQTPAASMKLMS VGDMLSQMLADPATRELLCYRANRESVAGQLTDIFDGDNYKQLVQQGLFSNPDDIAIG LYTNGFVNQKKGKNSYTIIHCIIFSLDPSIR PHYBLDRAFT_161816 MSDINTTLLNSIQKIEVNLAEIKQALRELQRQFSNQFAPAVSAE DLTTCNKALLSRVVTLERIAESVKRAQLTEYPDQLGKNEAQKYNLLLQILHEQDWKAR CKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYPAASKEWKNIPEKN REYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQMHKRRMAEKNKTQRDIS DSSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSRRSVNAYFTEQKSVKNNKC YLRITKNSATSPHCWTTEVLLKADHSYNTPRQKSDM PHYBLDRAFT_161817 MFPSIQMHNTDCHCTRCNNNDQGVSQVSRHTAQHHNKRARFEAE KRSMEVDTEIIPMYQFNSVEAMDGQANSPISDAVSTFDNDIFVDNDYNGDESDTTNDN DSDDNGEEDTAEIYVEEFNNENPFAASGMPENPVHRFIATFTVLFASRYVVNKGFVVL IEFINGLLKIYGQDFQLPKSVAGLHKMTGFLSITKGIKRFVSCPNCHCIYEENMSIPP HCVFTNVGARSPCDLCGTLIDPMHNLFLGIPKRMMDWWVNKKTIGAEEFAAMKKITET IVLPRDYTKLTSKIGKGFPYMKADNWKSWVLVYSPVLLHGVLPFEIYNNWMNFVRACH YLIKPSITFDEVNSAHDYLEMFCKKATKLYTPTILTCNMHLHLHLCETIHDFGPVYGY WLFGFERYNGLLKHIKTNGKDSFETTYMRSFLSPKFTPTTTVITLSSRPFQLQSFLLA SSNPHLPPKGNEPLSPLTFPLQLKKSSLMDETYYAHLLQHYKTSYDLPNLVSYQYATL TSSFVDNEITKLKFIDLLGQQYRGKNGSASCGSLVHVMFVGSDGRNTLAYAGQIQYLF THSFTHPSNSNLHMTRMVHDYQHVFAYIKWFNTSSDRSREDDGLEFCLCCE PHYBLDRAFT_157086 MMMKNTESIGDYSKKFLRAVYNAGLPKDDARIADRFLASLTLSV QTLVRVTMARSGPNGESKRDWTVEQITQIGRDILGDDNRLYAEATRLIPGSRGQPEKN NEEHPRKKLHHSNKITKHEKTFFCSHHGKNPTHESSKCFTLINHKNKASSSNSRNPCR RCGENYYHGHV PHYBLDRAFT_138729 MDETYYAHLLQHYKTSYDLPNLVSYQYATLTSSFVDNEITKLKF IDLLGQQYRGKNGSASCGSLVHVMFVGSDGRNTLAYAGQIQYLFTHSFTHPSNSNLHM TRMVHDYQHVFAYIKWFNTSSDRSREDDGLEFCLCCE PHYBLDRAFT_73887 MLHEKLEEYNSAFEKIMEELEELEEPEMPEDPKSSALSTTDETP KKSRGQYQKPTDKDIKKLLYLYFIRGLTIKKASKIILALLSYNCIKGGEQIQMAMGAN SVGNGRKFNFYGSQSDVNGF PHYBLDRAFT_161820 MNVYILSFFTLLRTFILLADLQCYQSLYGVLSSVSKEGSQEKAR NSVIEIFSFSEISVLLRFSVFQLLRLSFFQLLRLSFFQLLRLSVFQFFSFSEISAIVG HLLVLSADYKELLSLILRRSGF PHYBLDRAFT_138734 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHANMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYQKYNQNQVNKLFSLVFLENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVKEVSAPKERKHGNQKLFQ AHSAFFLKFFKNKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDVNIIEMRRDRILEWQQLVDFNYLSNCVFIDEAGFNMHIKRTFGCSVSGTPAKT TVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNHCKKMPDSHM PHYBLDRAFT_138735 MAPTCKSNKTKECKCSVCKTRFGGSDTVSVQTFNFHKRRDDAGM NIFLIIKRSVETTVSYVPEVINNDEQNSVAIDNDYDMDYDFDEMDTSIEVDMETQEPI RVLPLSESDAVFGYENEEFNSDLDSDGCEDDSSEDDMLDSEDNFPEFNSELSFIHRFI VQVLALFVSLYVVDEGAILLIAIMNKILELFRDPFRLPVSIPGLKSMAGFNTFTDGIK KYVSCSECHSIYENNESTPCFCIFDKFGNNSMCGNSLFKSGNQSSIPKRTYVYHSVQN SIKALFSRPDFETQIDSWNRSPKAENTMFDDLKDTNGIPFVHGNRSLMLTLNIDWFQP FDGVTYSCGAIYLAVNNLP PHYBLDRAFT_138736 MPGPKEAKTSEINSYLRPLVDELEKLYKGVRVQTYQCPNGTTIH AALFMVACDIPAARKVCGFMSHISTNACHKCNRQFSQLAGTSSVNYSGFDFSKWLLRT KNDNCKNAEVWRNATTEAERHRLEVENGVCWSELHRLQYFDVVRCMIIDPMHNLFLGT AKRIMEKWVADGLIDNKKLVAMQKIVENMTLLPDYTMLRSKISKGFPFMKADEWKSWC LVYSPVVLQGVLPKQKFENWMFFVNACRFLTKPNVSKDDVQSAHIALEKFGKGCERLY SKDLLSPNMHLHLHLRDTIKDFGPVYGYWLFSFERYNSVLKNINTNRRSGFEMTYMKT FIEDTRKGDFVHNFLKTSGPFNFSGIFDKLVTGYSPADSTTSTALYNWLSLPDFLDAA KNPNLSIRGNKPLPPSALPLQKKAYEMMPRQEYDCLVGYYQAVYNDPTISSCKDVIQD TAFVNDWIEMLKSVNLLGQTFKGSRGTNGRGSYIQAMFIEGRNGAKYAYVGEIQYLFV HSFSPLVSTPHHRTPQSSQHTFAYVKWYKASKETSRKIAGVEIWDVAFSLPDFQSILP VHRILLPVAIVDHTTLRNISKKLIVPLPRKLYF PHYBLDRAFT_138737 MPSNATRKSGRKGKQNARGTLSRVAAGRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMRAIVLNLINGRMWARNFRSNDPELVAENESRRRWNTDERID HPDNVEVINYLRQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNN RINSRRIEIHLHRVDTYINNWLAIDTKMGYKPGNPDEMAYLHLLEKSVMSDGELEDED VTPIIRVRVLQVARPSRRSAELNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKTIAV TPVPGHLTAILPVWAIQNQ PHYBLDRAFT_138739 MTNTRRKRTIALRPVKITNQQLMEILSTVRADMPTIKGQIVNVE QTLTNMNDRIGVLATTSTNTISAIDSLARTSLAASVRAELTVAAPVVISNHEPTREES NAVYAHIHNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSSNREIAERLLSNLERR FGSSSMRRSDLQKRLHTNFTSRTCRERMSDDKIAETNALTRRAARADDNECRRVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESANKMDEDGIKHVVVNEY PHYBLDRAFT_138740 MNLPLYLEFKCSKSYTVRIEGEFAFALVLYCGLEFDTRQFSSEN YKQFTKAIHNKNKTFPNVVGFVDGTMQKYWAIEIPDGITSSLVGLFIGSTHDARIFDE SKTLDRLIAHLDHISKNDKVPFEYVVYEDMAYPKSDKIYRSFPLSEANNDIKMEFRKV LQLFKFCKYNYGMKILANTKPATIYILLTLFKNFHTCINSSASSKLFKLQPPNIHDYI KGLMHERQPEDTIDSYKTILNNASNLVETITVPDNQ PHYBLDRAFT_138741 MEILSKQHNPLTARASAQSETASGSNGLDIDVSSSSSAEETSRK AQKGKEKASTSEREQWDMAREIALMQLIYNCCPFANAHAKGVRAKKNLLFKKLNLVVN KDNERSLPVTANESASLLKQQLDILQQQQHTNNLVLEELKKTSWSNAVLAKSNQEIAD SNKVITKSNSALAKSLSTKAEIESAIVESYKNNK PHYBLDRAFT_161826 MSNRRISPLRHQNTRMRAVKRSELATTTNIACTPGTVSLAVTAP NTELDVGQRDSILELLELTNEKIDSLSSEINKISRWMNNVETGVRLSNETNAYLKKAV NDIIDAQTTLNSATTSNMTNRNTISVRDHASLIEKDTTVSDINLSGKRYPKISELIHG YIRNPNFTSLDRTKVAENNERVGWSLTNNFKDEYNNALAVRLVNYLRIQKDAVEVPTS DLIRIIKNHFRNQVREFRSSPSKKTSWQSSSRRRSRKKALYDRCVLTYQIYKTNIDTL MKIPDCGRVLLRTVMSDGESDEEGKLQVYRPSWRSDKLQAVINTVDDFSIVRLKKKGN SLLEQNCSTRTESIPASLAVTLPEWAISME PHYBLDRAFT_138743 MKADEWKSWCLVYSPVLLRGRLPDIYLRNWICFVNACHLLSSPS ISTEDLDEAHRSLEAFCVECEVLYDLDLLLPNMHLHLHLKETIVSFGPVYGYWLFSFE RFNGVLKNYATNWRDGFEATYMRRYLEDAYKSDLARAIIPCICPSHAGLLVELIGSSV FSASFAFSASFASFASSASSASSASSAFVLDDFIASANTNFDITKGNEPLPPSTFPLE LERETSMKESEYLNLLAYYQETYDDQALCHYCQPGPDQKMVNNRIQKMKSIHLLGQVY KGGDGMAKRGSYIQALFRTSNDRYINAYTGQIQYLFVNTATNSFAGHLSRHVFAYVRW YKETKLQPKVNEGVEVNEKDFVVENIESILPVHRILVPVAIGEHRGARGAIKMCIIPL SRKIYI PHYBLDRAFT_138745 MVSKQTYRRHASADLIEKFKRDYLLPSTQTLHELNDNDMEIDAK NNEGNEQIESTEDLPDFTEDLLFNGESDSDDDSIESDTQDTLNALDDLDDSEDIED PHYBLDRAFT_73689 MPGPKEASTDEINNYLRPLVDELMLLYKGITIDTYNCSGALVRA ALLMVACDIPAARKTCGFTSHNSTCACYKCNRQFAHVDGTTAVNYFGLKFSEWVGRTK EENRRHANLWKNAKTLTERKRLEIENGVRWSELHRLVYFEPVRATIIDPMHNLFLGTA KRMMDIWIANNLLDDKDFVEMQEEANRMVLPVGYTTLKIKIGKKFPFMKADEWKSWCL IYSPVLLKTRLRDDLLGNWIHFVDAFFIQNKNK PHYBLDRAFT_138748 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLCRQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLCQRRVKSCERRQSALKANRA HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFI DELDADYEAAHDKKNNTHPFEHKFKGIRDKQLSKTKENKLPSWSKKQ PHYBLDRAFT_161832 MHNLYLGTAKRMIQIWRECNYINEKNQLTMQELANGIVVPCGYA RITKKIADGFSFMKADEWKSWCVIYSSFVLKHVLPAKNLENWILFVDACRLLTKPSIN DKEIDEAHSKLQLFCTRFQTLYGKSAVTPNMHLHLHLGECVYDFGPIYAFWLFSFERY NGLLKNIETNQKGGFESTMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHHISNSQDQL AALHPSSTASTFSLSDFVEYSLNPRHSALGCEPLPPSVFPIKLDQRITMCKRHYECLL EFYRHAYGSHDLFDHYSNCESNQIFVNNRIEKMKRISLLGQEYSSGSYFRAYYLENNS EDKAVFPGRILYLFQHLITINETVITHTFAFVEWYSSYSSGSYQPMLNEGIELWNEPS SVLNYECIIPVYRLYSPIAIAKYRFTITSEFKRLVIPLPQKIEA PHYBLDRAFT_138752 MKYLYKYIFKGHDHVMTSVQPTRTENAVTGAPQEEQQHTNEPVY YLDSTNAERLSNTNINTTLTS PHYBLDRAFT_138753 MSQQSTVNSTIVSGEETACVNSGVIPPPSAADSADHDGDTVMTP LGSENDASDSEEGSSGSNAGRSGYESANSPRIYLGNSGVRVNNNLVATMEMLLTSAEE DLNAKKGNYYATLGHYLGVSKKDPTSTAAKSASKGAKEAQELFAEAEQILKNLKAFTA PASKPHDKRSTLVPSNLPFLQLCSESPLVKANRDVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFENKLKGKAYNWKKAESILLDHYDMPFCKFLNMGRVWCMK QDKGESARSFGAKFQKFCCQASLDNGIQLVLCFWWNLRPEV PHYBLDRAFT_72898 MLSAEKCCASCKLVGHSRSSSSLCPMNKKKNTLNVPQKRTNEFI FIEEYSAESSRSAALRMRIEEEPVLITESVASPVNITSEGIIFEDKDIEEFELDEEIE EEEKEEEEVVVPVAVDNQGQMDVECQHCGALMWMNEKIGSSVLENIKFSMCCGYGKSY NSTLRFTSLGAKIDNLVANNIGGAYNFRIHRTVCHRMGSISPTSDQDLAHSKYAHLYI YDSQTQVQHHQCNAPYLNREIIEKIQSILLNINSFMSLFRSMNQISSHNGYMTDLTLR LIAEGPQDQRRYNAPTADEVAVLIMSNETASSRDIVLHTINNTLQNINEYH PHYBLDRAFT_99792 ILHGKSARKAEFANLQTVMLDREGPFECPAFVLVLKQGKTNQFG RIELAACLRNSKVEICPFMALRCYFFWRWHIDGEQEMPYSPHLEAINRAFKTCRIVST AKIDAAHGSSARMADMSACQQTAAAHAFLELLKQLRITFLQDSVLMMSKTPGHPLWQH GVFHDPEYIEFKRSVEPCVETDVKPTDILLQRALPLITRKLADMQ PHYBLDRAFT_138761 MFKTRASPGIEPGTSRTLSENYTTKPRSLALMAETLQLLLGLEI PLNTHLIDHALNLLPRSFKSLGSWIDWWPCLLALLRTIDQSTSTTVFDPEPRPDGILL SMTKKYQSK PHYBLDRAFT_161837 MVNILVEDITGQQASKTYYRSYSFSQKAIIILYCGISECIYCLT SVGVTIMTSSHKSALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIRVSGSP HV PHYBLDRAFT_161838 MSKNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRQLAPKRARLNLESDLSGRTRNIHDVVLNTVLKNTSSEKAEATASNTVEQDMLPGHQ PTLDIVRHIGNRKLQEKKTGEKKQEENRRACLCQQRVKSCERRQSALKASRVHFVNSF GKNVDSILHADYMSDLESDDKREEEEQDSSSEKSFFWRFCPSWRSEEGDRFVDELDVD YEAAHDKKNNTCPFKHKFKEIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_161839 MQWVNNKNNSSCTYVPEQHFDDMEVDSIDSDNDNDYDYENEGEG EYEDENEEQNIEFDQEVDLPLSQEESIFTAKDTITGAFVVDGDEIEEDDTSFDFEQEE NFDETSGTSIVESVRPLSFDNMPLYICFVAVFIVIFHLIFLVESSGSILIEFCNTLLS LCDMSGALPLTINSLKHKTGFNMATDGMTVYIACSQCHSIYPLETSQRVCTFKKFSQS AICNSNLFKVSTGNCSLPAKVYPFNSLNWANALNNTERTRLEKENSTRWSELHWLSYF DPVRFTVIDLMHNLYLGTAKRMIQIWRECNYINEKNQLTMQELANDIVVPCGYAHITK KIADGFSFMKADKFQTLYGKSAVTPNMHLHLHLGKCVHDFGPIYAFWLFSFERYNGLL KNIKTNQKGGFESTMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQLAALH PSSTASIFSLSDFVEYSLNPRHSALGFLQTRIWQSQSFGHYSNCESNQIFVNNQIEKM KRISLLGQKYSSESYFRAYYLENNSEDKTVFPGRILYLFQHLITINETVITHTFAFVE WYSSYSLESYQSMLNEGIELWNEPSSVLNYEYIIPVYRLYSPIAISKYRFTITSEFKC LVIPLPQKIEA PHYBLDRAFT_161840 MFAIANPDEVRCKCTRCNCNPLRYTMTDKRTAKRYAQNDNDRNI DKTINEQIVLTAKVNSGEADMDVDQIEEHIEYDNYSVGAPSPEQYVNTHLPLLVEESL FETEEYTSEYESEYESSDEFKQEEQNREVYRKPSTEYLASNEDTVILITFINTILEHY GEDFRLPTSILGLRKMTGYNDLTNGVSKYVACSNCHTLYNYSNNTHTSCNFKRVGSKA YCKNDLYKSSMKNAMISKCTFIYNSLTTTLKKMFTRPSFEMRATIIDPMHNLFLRTAK RMMDIWIANNLLDDKDFVEMQEEANRMVLPVGYMTLKIKIGKKFPFMKADEWKSWCLI YSLVLLKTCLRDDLLGNWIHFVDACRELTKPSITKNEIKKAHKSLEEFCVGCEDFHKP DVFTQNMHLHLHLKETIENFGPIYGFWLFSFEHYNGVLKGFETNQKSGFENTYMKRFL ESSYNGDFCQAYLRNVTSPLLFSLFLKLSGRKIYNPALSPHPLIPAFFHLPAFLQSAE KSSKQTFGNEPLPLSTLPLCLKPPTTMRKSEYDCLLNFYKIEYDDDSLCSAKTTIRNC CGDSEERYAGRIKYLFLHDFTPNLMHTNLSPCHNPQHYKIPCHQPRIKQGIELYEPEF LKYDYNNILPVHRILSPIAIGSHVSGSGAAKVVVIPLPRKLYT PHYBLDRAFT_161841 MAPRTNINQNARTNGSTSRPLINAVNTGRIELSNPMIAPRPENM SIPVSEFNDVVRLLTTLNDKMTAISSDVSELKVQCQVGAQSTGMQAVLDSDMDPQDII SSSRHPKISSIIWGWLRDINLKTDDLELIRENDDKPTWDVNVGLSDKFNKNLASDLML YICRQPVAAMVPSKELCGIIVNSYYNCLAASKLIEEDRQTNTTLNRRGNRKTALNKRR KRTYTKHKDAVTEKFNQDYNGVFYRDAMSGDETETNTSVVASRPDWHSDELNTMFDFL DELARDDLGKRAMQLKSRSHVLVHETIPRGLVTKMPTWSKRV PHYBLDRAFT_138767 MSFNNTNFSIKNIDNEHMICIAPEYEYNNPKVLEIFQKEAAFAI WFENDKIRYVNQNFIKTHVFKAAYSTSIPKDVLQTLYLACNYRGLPRKHNKKADNKPK TKRVCMESIKNGHKQHNTHQPEKVQNMICSRLPAEMKQ PHYBLDRAFT_138768 MDWKTIKTLLHVDPWRLEEALEAGLVISSFPMSLCINYNDMQNV INDQLNELSRQNAVDRTSFHKGNDLSLLSWISPWQKKQIIEAADEWCIDSTHKTCKSL VGSGKHSYLYTIIIRSNIMNKSVPVCFFITNAELTSTLRQWLTLWL PHYBLDRAFT_72907 MKRVISIFSKLIKSKYKGDCNVSFLVEQFILQNYVNMAISIQNE IDLIQPKSYGRESYMNLPNNSSGAHNHNIIVYSWLVGAVIFFFQHKNSLGYLCFLAFV EVMKEHDATAHNSSVPIIKQQLQNSSTGC PHYBLDRAFT_138770 MTYISISSSNSKVNVHCKASAQPLTSDSTAAFTAQFGKNETTRV ETIHRRKSSQSTARGLELVIQLPIVTRLVLCLLVLKSSKGRKGAELSSKYITCHLLSR AFPFVLQIQRPNKLVCEPMCTMSVTARMSPEANNKWILA PHYBLDRAFT_72908 MQHNENSSIDDNEFVSDSDYNNQYYAINAIEINKIISYKCDCSF ENSESEAHVYNSSRIEPGAKISHSKTINALLKSKSSVKNYNKLRYKTDSKQSQTPFAC MKLMSVSDMFFQMLTYSATRELLRYRANQKSVAGQLINIFDGDSYKQLV PHYBLDRAFT_161845 MSDINTTLINSFSKQFAPTVSAEDFSTMQQSIIEQSACCKEISG GQPLPQLVLLSGSDLTMKQLQIKTLSHSIKYDLIDNDFPAFSKEWKEIPAKHRNLLRE SFKSDNQKQKRRMAQQDANDSSLSSDNMSETDGSKSPIMVNVLSSLTEMSVEPAYKRS QRS PHYBLDRAFT_138775 MHAKSKLVFEQKYQEFVSQFSEHRNFVKYIGRSRNFWVDWLVYM LSQVVEHDYRQEGLRIVYGFKKLVLTHTEKAKKKKAQEIVYEDALSMVKAVEDTLGQK RNTVNLLLFRPKSFVQAYFLVCRMMEPQYSLIKKLPIVQNQDTNLCKKIEQAMTKQNV MAMDSPCGMDVSVQIFRDCLSSLKEAGAGPQQNQLFLVACVVPNFGDTSSSDLFLQKK QELE PHYBLDRAFT_161848 MSKFDKFKFSKLKAVFSNRTKFSINEINDDHMIHIAPNYESGNP KATETFAYGEAFSFWFENLAKRHSNWNMTNTHASQATRTVSPKDVVTTVYFVCDHQGL PKKVKLVEDTGNQKAKRVQTRSIKNSCKAKITKKTLQNASLGISSFPMSLCINAHLNK LSRKNAIDKASVKQWIEFLEKKKELSGSHCLSSRFLSWQMSDALTPLTRLANPWCIPR STRIYLITTLSQWLSWVKSNCSLRVKRVMIDCSPVEIGALEEVFGQLVQVLLCYWHIK RAWEMYIKKDINYVKITGATHESKCEQDAVWVTLNLLIHAKTKEAFDQQYEEFVSKFA GHEKCVAYFATHWHAKFHTNNLIESYHHILKAYYLGRSKNFWVDRLVYMLSQVVEYDY KQEGLKIMYGFKKLVLTHVEKAKKKKAFDVDHEDALCMIKTVEDNLMYKCCSFTDNSV WYEVLLKEKNPEVQVVKRNEESAAAQNELHLLELDEVSESRKLEEWFWDRRNIPDFER LLVSDRRNRRCFSAKV PHYBLDRAFT_138777 MLQLLPDNCMQSLPAELVLFLTSMQSQFNALNERTAHLESLAAE NVQLHAQLANVAASQRLFFDKTGPDGFEYVYISRSRHITHSEVRYSLRTLGVDTGCLL DINFSARGVIGILIHVQYLEEFKSQLASAKVSLVNNFDPLDPKNVADPKFVNLSVSVL PVAHFFVQSGWIGLKEIPARPVAEHFGLWNANGLQPHAIKDVLNHCQSLHMLFITETW LLSPARLPTSWSQFHLYGSPVAGNYRE PHYBLDRAFT_161850 MPNNYALAVKIGTLRLICLYLPPSMPTHEALDILSAITLTDDTI ILTGDYASNPRGIALEQWLEERSLTVLNGVLSLCTPTYISFRNEVEISSIIDLFITNT NFANPSLHIATELSLGSDHQLLSLSFTYDLQHLLPAPPPMCQTWNLSRLYEDDTLFRI CQLYVHPLMLLLTLPMHSFMTLLVAQLVLAPLAPHIGNRFGPLNCKLQLTIKMVVTSN DVELVALTRSIGGAGTSMHTRSFVSKFRQQIICLGMLFAAQ PHYBLDRAFT_161851 MATLNVVGACRSGFSLLLSSCLYKTFIRPKFEYGLAILPLKRTD TIQLEKIQDKCLRMIVGGHRTLSTTVLKHICHLPSMSFRADVLITKFCICTHYLPSGC LLSLLHHHHSQSSSLVTLRHNTLLQSIPIDLNVHSGKALKHHFETFRQFKTDQLQLSS NQVLFLACHPLLEVDPILFLSATRVERSRLVRWKMGWLPGTPKDCPCGTDHTSRRHLA VCSLVPAHLLACLPIPSDQNYNSIDFAITALPNSSQAPCPSYWVALLTILWHFDKLCN SDGDYTHETHFGTLWAGLS PHYBLDRAFT_138780 MENNELSNSIDEHNLNSQVAQLSVTEDVDMREVLTFNREVSEVR INKDSISKNEEIRTQSGQTGGVNRVSEVVYDDVDAFNSAYEFFITTAGLDCESRWKSF INAKKLIEEKFNSLIARGKTRLTMLALKIDNKESVEKYLMRFLKAASLAGISSEDHLL GKIFMKSLPTNWHNAIFTNLNSKNNKNSYLMTVKQVDKATKHIAIVRDNSEKRVLTGH KNKKIRQDFYEEIEEEVLVQPRNLRAKNYPFRATNNNGNNNQAGISHNHHLCHYCGEN FSWGHKCKKYYSAFSDKRNNNNNNNNNNYKSNRASNKGGNNSISVDMVFAKSGLHASK WAPQAKNKGVKLMAMYNSGTDTSCLNLYTLKKFFKNVTINKIQGVIKYFAADSSSYAQ KLGYINLLAVVYEDAPYCCRYKFEVIQFPDHIATQADILLETDIFKKLDIRMTGIAYC YPLEFEDNSEKPKDLNFDSNNFYNPENSDYVSSEEGENFFVEFSQAIKTNETIKSGTF CNVPTLEYIPEIRKRLEEWLTNEIVVLTEPSTCYNSSLLVIPKKDEDGTMTKLRIVSQ PEMVFLKLDLKNAYNSFKVKEADQEVLSFTFENKTYNTSAQHAVLVNQNINKSFGPDI TKIDFRKLSNINELPICKSAEQVRKLMGTITFMRDYIPMSSKLTALLDQL PHYBLDRAFT_184570 MIDTGSSRTFINLKCLNNNLQITKINSSIGSFNFLSKNSSTKRL GKTDPLNFKYINGITFKHAPEVLEFNTGFNFDILLGRDILAKMNIGLINVAYDIEGEY VHSDNAKDYAAIYENLNIDKEKKFEPDNSPAGTAQQRAEFMSSIKASLEENKNIPVES YCPLSESIIRLPTKEGATAYRRQYPIPHALRPTLDKQLCINRHIPQKTLSAPFSLMYA RRVNVPDEYGDKDKYSLPKATVTIDELEKQIDHMKNIIFPAINEPEFTV PHYBLDRAFT_73842 MESMELNNTISYKCACNFEDSEGEAHIYDSSRISTNTFTKAELM SIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIMMVMAKLLMLCSSQSQVSRAMSM MSALVVVDCMALMMTRNLVLTVANRNTRLIPIKLTNIFDGDNYKQLVQQGLFSNPDDI AIGLYTDGFVNQKKDPSIRYTNKYLLQLAILPGPKKPTHLDSFLILIINELRDLKAHG LVVKHNGVELYRSKVYLLLAFGDIPAVADMAHIGSHTSLFGCRFCEIKGKCPTNRRHG MYFDDISAWLRPLEDFKVGNPSKNIYQPSIYTQLSTFSGSSFFALDELHLIARGIGKF VYDLITVTLTKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIFTSFQG SFDNVFAKIDGTLTVDWLDFLLYLVPTLFVPYLPNRAVKTALLSLTLTSELLDEMELY FKHWHSFLYQQVQNNTLSHSVFRPVQHYLVYIPYIIKQRGPLRCYSTRSMERVIGVFS KLIKSKSKGGRNASFLVERFAIHNCTSTAISICDETNLIRPKPYGRESYMDLPNNPSG AQLWEPFHQFVNLNDDLVEGVNGPSMKEALLKYYRRTTGLTGHEFGDSVVVVAAHLWM DSTVYSSCMYRRKKNETSHGNHYVMFTCPYRNNLNVIVHSWLVGTVQFYFQYVYFHGF LHFFAFMEVMKEYDAAGHDSSVPIVKQRSQSTHTLGHQTQLTYAVISVNDICHQVGLV QYPPNGNKFYVIAPYYIFNNNICITKGNLSIL PHYBLDRAFT_161856 MSNINTTLLNSIQKIEVDLAEIKQALRKLQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLEHIAKSVKRAQLTEYPDQLGKNEAQKYNLLLQILHEQDWKARC KEVPQGQPLPPLVPLSDHDLTVKRLHLKTLDRTVKHDIIDKDYPAASKEWKNIPEKNR EYYMMHLERLAKNGGLHIHQCKKMWCARSLLWESFKSDNQTNKRRMAEKNKTQSPPPT ASVEPACKRSQRLVNAYFTEQVSILYEEIDHSVKAAKEKQEVVLELKAIEQKKECNRG KEGRLIFF PHYBLDRAFT_102333 PRSEQFKAENTILVGLMPGPKEPKSEEINHYLKPLVDKMIQLYL GIQIPTYQQTDGATVRAALLMVACDIPAARKTSGFTAHNSTCACYKCSNQFSRLPGTS SVDFHGFDCAQWRHRSDRANRVHAEEWNSASTPSERQQLKVEYGVRWSQLYRLGYFDL VRGTIIDPMHNLFL PHYBLDRAFT_138788 MILPSDYTKLKTKIGKGFSHMKADEWKSWVLVYSPVLLKPVLLS NMFNGWMHYVKACRILVKPSISFIEIDQAHRYLQEFCQSCEDTYKPKVLTCNMHLHLH LHDTIRDFGPVYGYWLFGFERYNGLLKNNKTNRKNGFETTYMTKFTADAYKADYIRNT LSCSSLIPFLPLFEKLTSTTTPITTYATYAPTNQQPF PHYBLDRAFT_138789 MSDIDYHQLLDYYKIAYAMPNLISYHDARLSQYFVNNRITKLKS IDLLGQTYIGNNSSGKCGSLVQAFFCSSNGRTSSLYTGQIQYLFIHSFTLPPHPNHQA STLHQDQHVFVYIQWYNSTNDNEHRDEGIAICLPEFSADNYHSILPVQHIHLEVATAV DVTDMNEERMLVIPMPKNTMPEAFMNNYAL PHYBLDRAFT_138790 MTNSLAILCRDMTTVMKDVADIKAKTSNTPVSAVLQSQPMALVH AVAPVSMEMNVAGSPTMASDAKSRLLREHLWDPKFKSKRLAEIQANNGKPRWNTAVNF NQSPNTELTENLVAYLERNFVGAGLRKSDVRDFVYTNFTSRKRAANKSQAKKKSDNAR NRRSSYKKEHLKRRKTAYQSNKTAIDDEMKRDCSGLIIEEAMSVGESDNGTSPHYNHF ITLVDNKVVADLGLNSHQLLSHAFGETVKGPVSDAIVSQFPQRALRNGP PHYBLDRAFT_138792 MFLFPSDASSSQWPSGLAKDISPKIFSTAKHDHQHDEQPSLKHA MNQKLLSHAAVIDMSKESKFRSFTCNILLFGLCTG PHYBLDRAFT_157091 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLEN LKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTRSRRAGRARDVSVISF TCIHRQQRSN PHYBLDRAFT_161860 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEAA MRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEGNEIE IEDFNSEDPFAAPDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLASLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACN CNLTKSISSGALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHNLFLGTSK RLMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSWVLV YSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNACNVDYTA TILTCNMHLHLHLHECIRDFGPNFKTNGKDGFEATYMKNFVQNAYKGDYVNAVLKSSS QIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDPYNPPKGNEPLPPSTFPL KYKKPSVMDDSNYLHLLEYYQVAYNLPDLASYQDTSYNRPALDNQIIKLKSIDILGQH YRGTNNSTISRGSLVQAKFVGSNGNIILGFAGQIQYLFTHSFQLPPTHNLHLTRMVHD HQHVFAFIKWFRTSSDRSREDDGVEFCLPTFSPDSYHSIISVHRILLEVATATIATSR NVSKMLVIPLPKKPYA PHYBLDRAFT_161861 MQAILDSDIDPQDIISSSRHPKISSIIWGRLRDINLKTDDLELI RENDDKPTWDVNVGLSDEFNKNLASDLMLYIRCQPVAAMVPPKELCGIIVNSYYNRLA ASKLTEEDRWTNTTSNRRGNRKTALNKRRKRTYTKHKDAVTEKFNRDYNGVFYRDAMS GDETETDTSVVASRPDWRSDEIMFYIKWFFCCLIPDLARDDLGKRAMQLKLQSHVLVH ETIPRGLVTKMPTWSKRI PHYBLDRAFT_100217 RNKAENGILVGLMPGPKEASTDKINNYLCPLVDELRLLYKGITI DTYNCSGALVHAALLMVACDIPAARKTCGFTSHNSTCACYKCNHQFARVDGTTAVNYF GLKFSEWVGRTKEENRRHANLWKNAKTLTERKRLEIENGVRWSELHRLVYFEPVHATI INLMHNLFLGTAK PHYBLDRAFT_161863 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSLGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLRRQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLRQRRVKSCERRQSALKANRA HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFV DELDADYEAAHDKKNNTRPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_157092 MHLHLHLGECVHDFGPIYAFWLFSFERYNGLLKNIETNQKGGFE STMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQL PHYBLDRAFT_157094 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPRSERLKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_161865 MGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGG SGTSRSSVIDLFLSTFPIFNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPRL LWNLSGLAQPDTLKIYIDTASGSLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDAL DTAVGRRTPRTMQKYWFWSVDLQEAMDLRERSYQRWRHSSGLQKAICWMRHQDACHAV RLSVQRCRHETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQVAANKMA DHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAIFKLPTRKTPGVDN LRAEMLHPIVKQVSPVLCLLFQLCWQWECIYCLTSVGVTIMTSSHKSMRALQSLGVNH TGLSRLLSIRLYCQFIHPQFEYGLAISCFNIKQVAVLEKAQNTCLHMIFGGHSTSSTS VFRHLGNLPSMRERILTLGFKFVYCAFWLPDETLFTLLRPVLTNPAHQWFKLLANPIW LSLSNRQNADSKACKHAIRSFLNQGLSLQRSQQILLSACHPSLGVDPILWLPMTNYER SRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAIPLQKTADFSS PHYBLDRAFT_161866 MIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLFCSHCQTT GKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVRSASKPVVSPSVSI LGHSISMADIHEIESDVAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIELRKA LATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASPTHHSTEPTK TFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAICLPISTQRQRLRKLK IDNARVLDLHYPDCKVMGMLVHNEYAPELKTILASYGVTTLDNFNPLDPVHLRDPALA SLSLDDRATKAIHVHNDRMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPT KKDLDISIHTASITIPSFSDL PHYBLDRAFT_161867 MRALQSLGVNHTGLSRLLSIRLYRQFIRPQFEYGLAISCFNIKQ VAVLEKAQNTCLRMIFGGHSTSSTSVFRHLGNLPSMRERILTLGFKFVYRAFWLPDEA LFTLLRPVLTNPAHQWFKLLANPIWLSLSNRQNADSKACKHAIRSFLNQGLSLQRSQQ ILLSACRPSLGVDPILWLPMTNYERSRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIEC TGAAIRLHLYSTVQPNPIDYVLNMLPLKTPKNNKNNAFWIFTWPILCRIMLDIEQICL PGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_161868 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKCTETRCNKRARVEAA MRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDNNDFDDNIEDEVNEIE IEDFNSEDPFAAPDMPKNEVHQFIAIFMVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVYQDCHTVYQDIISAPPRCVSSKLGARSAYN CNLTKSISSSALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHNLFLGTSK RLMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSWVLV YSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNACNVDYTA TILTCNMHLHLHLHECIRDFRPVYGYWLFGFEQYNGILKNFKTNGKDGFEATYMKNFV QNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDP YNPPKGNEPLPPSTFPLKYKKPSVMDDSDYLHLLEYYQVAYNLPDLASYQDTSYNRPA LDNQIIKLKSIDILGQHYRGTNNSTISRGSLVQAKFVGSNGNIILGFAGQIQYLFTHS FQLPPTHNLHLTRMVHDHQHVFAFIKWFRTSSDRSREDDGVEFCLPTFSPDSYHSIIP VHRILLEVATATIVTSRNVSKMLVIPLPKKLYA PHYBLDRAFT_157096 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLEN LKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTRSRRAGRARDVSVISF TCIHRQQRSN PHYBLDRAFT_161870 MSKTQDCWILNVLKSFHIWEYLRWWQQGRYTNAYADGLLLGCRA RVAWAVVSLGWLDFFPIAFLEAAKNVVGEGVGMVTEPWAESSTEKFSTGAIFVGGRVV VVKAKASAYAKELYGEGVQLVGFEMHKTETETEECREIIYTLRETDMLLSLIFITPGI AEYFNDSVIVARV PHYBLDRAFT_72763 MSSTIEQNLEECYCTECIKNYNGYILVSKRTVQHHGKKAALKDA IRSELASILNTGAQRHIMNVGVESIVVQKSGSVEVLARQSDLSVLDISPMSVDYEVDV NFNDMNFEYESNGNAKDTVDIDVEEVDTECSYENMFSNSSMPENPVHRFITTFTMQFA SRYVVNKGAVVLIKFINELLKIYEQDFQLPTSLPGLQCMMGFLDTMCDVYNVAVWKEL KDSNGASFVEQPRLLMLTLNIDLF PHYBLDRAFT_72764 MPGPKEPKTDEINNYLEPMVDELIQLYCGIRILTFKSPAGEVIR AALMMVACDIPAARKTGGFTAHNSTCVCFKCNCHFTRLDSTNKVDFRGFCGISECIYC LTSVGVTIMTSSHKSALGLPTKLAPYRSDTVYLALLHYPNMNMLLYVINPTSEYLDHH RFKESEWCHCNYEENRLHAEEWKSTVIISERQHLEIEKWCSMWIECGVLRDRDFTAMQ KIADKMIVPRRYTALKSKIDKKIAFMKVDEWKLWVLVYSPVMLKSVLSSLHFNNWIDF VYACRHLVKPSITFDDINTNHRHLENFCEKCNEIYTIMILTCNMHLRLHL PHYBLDRAFT_72765 MYKSDFVNSTLTCPTQAPFLLVLSKLVCLSTSVLTLPSASSTIV QPPFTLQVFVYSSETTRIPILGNEPLPPTSFPLSTCVHYQNAAASPFFVNNQIIKLKL INILGQVYYSNNGTTGRRSYVQSLFLDSNRSTETTFTCQIKYIFIHSFTPPPTSPYYE ANSTYHDQHVFAFVNWLPLLGDKSREKDGVDICGSTPSPSNYHSILSVHRISLELAIA NHTTGLV PHYBLDRAFT_161873 MSDPNFSCMSISLPLMKRNCSELMIRSAMSEGESEDEFSRRPCK RIVNVTHPFWRSDELNNFCNNLIFNIDEIVKANLGNNSCQLLDENLTSLSEKPVPNDV ALCFPPWTFRDGPQ PHYBLDRAFT_161874 MKDKENWVNMYVYKHAHFGNRTSNHAESAHSSLKHSLGTSSGKL KTVILKVKKWYDELVADRKHRLMVESLGEGTKIVFDKVNAARLNDIRLKVCRFAMDQI KLELSKSIIPEKLAKECKCLIQYNYLLPCYHTLAKFDTIPISCIPRRWRKNYLEGENH LTIQNATPVPPNINNIKPITPEFNYALELICKHFANAQSEQEQINIYQLIEKTLKQID AQKLENLKGPTVVEAIKGRPKNTKRKMIALEHCINTEKEKITKKIKTEKEQKKQKISS AKEQKAIKNIINLGSPCDSTLLTNLTIAPKHISTIFSPEADGNCGYRAIAMEVYQDQE EWSKVKDKMLETFLKHQNNYYHGRMEHGNMPASNNPLIRSLQDKRSSLPQQHWFGTID HPQLVADTFSRAVVVYWNTPIETGDCLFVPFATLPEKVEPIIIILDVNHFLLAKRKNT RNFCWPKINPFHKRIIQKHGLEDYSLMY PHYBLDRAFT_161875 MTGIRQIASNLSDEYKNLLAKIKEMEKFMVDVCGELTTMHKVIC AGFGQGNGSQTSASVSLDNPSVAASSIVRIPAGIASEISCENKDKVFKLIQEYMRRDK FTLNDPALVNANKTKPRRETDVFFNRSLNKKIVANLLGYLLPKFVGQGIKTSEFCTIV HTNFQSTTRKDREDPMMRAATNACGRRAARETKHFNCHVMAYVLNKDVIDALMKGIYM DMQEKLGSDMQKKTKREN PHYBLDRAFT_161876 MGFSGKHTLTLPLLHFYIPPFFFDTRILTFSDASSSQWPSGLAK VILPKILSAIKHSHENDEQPSLKHAMHQELPSHVTVIDMSKKIGTNAIFNIPSIFLFN PFVSSIFNAVAS PHYBLDRAFT_161877 MAWRLNARFHTNNLIESYYHILKAYYLGRSKNFWVNRLVYMFSQ VVEHDYRQEGLKIMYGFKKLVLTHVEKAKKKAFDVDHEDALCMIKTVEDNLVYKCRSF TDNSVWYELLLKEEVLSVGSCPDPNNLCKHIFLVGTIMGLQYSLTKELPIIQNPEVQI ILNTSVVSKQGAADMTNSYSLYVRADPGSDGVSLTIVTHIFDTRV PHYBLDRAFT_161878 MSLCINAHLNKLSRKNAIDKASVKQWNELLEKKRTIWFTLSFIK TCKSLVYFKKHSYLYIIAVRSNVTNKGGPVYFFVTNAELITTLSQWLSWVKSNCSLCV KCVMIDCSPVEIDALEEVFGQLVQILLCYWHIKRAWEMHIKKDIKIIGATYESKCEQD AVWVTLNLLIHAKTKEAFDQKYKEFVSKFAGHGKCVAYFATH PHYBLDRAFT_161879 MSSNRTNFSINEINDDHMIRIAPNYESGNPKATETFAYREAFSF WFENLAKRHSDWNMTNTHASQATRTVSPKDVVTTVYFVCGHQGLPKKVKLVEDTGNQK AKRVQTRSIKNGCKAKITKKTLQNGNVVVDYLWQHATHQPEKVQDMVHSKLPAKIKQW IVSHVENNMD PHYBLDRAFT_138811 MLVENSTAESSSSANLFTSVGSNAFVALQSSLSINVSEFELELK ALPMKVRASTAAIYRMPLEHWKQMYMKSVSVETDVRIQIGLRTEDNEERQLTLLQIAE NAPSNKNGSKKVIEVPLGIESVNQYKKVLMFLHKFQSKHQEKYEHDLVYNQVQTNVDR AAHCVIRDSYKNPDSKSGLRKMFSISFHHHMLLRVQNLRNLNFADCFCTIIPKKQHKE MQQTLALVFNLDKGKTLKEGEVKFACAMCHENIFRCPFGTFAFFMFSLLQVLRGRTSP EKSLTGASQWKTAKKTLADEEIFITRVTYGGRHAGSMEAEGLGIPFDLIKRGGEWKD PHYBLDRAFT_161881 MTGFWGKPFSLARNGVSPPMELQKMLFPWIEDYFGVSNAEWVAV CEKEMNEVDENEDEDENIINFEIDEEADSVEFVEEDKRLQSKEKKRKGKQRAIQSSIN TAKQNRHINTRNLPFSSNSFRMFQKDIVAAITSPSIGRLEEYESLVPKIVNTNKEIAS RVTEQQQESQFEKNENSFNNFIEQSNQQNCLLMNTTQQLAKVIKILVIWQHCLNSQIQ LLMTTNNASQGINTNASLSQPPIIPVLSTTHSTGKIG PHYBLDRAFT_138813 MEIDFEDNVDAKDQVEAEDLFLFDINSVFDSKSKDESVIEATIL DISYNESDDVRDHFSSSNMPVDPTHAFIASFAAFFISKYVVKSGSAVLLKFHNEVLAY FEQSFRLSLSINGVNSMTGLSDMTRGVQQFVACGNCNKVYKESNVVPECCNFERLSGR KCGNTLFFATSRALIISKKIYMYNSIIKTLSILFCRLAFKDTINHWRTRVQVPDIMFD IYNSAKWNSLPGTDLDRNKGRENRQRNIDRFLYVVNEY PHYBLDRAFT_157097 MYAEATQLIPGANMHTERRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_9989 YEVEDIRDHRGAAGEREYLVKWVGYGERANTWQMANDFTNPTII QKYWKK PHYBLDRAFT_72775 MQGPKEAKTNEINHYLRPLVVELNQLYGSVVMFTAQCPSDALVC AALLLVACNIPAAYSNAVDYSGFVFSEWVHRTDAKNCCGAELWRMFSSNAQRNRLERE NGVRWSELHDLVYFNLMECTVIDPIHNLYLRTAKMLIKKWRSSGLITDAHLAEMQLDA NKLVLPEDYMPLGTKIGWDFPFMKANDISMAYLDEAHQSLEAFCRECEKLYKVPFLLP NIYPHLHLQETVLNFDPVYGYWLFSFERCNATSTSTVTSIQFDINAFLDSSEINFDIV KGNEPLPSSTLPLALKREISMDQSNYEYLLEYYCKTYNNQTLVYYCQADHSNNFVNNW IQIFESIDLLRQIYKSKTKNQRRSLMQALFETSDGRSIKPYAGQIQYLFVNTAVKSFA SHASQHEALFPPRAGEGVEVNEVGFEDDSMTSILPVHIICYPVTVGKHLGLEGKVPIC VISLPQKIYV PHYBLDRAFT_72776 MPSVVSVNSSSSIDDDLDLEVKYHPLISQLINSYIKKPNFVSTD LLKVAENNNRLAWSMTGTYGNKYNKALALALFKYLRSQRCYTNVSKSVTKNIIKNHYQ NQVRVFQTSAEKIMARNKGGRKCNRKKTLHTFITSIDKLTVICLKKNSESLKKCIPYE KEVSIHKNLTVTLPDWCFSK PHYBLDRAFT_72777 MSSRNKRTRSPSKRCELSEFEHGGIIWLYKTKNTPTEIANHIGI PRATINITIKRWEETGTTKPKTRPGRPKKLSVTDVTSLCLSVRCNPFESYAYHQRNMA AAGVIICRDTVIQYLKAKGFGSYTPVSKSNLICEQKKNRLCWVKARASFGIEDWSRVW KNRWEIKCFDYWPSQSPDLNPIERVWHALKANVEELKACILQKWERLDPGLLCTLVAS MLDRVQAVIKACVYLRLEIKESDCYLFGTSVDLPYNIRNRSGQRQGQRKQREKYR PHYBLDRAFT_161886 MNIFNYILTAYSSPPIKTKIKMTSHTPRNPRRRYTSSGESISLS QVTAGRVGRHAIAPQPVPASSSDERLNQMSLDLKGIITQLASMDCKVGKLMDGQRRNI GAAARQESMPASLPALAPSSSLPVPAMSEQEMSSVILEISGPAWWYQKSEITTSNTIA LLTPQCNKMQQDGAMHALTVILACHTHTYLTNWRLIDNEMGLEVGLLSEMSDSESDVE DMNVATVHVLRIKCPSWRSNEINYFWLCTDDKIKI PHYBLDRAFT_138818 MLDVSISIKGNELLPPTTFPLTKKPMLPMSTTDCKNDMTSSAFV NNQIEVIKSIEILGQVYKGCNGNGRGSYIQTLFEENSINACYGYVGEIQYIFVHSFTP TNSLTTLYNHNHQHIFAFVK PHYBLDRAFT_104605 MIACDVPAARKVCGFTSHTSTNACHKCKYQFLRLARTSSVDYSG IDFSKWLLRTNNDNCKDAEVWRNATTHAERYCLKVANSVCWSKLHHLQYFDVVCCTIV DAMHNLFLGTAKRMMERWVADGIIDNKKLVAMQKTVGKIVLLPDYTSLGTKIAKGFPY IKADEWKSWCLVYSPVVLKDVLPLNKFRNWMLFIKACRILVMSNICESDIAIAHKYLE DYCKMCETLYSLNLLSPNMHLHLH PHYBLDRAFT_72781 MAPNCNADNKYKCYCSVCKVRYGGYNTVSIQTLKRYEKAEKIVE LMQNNIHMQYNYIFIYFKNEIIEETFEVESNEDTMDYIFESNDNEEVKTSTLTRNLPL SESNAVFGIEGDEYTGRNDFDNEEYETDGEMSDDEDFSFECVSKLGFVHRFIVVAIIL FVSLYIVDEGMVILILIVNKVLELFNDSFCLPLSVSGLKQLAGFGGLTKEIKKYTACS KCDMIYDNDEFFPLCCTLPKFGGSSLCFTTLFKAGSESRIPKKAYIYHSVISSLKIFF HRPDFENDIDSWNRGPKVDGMIFDVYDGKMWKSMLDVRGSLLYMVSTLSCSH PHYBLDRAFT_161888 MKEILINDPSVHSRNFGQSGTSRPTSMTTTSSNGHIVLENTFGD GRREIEFLSEAVVSNNINTNTNDNHASDRNSVISDNEDEKTLTATQLLTERSGYPTSV NEILSTITYLHKEMQDEVATERDVFISQLLRTSEQRATK PHYBLDRAFT_138823 MSSILIDNVIIWPNTIERTLKITTGFGQPTKSGKQRLKEVIGAI DRKLISIEKPTTANSGDSYVDRKENISMNLTAVCDYKKIFIHIATGSLHDTHVLKLDC LYQDLIHQEPETCLENTYIIADLAYPLLPQLLAQFIAVRGYADDMWELEENEDDDILG NFQDSDNADDTVFGLGEIENTNPIMSERVLNALLAQLKTGGEQRRLTTGETVLRGHSI ISAQQE PHYBLDRAFT_72784 MNNNNNNQLSTNNEMHILLELHKQSLKNICDAFEKEHDENNVDD QVLKEFNKKRRRDEEVLNDMCMVFQRELVNKKMKILQLTNYDTFFCIYDDSFEHIQTI TTRRRIN PHYBLDRAFT_72785 MWREHGLKYQAVIIPDSITSSIMGPETGNQHNMCLYLESHLEDK MHQIFDFRDINNGPCYFLYIDPAYTASDFMIVPFDRHLGINKNMSAVRIVVEHEFAHV RSLYVFLKYSQTQRSGHCSVKLYYIVRTLLKNTHVCFNNGNRTIFVQTCHFFIELSLK SFNGKKNVIASNSVYNVRKVTTKSLQHMHDTDHANRSLFQLKGRGVFSRIGSLLSLLL IKKVINFGMSLVCTCHLSFLLVSCPVLIDKCSVPVFYSRKIYFPTIKFYGIDFLNDLI SGSSNVDMSFILWMLEYHCFKHHFVSTDNYATLVSVADSTGSWSACVHWFFNEIK PHYBLDRAFT_161892 MGNLTDKYKINVGNKEVYKIRGKWYNVFRVLGARFPKEPGGTTL VPRDTSFGQLTIDVDAHESGTARHSSPPDQTTEGIHRPVFLTRNWPKPHIFTKHVTEQ LRRNSSAGRALD PHYBLDRAFT_72798 MSNNQVECSCSVCSPNGRYSVMVSSQTRCTNFADDVQRNFQRQS LLPTEAVDMMNDNANDIEIDAKTNISEDLEYNYIFLASFIDSYNSNYLTLSTIAVHDL PGSNNEDLSNSYEFNNKSENIED PHYBLDRAFT_138827 MLTLNIDWFQPFDGVTYSCSAIYLSINNLLRKERYKKENVVLVR LMPGPSEVKTSQINHYLCPLVAKLNQLYSGAAIPTNKCPSGTLVHAAILLVACDIPAA RKTCRFTLHASTNACHICNCWFSCCLDGKGMDCSGFIFSDWIFNTDEENKRNAERWR PHYBLDRAFT_138828 MTKRMMEKWREEGLITSEHLAEMQQDADSIIIPLGITLLHNKIG RGFPFMKADKWKFWCLVYSPVLLAGRLPSEDLCDWMEFVHACKYLARPSITVEDLSHA HDFLKSFGQKC PHYBLDRAFT_161895 MLADPATRELLCYRANWESVAGQLTDIFDSDNYKQLVQQGLFSN PDDIVIGLYTNRFVNQKKGKNSYTIIHCIIFNLDPSIRRHGMYFDDISARLRPMEDFK VGNPSKNIYQLRIYTQLSTFSGSSFFALDELHLIARGIGKLVYDLITVTLTKETKFYY THPDNTLNTTKYAFHIPRADLVARLPCNGRRHQNCLMRWSHTSSTGTRFYTNKSRIIP CLVVFSDQCNITWSIYPISSSSKTPCDATPLAQWRDFLIERFAIHNYTSMAISICDEI NLIRPKPYGRESYVDLPNDPSGAQLWEPFHQFVNLNDDLVEGVGGPSVKEALLKYYQR TTGLTGHEFGDSVVVVAARLWMDLTVYSSCMYQRKKNETSRGNHYVMFTCPYRNNRNV IVHSWLVGTVQFYFQHVDFYDFSHFLAFVEVMKEHDVTGYDSSVPIDKQWSQSTHTLG HQTQPTYAVISVNDICHQVGLIQYPPNRNQFYVIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_161896 MTEIDQSILDDVDMYHDENDTSNENESVSNSEYTMEFMELDNTI SYKCTYNFEDSESEAHIYDSSRISTNTFTKAKLMSIHLSQLMLQHRIARAAYRDIVQF INTVI PHYBLDRAFT_138830 MSNINTTLLNSIQKIEIDLAEIKQALRELQKQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKNKAQKYNLLLQILHEQDWKARC KEVPQGQPLPPLVPLSDHDLIVKRLHLKTLGRMVKHDIIDKDYPAASKEWKNISEKNR EYYMMHLERLAKNGRLHIHQCKRMWCAKSLLWESFKSDNQTHKRRMAEKNKTQQDISD SLLSSPDMSETSDVESPIMADVLSPPPTASVEPAHKRSQRS PHYBLDRAFT_138831 MTMFLYMINPTSECPDHHKGFHIAQHLSTSASQRNRPQLWHGVL TPSQVSLIYELVNSFSTLVTVTAATTTTIVSAELYLLTTSSGFNLKTFLDSAEVNIDN VKGNEPLPPSAFSLSLDDNTLLRGYQDTIIGQLFLNNMIQKMQFINLLGQVYKGSNGV VRRDSIIQAMFHKNNDHRMSAFTGQIQYLFVSDIINPATYQVDRHTFAYIRWYRTSCQ DTRSEQFVEMSKFLFIRSNFQNILPVYHIFMSAAIGVHTTVTGTSFPSLTLSPVFKTF ALIMSNSNHTRLSINDINDDHMIRIAPDYKMANSKVTKTFADEEKFTATGTTSPRDVV LTIYFVCDHQGFLKKAKVQEHCKNRLILHM PHYBLDRAFT_161899 MAPIRKPTVRKECRCSIYKSKTLEFDCVSVKTFKRHQEKDNHDI THVQMPHEDTCDTISSAVSEPVNQEEDSFEFEQEDVEMNSELRNLSDTNDILDIRTRN QPFSETDCMFGFEDNVQYTSDTYEEEEEYEDESDVEMDNDEGAVILIAIINEILQFLF DPFRLPVSVAGLKRLARFEALTSGVKKYVACSKCHAIYDNEAAPLCCTSPNFGTAKRM LERWVADGLINNKKLVAMQKAVEKVVLQPDYTSLGTKIAKGFPYMKADEWKFWCLVYS PVVLRDVLLLPEFKNWIEFVNTCRYFTKPSVSEEDIEKGHKCLEEFCKGCETLYDLDL LSPNMHLHLHLCQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQFIEE SWKGDFVCQLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTYLSYSFSISKYLE ASQNLSMTIRGNEPLLLSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGCKDVI DDSPFVNDWIEKVKFVDLLGQSYKGCIGKNGHGSYIQAYFTERTGSEYAYVEEIQYLF VHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVKLLQEEFYKQDFQSIL TEHSKKENVYSSLIAQRGNDWDRVAGTEQGNNVAGYLFILGFVPMPAFDT PHYBLDRAFT_72789 MPSNSSRKTDRKGKGKASASISTSANRVLAGHVGPREIAPSFLS ATIQDHINGVKDDIAAVNSNMTAFKNRMDVIVDTSGKTHMAFADFATAYANDQTRMAS LGSSLMPSYVLQTSLSDAKVSVIILEIFTEKLWDWKFESDNPALVAESKSKKKWNLNE KINHCNNVAVINYLKSYISAQTRLAGTHPWVISDKIKNRYKHSHCTFHESPEQKAKKN SKGRANSCTLQYITIFSSYNLYNKMSIQYKLTYMDNWVAIDAAMGYKTGNPVEKAYLK IFQKDAMSDGESDIEIVDNLL PHYBLDRAFT_161902 MERSERNVSVQRNLMDIAFETTSNQQTGPMEAMGGQTNSPVWEG APISDDEVAFSNESNGKSSDGDENDNDEESNGGKESEDNEENIVEIEVEEFDTEDPFA TPNMPENPVHRFIATFVVMFASCYVVNKGAVVLIEFINKLLSIYKQDFQLPVSLSGLQ SMTGFSAMTKGIKRFVVCQDCHKVYEESVPAPLNCDFVKLGAHTACNCKLMIQSLSGG LVAKKSYYVERSLIPCIIFF PHYBLDRAFT_138836 MSDIDYSQLLDYYKIAYVMPNLISYHDARLSQYFVNNRITKLKS IDLLGQTYIGNNSSGKCGSLVQAFFRTSNGRTSSLYTGQIQYLFIHSFTLPLHPNHRA STLHQDQHVFAYIRWYNLTNDNEHRDEGIAICLPEFSADNYHSILPVQRIHLEVATAV DGTDMNKERMLVIPMPKKYYA PHYBLDRAFT_138837 MTNSLAILHRDMTTIMKDVADIKAKTSNTPVSAVLQSQPMALVH AVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLWEHLWDPKFKSKHLAEIQANNGKPR WNTAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVHDFVYTNFTSRKRAANKSQAK KKSDNARNRRSSRKKEHLKRRKTAYQSNKTAIDDEMKRDCSDLIIEEAMSVGESDDGT SPHVSYSGLRLRHPGWRSDEYNHFITLVDNKVVADLGLNSHQLLSRAFGETVEGPVPD AIASQFPQWALRNRP PHYBLDRAFT_138838 MAIQIIPDECSSAKHAMNQEPLSLGVVIDMVISTLHKMMGLQYS FNKELPIAQNREVQVVDQSEESALAQNRLRALEFVQRHNSLINKIAQAVGERNAMARD TASGMNITSQILEDCLSSLKESGVAPQQKYRKQ PHYBLDRAFT_138839 MNNLTANSLSENNFVHAAIACNIMPNNNTGLELVMYIPTTRPAV SHVDGNVADPVLAMLASLNAQMKSFTNQIANMATGITKSNDTTTRLQETVANIVSGQT VVQNTTSRYNVTSGVEAVTGLSSLIEDDYVPGKRHPAISIRFDLRMMAKTSNTFLIEI NQWPGWVLTSYFTGSYNYGLAQTLTVYLWSKPQSAGILTSDLVCMVKNYFCNQVRESC RTPSSANRKRIASRRHQHSLLLLCQRSVAYLENKEAIDTVTKRDDCAHVLQKAAISDD ETDDETTRLAGSKCLKAHYPS PHYBLDRAFT_161908 MTGKGEYVGAGSDIEFWGPLRNRTIRDSFEGISCLSKLLEDFYE SKGEECSIIEAAIQTSRKTFVNSGVIDSALNQNCVKEAHNIRLQIQVDENHNIGQSYS PIYKDLFGKVIVFFEHKLDNKRWLLALVQVYAIHETNGISVVTNVPAKSKVVHLADIK ELFGLVVSTATNEWYIVWPKLNHEPKLLLGCHVDI PHYBLDRAFT_161909 MVRITKIFPRECSSCHLPYNSSSNAIKCRNRCLKSCGDINMISN KNMDIVDRTENDKPMYDANMKCDNTMEESTAMEEIEDATAPLVFDFSQPLPTSSINNA KNLEFIPIVKEFGISCNAHEKIASYFNEILANSTSTYRACTPYLSKELLKCFSRIEEK KYDICCNGCMLFDANETKCLHCGEDHYKSAQDNATALCTLGSFRDFREADESSRRGLT GQLLLTTLDAFSRPYFFALDEMHSICYGVAKQVWGLITGKYKKKHLLVLSVGVQKEIG AAMILTRKTIPTLFHGAWRDVSKNAEYFKAVD PHYBLDRAFT_138841 MIEQDLGISLTAEVKEAINTCIKHICDQLAALSSVQILGPNPSW TSIPQEDRTRMCVSHSHALKNYEINFIRCHKNWTSITKRFHCDSRELPAQSQAKSPAE SPPESPLFSRSE PHYBLDRAFT_102175 NLPRLERYKKENVVLVGLMPGPKEAKTSEINHYLHPLVAELNQL YGGVVMPTIQCPSGALVRAALLLVACDIPAACKTCGFTSHSSTCACNKCNRQFPRLPD SNAVDYSGFVFSEWVSCTDAKNRHDAELWRMASSDAQRKRLERENSVRWSELHDLVYF NLMECIVIDPMPNLYLGTAK PHYBLDRAFT_161911 MNAVLNSTIAGVVAPIDTPTPEVPVDTAPEVQVAVTPIDHVLTL LAANNVSMQSLEENAKGVTDAITHLKNGLDLSNKTNEFLKNLVLQLMTENAEIKKAMT SQNSVMPSAVPAGSSSSMDDDLDLGAKHHPLISQLINSYIKKPNFVSTDPLKVAENNN RSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNIIKNHYQNQVQVFRTS AEKIIARNKAGRRCNRKKTLLDRCIITYQTYTEAIHEGMNQYDCGNILSIDVMSDGES DGDNKVRAYRPSWRTDELQTFVSTIDELTVIRLKKNSESLKKRIPYEKEVSIPKNLAV TLPDWCFSK PHYBLDRAFT_161912 MLADPATRELLCYGANWESVAGQLTNIFDSDNYKQLVQQGLFSN PDDIVIGLYTNRFVNQKKGKNSYTIIHCIIFNLDPSIRRHGMYFDDISTRLRPMEDFK VGNPSKNIYQLSIYTQLSTFSGSSFFALDELHLIARGIGKLVYDPITVTLTKETKFYY THPDNTLNTTKYAFHIPRADLINGTCAVDWLDFLLYIVPTLVMPYLPNRAVKTALLSL MNGCALALQWTLTSELLDEMELYFKHWHSFLYQQVQNNTLSRSVFWPVQHYLVHIPYI IKQQGPLRCYSTRSMERVIGVFSKLIKSKSKGGRNASFLIERFVIHNYTSMAISICDE INLIRPKPYGKESYVDLPNDPSGAQLWDPFHQFVNLNDDLVEGVGGPSVKEALLKYYQ RTTGLTGHEFGDSVVVVAACLWMDSTVYSSCMYQRKKNETSRGNHYVMFTCPYRNNLN VIVHSWLVGTVQFYFQYVYFHGFLHFFAFMEVMKEYDAAGHDSLH PHYBLDRAFT_161913 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTKYTMEFMELDNTISYKCTCNFEDSESEAHIYDSSR ISTNTFTKAKLMSIHLSQLMLQHRIARAAYRDIVQFINTVI PHYBLDRAFT_161914 MYGFSQKCPLGFVIKNFNQISCYICNIRCKFCCVDTKRLYHVHM SYCRQIEVDLAEIKQALRKLQRQFSNQFAPAVSAEDLTTMQQSIIEQSSLERIAESVK RAQLTEYPDQLGKRVINTGVEFKGKNEAQKYNLLLQILHEQDWKARCKEVSQGQPLPP LVPLSDHDLTVKRLHLKTLGRMVKHDIIDKDYPAASKEWKNIPEKNREYYMMHLERLA KNGRLHIHQYKRMWCARSLLWESFKSDNQTHKRRIAEKNKTQQDIGDSSLSSPDMSET SDVEAPIMADVLSPLLSRCSDYGSDRIDYLTPVI PHYBLDRAFT_138846 MDKNKKLIIKTYQQQKFIRTANISMYLIEWLVFENRFSLNNSQC AICLVLTKVLPSLTSEIP PHYBLDRAFT_138847 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIAPSFSS ATIQDQRYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFA DFATAYANNQTRMASLGPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDDPA LVAENESKKKWNLNEKINHRDNVAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHS HRTFHESPEQKAKKNSKGRANSRTLQMSIRRKSTYMDNWVAIDAAMGYKTGNPVEKAY LKLFQKDAMSDGESDIEIVDNLPRRCLHVARPTWRSEEFNRLLTMVDDIDRTHHVLNA GVGTKPRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_161916 MAPIRKPTVRKECRCSICKSKTLGFDRVSVKTFKRHQEKDNHDI THVQTPHEDTCDTISSAVSEPVNQEEDGFEFEQEDVEMNSELRNLNDTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYEEEYEDESDVEMDNDEDSSLESISELNLIHRFIVI SVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVKKY VACSECHAIYDNEAAPLCCTSPNFVAHGVRWSELHRLQYFDIVRCTIIDPMHNLFLGT AKRMLERWVADGLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQ FIEESWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTYLSHSFSIS EYLEASQNLSMIIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGC KDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEI QYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQDEFYKQDF QSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_157100 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYTLYTLGIIHGDYNGSKNKTEYS PHYBLDRAFT_161917 MICTTASFYDKLRGYLTLGITYTSTLFLTLELQSTCGVHYNTLG WGILIKIITPKKTIKKSMILRHHYRHLTITIRIKRLTYFKQEGSSTLKLSQHFLVTYE KDTDPHVTVDVSKIMSGFDLMTKTVFKYTICIKRDIIYLPEYHQSNCSSKKHIPPHLS MTIILCMPVQQLRSQSLSWYFFTAY PHYBLDRAFT_161918 MALYFSAAVIARIQRFADDIPLPPEYTVLFEKVASEFLYMKAGV AQVLSEYHLPCLQCIDNDYPPALPFDLLMFQQVVNSLWYNVIGTKTSPPTTMPLKLQN LNTMKIVDDISKFPGQIEYIFTRHQDWIKPLVGFYQNKITESDITISNEKNHG PHYBLDRAFT_70690 METYPTFSIVIKQLCAFVIRSQTQAVMTATPLNIDEGTFSISNR PIVSMVQSYIHMQPEVEYVLSSVVEEKARRHLSYKIHRAKSLSEKLAGRNRFSRCRTR KIRIKKYFSKLKGRRNDQKE PHYBLDRAFT_178889 MLQDKLYMFPTTLSCNIPQRQPWPKTTWDKKLKLIDDLELNLRR RKEINLSTSNNLDHVEPASSNDASEYIQQNQSDADLSDAIEHVLQFRAASDGYASYNN VSNQSPETNPNVSSNHGFNVEYPY PHYBLDRAFT_138853 MYTIYTLLFPWVISLQVILLIVEAAPAVRSTFEIPNCKATDERA EAVKEAFVKAYGGYKTYAFGHDELLPLSNKSSNSRNGWGATIIDSLDTMLIMGLEEDY IQALEFITTVDFTKSNDVSKGFETNIRYLGGLLSANDLVPNRTLVDKAIELMNAVLVP LFRSESGAPYTNMCLKTNKPVPTDIINLAEFGTYSLEFTRLSQISQDPTYSALSNDLI DQVIQEPTKIPGLFPTSWHINPFKPLNTSVINLGGGGDSFYEYLVKNSILLGDSANPD LMNTWTNSVRSMHDYLLSPTLEDPSIQFIPMVTENGVSYSSQELICFWPGNILLGLSQ MPSTEETAIFYTFADTLLQSCYATWNDSATGISPESWYWTPQTDILKGPLGALLGLSK ETDKTQSVTSQESHTLNERFQSNPFSPSDPSYILRPETIESLFYFYRVTGETKYQDMA WAIFESIRLYTETPSGFAQIGRVDLQSDTRQDFMESFFFAETLKYLYLIFADKNCISL NSYVFNTEAHPFKLTEQIQIQS PHYBLDRAFT_138854 MTILYFFEGTSFCPEGFEKDAGLRTNTIKLLIMSDIAYFQAVQT VQAVPPI PHYBLDRAFT_105231 MTPRLTDEETQRELRELLENACNNEYEDTDISEDRTGQPERLSI SLMEHQKVGLQWMKKMEASKNKGGILCDDMGLGKTVQAISLIADNNDERIKIKATLVI CPVSLITQWEKEVLTKTDPPLKVYIYHGAARAASQAELAGYDVIITSYNVVSGDFPKK EAKETRKEQKFKRSLNNLKFHRIILDEAHTIKNRRTRMAEACYLVEATYRWCMTATPI QNNVEELYSLIKFLRIRPYEEAKKFKEEISKPLKRGRHAEALKRIQILLKAISLRRSK KATINGTPILNLPERNVHMTHIDFSSSEKEFYEYINSKAQARFNKLVAEGTVMKNYSS VLVLLLRLRQACLHPKLTLEDGPDNKELEEHMSMIVKEMRPTVINRLLENESNLIEIM CPICLDTPDQAQIISSCGHILCRECLYSKNTNLLNTTEGAMKKCPECRGQLVKDDVVT VDRFLKEHAPEKYEELLKDGFSEETQQKVQDMMMSSKIGKMIEILKQTKKDTRGKDKT IVFSQFTSMLDTLEEPLEQNNFKYVRYDGGMNVRKRAEILERFTEDPKITVLLISTKC GSLGLNLMDIWWNPALENQAIDRVHRIGQTKNVEVHRIFINGTIEDRILQLQKSKQSL VDGALGEGTGQKLGRLGLEDMMYLFRDGRIPPSMGGTPDFILPATASGSGSGSGSGSG SGSGSSLASSSNNPQ PHYBLDRAFT_69809 MPKEAQRVDCLGLHWAATTGNVGLIKFALDHGVDVNSVANGYTP LQLACTSDLNIASVQYLIDRGAEVNSQRWSRKYSHDKSKAVAGATGSTALHIACINGC VRTVDLLLRNGAVSDVKDKYGLTAVDHAITKQNTDILRLLQISRKRSASFSAPLQAPN IAMERSRRRPSLPTVTSLPKPLPSVPEGRHVIIFNEQPEIIHQKPPHAEAPQPLSQSQ LKAQLQSQPQQISQPQPQPRLRLRSHSESHSQKESQSQSHLQFQLQIQIQAQPCLHSH SPAIPISPSRISPQSPTPELSYSNSSEDSDPQTISPCHRLVRTVEYDPQDWYSHGVLH PYQEDSCYLASLERRACGTTLESICLTGQDEDQWVKDEDLIQTIRSAETTQKRSWWGG SIRQKSIDSVRPSLEFKPDFTTLPLDNSASTSGSRRRKDVLSRWLPWIFRKRKSN PHYBLDRAFT_95943 PSEESVTSLLQSRHKRNQPYTRVGQVNLIVVNPYQPLDILNDAT LQAYADTGYRNVSEQRLFLQPHVYELAARAYFHMRRSGEDQSILLSGITGSGKSTTRT HLLTQLLLLSTHSKKETKLQMQIKHGLVILEAFGHCRTVQNTSASKFGIFQELQFSER GRIQGAKILAYAFDKTRVTHVPKDERSFHIFYSLLAGTSVDEKTALHINFPPEHFHYL NQSRSIKVPELNDEIAFGDLKSALKVCGFKAKTVSQIFQLLAAILHLGNLQFAGHYET GVAAQEACSVRNRDILDTVSAMLGVSPSKLETSLTYKLRLIRTELCTIFMNPQGAAEQ RDSLARALYHVLFLWLVESMNTKICYSDREAANYIGILDQFGFQNFKNNGFEEFCTNF ANERVHQFVVSQRFDDHKGLPGIMTRDRVPVAPVLVDTMACSDLLIGKEKDTREKNTG RSAVLGLGGLVNVLDRDCAKFQMGATDATDANFLANLQRQYGSHAAFAKSAHAFSFGI HHFAGTVHYTVESFLEKNLDDLSPDFVNLLRDNSTNTFVSTLFQSTAMSTESHPKDDR TIVKAQLTNKPTRSPSTKRNAKRRGTDANENLYMTLRDLFNTMADTRLYHILHIRPND SQSPDLFDVKRVKAQVHSLLLPELAVRCRQEYASYYTFNEFLMRYERLAVSLQIDSTK PERGQVDVIRAMMNWAETQVYIGNDMVWLSYEIWRELEDGLRAYEKEERERAKGPIEP TQDPRAMATTNYARQDILLPQIDPENPVQQASLAHASVYEDGASYAESDDGMKREMEG SQWGDESEWGVKGFGPNMDMSKMVEDYHVPQNEQVEEMPITAVRIWWVRFVWMTTWWI PSFLLRILGKMKREDVQMAWREKVTLCILIAFFSSMVIFVIVGLGEVICPGTKDMYSV SNVQAHATADDMYMMVRGSVYDMTSFAITGHGTTALPANKDTMNQLAGLDVSYTIPPP LTSACEGLVTSPTIKVMPNVSDVIGTFVHNSGDQLTIPTLTKMKDPRWYWSYFEPQLT LYKKGKVVIPIKQLQADFQGWGRLAIAINGRVYDINDYMATAKTYDTGSTASDYHYLH STVEEIFSKFSGTDATDKWNQYKNSMSVEDQALNMNCLDNFFYIGDVDQRETLRCTFT NFLLLSFAVLMCFVIFVKFLAALQFGGAPTPEDHDKFVICQVPCYTEDEESLRKTIDS LTVLNYDDKRKLLLIIADGMIMGSGNDRPTPRIVLDVLGYDTKNDPEPLMFKSIGEGS KQLNYGKVYSGLYECEGHVVPYVVIAKVGKASERAKPGNRGKRDSQMICMNFLNKVHF DGEMTPLELEVYHQIKNVIGVNPSFYEYILMVDSDTEVLPDALNHMISCMLHDGRIIG LCGETKLVNEDGSWTTMIQVYEYYISHHLAKAFESLFGSVTCLPGCFCMYRIRTPVKN EPLIISPKVISDYSDNHVDTLHKKNLLHLGEDRYLTTLMMKHFPQYKMKFTAHAQCKT VAPDRWQVLLSQRRRWINSTIHNLFEVVLLPDLCGFCCLSMRFVVLIDLIGTLTLPVS VIYLVYLIYVIASKTGPLPVLALCMLAGIYGLQAILFILKRQWQHIGWMFFYILAIPV FSFFLPIYSFWHFDDFSWGNTRVVVGDNKQKKIIVADDEKYDEKMIPLKKWSVHEQEV WEMGSIGTKETGITGQSYHTHHSKGHSIYDNRSQYGGSQVGEYDYYRDTAIIDKRNRP RP PHYBLDRAFT_57794 MFNLKGKALFNASTILAAIGFLLFGYDQGVMSGIVTNEGFLDLM GRPDSATLGAIVALYEIGCMFGALATGRLSDILGRRNTIRLGCVILVVGAVIQTATTH VGMTIVSRILTGFGNGMNTATVPVYQSEMSPPKSRGAHICFECTLLVIGIGIAYWLEY GLFFVSGEVAWRFPLAFQNVFALLLIAGTFVLPETPRWLVSHDRDDEAKEVLACLWSD GDVNHPRCLGEYQEIKEGIELERREGISSYKELFTKGKFNNRYRVCIGMLSQIIQQLC GINVTTYYLVSVFKQANMSDSMAMLMAGVDSIVYILGSLVPIFLVERVGRRKIMLWGL VTQTITLVCIGGCQKAGFDGYSAGGNAAAAFTMLYNFVFGASWLSMAWLYPAEIFSTG LRAKGNSLSTAANWLGNFVVAMIAPILFEHLSYWTYILFAAFNIVFIPMVYFWFPETK GLSLEQIEILFATDEFKEDANVRVILTFFFNIFSW PHYBLDRAFT_69812 MATKLPRNILQYLATYFERQERGNCGLVCKQWKEPPLSTYWAKL VIDNTTIFTIIDEFRIKEACTKDAYRAWTLDINLGKYYPEYISLLQPTGLALESIFNK LSKLICLVHLTIYQMSSIEHGQNIFMTDIESLHRNLSHMHYIKIDASLQSIPEEEMER TRNVKSANKIKIIHFIYDNIGLLWISYFAHKYLNLVSFNVTPLKILG PHYBLDRAFT_120862 MKALILVGGFGTRLRPLTLTLPKPLVEFANRPMILHQIESLAKA GVTDIVLAVNYRPEIMVAALKEYEKEYNVTITFSVETEPLGTAGPLALAREILSKDDS PFFVLNSDVICDYPFEQLRDFHINHRNEGTIVVTKVDDPSKYGVVVNHSSSTKIDRFV EKPKEFISNKINAGIYIFNPTVLDRIELKPTSIEKEIFPRIAQDGQLHTFDLDGFWMD VGQPKDFLTGTCLYLTHMSKKHPQELANPSIDYVHKGNVLVHPTAKIGKDCRIGPNVV VGPNCVIGDGVRLQRCVILEGAKIKDFAWVNSSIVGWHSSVGRWSRLEGCSVLGDDVT ISDEIYVNGGSILPHKTISVNITEPQIIM PHYBLDRAFT_96522 ELLVKWAGMSYRHLSWLPAEWVRRINPVALSMFGTKYGVNEFPP EEEIVLRDWLFVDRILDIDFTSSSNKRIFAKYRGLPYDEACWDTIPEEGSEHFPVYKE ALRRYYIGQKVKPPSKMKIRVEEVRRVATKESYSKHELKKQPDIIKGGTLMPHQLDGL NWMLYQWERSQPCILADDMGLGKTIQVVAFLYYLLKKFGIYPFVVVVPNSTSENWLRE FNKWAPEMSVVPYYGSAKSRELARKHEIFSGNPHSANREICCHAVIMTYESALSDAQY FKKSVFWPNLIVDEAHRLKNNASLLFRKLNTLNTDHPVLLTGTPLQNNIRELMNIMNF VDPSKFQHLKELEEKYGELSHDTIQELHEQLKPYFLRRTKKTALKELPPKSEIIVPVS MTSLQKEVYKQILSQNIKSFKEYSVGTKQANGSTRVSRNILMDLRKVLNHPYLIEDVE VHQPDQESVHRALIDACGKLRILHQMLPKLKRDGHRILIFSTLRLTLDVLEDYLKVEQ HTYRRLDGSCSQLEKISAIDAFNSPDSDVFIFLLSTRAGGVGINLATADTVIVWDPDA NPHADMQAIGRAYRFGQKNPVLVLKFMTRLSAEEKIMHVAKKKMVLDHLVVEKMDDDD LESNDIESILKYGSKALFEGDNSKDIVYDSAAIDKLLDRSKIVKDNSDENADGEDGEK TLSFSFGKVWSSST PHYBLDRAFT_161930 MRLIGYLCLLFVIQCLTVEARYPFIERADPVPAEAGAVQPSNPQ PNPAPVATAASPGPTNPAGAAGAGAGAAPGAAPGAAPPAAAGPASALNTAPTVSASAN ASQTNATQSAAPNNVNPFVGKPTSASFSNDIPPAYLTWKKPIPNQTFPPLYKIGVSNI TFEWTVDPQILKVQPVNITVALANPQKQTFTAAVVPGTATSANWDLARLAPGSPLMVG YYTVWVYDQRGPKAFPSPGWLMPDARLVVAMYSTEAYVGRTDSMFCPTCYLGSASSLR ESFFPLAAAFGVALCTIYIIISNVIHLHDISIGSSLFFLSKRNYIPLAQDYSPHIVYR AR PHYBLDRAFT_178891 MDSREIESISKRIATLWGEALKKRRLVFSGLEKSGQSNDLNVAS QSGSSTGTTNPFLNVLWRRSQQSLVYNDSEIMELALEYIPLQTLYEKAEEAAEEIEDT TVDDELVRSMMHWFKTEFFSWVDQPPCDHCHGSTVGSGTDTPNRKERNDGANVVELYK CQNCRRTTRFPRYTSIPKLLETRRGRCGEWADCFTLCCRSIGAEARLVLDTTDHVWTE VYSEHHQRWIHCDPCEDAFDTPLVYSEGWNKKLAYCIAFSPKEVVDVTKRYTIKWDET LKRRTLTSEEDLAKCISDICAVRQKDLNNETLAILRERRDMEKKELEESIKRTHVDIS QMSGRKSGSLEWRITRGEFDGGNAESANIMKPYLTDYKIQAEYPDFSTLDFLGTACPL LNDQSLESQNPAEKTIRLTQAIQSQCGGVFLKNTINLIDPRVKGLEIEFSLRITDAAG GPAYGGADGLALVIQAQKHALLGNGDSGMGYDGLRDSLAIEFDTYKNVDRYNDPSDNH ISVHGRLPPNANSASHNHSLGHTSKIPALNDGKWIDVRARFMTEANIVEVSLKQNSQD YNVVLAVKNVEINEYLNHSSDIVIGFSAGTGGLVQNNDIKFTRVALYRS PHYBLDRAFT_161932 MSFSLPSSPTSPTLHPQAPPPWHYALRTSYTLNYPPNTDKAYLT MANIKRSGETSMHSLAFQSFVDEDARFLTFLLAMNNNSLMGDIRSDPQAKLCCTNFSQ MLMILRMMPKSKEQYHFSGKFYIASSPTQVTRFPPPKVSDQLPAAVYWETERRRQWSL MSNRTRASFTWPSQGEVPKADRLSFSCQSLDCMMDKEGPRIGVAGTPEEMIKVVHDIA MDNFCLLAYKVTRVGHFDHSVFPPKRTIYTYSLKTNAWSVQDANP PHYBLDRAFT_161933 MTSSSQLPLANNPRPSGSSVLPPQPPTYAHHLPSIPPKKSSVAS FALPQPRGGEHFHPSASASSASASASASPSLTLSYANASPAHSFGGMVGIQHSSDHHP SMTTPMPSLPPLHPLTPFEPIGPPSVVRASSPAANASQSSHDSTQANYRPLNVRDALT YLDLVKVRFSDQPDVYNRFLDIMKDFKSQSIDTPGVIERVSTLFKGHPNLVSGFNTFL PPGYRIECSKDPREPDLIHVTTPGGITTTTGGSDSRHPETTEPPHGPLLMTYFTPPSK PLHQPEPPLTTNTTPTDQSSHNNGAKRPPIEFNHAINYVNKIKNRFASDPDTYKQFLE ILQMYQKDEKAIQDVYSQVQYLFNGSPDLLHEFKLFLPDVSGQNPPVYDRGSKRSILG PPQNGQAIPPGKKKKMANSDQEVSRQGSQGPDMIDRYEYAGSSDPNRPSVSSEDEVLF EKIRKHIGNKPSYEEFLKTLNLYSQQIVDMNTLIDQVQVFLGNNKKLFDAFKSMVGYE PTGRILTIPPTVIPKPDIMHCKTVEISPSYRAVPKSWQNQPCSGRDQLCWEVLNDGYV SHPIWASEDSGFVTSKKNQYEEALHRVEEERYDYDLNIEANHNVIALLEPIVVRLETM TPEEKSNLRLEPGLGGQSVSIYTRIIKKVYEKERAEEIIEMLYTNPAQVAPILLKRLK KKNEEWIKAQYEWNGIWRELDAKNFYRALDYQGTTFKSNDRKVIVVKSLVTEIETMHH NQQTEKSTLQPKSNYQFLSILDDPDVFKDITRLVFTFIENQGGFTNNDREKIRTFIQT FIPMFFHVDDVMPEKQQNYTDEADDEIVYEEDEEDDDDVNTSGSEDSEADVERERANS PNNNYQTQPPPPQPPSTRRRHGRGSRNHQEDEAAMGLLRDVLTKNTGSLTTNDAGTSA HVNGKLPSESPDSGLVSEERIVKTEVDGTEEATTAFPKEDPSSAEAEEISDRSASPLV TATATAATTATTDQSSNHQSHTLFAAAAAATAPGMRKRTAYNFFCNSNFYCLFRLYEM LYERLLKMKKHSEEIKANPRKGKTFNKMALDLGFYSHRFEDIDLSGSYYAALLGVIDR FFEGELDQTLFEESARYIFVTEAYTMFTIDKLVLAVIKQIQAVTMDVKSVELVKLFRS DQSLETVSPRTLSVYRLRVEDVIGSDENLYKVNFNTGAHVMTIQLLGKDEYMLEPTAQ DQYEDYVASYMCWSYNTEGIDTESLRPSFLQRNLEVCNMDLDNIYVRSKLQYKISQES YHMFYIIGSEDLFVRPNSARKPEQEKEQKDKSVEKSISNTQLSKWQNWLQSPTTGWSK DISNEDERMEVELRTKQLLAHGIDYVPESNPS PHYBLDRAFT_79620 MIPDLPTEILAYVAKYLLPRDKLTCTHVCKAWSKPFQASLWSVL RMEDLDTLNTTYDLPIEENVYIKNGDLVRELDLATKTDMDDEQLLILQEYFPYLRDLK ITTSYKNIFEYRRSVDWSLWQSLDALKLNISIPDFFEGNREFLYILSCLPCLRQLDIS LNDQQGVMILELNDIETLHRNLPRLEVLSMKVILNHLASYDLMVIETCEPAIDLKSIS VTLHGIDYRWLCYFSRKYPNLQTIELKTARHVTEIGVHEEQYLRELKRRFISCYNVFE RLENIQIDTKDLGELHKIFWTLFGPSDIPSRSIKVGFNSKYSSLDVFDETMKMISTNY SATVESLSIAGKFGSSDQPPVLLGLDEYARLTQLDLKCSTAKFELDLILDCCIFLEKL RITESGVTFSEKASIHPPAHGLRLIEFNRLTLSTTVLRYISRRCTALRLMRIVWTVIY QEAPAVPYHMPIDMSHTHFEELRLHCVKFITMHPSTLSLPIRLISISPERFRGPTSLT DEGVIDFDHYDGNNDLQPTAIEDEDYANLSRTVWYHMYWDIGLLDGKYHTASILRDRQ VAQVLYYLSSICLEDRYSSIINSKLSPSSSLRSNSLEAHTPLGYVDFRCGSIGKVYVD TNSAPEDDYWQTLR PHYBLDRAFT_178893 MSGDPMHDQGLAVPVGNAPSMYSAQGQQYYNQYAYESNHPEPIK NHEDRLNEKAKKWQQLQNKRYGEKRKFGFIEHEKADMPPEHLRKIIKDHGDMSAKKFR HDKRIYLGALKYVPHAVLKLLENMPMPWEQVREVSVLYHITGAITFVNEIPWVIEPVY IAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYGDNIMDVEPLEAIQMEMDDE EDSAVCDWFYDPKPLLDTKHVNGTTYRKWRLDLPIMSTLYRLAHQLLSDMNDKNYFYL FDLNSFFTAKALSMAIPGGPKFEPLYRDIDTSDEDWNEFNDINKIIIRQPVRTEYKIA FPFLYNSLPRSVRVSWYHYPIVVYVRSEDPDLPAFYFDPIVNPISSRTIESAGKQHED SIFGDGDEDTEFSLPESVVPFLDETEISTENTANAIALYWAPHPFDKRSGRTRRAQDV PLVKNWYLEHCPPNQPVKVRVSYQKLLKCYVLNALKHRAPKALNKKYLFRSLKSTKFF QTTQLDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRF GNAFHLCREILRLTKLIVDSHVQYRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRL MRQIRMCKDLKHLIYYRFNTGPVGKGPGVGFWAPGWRVWLFFMRGIVPLLERWLGNLL ARQFEGRHSKGIAKTVTKQRVDSHYDLELRAAVLHDITDAMPEGIKGNKSRTILQHLS EAWRAWKANIPWKVPGMPTPIENMILRYIKAKADWWTSVAHYNRERIRRGATVDKTIC RKNLGRLTRLWLKAEQERQHNYLKDGPYITAEEAVAIYTSTVHWLESRKFSPIPFPPL SYKHDTKLLILALERLREAYSVQGRLNQSQREELGLIEQAYDNPHEALSRIKRLLLTQ RAFKEVGIEFMDLYSHLIPVYDIEPLEKITDAYLDQYLWYEADKRHLFPAWIKPSDSE PPPLLVYKWCQGINNLTEVWDTSEGQCNVMLETQFSRVYEKVDLTLLGRLLRLILDHN LADYATAKNNVVLNYKDMNHVNAFGLIRGLQFASFIFQYYGLVLDLLVLGLHRASEMA GPPQLPNDFLQYRDVTTETRHPIRLYSRYIDRLHIFFRFTADEARDLIQRYLTEHPDP NFENIVGYNNKKCWPRDCRMRLMKHDVNLGRAVFWDIKNRLPRSLTSIEWEESFVSVY SKDNPNLLFSMSGFEVRILPKSRSMTEEFTLKDGVWNLVNEQTKERTAQAYLRVDQES MDKFHNRIRQILMASGSTTFSKIANKYNTALIGLMTYYREAVVHTRELLDLLVKCENK IQTRIKIGLNSKMPSRFPPVVFYTPKELGGLGMLSMGHVLIPQSDLRWSKQTESGITH FRSGMSHDEDTLIPNLYRYIQSWESEFVDSQRVWAEYALKRQEANAQNRRLTLEDLED SWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKNNPFYWTHSRHDGKLWNLN NYRTDMIQALGGVEGILEHTLFRGTYFTSWLGLFWEKACFAGDTFLLLADGNVKLARD ITTKDQFMGDDGSPRTILECVDGVAPLYKVIPVSGEALEVTGNHILCLTTTSKSSLVW SDEDKIYTLRWFSDQKGAQEFTTDSLQVVTDLVDSLEEDVSAKEQEYFSEMTVREYMA LPQTFQNTWLMYRAEPEVTKHNQAMVVAIKAVELVPEPQTYYGFRIDGNQRFLRYDGL VVHNSGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGI FMHGKIPTLKISLIQIFRAHLWQKIHEALTMDFCQVFDRELEALQIETVQKETIHPRK SYKMNSSASDILLFAAYKWPVSRPSLLNDPKDIMDGPTTTKYWLDIQLRWGDFDSHDV ERYTRAKFLDYTTDNMSIYPSPTGLMIGVDLAYNLYSAYGNWIPGMKPLVQQAMAKIM KANPALYVLRERIRKALQLYSSEPTEPYLSSTNYGELFSNQIIWFVDDTNVYRVTIHK TFEGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIR SLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKVEKFGDLILK ATEPQMVLFNLYDDWLRTFSSYTAFSRLVLILRALHVNNDKTKMILRPDRTTITEPHH IWPTLSDEEWAKVEVQLKDLILADYGKKNNVNVASLTQSEIRDIILGMEIQAPSLQRQ QIAEIEKQTKEQSQLTAVTTKTRNIHGDEMIVTTTSNYENATFASKTEWRIRAISATN LHLRTNHIYVNSDDIKENTYTYVLPKNVLKRFITISDLRTQIAGFMYGVSPPDAPNVK EIRCVVIPPQWGTHQTVHLPNTLPEHEYLADLEPLGWIHTQPQELMNISPQDVTTHAK LLANNKSWDGEKAVALTCSFTPGSCSLTAYKITPGGFEWGKNNMDTSSNPQGFLPTHA EKVQILLSDRFLGFFMAPSEIWNYNFMGAQFNANMNYRLVLDVPKEFYHESHRASHFM NFSSEMETGGEADIEDSFA PHYBLDRAFT_92569 NPVKKNSHLYYKGKTLVLDLDETLVHSVRLGSPDDNHTISTSIK RKKIEVQNDHQSILYEVYKRPHVDFFLKTISQWYKVVIFTASMAEYADPVIDWLDQDQ HIISQRYFRQSCEYRNGDFLKDISLAEPDLSKVCLVDNSPAAFGLYKDNGIPLTGWIS NPQDQCLLDLLPLLDALRFTADVRSIL PHYBLDRAFT_178895 MLPFPTIVCPATCELCGHWMPQHSDECPRNGVHPSQWTLTINIQ ELDSESDTED PHYBLDRAFT_103143 LAVTSYLSAQHLLGPNKSGSQDRQDSKIKYREAMKALQDDLLPV RAHGIGMLKEMVLSRDPLVASGSGLDNVLDLFVRLVQDEDSFIYLNAVKGLSALTDVH GNEIIAKLGQIYSDESQKLENRLRVGEALLQTVQRCGDALGKY PHYBLDRAFT_138871 MYKAFTKLRSAGLSRRSETVGVVVGGRHTAEKDPLYLSGSISAP SAVHEEGVDQQRGPRVSELHRRPAPLWLCLSPVLASAPPFLFASPVPIRWVPWPSPCQ CASPTLVVAPSSSTNFFSSVLVAPNHAWISMRKLRVSLSVAASQNFSTSEGRRFAKAA ITSMLSQYDAEALFGQAKSFPGNEAFVDLSVVDWPSQSTGLLQVLDFEQAESRTGPSN AAEGSTAPGPGTGDGCYTQASFETAYNLN PHYBLDRAFT_161939 MYQQINRYLSRREYPEDCPAPNKKKLRNRSRKYVAVEDRLHIKR STGEPGPEVLHAQNMLRVVTEVHEEGHWDEDAAIVASPRPEIPESLFAESSGKPSPRH PRTDGGVPPSGTRENRGTAEETEEAIRQVGHNRQVRGWRSSLDAGNDEGDEIRRCLVG SVRGHASKPGAWICSSVGWPPRVPRSRPGRRRRNSWKKVRRQESETRIDTEKAKEPTL SVQARETGTVEQKQEQATSAATVEPVDDVAQIPEVLVAGQPPLHEPQKVPIYPRTIRV LYDDIKENRRKGWKVWLFNFGQYIWVDLTDSLAGQPEQPEQPEISHAESRKKLLSLKR GAIMLNISLFIGLLTRIVSFSFFHLLIFPKSDSTNWSLLAWDGFRKMIDGSYGCQQQY PQQDTLLLSKFVRTSKEERESWRLFILCQLTFGRRLEQLPLEPISQKVDKLGLITRYT SAAINPLLENLIKHVMFRWYEKKNFSQTQAQFIFVIFAYFRTSVANNKCKSCEMALLL ARPDSTISKVMGTEIGQTVGYEEVKPALQALNRELVGKDLVRLALLSKKKTRLIRIVA NLSWCFLSLLPIGKFVAHSGLLNINSYWPIKKLPIRNRVPHPEL PHYBLDRAFT_79623 MADNSHNHDLAEKTQTVPVEKSELYMNEELEKNEQEVAPISNGG DTAFANASWQYKTIALVTALLFPLGSHFSASALSAMKAPIKANLHIDNTRYGVLASAV SIINTIFPIAGGVFIDMFGSVWGTLAVNCLIIIGSLLTAVAAKIESFALMVVGRVVFG IGSGLIVTMQESLLSKWFRTEHLSIAIGLQLSISRLSTFLGTLVANPIAEKTGDWVWA FWLSFILCGFSILMNVIYALTVMKLRGNVVISKEEVARLKNKKTFKWRSVFKFPTIYW HIILIEFIFAAVWSSFQTISTELVIVHFNTTSVLAGYTASASQSIPIVATAVLGVFMD YFGHRITVLLISAIFLILSSGLLGWTYVDAIVGMIFYSISLAFGPIAMITSIGMILPS DYIGTGLGIYKSSNNVGTTILDIVVGVIQDNTHNQAYTGVMTLFIVLGGIGFVLIFGL IITQRFYLRNLLQTTRANRTAIMKEVNDKELFYTQQGLDAYTGVNPAIQNYIYVGCFI VCLLVAWVLFFVYAATGGVAA PHYBLDRAFT_161941 MDYTTGRWSYIIKAIGDKITRKKIISLLPDYRLDHILNKTSISD NNHDLSDHLLILMRSTIKPSTTLSLMLHLYTYSFDTNLAKLIVIPRLIKLTNDDKYYS DLVPSGKFYIL PHYBLDRAFT_120868 MIIGPNGTGKSTIVCAIALGLGGAPSLLGRAKNAIEFIKTGATE SMILIELKNGNGPNVTVQRNIHKAGNQNTWKLNSKPSTQKEVISTIAKFDIQVDNLCQ FLPQDKVAEFAQLSPPLLLKRTQLAAGEKKLSEWQDQLMTWRLTQKDLTLSYESGQTH LKVLTDRNVGLEKDVLKLKQREAALKRVAILKATLPLAKYSSAKIRHDEAKIEEEEKK RVVQQIEQSHLPIQEAVSTLETESRNAVTTLNQLKQTATGMSSQLTDTTHQIERSKLV TKEA PHYBLDRAFT_161943 MYKHSNLGPNYNEWLKTAHLLDPPFDYFSFAELILKDKHVTNLI YKSILTEYVKKSNKYLNEGVKSLKLFEGYMCSYHYNHLANQSRTKEDGPFGSAFKKYW IKREEERTVESIRKRQLEGTSNITNDIVSNMERIIKKSCATSPHFSVSPSTSAISSTY IADEKTETSTISSEDIASCDISPTGYAYKKYDGLNVLDLDNPDILEYMSGTLLEESGA SIKSLTDPPKIELSEPCKDILNLISKSSASATCIKSQIRSFIGTNNSLDESEYADYYF AESTLHHFLQMMTSPRNPILFPMKERTAAPITAIYLLHAMFLSCNDLVSFHWIERTAD ITGAANWDGICFSIKDKRLTPVLIEFSGGIHFNSTTEKEQRDESKMIRNMVKLLEYAK YVKKHKSPVPQFYCRFFTNQIFFEAIFLVDEETRLVKRTFCKIPCPVTPRELKIFAEN IPAMLKWKQAIINYVIESQK PHYBLDRAFT_120870 MNAFRTTTRLAFARPTFQTRIAAPMSVRWLSDSLRQKLNEDVKS SDIVLFMKGTPDQPMCGFSRATVQILQVQGVDFDKHVKAFNVLADDDLREGIKEYSEW PTIPQVYVKGEFVGGCDIMLNMHQSGDLEDLLVKENIVPAEPEATKD PHYBLDRAFT_104946 MSNIDASAPLLQHKQHFSISTDQLCDLIDSRQQSSLERLGGTKT ICDALEVDPTIGLLPDESFDPCYGVIKSRPDEEGFADRKRVFGRNTIPDAPPKSLLFL VWQAYNDQTLIMLTIAAIVSLVAGFWNDMSDNHPKDEPKIGWVDGVAILAAVAVVIIT SKSIQITNHHAINDYEKEKQFRKLNAKKEDRPVKVLRRGMTQQVHVCDIVVGDIMFIE PGDMITVDCLYLEGHNVHCDESTATGESRTVKKSSFQNGGDCFLLSGTKILQGVAKVV VVAVGVHSFYGRAMTLMRNAEEEQTPLQAKLNTLADQIAKFGCIAAGLMLIVLVLKLV VQSVWSGHWLPPTELTAELVGIVIQAITIIVVAVPEGLPMAVTLALAFATTEMLKDNN LVRHLSACETMGNATAVCSDKTGTLTENKMTLVRASVGQHQFVKPSEIPGWQLQANPM VLGLAVEGISVNSTAVEGISLKGHLQIVGSTTERALLEFIRRLGYDYHQQRASSRLAS VYPFNSTAKSMTSIIEINESNVKSPDQSYYRQYTKGATETIIKNCTHYIDAQGEIQIL DANTRLEHEIRLNEYAKKALRTLGLAYRLDPDNAPVTNLVLIGIVGIQDQLRPGVIES VQAFRRAGVFIRMITGDNLETAKAIGKECGILTPGGVAMTGPEFRALSSTEQLKVLPR LQILARSSPIDKTIVVSRLQALDEIVAMTGDGTNDGPALKMANVGFAMGVSGTEVAKE ASDIILMDDNFSSILQALKWGRAVNDGVRKFLTFQLTVNIAAVVLSFVSALVSETSES ILSAVQLLWVNMIMDTFAALALATEPLTNDLVDRKPLRKDSSLINWRMSRMIVGQAVF QIVINLVLLFHGAEVFGLTDSDADTKILRTMVFNVFVFMQVFNELNCRRIDDNINVFR GIRHDFLFLVMQTLVVVSQVAIITWGGMAFKTVSLTATQWLFTIGIGAISLPVGTLIR LLPSQMPYLWSENKDDEFVHVVSYPRLLWESAITRILQSIKSFSSGPKSSAAAIARAA AEEGEYITDDLKVGEPSYYYGHGVTDAARKKS PHYBLDRAFT_69833 MFSTQKSLFIIASLVSLTVLAAPIHDKSKSRVEPTTSVEWAFVD DYATRNQVLDFYENVVEEVFTAHTEEILEHLVRTSQPNSPFTVNYLTTEESHASCVHK IENSVANNVQAMSQHVYSSIEKHVDENLILLDAFNRNSETAVDPETSFEDIAALVFSL NQVVSDQLAAVIDRYSLMKNIENDLPYCDLHSFFREPSMATTAEAGSAGSAAVLLQQS IDSIFGLTNSPIHSKGLWLQSAKTSVDLSVTPCVENPIDYHIQAIRSDVLYEIENRSM DIVNILYDSQFEDLDV PHYBLDRAFT_69834 MKQKTHTTFVEWAGPYVHLLATNNLSKINCWNMSWVDDAEEEEE KHMCESERKKRQGLLRKKKATVLLKHGTSNNRTFYSMSAMFLCNFADAWKSRFMDKGL EDLQCSIILLVLSKCVAHYTAKKSRTFIYLTGMRRYKYVNNSSPSLQA PHYBLDRAFT_184583 MNVPEQQLHDDLVKDWILSSRNEIDASFMAHVLLMTTKSSTGLS DLVKFLSNYWKDEIHRGKCVELLTQVTTHSRSSLDYETVEVLMSFSCDRLNDSTSVLR LLDLVDVLCSTPHFDGHYAVMLCQSIFRHIGQKKQPQGTRHKIFSLLNKLLQTYTSDL QRARVNFIGSFVAFMDGEKDPRNLVIAFEIVRFIIEKFDISQHVEDLFDVLFCYFPIS FNAPINDPFSITTEDLKDSLRRCLAATPYFANYATPLLAEKLLNTTGSAKKDAMETIG LCAPAYGAHALLPHAKDIFDALVNEVYHATETSMEATALKTIHNVVATLGTGVSIANI RDPVEKTIDALLSQCVEKLNEPELKYAKAAALILRSAASASDPACTSVVHTTFPILHN MFKDANSLSRQLAVLDIFIEILFASKSLYGSIEDIGFDRDFQTPLLSYKKPLLDIFIT SLNIRSDEGRVCRMSALKGIRQMVVMKQFLSAEEMEKLVLHLTQLIPDTDGELRALVL SSLSVLAKLSLPALSKYTFPLLWRLLPGHQKPDNSNYHSTLEAVEYLTINPTIFNTIV ASSLLDKFDKSCRLSDQSRDYVSALAKTMLNLFQTMAPKDPKTMQLGQRIFFPHIMSE CIKSTLHYPGSWLLDTQLVDILSLFVAGVVKNSNSSHQTALTAIAFRLFVNGDLTAVN LQSTSDPTLRLFPLSTVVLPIPSYSEFIQGLVQAALNTPCSAKSLALTKSFASIVNKW GNESVMSCVDNIIPTYLIPALESSDIKVKKAGLLLLTWLAKALVIQGHALGFQILDII ANQCQLPDVGREAANHFSTILQDDELMLNKKSYANVSILYRQRVFNYLAPKLIETANI SSADSKINYFTALSCLLVNVPDSVVASESSKLITSINASLLLSDSNLSLSMIKVTRVI IATSPEKIEHATSPTIDGLLHSADPAHNLSLPVRIESIQCLKDIPDKFKPTTLSPHAP IVVKRLCRSLDDKKRLVRKYAVDCRERCSLLRYLLGAFSQPLCIKRYINNRMTSLLFY DCITLKLEILCVGDPT PHYBLDRAFT_178901 MDLVVEYADEYLFDALYSKVGQTSFNINGTTTTDDITFGIPRDN IYRQTFTSIFILTVGGFIFYLFAATMSYYFVYDHNIMKHPKFLKNQIRLEIECALSAM PGIAVMTAPWIVGELRGHSYLYGGTPESLYDWAYFLLSIPAFLAFTDCGIYWIHRWEH HPLLYKRMHKLHHKWIVPTPFASHAFKAVDGYAQSLPYHIYVFLFPMHKYLYLGLFIF VNFWTVMIHDGAYISHSSIINTSAHHAVHHLYFNYNYGQYFTLWDRIGNSHRQPTDEQ YDSELRINKKVMAKQAKDAETIEDESERAKLKSN PHYBLDRAFT_120878 MSFSKKKGKGSPSPSSLRDDEYSQVIEGIKKIYNSKIKPLETTY NFEGFHSAPLTDSDIDAKPIILLIGQYSTGKTTFIRYLLDKSYPGEHIGVEPTTDRFV AVMHGEEDRVMPGNAAAVNQDLPFRGLNRFGQAFLSRFQVSQTNSPTLENMTIIDTPG ILAGDKQRIERGYDYTQVIEWFAQRADLIILMFDSHKLDISNEFKLAIHSLRGQEEKV RVVLNKSDMVNQQQLMRVYGAMMWSLGKVVQTPEVMRVFLSSFWTEKPPNSFEDCREL IEAESKDLLRDLKELKRNAAIRKINEIVKRARLARVHALIISHLKKEMPAMFGKKKKQ ENLLKNLEQEFMKIQQRHHLPAGDFPNPEKFRQSLSVYDMDKFKPLKEELIERVDEAL SVDLPRLMHMFPMSNPQLDQSQRNPFETQASPGELPPSYWHFASIDKASYIPVFNQLG PREGKIPGGSVKPVLVQSGLPTDTLAQIWTLSDFDDDGYMDIDEFSIAMHLIKAVKNG GTLPEKIPTTLLPNRKF PHYBLDRAFT_184587 MVSSLTWSLITSHIRGILSHHSSAVSRLLSNAQRHVVLNPRRPK QPGFQVLYPQRMKQPSARDSFFAATPLKCNKADSQETLLRRPQKKLRTISGLDMPVTP NYVPPRAPVVLCHGLYGFDKRGPDNLPALQVHYWGGIENALAKLGAKVIVTRVPSTGS IWERAQVLHKLMKTVLDGKDINFIAHSMGGLDCRHLITHIPDRPYRVRSLTTISTPHR GSPVMDWFRDNVGVGMTDALVNAATRKMEKNELDAEKIRTLNALQGILHTPIAVTLPV RLPPTLLPLLSWTLADVAKMPAHLLDPVVARVVQLLDTPAYANLSTDYCTHHFNLTTP NDPRVAYYSYGGSAKLPAWSLLGLPHQLIKQKEGENDGFVSVKSAQWGQYIKTLEADH WDLNGQRYRWRSSKQPGQCTEGDRFNVLEFYLEMVTRLYHQGH PHYBLDRAFT_79632 MRFSILAIFCFFIATAMAATVHSSSSPSQVDILSLDLSSTLNSA PAEYSPSEIAGSNDLEKRRQPTNFVDVPIWINGTLFYIALSVSTLLWCTGKGIDMMLE RQERLAEAAAY PHYBLDRAFT_69841 MDRMDLDGQTAPESTRGLFDLPEKMLQSDRTDNRRSAHQLHTYP ATNETKTIRQRSKSANYSSSLSSHHRSSLHTVNEEGKNCSSSNILSPQSPWIGPRRSS TNHLLDSHQSISPEPSRQPYRLSQELKPQHNNNNTSNSHQKDSLGSIQNPIKRSSVVF NSFPMTQSSQPQYTSPSTSANPNAKNNNRNNSPFNVNGLANQSNNSPPTTYGDRSLVN SHAIPRHANGDRRSVPVEYNKRSSNVCLYEEGEYIAATPNTNTNTRANARAETEAKLT GVSLARVNLQRQHPDGGDDYQANQERQYQNKHQPQPQAQTQAQTQAQTQAQAQAQAQT KPQPHPHPQQQNHYQQQNQQQQEQQEKIIHSRSVYPNPLSPLSPHHPFIHHKETTDLQ KHQYQKHYQHPSDQSPKHGRRLSEPNPRNFDQNDRRLISNNNNNSSNRPVSLPWSSYV NTPESKRPLESGEKTKEEEEEDEEKDEGAEVEMEVVGLGLGWGLGSFQSRNKSFKPPH LALDLKNSRPRQGDWRQSTLINNTLSPSPSPRSSCQGPVPALVPVPFTPTHFSFSREE NNPQNQRRALFTAHLPYSGVIPLLKSHQLVSGIMRVNKRNRSDAYVFCEEVNTDIYIC GSRDRNRALEGDTVAVRLVQVDKVMREKLEKEDAKLARNNGQPVVRKPDEEDEKEIMF AGEDDVDKIKPRFCGVVVAILERLQNQAFSGTLGVMRPSNKRQIKENGEPQHQHQKQQ QQGDFQDQRDSGSFQPTPRIVWFKPTDKRVPLIAIPVEQAPADFIENSQSYINRLFLG SIKRWPITSLHPFGLLEAELGSINALSVQFRAILADNNFPYNGFPESLLRCLPPPGWR PSKEDILERLDLRSARCFTIDSTGDKDFGNALSVQKIGDEFEIGFHVSDVSAFVKPHS LLDKEARSRCTGVFVYEDVPLWPQQLLTECTDLLPGKDRFAFSVVWKLDKSGHVLHEW HGKSTIRSRGILNSNQLQHMLDGKGVPDHLSLEEHERPEVVEQEIHVLYNLAQALKKS RTSRGAMLLTLERFHVDFANGEPTKVSMVKKVPADDIMSEFMVLANIGVAQKIAQHFP EQAMLRSQSPPNERKLRELANYVRKLGYSIDPSSTSALQRSVDAIDNPTAKLVITNLV LKATQSSKYFCAGSFEPSRHHHYALDEPVYTHFTSPSRRFADLIVHRQLEAVLAKEPR FHIEPELLQKIAQHCNVKAQAARNAHEQCQHLFLSKFLNASGQTSTPHEALVVGVHDQ AFDVVVPGMGLERRIHTINLPLNTYKHSPADGVLHLYWKPKVATTDAIVERAYDEEEE EERGSGSGSGSGSGSVGAGEHYYYNSNHHHHHNDNSNNYQIPDQSVTTNSLSSSSSSS SSSFPHYNQCPTNANRLTESVNQLAIKSGISPTEPVGSNNLCSTAAAASVTGGSGSGS GSAGTGVGAGSTANPSAGINVAVHRRPRSMSLRAVQGENPQESQQECTIPDECRQVIR PFDYVRVVLIADPLRSPPLVRILAANPFV PHYBLDRAFT_69842 MGSESRLFSWQDIPNLPNLLAAESIKKFNKLDKQGKPLVHSNKA EWTVLACILAVYTSSKDDYSIQVISLGTGLKCLPYSKLCKTGELVNDNHAEVICRRGF IKYALEQAEKLKSSEEVDSPFYSSESGGDASMSMLAEAQKPESLEAFMAGKRKQDVNQ ERQLLENIYANKKRKTTVISEGSEGSRVLHLNPMFQRGRFGFDHLGVLRTKPGRVDSE PTLSMSCSDKIARWNVLGLQSALLSNIFEPVYLDSIVVSDMFEKESLERALYGRLESL QDLPVPYRLHRPKIYPTDISFEASKSVLESTGKYSTLVSSGAAISWVAGMPRSEVIVH GRKQGVPKGKPTNPKTRPLICKLSLFNRFLLSHKGEPKTYLEWKREAIDYQKAKQCLL DQCFQTWVQTPDEYQDFSFIE PHYBLDRAFT_8100 FCQQLIKATQISCACIVLALYYVNRLREAYPFVQGSIGSEVRLF TTALVLANKYLDDNTFTNKTWADVSHIPVHELNIMEVEFLSALNYRIHVPHQQFFSWA PHYBLDRAFT_138892 MSPSVILHWYPESLFAQKIAWDLNYKKVDYKTVLINMIEPRPLR RPLDGGYRKTPILQINNHIFCDTKVIIAELEKRYPEPSFYPATRSGQATEALCKAFSQ WTDSTLLFALVSQCGSMKLPEGFANDRAAYLGKEIDFAQMAEIAPFLKLEIQAQFEVA EQLLLENDQGSKEWVLGTQLPSMADFHFATDTMFAGGLMGETWLKENFPKLAAHKARV LNIGNYERIKSMPSISAEEALEIAKKEGLEFEGEVKPTGTSLKTDMLVSVTPVDTGKI PVVGSLVHLTSQEVVLKHIDQESSLEVFIHFPVIGFAIVPVPVPADL PHYBLDRAFT_138893 MTDMQSKPSPNGIGKSGGSHLGFSEKTERVLTSIRNKKFIIMDG VGIGIGDGDSGSDRIA PHYBLDRAFT_69845 MYIITRIKSWYMFNIIPVYSYILAHAKWTTTNNAYTLYVTRDAK NDNVIPVLVALHEEVNYAKILKTINLCAMVYVGFRLLSTVLMISISGPYSLKDNNVFD AVANSFLDFNTQQFVINVQNIEKYLNMFL PHYBLDRAFT_161959 MLAPITSWAKVIKNSYLNPTNFWHGDLDEVPLNLPIDTQMYLFK ARYSDMDGGQKFYHYHYIERVFFLLRELFSDISNAAYKNKLRARYSDTGGFKFYHYPY IEK PHYBLDRAFT_184589 MPIDLNNQTIQVPDSKRPGQTAIYRNSSFPELIESVKPEIKTTY DIFANGLSISRNKPCFGRRPLINAQTGEYGPYEWETYQEVSDRIDNFGSGLLDLFENT LNIKDSKAHPVGIWSVNRPEWTITDITCSAYSLFIVALYDSLGPEAVEYAINHSELQI VVCSIEHIPKLLKISEKIPSLKVIISLDPFEGPGSIISTLDTDIIAMAAEKNIHLVEF KAVEKLGETKKKPHRCAAPDDLTCIMYTSGTTGLPKGAMITQDNCVISVSAIYLRYCP KQTDISISYLPLAHIFARLADWMLILAGSQIGYFRGDMSLLMNDIQTLQPTFMIIVPR LLNRIYSNIAQATILAPGIKGAIARKAVSAKIERLSSGGGVNHAFWDPLIFNKIKSVL GGRVRTMITGAAPIANDILQFMRIVLGCSLVEGYGATETSAAATGQDLTEYTAGHVGA PFPANEIKLVDIPDMNYLSTDKPFPRGEVCVRGRNIFIGYYKDEEKTREVLDSEGWYH TGDVGAINERGCLRLIDRKKNIFKLAQGEYIAPEKIENIYVKEPLVSQIFVHGDSLQP CLVAVIVPDPVALNKLAQQVVPEETLDYQGQCDNPAIQEAVLTQLNYAAKKGGLLGFE MAKAIYLETDPFSTENDILTPSFKLKRHQAMIHYEKRIAELYGKLDIKNEKAIFNKQQ Q PHYBLDRAFT_157115 MASAREASPVLKKRKAEDVSHEARHKHKSHHSPRESSSSQQQPS TGNDEDLITEAEVIETLRGERMTTKEFLMRFRKRIKKNERNREIITELLKKVARHNVT GDPKTRTVELKPGL PHYBLDRAFT_138896 MKFSADVSSDRSKSRKAHFTAPSSIRRKIMSAPLSKELREKHSA RSIPVRKDDEVMVVRGTYKGREGKIVQVYRKKWVIHIDRVTREKVNGATVPIGIHPSK VVVTNLKIDKSRQAILDRKNSAAKKTAMQEVS PHYBLDRAFT_120891 GKGSHGDDDEDDEDDEEEHKWHGKGDQDNEWHGKGDQDNEWHGK GDQDNEWNGKGGQDSEWHGKGGQDSEWHGKGGQDDEWHGKGGQDNEWYGNKWQDNKWQ DDKWHGDQYPSHNEIDTSHTITITKTNWNTIFQTIVPVSTATVYYGGDDCEEEDENDW HGNKKSYKGEKPPRLY PHYBLDRAFT_138898 MSIDPVEDSLKFLLAIKDADTLLSQTQPIVKAFQHLGALLTKNA SDITAWSKATQLAQVVADGARTESTRTPLGEAGVLEKVTDILRLAKEGQTDYQIQALR VYGNMCFDHNDNRQKVHDAGVIPLAIPFLEQGHRPDLVRTCCGFCLNSSMDFEPIQAD IATYGGVKLLTELLDPARMDHGEEATVCMAAKVLDNLLGEDSVRKAFSSRESVSRLLE MINYEWNVDQLENLDLLENLADALLQVVLDDDDMQNAVVDSGFFTMLLDFLEKATLPL EAADDEDEKKRFTETQATISKIAVYATSTDEMLERLYADEKTLNRFFRMMNSESSIVS QTAVYALGNLARTDAHCVEMVEKNHLEKSLLKLLTSTENATFQYVILGCLKHLCLPKQ NKAVIGNAGAIESVAPLLDTSKDMLKRNQFFAIGILKLLCANNCKPIIPVMNMRFIPR QFVDENSQRIITSPTTTEEKQTPLELVLAFIKRVDDSSAKSEATRILTNLVKSVWTQP ITTSLALRTILVKPAIVEPIAELVRSSKFVVLKNDGIIALTVIFADTDSESSKILLKD ALSFVIAPVPSLEDMLINQDNDDDDEKKPEEIETRTFLEVVVDTVCKENADIPSEVRC NACVFLEKVALAAQTVNDTKVSNVLYEKVLPQLKALTQGPETVVSFSKKAASAIAKST IA PHYBLDRAFT_24510 MSVPARPSNPAYSQSIHYRNPVGSASGFHEAYIAAPLVGGFHPG LISRPHHYSDASNYPLVNNDTQDTATAAAAANTARRRATRQLKLTKGNLIINCPVPDR LLLSVPRKDEEFRTMRYTAATCDPDDFQKQGYTLRQQQYQRETELFIVITMYNEDEIL FTRTMHGVMKNISYLCSLPNSPVWGPDGWQKVVVCIVADGREIINKRVLHVLASMGIY QAGIAKNMVEDKPVTAHIYEFTTQISIDSDMKLRGADKGIVPVQILFCLKEKNAKKIN SHRWFFNAFGPLLLPKICILLDVGTRPGNVSIYKLWRTFERNRNIGGACGEIRAMLGV GFKQLLNPLVAAQNFEYKMSNILDKPLESVFGYISVLPGAFSAYRYQALQNDINGHGP LEKYFHGEDLHSSAKQPKLFEANMYLAEDRILCFELVAKRGERWLLEYCQSAFAETDV PEQLPEFISQRRRWLNGSFFAGVYGIWHFPSILKSNHSLFRMGLLCIESVYSFISLLF GWLSLGNFYITFYFITKSLEDPTVDPFGNGRGTHISSVIRYGYQFLLIIVFICSIGNR PQGSRILFILCLVGFAVIMCYMLFAAAWLVYKAISYSGIEWTPNAGTNLALLLANQGL RNMLLSLMATYGLYFISSILYGQPWHMLTSFLPYLLLLPCYVNILSIYAFCNTHDVSW GTKGDNGIAKDLGVVKVSNDSQGVETVEIELPADQLDINLEYEEALLAFENKPINPKS SFHQTQDDYYRSFRTHLVLIWIACNALLSVCITSTKFDTIYQESIGTTYMSVILWVNT GLAAFRFLGSFTYLILRLFSSV PHYBLDRAFT_129650 MSPNVILHWYPESPFAQKIAWALNYKKVDYKTVLINMIEPRPLR RPLDGGYRKTPILQIDNHIFCDTKVIIAELEKRYPEPSLYPATRSGQATEALCKAFSQ WTDNTLFFSVVPQFLSMGIPDVIVKDRSAYSGTKIDVDQISAIAPFLKLELQAQFEVA EQLLLENDQGSKEWVLDTQLPSMADFHLATDTAFAGGLVGESWLKKNFPTLSAHKTRV LKVGGYEKTEDMPRISAEEALEIAKKESLEFVGEVKSTGTPLKAGVLVSVTPLDNGKI PVVGSLVHLTSQEVVLKHIDRESSLEVFIHFPIIGFAIVPVPANL PHYBLDRAFT_69852 MSSTSSQHEPQLSEFTPRKRRKVLSKMENICRRMRSLANLLEGI DFEAYDAEQDRRGAAGPVERIRRRPRGRRDPNMPKMPSNSYAIYTRWCSEHLREENVQ LPLHNFLVTVAGRWRALSAEEKQPYVDLANEDKGRYEREMQEYRRNNP PHYBLDRAFT_138902 MTYFTKRLDNGLGTSSRLSKTEKPRPTEPQPHLQPQPQPHPQPK PQHQPKPQPIKKSLKQNKSTLSPAKPSPRLPSSNHAKTQPPSSPSNQRHTAPNKLNTK NQNLPQENPNQKQHKTSGTKLPLCTLPPTHAPLGLHSPRPFYEAKMRTPPVQLQHALT LCHTCQLPILCGILIRTSLESTSPSWHAKCFKCGTCGRRLTSTAFFNKDNELYCAADY QALFGVQCYGCLKPITEAYQSAMGKQYHMGHFLCYQCHTPFKNEDSFKVHKGQAYCEH DYNFLDQKDVPL PHYBLDRAFT_161968 MLTCNKIFLTPSYDNDEIDDSAPPPYYAHSFIAKDSSLPPLSIS HSHSHSLLLSKSKSKPDYRHSFVSPEYAHTINGLCQKLRGMKAKYKDNRETRKATRRE KRPQDGKTNPFE PHYBLDRAFT_3763 LISDLLHGENALVFAYGVTNSGKTYSIMGTPQDPGLLPRTLDVI FNSVKLDDKFEYGIWVSFAEIYTEKIYDLLVPPDRQLKRRALSLKYEFRSGHKYISGL KEVKVQSIEEAYVILREGQRNRAVFSTIMNQTSSRSHSVFTIRIVRDPSYVTVSKMSI VDLAGSERHRNTFNSGQRLKEAGNINKSLMVLGQCMETLRFNQLKAAMGKRQAVVPFR HSKLTELFKSSFEGDGKAVMVVNVNPFDTGFDENSHVMKFAAVAKDVAT PHYBLDRAFT_129651 MPVISSTQRKPILVSLVVFILVIVFFLSPYQSTSIAPSSVPPKN VVPHEFVMPPDEEQFKEPAWKNTTRAKAAFVILTRNSELDDLRKTIQQLEARFNSKFN YPYVFLNDEPFTDEFKELTSGLTKAETKYGLIPQEHWGYPEWIDVEKADKLRHKMGKD GILYGDNLSYRHMCRFESGFFFRHPLMLEYEFYWRVEPSVEFFCDLDYDPFLYMKENN KKYGWTISLLEYESTIPTLWETTKKFMKEYPQYIPENNLIDLISNNGGGSYNLCHFWS NFEIGDLKFLRSPEYTAYFEYLDRAGGFFYERWGDAPVHSIAVGMFLNQSEVHFFNDI GYKHAPFMHCPISRELQKKCHCDAGENFDFTESSCMRKWISVTE PHYBLDRAFT_129654 MSLTKSDPNKQGWEDSEFPIVCETCLGDNPYVRMTKQPYGKECK ICARPFTVFRWLPGTNMRYKKTEICQICAKVKHVCQTCVLDLQYGLPVQVRDSALQIQ SSAPTTDVNRQYYAQNVANKLEEDKNLYDSGKATPASREILRRLARSEPFYKRNRAHI CSFFVKGECKRGTECPYRHELPGDSDITQQNIKDRYYGSNDPVAKKMLTRVRGGNNSL DPPTDKAVTSLFVTGVEEDLSESDIRGFFYAFGEIKSIVVIYKSKCAFVNFITRKAAE AAAEKVYEVGLNIKGFPLRVAWGRPRPIGPKSEVKAPVTPTDFASLQPPAPPSMSFDK EDSVKYPSQDPTLQGSNASKA PHYBLDRAFT_129657 MDTQEDDPLMATRVVWGTSINVQDAMVSFKNFLVNFRLPDSREP LYPQLLHKVHQTGNVCVNLDCGNLRAYSGTKVLYEQLLKYPQEIIPLMDHIITCYYFE IYPDDEDDKLKVRPFNLHEAVNLRDLDPQNVDQLITIKGLLIRASPVIPDMRKGFFRC LVCDYTLTVGVDRGRIIEPKHCPREQCRSENTMALVHNRCEFSDKQVSRLQETPDVVP DGQTPQTVTVCIYDEMVDVAKPGDRLEVTGIFRGVPVRVNPRQRTIRALFRTYLDVVH IKRTDKKRMHVDKTLQSEFGPEAYEEGDEVEQVNSNDEEMVRQMAMSDNLYDKLARSL APSIYELDDVKKGILLQLFGGAHKVFKKSGSPRFRGEINILLVGDPGTSKSQILQYVH KIAPRGVYTSGKGSSAVGLTAYITRDPDSRQLVLESGALVLSDGGVCCIDEFDKMSDA TRSVLHEVMEQQTISVAKAGIITTLNARTSICACANPIGSRWNKNMSVPANLNLPPPL LSRFDLLYLILDRVDEDADRRLARHLVSLYLENDLLTAGIDIFSVEMLTKYINYAREN IQPALTEEAGRHLIDSYVELRQQGQGQGGQEKRVTATTRQLESMIRMAEAHARMRLSD TVEVSDVVEASRLLREAIKDYAIDPKTGRIDMDLILMGHGSHDRHLQDDFVRELKVLL NNYSETRIGWTRLLNDFNAQSNMPIENRAFEEALRAMETQGDIRIVGEGRKRTINRLT VQ PHYBLDRAFT_105323 MQTQSKTTCTNCKTTTTPLWRRDAHGMPLCNACGLFLKLHGVVR PLSLKTDIIKKRNR PHYBLDRAFT_138908 MSDPGSPSKPHKKPKSERKKSKSARKKAATSSRERKSLTGRPSA SPDPPGGPYGQRPVRRRRRLAGIVRSLCIELRRIADAMESVDFGDDPDSSP PHYBLDRAFT_73687 MRLGCPLEILRDRGANFTSKRAAAYLIRTKSNHRPTFAFTHAQM VNGNNHDRTRKPWKSYTHNLVSPPKRQYNTFGGGGNSLVLVWIRFSIESGLKTFSHHP ADRSFTESTVKPNLTCLFTEKTIRALASHWRRSSHLSVPLIYFYQARKRIVTTVPHLG TVVSRTIQIPLSTTPMGSRFESSPTRTECPCPYAQTSTPSAPYCHFLLLFTPTSYLLV ILSTCPRLPPKLSSSTRTAPHPPHHIHFTTSTSPHPLHHIHFTTSTSPHPLHHIHFTT STSPHPLHHIHFTTSTSPHPLHHIPLTASLSPQAPTLTSASFPNNIPPFHHSHPQPPS PLRRFHSRLLPSGRLVVWSSGLPVFQSSVHPATTLLLLVMN PHYBLDRAFT_73688 MKRFTDLLVVYVLKPVVKFIFVSLWTLLRPPLALPLHTRLINCL ARLARPEFISQYARNDGPTTMDLDAMEERLSMRTNYRGRSGQRNSRSPTGRPNMKSWQ QGDQDSRKCFRYGQSGHLCHFCPDSIPSTRQLNEGCAKTQGCNDLSFHITGLKGLTAT INTYLSLYDVIYEGKRISILIDSGASANYVTPTIIKQASHGVQGCKQFSVIRQRRHDH LPLKYFCPQVTPTPRFVRWIAKFKLYAPKIQYKSGKDSDVSDAVSRVSDNESKIIVVP DNSTEIAEKNRAHFAVKNHKIYQKVKLNDDDIREVIFFFFSCRTNTVQLYHKDFGHSE HSLMFDILRPRH PHYBLDRAFT_138912 MAFTSSWLLPNKGTPSKIEVFVACISSEITTQYKDYRPADRPEN LPKQKTSSCLASRTVPHISEEQCIHSTPAHVAFWDTRASNIVFKLPGVHVSTLPAPDY PVHVPTPNSLPMSDTPVPVDHADPGALGAVNITALIVTFTTALHSSQLPSPAGAPAPA HANISLSLSVHIERPIFIVWLRMEDTANRTSNWRTFTHLLNDNFYPIHVTQDVRNRNR SLC PHYBLDRAFT_138913 MALPVAHVRPRTSKGMIDLLLPNITLLSAAVGSAPAGALPSVLL DFILHFRDQTLIPCYPW PHYBLDRAFT_138914 MSRRQHEQHVRIHTLTRFDTKSSFNSFSSLLPPSTPIHSDVLSP RHPFYLPTPSPKTLFLYSHSTTSPSPHPPHHIHFTTSTSPHPLHHIPLTASLSPQAPT LTSASFPNNIPPFHHSHPQPPSPLRRFHSRLLPSGRLVVWSSGLPVFQSSVHPATTLL LLVMN PHYBLDRAFT_138915 MSRRQHEQHVRIHTLTRFDTKSSFNSFSSLLPPSTPIHSDVLSP RHPFYLPTPSPKTLFLYSHSTTSPSPHPPHHIHFTTSTSPHPLHHIPLTASLSPQAPT LTSASFPNNIPPFHHSHPQPPSPLRRFHSRLLPSGRLVVWSSGLPVFQSSVHPATTLL LLVMD PHYBLDRAFT_138916 MRNHYSYCLFNEKECWIGLHDGFREAPISLIMAESLLARTFAKG EPTGSEAVATATGCNILSLGWRDKVTGRVGGVYGMAMMTPVESLQEVGAIKDYKS PHYBLDRAFT_71290 MRFGCPLEILRDRGANFTSKRAAAYLIRTKYSLFFLTYGRHYVL PEDPRAVADQTVDQLENVGRARKATELRMSDISSKNKEKVDAVIEKVKFDIGDRVNLT HEGHFGLEARLKGPFIVMSKKRQYNSTASRLVWRVVMRLLDSEIPNDVGACGRSQNQK GGNFRIGIGDQNWNLQATSVWLWSLFFTQLWCIYHSYSR PHYBLDRAFT_157120 MKRFTDLLVVYVLKPVVKFIFVSLWTLLRPPLALPLHTRLIKCL IRLARPEFISQYARNDGPTTMDLDAMEERLSMQTNYRGRSDQRNSRSSTGRPNMKSHQ QGDQDSRKCFRYGQSGHLCHFCPDSIPSTRHLNEGCAKTQGCNDLSFHTTVF PHYBLDRAFT_161984 MFSNYLVSKSESRRPSFSKENFVTSSCLASRTVPHISEEQCIHS TPAHVAFWDTRASNIVFKLPGVHVSTLPAPDYPVHVPTPNSLPMSDTPVPVDHADPGA LGAVNITALIVAFTTALHSSQLPSSAGAPAPAHANISLSLSVHIERPIFIVVLVLSTI FMTGSVM PHYBLDRAFT_138920 MFYWVGCQMPGVQKNKFKDKGLISVYRSNKGHSTTYNTPSVACK LHAIHHYSILALLCSSECTRLSANTSKDTICFSAQKHIYTHIVHCPINGGFAAFTVKP NPILWLKNNKSSGFSLAPELPLICTSYVFLPSQAQQGQEENRDHSSPFRYGVPVSIRS NGSTPSPSTKERSQVVGYPLKTPLIHRDQTEAPYRKPYERALDTTFRVCGGYGNNHDR TRKPWKSYTHNLVSPPKRQYNTFGGGGNSLVLVWIRFSIESGLKTFSHHPADRSFTES TSSSIRSYFLADSTKPEGQEENPDLSRRRHGQNVRAHTLKRSDTKPLCLVDVPGSGVS PKLSLVPVGRSLKPLLMPLGASFGCIILGLVVLYGSYFLVIATTGLESPGKAIPVTLS LHPRDSILHPVAVATASLLVGSPLAKVLAKRLSAITIRVVVSHWRRSSHLSVPLMSFY QVKLNKAGKRIATTVPPSGTAVNRTIRLPPSTTPTGSRYEPSPTRTARSHPYAHTVRH QVLLQLLQLPTATFYSCSLRRPISSSSFLLAHAFPQNSLPLLAQHHIPLTTSTSPHPL HHIPLTTSPSPHPPHHIHFTTSTSPHPLHHIHFTTSTSPHPPHHIHFTTSTSPHPLHH IPLTASLSPQAPTLTSASFPNNIPPFRHSHPQPPSPLRRFHSRLLPSGRLVVWSSGLP VFQSSVHPATTLLLLVMD PHYBLDRAFT_105407 MAVRASPVAFRLYSAGAGLSHSDIETRVLDILKGFDKVDQSKVA VDAHFVKDLGLDSLDTVEVVMAIEEEFSVEIPDKEADEIKTAKQAIEYIGHRADAH PHYBLDRAFT_178916 MAQARQLEQEQGQGSFVQSVKSELFSPEKRQGNLNIALSLSVFA GAIVFLRNFGELLATKPTSLQNNQQ PHYBLDRAFT_157122 MDYQDSFPSAPVRYAFDDGESDDDTLTLVTRDTVTITTNVTLTT KTTLILGLAGPGSVFLEAQQGNVKAVGEVNVQKNSEESQKVPVLELSPSVIGVPFSLA VPQEQASQYAVNLLKSFKIPLDRVIILDSFTAAEYTSDVWGEDLQPPYMRVLQTSATQ SNPKLIHFEAPNMVKGFEAALITYCEIHAIPCYSFLTLQESMLGKLLVTTDTLDGYLP GLQALGLSLNYDETKMNDVLKSGKNGRVDEHHHRLYL PHYBLDRAFT_184600 MGSFNHIRVNAILLSTALTTLIHASPISTINSPANSNTSYFSPE FLIKLASIVGLVLLGGVFAGLTLGLMGLDQTNLHVMVESGTANEKKDAAQVLKLLDRG KHWVLVTLLLSNVIVNETLPIILDSVLGGGWQAVLISTALIVIFGEVIPQSICVRHGL AIGARCSFMVLGLMYIMYPVAYPTAVLLDHFLGESHGTVYKKAGLKSLVSLHKTNSDG DVDGLTEDEVHIIGSVLDLREKTIASVMTPIEDVFTLPIDAVLDRKTVDKMLTAGYSR IPIHAVDNPTQYIGMLLVKQLIAYDADDELPISRFHLSTLPEAASDTSCLDILNFFQE GKSHMALVIDVNNQKSSSIGVITLEDVIESLIGEEIVDETDVYVDVHKKTKVTRGRTN PAPPELRPLLRVVRTKQSRNQIPQNKSWRSQRNKNSQDPTGVERQMMNYGALVDTQTT ESDVASTITKDQVGSFPNRSE PHYBLDRAFT_184601 MDFLHQPSMEVRSIALEHILSFTPKTSQYQPLLIENRKTLCTDL KNLCRQDPMIAHEAMKGLINLSGDPRIQHELDDEAFLKHITLLITISKSVLADPACML LSNMTKSESICVKLLEMKTNPLPELCESDKVMDQLVEAFHRGHKKAYNPQAEYHFLAS VFSNVSGIRLGRVYFLEPSKYDGLAPLTKLQIFTEDKNIIRRGGVDSTVKNVCFETRQ HEAILDADKLNTLPFILLPLCGNEEYDMEEFEQFPEEIQLLDDDKTRESDKVLRGILC DALLLLTTTRFGRDYLRNKQVYRVIQRLHAQEEDEEIKDKCDAIADMLIRDENGAEIT PIDEEKEDDDMVIEEIA PHYBLDRAFT_138927 MADCFIVDHGLLTQLAMSHAQITQDPCVALQLDQEKAYDRVKLM NRFTLLSQICLSFCGKSIVANSLLLSSVWHVQYMTHVPLSSFTVIRTHIRSFLRLGFG SPGWPLLCLPRKSGDLGLIDPDHQQRALQLCWILLILDSHSFSSTSFILPYLESILPL NFCASSASIALLFLCSSATCPTLLLPLHRACTSLLPASLPSNLQLPLSVVQHFPLTAI CISVPPFVESSLNLKKKLVPDEFSMEADDRLVRKVRGTSSQGRNRIGHFFQQIDFCKM ILCPWVLSCLDHRLSIDTPPPDLSSLLSLLALDLSDAIFAHKCPHLYICPSNGVNSGL SLSLTAVAQSGEKYFGIDRQHENVSTYLYLDASPAHLAFFVKILLKISITFPLAVLSN VRCGTSSSLAFACLGALLTSAFSLPEAASHHVPPIKTFGFYFPFSLLKKSGVLIGSLF FDKQPFLSGVVAQKASTVIEKHIGTLA PHYBLDRAFT_138928 MDTPASCYTPTLNGSLSNINISLAVEKVLRSSNLDPHFWDFPVI ENSYSQLSSFGFLPPDNLQPHYASKPPNTVPFYLFEDFFSLIGASDVQNSTYIKDLAM EYSPGTYPKPQIPQVGPFSSPSLLFAAQTLSSNIPTTFPSWTTPLIVPGQEKNMNAPL LNTNPCSFLPSSGLVSYSHKSPMISEDFDENNTTHNISFKNNKKYENYNKRNKITKNN KHNDYNKSHHNSHYGTTQTTDFHNPNARKSKERVYYSKLHMCGICDYWSDHKSNRDRH FVKHYPDHKKHKCPDCKSDFDSKYNLKRHILGSKRCKASQAQQQ PHYBLDRAFT_161995 MDTPASCNTSTFSCYTPGTIETPLPLSPSGNTFGPQDAYFDPLP FENSHPCFMASQFYIGRFNLLVDPLIPMIDSSGLTPGPAQPSELYFEPIPSQNTVDLQ ELSLRDPIEPIRVTIPTAPVSITSSFNTCPITVPSQQDNLRSPLLYNTAHCLSSLSAA QIQLPPTEPEPKLQLSPFDPDASSSCPQTLNTPEISGQTLDGLEKRFIYCTISNTSRS YNPNSRKAKARYSYRRTYDCAKCSYWTNRECNLTRHYLKHHTDYKRPRCLKCEKYFDN DFNIKRHVRFSRTCK PHYBLDRAFT_161997 MIISKLVKYSALHYTFMDTPDIFFTSTSTAYLHSPTTIETPLLI DPQFIEATLDLPFEHTPSFGFLSFSHAYFDHSFVFNPVITNNFESLDGQLIPMTNLIT GVSSSFEPSGIYSDLDLNQNNINLQELAYGNRIESIASTSPSNALSMIGPLDESTIIA PNQNNEMTFPVPHTTPDFVLSSSPSQVKLPGPEPALTWSPCNSNTGPSCPRISNTPKI SNRNFDDIEKRIIHYSPPKTTPKGNPNSRKVKSKSSYKVTYDCPECEYWSDRAGNIKR HVENHKPNHKGQTCSKCSKSFSSGFNLKRHRTSSKACNSNNLK PHYBLDRAFT_138932 MNLASYHYSELLHTKHTYTTHPYSVNQPLCYRDLNYRDFNIHHV LEIAFLERSTLALLVHYDFNDKIVQLSTNIGVSIKTNFDSLDHRIITDPAHAYKPEDE CPQLAYKLHRQRLLALCLRLPLPSALSSIWKTEIFCLGFQAEPLAW PHYBLDRAFT_71305 MTNQINELPDRYHWIPWIYETRASNNLYTGTVGQDMQNSNVRTT IKYSCKSCQFWSYRKSHLTRHTLTHQLKCPLCEKKLSNSFNLERHKRIFHMIPTNNDV PNV PHYBLDRAFT_71306 MSSINASPATYSFLEDNTFCSFSLQPQTVNNIGSLALNNTQPSS AFNHLNSGYLGLLESESTPIEQDIEQITLYNTFSMGHYLSTDTNVINTQVEPLSSHIA PIGVQAPPYSLSTSSPFWNLPMLFTSQGQSITLPLLYNTTYSFPPRIDPIPYPQQPPI IPENLQSSNNNNINHNCNQKQNTSDVKLQIIQYNVFPSPTLLHDPNSRKTTKMNTYSK RYICKECGYWSDRKSNVDRHFLKHLPNWKKHKCPVCKNRFSTKFNLKRHMLCTKKCKI DQPQ PHYBLDRAFT_162001 MTPPTSSITKPKRQELDAFIRGRIIGQWEKDATYGEISKALDIP KSTVGNVVKAFRDKGVSKPLTRLGREPKVTKRTQSAMVRSFRSEPFVSIAAQHQRLVD VGISICMTTFHRYTGNRKNCDTNSADPSNKGIWLEFFGTVPPPSALSSAFTSFLFPPT LNPSIPAASVFGLTILAIWDHNWALHFNSAPFLPSLVLATARKSISRLCSKLELDSAD SSLA PHYBLDRAFT_71309 MSSTEVPCQCTKDTLKYDSMILNAWYQVHLENLCNNNNDNISSI THINMQPSLPAIGVAGDPFLSTHFSASIQSLFPCRNLEPSNIGVTEDHLLRSSFSTCM CGLSPFITTRPSVLAPSQIPSTVSSHTFNNSYNQQQQQQQQQYQPQQQQNQYQIQQSK KNIISADQETLVASSQSHTPHMVPYQKRHVKPTKRMSLPNFPLASGISNIEHHSWKFI NFNPSTFHKWDHKKDVKNQVIPSQLPQQKHQSYQPWQPHQPHQPYQPWQPRQPHQPQA DSQSCFGNEYIVGLQEREVNDQRIRYRCEECSFGSYYNRNVKRHKVVHMPYRENKKCP ECNKSLANPYNLQRHISTVHIATKKQASS PHYBLDRAFT_129669 MQLFVKSLAGNTLALEATPATSVENVKAMIAAREGIDAGFQCLS FAGKSLQDSQALELYGIQDNSTIHLNCELLGGAKKRKKKTYTTPKKIKHKRKKVKMAI LKFYKVDQSGKITRLRRECPSATCGAGVFMAWHTDRQYCGKCHLTYVFQKEEA PHYBLDRAFT_157125 MSGRQDRIENFFSAPNNINMLQSNFCDEILPPLLNIASSSRPSY RLLEAIIQIPSSSHLPDHPCCRFVIAVLNQWATVWFELLRQAMGELVSAVLDVIESEM DDTDEDRNMAESIGSQCVVLLTNWWMKSHRSQAADDLLQDRALILQVMQLGGLVGKPC PKEWSHVDNNRKKRGIMVDSDESE PHYBLDRAFT_138941 MVSILPPNILSYIATHINKKDQTSCSLVCKRWTEPFLNAYWAKL EIDENKLSTMFATSTLNEVYRKNVHRVWALDLKRINIRHIGYVTQLQQSFPGIKYLFC DEENHEDESDNWMIRSIDWISWRFLTHLSIKLTSKHSGLEDIFMNLSVSRSLAHFTLN SENNVEETNTMLWTQFESLHRHMRQLEYLDINYPLSSISKYDLEMIKTIEPAHKITKI TFLDVRIDGSWLYYFALKYPNLLSLNMKPCKARRPLVQETYINKYQGQLILLKTLNQF FPYLKMVQTPTHTCNRWPLYIFYMTLNYFNIKLERVVFDTHVYKSLLMDNLTKCIKQV SESVKIMWVTITDYLNAKPRSRIIFNLCPKLVELHILEHRRIEMEHLLDQCPVLRSLY IENSTTYLINPSRHITIPHSLQKLEFINVSVPIHLFNYISLRCKQLKYLKLKSVTFLI PEWDINGKALFDMHMSQLKTFIIYNYSYCFQHVRHYAIEQLENTDGNSLQHQESQEIS FRTNWYHLCLDKTNRKERLLAWELGRRDIEFSQRYYKDFERRKKREKKRKDMIRHCGY APKRFWKRDLQYGVMIFRFKSVKEYFLDEKREIDKDKHIQSHQLNERKF PHYBLDRAFT_157127 MNMGINQNQASVQLLRNQLIKQQMATAAAAAAAAANRGVPNHVP QGINGVRPAGLNPNSLLLSNINIGNGNSPNMAMNVNNNNNNSNPNGVIGLGIAGANPI GEINLSPQEILEFQQKIMQAKHQQAQHAQHAQQAQQTQHAQQAQQHNNQHSQGM PHYBLDRAFT_105125 MFIYIHCVFRIQDLTWTTHQEKYVYKPWITRRSFHSSILSRAKQ PFLLADIGEGIREVEIIQWFVQPGSVVEEFDRICEVQSDKAAVEITSRYAGMISKLHY QLNGVATVGAPLVDIETADEYVDGQNTPVAENVKVPESIPNTKPVETPLVENRSRDPG VLSLATPAVRRLLFENNLEVTEIKGTGKNQRVLKEDIIRFLTEKKDTTGNPSQPVLSV DPNNQGTPLTDTQKVMFLAMTHSLAIPQLGYKDEVDLTLTNTYRQALNKSISDHPGRH PFTKISHLPILLKSLSLALTQFPIMNASITTSDQGRLNQVVYKTSHNIGLAMDTPQGL KVPNIKDVQDKNLFEIASELHRLVSLGKKNELSASDLTGATITLSNSGTFGGTYANPM VFPSELAIVALGKIQTLPRLENGKIVAKQVMPISWAADHRLIDGATMARFSNQWKHWV ENPAIFTSMLK PHYBLDRAFT_25590 MVKEIDPSINESQFLLSALKDGRRVDGRGVYDMRSIELTFGQDF GYVQVQLGKTRIAAKVTAEVVRPRPDKPTEGMLLFNTEISPMACPTFESGSRSEEEVL ISRIIEKAMRRSRAIDTEGLCIVAGEKVWQIRVDLHFLDHDGNLVDCACIAAITALLH FRRPDVTVVGEEVTIHPVDQRNPVPLSVHHIPICITFGFFDNGEYLTVDPSYLEEQVK EGDMTITMNIHKEICALSKAGGIPLEMDQVLRCSQIALVKVTEITEQIKKALEDDKAA R PHYBLDRAFT_103513 ERKTFKIAPPTDIMSRLQAFLPQIQAANASLQNMKPEDLDIEQV EEDEQYIEMNLGLGVYEHKREVSDSEDDEDDDD PHYBLDRAFT_120917 MDRFNVNSQIEHLQSKYVGTGHADTIKHEWLTNQQRDSYASYVG HNSLLSFFSVAENECKARVKMNIMEKMVRPCGVPPVREEQ PHYBLDRAFT_105265 MSTLTIAQRQTLLQPLLNAGWALVANRDAIQKKYVFGDFNEAFG FMTRVALKADKMDHHPEWFNVYNRVEVTLSTHDCNGLSEKDVTLATFCDTAGSKAT PHYBLDRAFT_138948 MEWLTKRVASFYSPSCTTICSTPTCLPPLPVAPLPEIKSVQCAG CDLPCVHPSVPTTLNIDHLRPLRNTVPPYAIHLILMTGKSTWPAHIEDEGMAQALINA INLRKSQKQKPDTPRTDTFRPANATDTQLSERILVTNCSLPSVYSSQRNSMDILLMPD NVLIANVTPHRANELLDYVLGKPHVFETFPWSSASLVLVCGHGAKDRRCGTIGPMLKE SLDQALEVEGIRTQVALVSHLGGHAFAGNLVIYLHNGQRAIWYGRVTPCLCPDIVQQS MAQDKVIDTLVRGVFEAGTTNVPQKCLPASLEW PHYBLDRAFT_80060 MLYTNENDYYIGASGSCFQFFLPPFFHFLISKLDRRSRDSHGPG GVFVIPRLDPIPELKQCVECGVQFSLFRKKYFCRNCGNVVCSNCSDQRRPLPKFGYEQ PVRCCDTCDTLIQMQQMNSSALSSMPLKQLKYYIDAYNLFAKTAIEKGDLVLIILNTR PISNDHEVYYRSRRIITIKDTTNSTTQNNSNNRTSQEFSFNVMFNDLFGNSQRQHPQR QNSPQPTQPQSQPPPPQPQPQSQNNHFYQSRTPQPTPPPHQPQYSWEYSARAPQSHPP AQPTQSTQPSRPAQSTRPTSTAQPTQNQSGTNNSRQQQQQQQQHQQQAAGKTEMSLDD MLKGNINPANLSVRTLKATLRTHYVEQSHLIEKSEFVSCVQRLLDERKAELKTKQNDT AQSDDTLCRICCDAQQNCVYLNCGHMMTCMDCGKKLVERNNQCPICREPILKLVHVFR T PHYBLDRAFT_105117 MDLKFDGYSKEEFIKGEYDERYDPYDFDIRNTHAEDEEDSPIEE VRLVVPNTDDPTLPVLTFRTWLLGITFSCVLAFVNQFFWYRANAMTLSPLVVQLVSFP LGKIMERIIPTSRFFNPGPFNMKEHVLITAMANCCYNTAYAVDIITIQKLWYGQDLGW GGGILLIWTTQFIGYGMAGVLRPYLVYPSAMVWPGNLANISLFRSFHLADTNWSGPSR LKWFLWAFGAMFFYYWLPGYFFTVLTVFSWACWIKPSNLMLSQLTGAASGLGILSISF DWSTTVSFLGSPLVVPWWAIANITVGFVVIAWIIVPVMYYTNVWDAKTFPILTPTLFD SQGEVWNNSRVLNEDKTLNYEAYEEYGPMRMSTFFAFTYGIMFAGLTSVLTHTVLYHG KDIIDQFKRSREKDDDIHCKLMRAYPEVPSWWYYLIFLVSFGVSFCVIYVWPIGLPWW GLILAISLPVIFVLPIGLIQAVTNQQPGLNIITELIIGYALPGHPIANVTFKTYGYIS INQCLTFVSDLKLGHYTKVPPRAMFAVQFVGTFLAGIINLATAHWLMNTTENICTPEA YPFTCPSARTFYSASIIWGTIGPKLMFGGKSPYGVLMWFFLIGLLIPIPFWLLSRKYP DSWFKYIHFPLILNATAMMPPATPINFSMWSLFGFIFMFVLRKYRKNWWTKYNYVTSA AFDSGCAIAALIVFAVVNGSNYSPKWFGNGGYGDNATIDNCPLAASNGYGYCATC PHYBLDRAFT_71323 MYISIAGVFLVLSGCASFGAAQIQSLDGLFNVTSPIESGSYVAG QKLPVTYIILQETTSLKMNIFLQAVGMNFTTTTIALNADVSQEVSSIVTVNQKTFWQH SYNYDIPQISPAGAYKVVFQSVNTNVNTSVGINLNPFISSSLTPPSSTQASSAASASS STGSSSSNSSRTANDSQRISGNIGFVAAVLATGLFFITTF PHYBLDRAFT_138952 MLEDCQFISNSSKSNLKTLKNNTRNVQHSYIITRSLSHSENPTK PTSKPTQSGFSLKTPKGTKDYNDKEMAVREKMFSTIKTVFKRHGAVTIDTPVFELKEI LAGKYGEDSKLIYDLAYQGGEECSLRYDLTVPFARFLAMNGKEYPSIKRYQIAKVYRR DQPVMTKGRMREFYQCDFDIAGTYDSMIPDAEILRVLCESLTALEIGDFDVKINHRKI LDGIFEVCGVPTQNIRSISSAIDKLDKLSWQEVRKEMTAEKGLPEDVADRIGEYVKLK GDHTLVEKLLQDQTLKANPSAAQGLKDMATLFEFLDVFDIRHKMSFDLSLARGLDYYT GIIYEAITKAPSSSSSHLTSSLGQSTDGTDQKRSRRRSSQEQEQEQESAEASVGMGSI AAGGRYDNLVGMFSGCNKKGKPNLQIPCVGVSIGVERVFSLLMAKQEQQAIKSNETKV FVISVGDGLLKERMELTKELWDAGVNASFLFKNKPKLEKQWMACEKDQIPLAIIIGED EINRGEIRVKDMRAKDMSQGGGVTVKRSEMIEDIKSRLLNI PHYBLDRAFT_162016 MFVLYTQMSWLSYMLPSNHRKTLMRNFKEVMFLICKYSLYVTMI AALPLEILIHLATYVEKEDRLNCALVCNQWTEPFLDAYWARIDIDNNTLQKMFNKFSQ TIKELAMSNIDMKYYRHIPILQQKYSRIKYFFWGEFLIDDSVKTKLDWSLWETLSHLE IQFYRKELVLETIFEQLSVLTCLVHLEISRAHSSEENFSTYLTDFESLHRHLPRLEYI DIHTFIKPIHEREIEMIRRVEPAHSIRTVIFSSDAIDTLWIFYFAHKYPNLLSFDLAY HAPPRSLIPRSCEDPQYQSRLQILLSLKQFFPCLQITKTITRNNEFWLHRIFHDALQH FNVKIKYPKITYFGETLEENDISDWIKYFSESAIFLHVSSIFAVRAEAKLLVPCHKLT VLYINYTTMLDMENILDNCPVLQSLYICYSYICSPEYPQPTHLPHSLQKLDIKHTKMN SHTFKYISFRCEQLKYMKLEDIRLYHSDSEETGQLLVDMPFSQLKILSTSDITFFFEN NFLYAYKCVKHFVIEQLKNVSINRLDQSNQERTPLSNWYHVCIDETNGKERIFAWELG KRDIEFAQRYYKDFRRRSQQDKERNDMEEFGKGYKIKRFWKRDLKDCVLIFRFKSVED CSIEESSIFKHVHSRLGSGSGSGSE PHYBLDRAFT_71326 MCAVNRRRVPNNGSLSKFIVSNSSLSQTLPPNILSFIATHVARM NQRTCVLVCKQWTEPFLNACWGKISVEKLKIKSMVDTSNLKRVYTINTHRVWALELAT LNPEDMVYLSWLQQAYPKLKYFECMETRGQDSWITESIDWSLWKSLSYLSIHLDYSHE DDTVESFFLKLSEFSSLVHLTISPSYGDRKLDYILAPITKDDMEILYFSTLQNFGSTL EHIEFFNDGRSTYLVDDFKSCIQPFSETLKTLSIKTFDYPNSKPNTYIFSVCPELVEL RIVSFSVLVEVDFISNQFFALRLLHVSSSPVSLTTSPKNTPAPHSTEIGNSWFKIFDM SLSQLEGLILDNIKSGYNIVKHYIIEQMEDPDASSSDQNGEGQPARTSWYHVCLDETN KRERHLAWELGKHDIEFSKRYYGDFKRRRLREKGRKDLERNIKELHTKKILEKGSSKW CFATLI PHYBLDRAFT_71327 MFLKLDITVCVDSHLLKEQNTMTISEKSQRNKKKTNFLLWYKLP LELLIESSGKYGWFIFLIFRQVGQHSHDQATLVANSDIANTGGKIITIVINALIYYLN GSLKNMLIIIRMSAQDSEIDDYDFSAKEPGVVEKTWGVYYLHKSNVSLGKIAHIVGMR RHVVQSIIKLIKETGEPFTPEMQAIAGKINED PHYBLDRAFT_34068 MADSATAGNRGRGGFGRGGRGRGGARGRGGRRGDEKTEWVPVTK LGRLVKAGKIKSIEEIYLFSLPIKEYQIVDFFLSNLKDEVMKIMPVQKQTRAGQRTRF KAFVVIGDHDGHVGLGVKCSKEVATSIRGAIILAKLSIIPVRRGYWGSALGEPHTVPC KVTGKCGSALCRLVPAPRGTGIVAAPTPKKVLQLAGITDCYTTSRGSTRTLGNFVKAT FAAIGNTYGFLTPDLWEETEFSKVPYQEFTDFLSQKQRK PHYBLDRAFT_25598 MSKNRGAIEIPLTFRLGSLLPVLKTLLEHDDLNPHEKPSEETVA LFRNLWFHCILFGFVSESMWVREWHESMLIIAKKTPVLVIESATSYLESDLECNSVLR GSNTSDQIINQTRQRLTGFLPSLAYDIKSFSFAQVVFASSVYHIEMMRSRMGNCSHIL RYFMNEGVNSSPLSKCLETIADRVIGTFVKDASSKALTQTLGDELPTQVAILLERCCH RLRKVHELAIKSTERIVISFPQIFVEKKLVTLLLELVQLLWLSCEAEYREEYSPQYYF TSKKVGVTLELGDSYSYRKEICSRLYESAKKWLLIAIDRAPLETSGLLQDYMSDFDRF GSGGIFDTAHLGRSLALEIGRISSKSQLSLGFAPKISSISLDDSSNFVDGFVSKQFYS GEVSGIEYSAKTKSQFGILSEPTPTTGIKDELESVLSFLSFLANDVKHKKKVASERLN RSLKRAAGYAIAMPEVHPELLRYLVRIPVEMFTPESLDIGTSVWNWILVKRPDMEKRL MVEMLSMWSWAQRHRKGLFSPLLNSKNPFVVKMSYAPSDNAVREREHKAAMLIFSPHN SWIKFLSSRFYAIRHRNKHLVNMLVRLLQESFNDADLMSTHPLSRYTRFQLLRLGMKI LRSTRMEALAEHKFRSLVYDASFQWFSFDPKWHFGARRSLALMEHKLLNDFYNAVSTD TPNLTYLVTSVPMKTSNSKVSSDKTKDDVVRQHQLSKKLLMLFLESELVRLSVWCNPM NETSVGYPATIVSNTEKSMVTDDVWKDMVHFAWTISPRLAVQMDSRFVQPIVHRELHH LIANNTLDVVDIPEALVILLGERLVPGSKLDLKYLQYWAPVPAITATSYFLPTYNNHP LILQYAMRSLEYYPVDTVFFYIPQIVQALRFDDLGYVEKYIMEAGQVSQLFAHQLIWN MKANFFVDADKDCLRPDSLKPTLEKIIENLAGSFTGEDREFYEREFKFFGEVTAISGY LKEYIKFGQNEKKPLQKKRLDEEIANIVVDKGVYLPSNSDGRVIDINRKSGRPLQSHA KAPFMATFEIEKDVEVDPIANSLLSEEEQQMNKSMTIKAWQGAIFKVGDDCRQDVLAL QLIAVFKNIFTSVGLDLYVYPYRVVATAPGCGVIDVIPRSISRDQLGREKVNNMYDYF VAKYGGPESIHFQKARTNFVQSVAAYSVISYLLQIKDRHNGNIMLDDDGHIIHIDFGF IFDIAPGGITFENSPFKLTTEMIQVMGGTPEQGYKKFSELVIKAYLACRPYAELIMQL VSLMLQSGLPCFKGETIKRMRGRFQLDKSERAAADFMTMCIQVSSENQRTVLYDRFQK LTNGIPF PHYBLDRAFT_80064 MTSLDVLDTEQKEALSQFQAVTQSDNVEDAISKLEEYNWNLERA VQSVYEIGSRPEATRENLEEQVATDTDTAPLEATNENEQIPEATTRPAPSTPRQAPRP RQPSARTFRLFSFLVWPFGIAWQITWAALSFASRFLGRSSITTSSSSPRRSTSTRNQD PQVLANEFLSEYEEKYGTTHPTFFQGGYSQALEVARRELRFLWVILQCDDHDATPKFC SEILSSDELSTFLNNNNILVWGGNVRNTEANRVSNTLHATTYPFAAAIALQPPVGPSA SAMTVLERFEGFNSPADLIAKWEAVLQRHGGGLNRLKMERDQRDLERRLRQEQDKAYH DSLKADQEKERKAREEREAEKEAEKEAERLEQIRLDNIEKRLKYIRYLSTKLEDEPSA DYEGKVARLSFRLGNGDRVIRKFKADLPIEAIYEFVEAYPLLKEYKETGKKEENETPP TDYVHKYKFTIISPYPRKVYDVKSDQTLMDDKSLYPSANLIVDTEEEEED PHYBLDRAFT_162023 MFGKGLLLCQLGEEPIDRRLSKDLIKASKQEAVSSSNNNNKNDP ITVTQTGCLFEPFRQLQDSLVVIFAFYILEKMAAFWIYTSIFGLKSQSTWDNLARMLE KTTIV PHYBLDRAFT_138960 MQLEGMHDIIMQVVSDENWPIIALGHSVEPKEAKVWVSRKVNVK LKEKFDSDLSIILCRNSQQEGDSTLQFHECPNHILSKSKEFANNLGFPYQGFTRELSL DTAVNNELCQLVNGRKVTKSANVPSDLVQSWNIYRALITNAIYNQSLQSGASQCLSEA FTEPAGCYTNPICASTLQELQESLVTNIRQLLKSHLPDKVTFATLSAHPEKHISAFSQ ILFEYELKHTNHNPFVLLVQMHYPAFCPDHYQEDAITKWQQSNHVWKFNKIKWIFPLI AYSTRGTQLPSKADKTMQLKLGRKSKMELKPLKVIYHPTRQSPMQHMLTAYSIFSLTW TCYMIFMALALPNISFFDIKGPRKLPSKW PHYBLDRAFT_94076 PERFFSDDEYVLADAGYKATNYIVPIKKKPRNSELSLADQEFNT KISSMRVKIEHAFGILKERFYSLKSIPVRIKRKEDVVKVNAWIRVCVALNNFLM PHYBLDRAFT_162026 MSTPSAIEFVSHQEDDNQSHSWMKPDGGKDGLSSIDRLQYFLLK DDADNLRKYLGGFKNGKKVKVSKTRVINDCCQYFDKQGVKRTSSQIKSKLTYLITKQY PIAFKAREDSTMRISEEEMSQREKDELEVYLNDICPNFTQMRKVLGESKAKSPAVCNT TTPLDLNKAQDMSKDEDGEDGENDGGDEASESSKSCKDQEEQGADVSNEISSQREAIV QSNSESSTSEHSDASAYSGKRRPKFFGKIVKNTAKRSVKSIEDIGHGIKEFNDARISL LESKYEEELKNQKEKLQKELQCEQRVKGVILMAKTFDWSEEKTKNELEEVYNQYLN PHYBLDRAFT_162027 MPFSQLETLQVLNIFIKMHVKHFVIEQLVNANGRNLVWELGERD IEFCQRYHKDFERRKGHEKTRKDMRLGDMGYRQKRFWKRDLQFGVLIFRFKSVKDYFI KERGRHCYCIAYHAFESYLD PHYBLDRAFT_162028 MLKRRYSYDDDDTTSEDLEYPDKLPMKGRAITRNGTRSEEDYNF IVDFKKEIKEISHHVLRHFISSIALTKYHTAMKLLEEIYSEQLDSSQPKILSTLDRFF PYLQTAKTFITRYNYWAFDSFHENIQHFNQTRKMWTAGTAFFRIFRRSVYRKQVSFGE RDSSF PHYBLDRAFT_71335 MEMVPLTERKCIENSRISGEGKIWGGGSEIRINIKLNDIKFKFP PSLDAHDRRTLVVSVAAQTIGALTGNSFLTAPSSNSQVSLDIIKALIEACYRDLSLFS KYVVRILNMFVVFANYHDGSTVGVNSEFTVDYERLVQKFATFYDPSDNDDQKKLPSKL SKRKRKENMDIDILRYIGQRAMHAAVTSPALYASDFATQVELIMPPLITALANIGHPV DPTKSGPAPTNVHTWILDKNTVDLLAPNKFVLMSNKANGSAVRATLKPLFTFFDTNQK WWPSSLATSSMELAFDSLKIHRSYNRFIAKGYYPFAIFLLTLIHLFLFSYPVVMDAND IDDQDLITMKLPIDYLPGLDKPTKMVLKHATVVDFIANTLLNAPPRTYTVTDADWNNM RSDIVYLPRLETSGLPPILIEIQNTLNGVFLLSDFVLA PHYBLDRAFT_104504 MYNHYPYYHYIVRNTQEASLDSKLLVLSADIGAQKARNMRLEQQ LFNLDEFVSKLKTVGRPETATNRDNQEELNWKAIGMHGIKFGKRAHSIDFMLGPLSVE KKQRKIARQGSSQKKKHVLVEPTQLKEDDVAQKENETSNNVNQIYRLLDEQGPTNFFE FIVNPESFSQSVENMFYVSFLIRNAVAGIDDSSGQPILSKSS PHYBLDRAFT_71337 MSTSFGLSDLPRPGADRIPKELTRDPLVVNIITKALLNIEDRYL PESTDWPNGTHSDVVLVPKSTDSSLAPIIIEFQQVVDKKFMKRAVGYCLQAYKRFEIE PILLVICIKSLSITTRNGLEICDNLPCYAASCDYWADRFYIIDKSSIKQHISSKSGLG SNLNPFTAYCLFLTAQSTSIELSSRKENTTMEMLYRLSQDAYARIIDKDTVELDELRN LNDIYCKQYKKVLAMLQDDSLPRSAIKDYASKSLYSIQKKRKFDQLATDPLPEDQNME KDVELEEALDEQMDFVKNFKKARAEKGIKMDWRLCLKEGKKRFGWKYRSGESLRVHIF KNKDRPSPLS PHYBLDRAFT_162031 MSLIQILSQKRLQNSVQIHKGDWEGQIYRLQYCLIRVRVSSTAP AGHNLCSDRHSQDVVSQQGMSRLISKPRPPKTDGQTPKARPEVDTGFKSLKNENKWSF SIDAVVENQLYEFGKLQIGEYPSQSFIFGADDHKLYLEHGKVTSDEID PHYBLDRAFT_138967 MIQQQCLNSQMQLLMATNNASQSVNTNASLSQLPIFPVLSTTHS TSPFPFSTSAPFTPPPTQQPIAISVAPPSLNSNRHPIVLSKPKAKKKARWVSYEPNN PHYBLDRAFT_176604 MDQPQNDLSLVKIITLGLGALISWLFISWFSAPKGKKFTVKPPA PTSSDWKGPVLNNPSLRSASDPTNIVCYDPATGQHIEDIRSPSPEDVKIIYEEVKAAQ VEWAKTTFDQRKAVLTSILDFVIENQEDICRASCRDTGKTMIDASLGEIFTTCAKLRW TIDHGEEALAEDHRSPGLMMIYKTAKVVYQPMGVVSALVSWNYPFHNAFGPVISALMS GNGIIVKCSEYVAWSSAYYQEIIHACLKAHGYDTNLVRFVSGFADVGEAVVRSGVNHV TFIGSPGVGKIVQRNASDLLVPCLLELGGKDCAILLKDTDLDHAMPVLMRGVFQNCGQ NCVGIERIIVAQEIYDKVVEEMSARIVRLRQGSVLADGSGVDCGAMTMGNQFEKLEGF VKDAVSKGARLLHGGKRFHHPIHKSGQYFEPTLLVDVTADMDIANEETFAPIMVIMKH TGPEDAVRVANLCPYGLGSSVFSADKALAESICRKLRVGMSNVNDFGVNYLCQSLPFG GVGISGYGRFSGAEGLRGLCTPKAITVDRVPFVKTPIPPIVDYPVKSAFVGYGFLQNV VGMTYGTSPVERANSIVGIIKAFF PHYBLDRAFT_138970 MNPALRPRNILTGHSISAVSTLIDPMSKRYLDNGFSTITIPPLP LLLNIFTKQCSCSWIAASHRKRVYGHPIVNLSTRRSNNSKM PHYBLDRAFT_157133 MCYGSYVFDTSLKSCTQYLRDKGYDIAFLRSVFSSRFLLSPDFD CIYHGSHHLTCALGICL PHYBLDRAFT_80068 MNLASMLNDGPRQVEMPTTFMNEEKRAILNLSATLHLLAAKDRK CVPVIPAVPVAPVPVSASTTPAPVLALTPLPPPSTPTSIPTYQNPNHTRLRLGPQVKS DPGLWKPKLSFAKVLGQKQNGLSNGVSTVATGISSGSSNNTNVNNYTSNNNSYNSING NSNAGVVQLITQDVCDRDNEPKLLLEDNYEIQGSYSRGLFVQMSKNGIQHTFVFPYPD HPWFKDMHGLRKSAYINLRTEEGELWELHLKASGDKRWLFGYLCRPDDIIRIVRESTR ELLQQRKLPLVLDLDDTLVRLVGEGDRRHVPERDLHLYGDRVSLMSDGRKIVLTERVK EFLEWASTLFEISICSLGDQQYVENVVSVIDPQRRYVHGILYSARSEYDYIQRSTEQS RPPKNLLALYAFCELKDQSLGSGFTLPITIDDEERMWPAEQRENIIMVKKHLGSECWS VTLDTVVKKVLNHIHTEFFRQLDIWQTKQAEAERLGRMYTRDPPSALAIYKGYLRDMM GDLIAQS PHYBLDRAFT_71344 MKVASHPLSVYHTSIYDGSPTLLPDNVANFISTASLATRLSLRF SSLFLEAMFDAAKCGTVLSLGVSRQALINAFSSAQTRHALLEAAPNNNINSNKNNNNN SSSSSSNETQDSGFLEVLERYTGLGIHLIHHTFTVAELFAMSGLQLTSKAVKTSLKAV EESVRVLDGIFGSSETSRAIAGIVTLMHREIMNDPNFELAKEGKIAILTGLTRAITTF AVLQSLTHQRTMKQFKMTVLWKGVVVEDEQGQQGLIQFHAHNPAQSQAQVQAQASTPA AAPAQVSVPVSVSASVPGTGNVPILPLSSASTIHTKQEDYEDIIFELQAMLASHEAES LNGAKETQETSHNNSNCKKAWPVSPLYEITATTNTRSTRTTRIRPIDTLSSSLSPSCQ PNQPAAKYVVVQTDQVDTESFVAIIDREDESTGSSEWVWNDQLLEETECDERSNILLA KISPKTTQRHTGRSETCGYTDDNIHLQFCRQDPISVIVPIDHRISQTSTPTQGLVAGA GQSSMIDQDESKHRRRHNNNNHHHHHHRHSHHGNSSHSNYSSSDRRNKHRSCSSVLSY TEYPATTAAAVATATAAAPNITGSNSSHISSSRRSSCEGSQSSHSSNGSTTYHTIHTS TPPLFTNPSLSHHHSTSSLSSSSSTLSSSSTSSLHMSMVPGAPLDGEPSPHNFPRNHI INNIAHFMRYASAAYGESFMRILGIGDIPSAFPASADHPNHHAFAHHTGVPIQDILLS SYTDASLLSSMHHPSMHALVHYVAVDHQAKAIVLTCRGTLGLSDILTDLTCGYVDFDL PTDQPGLPPVRYRAHGGMLDAAQRLAAREKGRVFQTIRQGLQRYPEYGLVLCGHSLGG GVVGLLSVIWSERREQAVSRLQRSGAGIYNSTNPDSYEDMDVDFNFDLDVDLDDVTMS PGFATDPVPFVTSPFSGLPAGRPIHCYTYGPPCCMSLELSEYCGQGLVTSVVHGYDFV SGLSIGLLKDLKNVAQTLHTESNITDEIIGRLIGQQTNKIFQPTGPFRVPDEEYSEDD QWFWALIKTMRADMTGEKIYPPSTIYHIKTTPHSSHSRDNSPIGSQSIGSAAHTVVLS RCEDVKARFSEIIFTKSMFMDHSPNVYEKVIRQLCRGFFDQKGAYDQV PHYBLDRAFT_129689 MATNSVLIGCAQQGDMVLAKKYYDKLKQMGHYPDSNGYASLLLG SAQCATDEATDALIIHDEARRHGVKPTTFFYNVIISKLAKARKLDHALGLFEEMRQLK VAPNAITYGTIISACVRAGSEVHARRFFGEMLSSSVYQPRVGPFNNMMQFYVRQQPNR ERVLEYFGEMRRRHIKPSVHTYKLLMEAYASMAPYDMPMAHRMLHDMERKDRLRPQAT HYATLIYAYGTLQRDLKSAERVFEEMNKAKVQADEAVYQAMLDTLISNDCLERAEAMY HDQDMMGSIVKSSSPYIENLFIRGYGAKKQIHKAEQMFEAMTDDRQTTNNNNNNNEKV VVIREPSTFEAMVRAYLDNDMVVEAKRVLDLMVQREFPEKVVAVVADLVLD PHYBLDRAFT_138974 MFIVTRLLQNPTVRIQNQIVTKNKLTKILNDGPSNLHFICDFDM TMSRHWVRNHETDALERNASSHGIPGKYSKISPEFKAATKKIYNTYYPIEINRSMTHE EKVPFMIEWWETAHKLIIDQKITKYDITDMVQEARLELRPVLKEVLDRCAASKIPFLV FSAGIGNIIEDVLVHAGLYFKNMHIVSNMMEFNSDVGKGGGVCVGFREPLIHVFNKSE FQLETTDYYSQIENRQNVILVGDSLGDLQMSKGVRHEMCLNIGFLNHDIEALEPKYKE MFDIVIVGDANMLPIQSIIESLVE PHYBLDRAFT_120933 MQSIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANV MVDGKPINLGLWDTAGQEDYDRLRPLSYPQTDVFLCCFSLVSPPSFENIKTKWFPEIS HHAPRIPKILVGTKLDLREDQDTIGRLKEKRMSPISYTQGLQMAKEIGAVKYLECSAL TQTGLKNVFDEAIRAVLSPTVHRKRKNNCLIL PHYBLDRAFT_80071 MNHTYTHGHTDKAFLLSLSLSLCASGEPMASPRQSNTDDLPDHI PIDAAMVPQTDPYKQSHRIKSPTAAAVLEQNAHSSSHDLSQHSLSPEKLGVTGYVRDV AGFVKDAAYQVIDHVEHSKKTPIHGSEKPLSPSTGAIEHDNNYSSQNIPIPDSHKKDH QHTTSLSPLHTQPRSPLADAVTGLFPGIDEHGHGCQHADTLRREMRTQHNGTIQPRMG GLSP PHYBLDRAFT_95574 GSQGQKAQMKRERNAKSAGKAANSQLKVNEAAKNIICKQCFQTF LCTSREKALTEHAENKHGKTMKDCFPG PHYBLDRAFT_162042 MQEVIHAQLSTPDNIECPSILEEDYYKLNFWSSKTVYQLDLQKL MSAFFVFTMPTSKYDMISTYRQFLQILINFINSTVYTMWIFVAMKFRMSISLLLKYFL VKFAGTSSILNQILQGILKNMKYILYEKRKVLGPIASTSLCKEDICVIFTSDLGSIIV FKK PHYBLDRAFT_162043 MADVVFPAIAERAKTVTNAQKGKFDKKYRMIQFPPNSQDKLVSL DEVQKIGKEYFTVRAVVAHRELAKGKYEYRVRWEGYEEKDDTWQTPEPFNSPKPIANY WDRLANAALRSPHLHAEANAQDANAQYAYVQHDKVHDVNARCYAQEANMVPSV PHYBLDRAFT_71352 MQDANSSENTHGSYEKADPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVLEGNDGGARAIRKVGE RFKEENIIEGTKWGKGSVMIWSCFWAGGFGPLVFLDGASCSKRTTPAVILGRTRGGGK IAMRSEVLTTGPLKARISTPSSISGGLSRGA PHYBLDRAFT_71354 MVISDSISPTISTDMDLVNHISDQLDQHECLAQAPHQGTYFPQI KCHVFHLIKSLLFLKTFSKRFGERECKPDQASREILTVKIQSSFCERKFGSNYDVKKH MNIFKTSPRDSAVANSFNLYFICPYESLFIY PHYBLDRAFT_138982 MDPVEDQLYIQNQGVSNGNILTEMLLNLLNDGSAVPRSNAYSDE ALYSNQQDQNFYVQEQDVYYVDGLPMAVMPEVTQTQLSTPDSIEPPSILEEDYYELNF WFTQDNLSNGFTGMGDWLLPSYNPNIERGHDLNSLAISSNMDQPYRLSQYEYTTPDSY RGTQVSKPDPSMQPIGQTAAIVPSINKDFGFINKCSNCFPKTYHRSLSRTFLACNFCS PYNVDICGNQIQNDGPSTTEMFSCQTCEHQFNRKSNFTRHLERHIKDRKKSRCSLCKK KFFNCPGFQTIYTCSWKIRRHLNVHKISDAGLENVLEYPDDEETITKQ PHYBLDRAFT_138983 MNVDNLENLQEIMQNTGTATNQINSGFHPSPDLQFMGLFPLING DNDMISQRMLKKTLAAMSLIVKIHAETQTEKVFKLPRLDSILNYQTRKGNTIHALLSL HKEIQVPNNTEHVYLDLPSPQLKFIMSNLKKYNLISFLPDRTPGQAGSLQQGENWIAR PLFQQPIFNVNGVDIWSGDIIYLMVDNPSLRFLVESFHASKNCAYPEGYMIRMLSDEF HGLESPSTAIDMERIGCVYNDCLEEACYLSILLQSTTRLCPTHHTLLFMQHPIKKNML PMHRPMPFTKSR PHYBLDRAFT_138984 MCEAAKDRLSIENIRFIATVPKKNGAKGVSILPILVRDLKALEK GVLMFCEKDKQCVLVIAPVLWIGADTPCHSEICGLSGPTSLYPCRKCYVCIHRLKDSL PDKEYCTDPHKRRTRKHYVLANSMSDRTTIIPDAPTDGCNLSVEDLSFQDRSTGSLLE LDAFDPSSGTPVEVIHTILLGIGKYMVIHLMNGILKSKKDIIQRLKTCLDGYKCSTGL SRKFTRNINHSGSYVGRDFKVLLQILPVILVTDFSNEPILDRIKPCFVELGRLCSLVF VREVTSGFDDYLVEVDLSVRRLLGQLHNYDTFKRAEVKENNKTAKIKKKYTAFCTKRK IHNLTHLKYDIRRFGTALQFETEKGEQFNKHIREHLFHTNHKNTSRDVCLKLAKQAAL QYVMDGGSWLNENGQRERPGCGIAAFLQGKSEKKFRFSFFGGSRDFTSNNDTGDRDEE RIKNNSFGAFIFYQ PHYBLDRAFT_80072 MLSKLFTIAAIALTAVHAQTASTFAFTETYPTSGSKPTPKAEWV TEMNAGSIAAAPVLKESGSNGPKQTGSGDTYCDWTFTGCTRATDIVACPKGQWGITYD DGPTQFSSKLYDFLDTTKQKATLFMIGGQVVQYPELVKRAFDSGHELAIHTWSHSYLT TLSNEQIAAELKWTELAIKEVTGVSPRFFRPPYGDIDDRVRDIAKNLGFTPVIWNHDT DDWMLAESTSFKESWVDGNATQWANNAATSTVGGVSLEHDLYEKTVDAAIRILPILQK AYSVTTVGACSGQASYKEGSVPVKNATSSAVPSAASSAGISSGIAGPSSAGPNSSSAA SSSGVIAAGSASQAALDSGAAGVAKPVFATLALVAVAASYLA PHYBLDRAFT_57935 MSAKQSTKSNKRSTLSDVVTREYTVHLHKHVHSRSFKRRTPCAI KALKEFAKKQMGTDDVRLDPSLNKQLWSRGIRSVPHRVRVRISRKRNDDEDAKFKLYS QISFVSVPSFKGLETTVVDDE PHYBLDRAFT_138987 MRDRVEELVNKITTEDKTNELKNKRLNVKTLPAAIIGNATQPTR CDRNAMTESAVSSMVKDTAKECSSITPPNKQSYNEIRTGTVQMNAPFRAYLPPNAKAF LRASKFFFSNNDIKMKKVPGLNLTELLPFVATTESKHTIQQLGKNLIDSNRLDADFMC LFASQNLQFVHSYFFGACGAKEDNLSTHSNMWDDKKRSYKLLDKVVLKLLRHHIARNR ESKCISTTTTRKPPGKKDIRHHARYVCRISQALNNNQEASAEHKFIVQDLNSTEGQQD FLEEGTLDDDVPERRLNSVKSIIKHLVFSNVTSVYLEDIKHESLDTPTAEQSSALCGM NTTIEWGTDSTTRHRFLIANRHGKLTAFGTVIHQVNVHGTLTQYKPAIKQQGF PHYBLDRAFT_162050 MNSKVYNAIYAAFALAYLIAPALLFIPVMLWEASPMPSAFCMAK SAKRRRPSMAGVGATPFVVVRPDGENQVEAHKNVMPQTILGMLSNWLGSLWSTGSQTT GEPSGSLLSKRHGGIPEHMFVDDSSSEAEDVVCVGKSSVFFGAGLRGYVPHLLPLHKL GRGIATMVFPVNGVAGTCGETHADLDGGVSSELVDECDESEVSVRLRFICDRFFSEGF AKPRLSARFIDAMLEEELNDSANKAHRDSLTEVAEPECGLVPKSAEETPVQRQESVQT PSGLDNADFVVPKPKFGTVEGLNEAFALSSWQSANGFFGECLSTGLPTPMEIDDDLAW SEVMEVEIPMEIDDVAEVMVLDANCGEKLMAHTYNPTVTDLQYVSQSANMDCDTNDLP ACVPQQAADDKMEDDTEKIVLSGPSSLKKYDAPKPRQKVGADEVKGSKEPSMAVPRPK EKSSKLPRPASQKAIEEAKAAIEGPESKVASASCDLPSQLPKSLFGLRTERAKLVSSL ASEVPEPVTTASCDKGKAPKKKAKTQGSTATPAAQVSVPVAAPSSKKEESIKEFKSDL PSASRGKKVKTSGGDEKADLVIEPIGKEIGEPQIQPFPARNPASKPAKSSSKGGKEVP MNLLKYALRFKGAPTVNKEVLVDFLSGLRPRSVSGGFCTSRASRVLDSGLFLGHGGPV GWSGLTIPRGFGKTSQEHRVPTKIGEGFPHRHDGISVIMIATSPYVWTQMMRTNACPD SRSYFEMAKPKLICAKGCVFKTDRLASRKTCGQSPSHPHLRRTLINMVCRLQLATGNA YTQENKTRVEGHLRTLSVVKEKQGYIRQTYPNQVETSAYKPYSWKLIESSVQYQNNIL ETKSEKQS PHYBLDRAFT_138991 MGTTRINSSSSFKTIGSELQKGIWDDQIYIMDREHQQEQVEAGS WCGKPGSGSSSAIRWSNPFAVDPIAASWHIVSARSLVVYCRWSRIPPTGDQGPFRPVI KEHFAVPSPSLQMMLPIPRQVPLLTKQILSIKPYSALSTPIMRQIPKPESCARMTAAR TNKGAFLSKSKIWAVPPSLKAKSISKKGSNAKFQKQVPKEKPKTKSEAYTKSSSPKGS KEIRTRGIY PHYBLDRAFT_71360 MSNENSSRVVFLIDTHDPLIDAYKIKQIALQILLYFYDRVDKKV TWGYRFFSSESAATEPTEYSLYSFMPDNIRAFQEKCTEELRQETKPPVPFSPSSPSAS TSTAAAATDDISNNRQTTSYSVLQQTMIQILKEFQWASGSTGSHLSTINGYQKANPTC FRSNKPGQNGGYIKNHVYMITKCPDTYESMLRYVGYTNLENLDTTDDQKIRHLAHSIH SMAQDLQKSLLRSYIKRQISFNWINTCEGNVNDLKYKLCKNQKSIPSLAYSYPSLYVT VIPVSSFQKQISNGMNKFLNLFGGHMIPYYIGLANHAKYGCSFATLFSSYHSSYFQTN AAVKQNAVKATTEGHTLEAYTACMQNGIKRERGFWEGGLRLGYRSENFPDRDLNEVYR IKLKSFFRTTHELSLEKRTISSSIKDIFARVSTLDTIAMIRNSDIQKHWFRDRFEEDK RLEFTVTSTDHDPAGFMDLVDSLLSTHQALVVDLQTHRAFRNNGKNTPSLQALVKPLA LGHMNVVLLTRPIKEEELLRLDSISRLSNNDDDNNGDDNDNDDDDDDKSYNTHKPGLV QLDFGSLLELEPLSMISIDKYCQGGSNLPRIILHRKRTQEDLKTDNTSKKKEIRIIPV TDKPLESLPKNKGELFSVVRTLYLEALYLGKWTHRGLMKSLIACVNAATDFTDVSDII NLLSLWTKGWVDIDIHHKEISKYLNRKRVNISYNETRYIKIWKRAQLSNTTSSQKATL LKWLKMRETKLQIILYLTIISLRKLSKSKDLVNTDKKDQFKNQNQKEDEEEIDGVQNW RPMDRYSKIPEELVDIFFDRIQIWEITSSICNDLEAINSEREGCTPIMRIDPADIHLT KFVEDVAGCFSATLPNIVKNYREKISDNGKQNKRLEASQQFSICTKITNPSTTVNTKG GWLNYEGKPFDYHSVSVIVVVKSVEVEVEVVSLERRLERARIEDMEDRAESPRLPKMA RVDSAILADRLFQDEMIIDRNFPKFGENCEI PHYBLDRAFT_162053 MRIGGDNGRKGKVEDGKFQKKKPACNDLKLKPVVDPFNGSQWHA AQVYRAINQALGRCIRHQKLPLIFHDWGNYSGTIILLQERFREEEHQAGLSKWVAKSC KVETYGFKAAMNSLSEFMINRIEIDNALSSKETKEQELASSSTVDTVVTKELPLPPPT TKITKDETEKHPVDHREPKSGEYKHDPILIQEAQSILDSGFDQSNPIVVDSSITTTEN TLDEGISVKNLSNLNSLALTDKPLAKITIPTKRLRNNVQKNTSNPQDTYLIETKTAET IPTLAAKTTVTTTITKTNELTTDIRIQEQEQNLPNCADQSKPEPSPTSTSEHKLQPAV LDCTPLVRAPQQKTGIVACRWHGEHMFSDMKKEFLVQKTSTDLDYFLELELDLSVKNR ARKKSCKVLQVCYPTSWTMEQIQIAVFNPSAPVIMEENEADRLVYRVFGARCCKNSIG ALIYDTTSTLSSNRRLVGNLFILHDTVQILDPEQLL PHYBLDRAFT_71362 MAKILPPNVLTYLATYVNKDDQLGCALVCKQWTEPFLNAYWARV NIYFWNSKHFIDKLYLRQDYQKNAHRTWALDIHLDENIFAYIPVLQQTYSRIKYFSCS VSEPYELTDIINWSLWKTLSHLKLDVKDGENVMDELLEELSVLPCLIHLTIKSLHSIM RKPNFSLANFESLHCYFPRLQYIKIQAKFKPISEKEIEKIRNVEPAHNVTKIDFNSPH ISSLWIFYSAHKYPNIVTCYLSSYGKPYNVNPPSYYDQQKYQSQLQLLLSAKQFFPCL QKIHMEINQVNIIFDIVEPEMNDLNTWIKYFSESVEILHINYGSIVNNFIPPDRRFLV HCNKLTELSIKIGSYICIEKILDHCPVLQLLYIKDSCISYPEYPQSIHLPHPLRKLVI INTYLLTPVFNYISFRCGQLKYMELREVRYHIPENNETGQVLLDMSFSQLKVLITYGT QITKFRHRSTLPKHIVIEQMGNNSIDQGQAPQLNWHHLCVDKTNRKSRLLAWKLGRRD IEFAHRYLQDFKRRRGKETLRKDMKRSKMGYKLKRFWKRDLQYGVLIFRFKSVEEYFI DKKDEDQYDLKKMSAMYYCKTHPFNFYHESELIM PHYBLDRAFT_138995 MPNENSSRVIFLIDTRDPLIDASKIKQIALQILLYFYDCVDKEV TWGYRFFNSESAAVDAAKYPLYSFTPEHINAFKAKCSQRLRQDSKSPVSSTSTSSFPF SSFSAAASANSSVFSFMTNTNMNMNTNMNTNSSSINFVGNKRQTTSYSVLKETMMQIL AEFQWAGTDSYLSTIKSRQKSKAVCFRSNKPGQEGVNIKNHVYMITNCPDTYDSMLRY VGYTNLEDPDATDDQKIEHLARSIHFMAQDVQKSLLQTYIKRQISFNWINTLEKPNET EQNKSFQKLISHGLSKFLSLFGGHMIPYYLPLVNHTKYGCSFTTIFSSYCSSEIDTVV NKNSETMITNPAHSVEAYLTHIKIEHQKANTSFWEGEFYLGHDAYFLSERQGARKEYQ VRLKPFFRTTRELPLEERNFSTSINDLFSRVNVFHTVALIHNTEVRAEWFENDESESE SKSKSKSKSNPDSESDSGGLSPGHSPAKRVEFVMTSKEPVPETFMDLIDSLIASHQVI LVDLQARRASRSTGPHPHSQALLQPLTSGFMNVILLTKPVGADELQSLESGARFPKTH PPETTQLDIPALLETAPYSIRVVNHYRRSKAPLVPIVLNESPNVLELLKAHKEKKKAE EEARSQQKVKSKPTNTNKKTVDTPIASLPRDEDEFIITVKKLYLEALYTGKWTHLGMM KNLASCVSSARNYCTLDIITLLSIWTKSWIDVDNHHREIEDQLNDVQDCVDLEESRYI SVWEEEQLMNANSSRREVLLKLLKMRETKLQITLYLTILSLKKEMRSNEHGKRQKRHN EDDDGITNWKPMDRYSTLPEELVDIFLDRIQIWEVASSIRDELVEINAEREGSKTQSP IDSADISLRTFVKNVAKCFSDALPDVVRSYREKIDDDDDHESSQTSDTKSSKSSWSYS NPKKMRKTSSMSKPSRTRSSNSVTSSSSTATAGATASTSSSISTLTSTSTSTNTTSTN RATRVPDLSFMRREVDLVQKPRKEVVRRPGEASRVIDAKVERSKVDGKPTIKRVGSFT TSARSTKPTAPDDLTQYSQKVQLRRPLSPTTPITRMSRQFGFDFNIGDE PHYBLDRAFT_80073 MGPKKPEIEWIDLNKVRPFQSSIETKEAHDCLRRLARNSLKSGP FNIVKDKVAPHIAASNDPHDFLSYAPYWWPVEKTTTLSDGTTHTQVDYVRKDGKRNPD TKLVQDQSQLEAFAENLAHLCLGYRVFGDESYAQHAVFLLNEFFVNPNTRMNPNVNYG QVVRGSSNKTRIGRYTGLISTRSLARVANVLPSLDDYSGYKPIEESVNRWFSVYLTWM KTSPVAHGATTSKNNHHSWYIAQVVAIQILLDRGHCKAKRTLETFFKSIVPKQINASS GDQPLESNRALPFHYLVFNIKAILFLAQAGRSIGLDFYPQNNRLIELAINYIAGFGDS TTTAADNAKTTDLTDAVRVMQIASNVCGDTYGAYHNFVETAKSCQFSENISGPKNAIH PLWCYVNTDQ PHYBLDRAFT_184619 MVYLNYIVNYFNCLQVPPHLPPPLFRQMISRMPGVSFISRDSSL LSSGQYSSQEQSPALSRHISLGDLSSLPSSISWASRATHSHSISGRSIPSPGSKRTSS TRTHRINLPKQSEAAQQPPSIRPHFSMFGGHDSNTDDLSTRHVDTYDISPLQTFWQSL PGSKHFALSDNFKFIINICMWYISSSLTNNIGKQILTSFKYPVTLTFIQFALVAMWCF LVANLASTTHIRSPTQEIVRTITPLAVFLIVGHVFSSIAISRVPVSLVHTIKALAPLF TVLFYRFIFQVHYTPNVYISLLPLTFGVILACSFTYSNSVVGLSCALGSCFVFVTQNI FSKKLLFKEAKMGDRNPNKLDKINVLFYSSFISCVLMIPLWLYSDGSSLMAFSVGNEG SLTKTQLVVSLFLNGTMNFGQNWFAFTTLSMTSPVTYSIFSLLKRIFVIVMSIVWFGQ QVTITQSLGIMMTFIGLWMYQKAKRDVDRGETKIREKSLDGLPTEEIPLEDLPRHRME GFTLPVNQAKKWASTLIPQTTRDEKIL PHYBLDRAFT_154054 MESFMFVNEFKNSYQPPSDILTESISSTPVRQKCIISSTVKIRR PKNAFMLYRQAVHPSILSSNSTIHNKEISRTAGKMWKNEKEEVRKYYERKADEEKLYH SKKFPGYIYKPQQRKTRRPQSTVCKPFLRSTSDIQLIYQKTESKSPSEKLSATSQDYI IHCRDESTNEDEILNTPPTQCLDDSILKTFLDDLSFDIFSPIDELIFSLCS PHYBLDRAFT_80075 MSSRKSSRQRPPRGWDHSPRPQIDGPITVNANINFDTNEYQVSP AQPQVEYGYNQNQDCSMRWAAEAQASWNTPFSTNTNFNTSSQPSSSQSSSAFNNSSGF QAWVEPTRSPERFSHPDQVVFKLNTEIPDRPIFVEKVDFASYRSKPIVPDPAEIMQGP PQVPVSNDRGRRDERIPHIPVNHVRGPYESTDEYLYTHFELMRQDCLIPLQKAVQSYR LTADKPKDKLGLAMSDDQVETVALSRDYRLYEHVRLNAIVFGSRQTLYRISFRLPYYC RVKWPQSKRLMEGSMVLLSKDNFVSDIKIATVVNRGDEPMRGSSRFEYMINVKLECDN ERDPLGFGDPSSADQDTYVMIEAPTGYFEAYRHILSVLKNTQADELPLASYLVDISQD IRLPYYASRKQFYDIDPRKPTNSRDQQKNLVKADVEWPEKNTGMDRTQMDALQTMITN NIAIIQGPPGTGKTFVGTYGMKVLLKNFDQGLGPIVCICQTNHALDQFLEHVLDFDPR VIRIGARSRSERLKDHILFEVRKEREAVRGLGRVYRKRDEISKKIREIIVTMYEEPCV TLDYLRKIKALRPRQLESLKRVGERGARNDALSTAAVAAASAGGGGADEDSDDDWVIG SDITISKTRGAPTPAPSAWGGNRNQNQNQNPNPNHREEEKVPPVNPVEVWLKDAIEFV NESGAPFNLDDDEKADFLEQQKGLLFDEDEDEDELIEEEELQEITQNFKEDLQDLKAD KSPYINIGVAYRNQSESFSRRQTNRDETRKVINYKKTAARGFDSSRFNFFDDSAAPLS YDEDDKEQDDEQHNVLERWMKDDDVSMWPLAVRLKAHKKWAQQLQQEQGQTIRSLIVR YEECSKEIRKMQVKNDALICREARVVGLTSTAAAKYHDLLEEMKPKVMVVEEAAEMLE AHIVTALTQSLQHLILIGDHEQLRPSTAVHTLAKQHFLDVSLFERLVKNDMPYSRLSF QRRMRPEIRTLIDPIYFDPPLQDHPDVVKLPMVRGMDKSLFFLSHTEPESHMEETASK SNEHEAEMAAKLSTYLLMQGYSPADITIITMYSGQRTTIKKALKKERKPEVDTSLVQV SSVDGYQGEENKIIILSLVRSNNAGMIGFLSIANRVCVSLSRAKHGMYILGNAKLLCE KSDLWNEIVYNLEEKKAGNIGVRLALKCLRHNELTEVQWPVDFSDVEEGGCTRPCGTV LDCGHQCPLKCHVYEHDLVRCQLPCRKVFQPCGHACTRRCFEVCGSCLTPRVLRLPCG HELKEECGKIKKLLEDPTSWRCKSCPKK PHYBLDRAFT_71368 MPFATVGLSDKQIRAGNAADPEDDSMLSSGWSYPSADHYDYKFP YHTLGFPDFSLRVKDEVSENASCGSPCGQSHYSFGPTDCPAYSPTLSPPPLLLDANLR TSPPLSFMETDTDFSLSPLPLPDMQVVSDALAKFNLSYTDVGIHMEANVTNVSDLRSL IDAFSQLCLPNPLDSSLKDSNENNNKHNSRINCKTNCNNTTNNSNSNSNNYSYKNKNN NTSNNNNNNNDHTTVIYRNKSQKTKPANFFASVSLLGLSQDPHSTHKSGTTLRAIADA CVDAYFLCWVRCASVLVKDEFMEWYTSQSSPEDTFIVNAICSFMFNHMLVHHPKPEFS QFIGDQDKIREQEEFYFDRARHVLAQIFDVPDRYMIVGLLMMSTRAEASRRHHYVGMA VSMLHQLEIYPRMNTDPVDIDDDRAFDKEMDTRLWWFAWSIDFYLYSAGAPKNTPQPR LPGQVSLPRVFEQDIDGVENSIIAHEKCILLWQMQAEIILAVYEKDADMTVEQLNNYD SKLNSYYSSLPDYLKCDSGFEYGNEELFLTCLRVTVEYNATRILLHKLFLPEVTDPRP SRLSLQSLNTCLITSLTQLRALNTCTRSALTQCAFDRDELWRASEVISMAMDIYRTCI SPDDQKLILQNIDRAEYENGLNKALEILKKTREYDAMNKNWIQVADWLQVEIRRHKLY SRSSSKDYRSPSTKDDSSNLGPITAPAPDYYLANLKIKPPQDQAPRKRSRQMSNTESS SMLSVLSFPQPNFSSVFSGLLGQRRKSVSPNAPAQVQFNMYIPEQQTPSPSPSPSLLQ RSKPQPQSQIQQQLPQPFQQFNAMSPGAGKNQPRFRYFNPRKMNKFLFIDEHPM PHYBLDRAFT_178942 MFVLAGVYRQKTISSCADRQGRTEEEEGCATAILVAYFLLGTCF VIWTSLQIYFGLVIQAYLLKTEGRQRYSALKDQFIRDWDAQMEATNNGISRMNTVSNY NKMKNVRN PHYBLDRAFT_139002 MPNQECEFELPWIIYPIIFCVCLLGVLCFIRMHIPLPFFLRHFQ DLDRWPLDEEEYQQINQPRYNSIHGYMSKGMSPLQLVSILHRGVYAYEFKQEPDSGHA VNNRTQLSFFSGESSLQTNIGLPTNQAVCYWEATLTKVSPTTFVSVGIATKPYAGWRS PVTINQCGNTGRFETNPHSYVYSPMGGSMYGSEFMHGDVIGIGYSASHGRVFFTFNGT LLDVVCDGIQWDMFPTIDVRGTAEISVNLGQSVYRYPKANTLPWGLAGASASVTSVFS EPPPPYGTQKESVLLAFGPNSQPRDGSVNMDSLNQVVIQPAS PHYBLDRAFT_139003 MSKHENKIQQRVWVYRSPFLSHTHTYGEYRAIASIHRIRSTRKD GHKIREKHVFGHASQPYTTLGWMFSDLCTYGLRPMMTKHFRLITGLELGSLYSARGFW HLQG PHYBLDRAFT_154056 MNPAGVPFITEEAEGVKLFQPITCKSVTLHNRVVVSPMCLYSAE DGILNDFHSTHYGAMATRGAGLIIVEATAVEPQGRITPSDSGLWKDEQIEPLKRIVNF IKSQGTVPGIQIAHAGRKASTLPPFIGDGAASEEEGGWPEDVRSASELKFADHYCQPN ALSQEGINEVVQKWADAAVRADEAGFEVLEIHSAHGYLLHNFLSGNSNNRTDEYGGSL DNRMRFPLEVAKAVRAVWPDHKPLWLRLSATDYANPDPMGPDENGWDIFQSVEYAKKL KEIGIDVIDASSGANLSTVGYPPPSLFQIDFAEKIKREAEISTAGVGYICTGKQAEEI LQDDKADYTLIGREYLRRPTWVMDAGKELDVDVTLPKQYTWALRMSRHTNKSAMDEYY LHHSSS PHYBLDRAFT_104644 MSTRYTRTADKSLNDKHTRILKDLLQKSANKYCADCKRKDPRWA SWNLGIFVCIRCSGVHRSMGTHISKVKSVDLDTWIPEQIESMIKWGNERANVYWEANL KEKTPSESNIDQWIRSKYEQKRWAMRGSIPDPSTLGGQNEEEVIILEEEEKEEE PHYBLDRAFT_129702 MVVATAASNSQKPAGVPYNPEEAHKAKLFEPITCKSVTLHNRVA VPPMCMYSAEDGALNDFHIAHYGSIAIRGAGLIIIEATAVEPQGRITPSDSGLWNDDQ IEPLKRVVDLIRSQGSVPGLQIAHAGRKASMSPPFIGDFIVSDAEGGWADDVRGPSEL KFADHYPAPKPLTKEGIKEMVQKWVDTAIRADKAGVEVLELHNAHGYLVHSFLSGNSN NRTDEYGGSLENRMRFPLEIARAVRAVWPDHKPLWVRISATDYANPDSLGHDENGWDV YQSIEYAKELKKIGVDVIDVSSGGHLSNVKYPPPSLYQVPLANAVKHGANIPTGAVGY IHTGKQAEEILEKDEADYILVGREYLRHPTWVMEAGNDLGVDLNFPKQYTWALRRARK TNKVKDDSN PHYBLDRAFT_139007 MDGSEVIHRLWNRDLAAVLNFRHILNNLRYYGTIPLRFTRVIRI GCIRRQAEEDFQEGRRPTQATAGTPTPVHVHVPVSGSPTLPSTHNSYQIFELAPLSNS KIELISAYFLYYNK PHYBLDRAFT_162067 MGTSSGSGSGGYGGYGGYGGVRCIQDNLNGEIVFFKRGHFLGRL IVSFYSILVFFQYCHGYWTNRNKYDKDVMKDLRTKYSYDGPVHQLNFFLKFWCGNLGA TPKDKIK PHYBLDRAFT_71375 MSSSFSLHTALEELDSLDTKAKQDSGSQFEIAVKCLEEPLKWLQ SGIDQSSSLTFQQRLQLIQHPAWKRHLWVVCQTIIPHWAFALGPSSPHRNLLESTFVH LNDTTTNHTANLSQQQKQAQESCTMALTGLTILLECLVTEKDCSLGALEIYSQLIKNL VSPALLKLYIKSQTDTTDRSNGLTTANNSPKSTATKTTTTMMDSQFLCTLLCSIPARL GNAFGLQTIGKYGETNEWYLDKNYFVVLSRQLAYAAIETEEYDEKEEEGDSCVSKDTT NNYKNKLRKNKDKNKKSCIGFMAELLAKMLRQGYAETCIRTIYPIALAHLISAPWTKL WEDAESLSTSHGLSKATLFFVHETLMSQQTDKTQPIKGSVIKAVVVDLATIVFGPSPP ISLPSLVDKTDTAKKQQQQQRQRQRRIIEFLKHVIFELCGVRWTDTDVLRLAIATAVV ASGLDWDQDSKEWRLSEKTSTMTIELLTAVIEKWTDPVFIRHSSEKERHYITGALNIL AGYLDKDSLAQVVSGTSLMSGITRWFGSADIGTAKLGVVVAESVSGRIDSKESRFACG VLDLQTDRNLFRLKDLIDTKDALQQETKCDEECLKDLFENDQDSNRELERELKEPEEE TELDPDALFDPNESEVSEESDLEPYLMETESEEDNDDDDGDAHGPGGGNSSNGRKGVR VKAKSVRQPAYMLDLISYLEDHDDPVKLEIGLGAAKTIIRQKAGIGSEIGENCVQVAS LLIRLPETYELTNFRQRQQDALVALVVAAPETVTSFIIDQIYNRNSSNGQKQLLLAVI ALSVRELAGWTEDRVTDILDKAIDKKDPGSLSGTQLTRPTGTVRVFSHSTEIERKRKE ATKANRLNGLAQPVFFTPLLLGWWEGAETRARWWIGHDKLLSERFIMTLNIILHSSTN TVDKRRIVTEYFEFCSSLRYTNCTRGMKRSMLLGIDTILNTSYRDQTELLVNQFAREL AGVQEWLQDIVEGVDEDEIKQLAIKLLDEDNKAVEKGYRPNVLLFYDLKSIKLTKDRN VINMHAYICI PHYBLDRAFT_139010 MNTCKFYDLNIRSLTDSYTYKISYYFEGINDFLSEDLIVSFEVI SGEPLCLLPFVDFSSYHDSSEFFDVAVKVINTQNEHDVVAAATSPWIKTLFTAEMRDY NKEDVIIRGIYLEIFEKILIFIHSSVYDVKDIYEVSEITKAVDQLELSIICNIMFRYL RSNIDITNMWPVWDLAVKYECKETEIAYQKITVIKVLQIDHLSPPVPEQDIYNSILSW RQNAISDTKSRIPKTVNPNTFTDSAIVNQNNSKETKKSLKSLEKIEKAFIEMIQLIRF SQMSLEYLLRDVKTNEILTKTDICMSSISQAISSIICNNLCADSRNA PHYBLDRAFT_71377 MLSYPIQLALQTLCLASAFLCALLFAAISSLPVPSVLSMGTSSY QMRIIHKDGEGCLQSFCTSSEVFSSGPLRSGSHGETLGPMSCPAISSEIKGAGDVEGL SRSTSINQQNSVCHWMNSTVHEDDKRSHMDRVAYIPVRHENQGSKRLVRTRLPYYGEA LEEQMFEVPVSKANPLEPIGLAPEPSKGDTQGESHISGYDEVCVGAPATECSLVLPCP FEQGTFNRHRPSHPLTDELPSSGQDMILSEISDLASLFEFMSIKDDSEDLSASQNQFA SAAQQVGAVGAEMASAMEYCWHSEDTAWFEEIPQCPALVGGFFAFVQEEPMDLVSISD AHLEQMMTDADEEDLDCSMSTISESESSEMPDVEYDGVVVAGVSTACWYNIHGELAMV PEDLCGDSPMDLAVEVVPGIEGNLDHYRDDCLMYWDESLVPKGSPIMMATMFPDSGVA GSFPLNQANMTNKGATCVPQQIAEDLVWSPEEEPRVPSSPLLPGSDSGADLPGQSGMS LPEVEAPEPTTIPGVVVWLTTAPKSPVEVLEEEGEEDDDDDDEEDSDEGYEDDDEGVT LQDSALADLLAELEESDFDDEA PHYBLDRAFT_139012 MYAEQVLAELWVLALAVNHRLHIFESGGSDPLVLKKFLVGRNVF VFHPQDYDRVLGLFFLDVLDIRNPCIWTLFVSVADCFLQAFDCRVFEICLPVFLATVC SNLAAVYPDFALDYNISGLHLSAVLSVALSVVPVAVLVVVLVVVLKNMTTAYIWALPW VWVLTLLTHARILSYGAGRLSFLVVIHPTCSKLVKLDFLEKYYSISWSAFGFYPVDQA ESIDRFLKV PHYBLDRAFT_139013 MLSYPIQLALQTLCLASAFLCALLFAAISSLPVPSVLSMGTSSY QMRIIHKGKPAVDHNSININTNIDHISDGEGCLQSFCTSSEVFSSGPLRSGSHGETLG PMSCPAISSEIKGAGDVEGLSRSTSINQQNSVCHWMNSTVHEDDKRSHMDRVAYIPVR HENQGSKRLVRTRLPYYGEALEEQMFEVPVSKANPLEPIGLAPEPSKGDTQGESHISG YDEVCVGAPATECSLVLPCPFEQGTFNRHRPSHPLTDELPSSGQDMILSEISDLASLF EFMSIKDDSEDLSASQNQFASAAQQVGAVGAEMASAMEYCWHSEDTAWFEEIPQCPAL VGGFFAFVQEEPMDLVSISDAHLEQMMTDADEEDLDCSMSTISESESSEMPDVEYDGV VVAGVSTACWYNIHGELAMVPEDLCGDSPMDLAVEVVPGIEGNLDHYRDDCLMYWDES LVPKGSPIMMATMFPDSGVAGSFPLNQANMTNKGATCVPQQIAEDLVWSPEEEPRVPS SPLLPGSDSGADLPGQSGMSLPEVEAPEPTTIPGVVVWLTTAPKSPVEVLEEEGEEDD DDDDEEDSDEGYEDDDEGVTLQDSALADLLAELEESDFDDEA PHYBLDRAFT_139014 MTTGIVVSIWPSAIWFPLILRMTVELPILLASKKNYPGLEWNFV ETGLNQEVLISFMEESQDTQDTEDRKYEAVCEFRLIESYGILFGHGCFPTTIEPIKTP AHDPALRPVLGFCTLRNHAKAATRLANSLFVDVNQPSKAAPTLTIYFRLTILIFNYVI SQYPFTL PHYBLDRAFT_72628 MATQGSVRKKVPSIIQSILKKNLQYFIFFNPVHHNKKDGIPPAK IEENMSKINTTKLITTTKLATSFHTVILTSSKRAHSGWVPQSHPQTCDRRNPSGFLRV HQPSVSGPQIQGRVLDGVQSKEAEQIYVCASLEDGDYTRGLSSDPPICLFDIPQPVGW FATYIRPQSAPKIPQLQIRWHHLVVMNGFFRPVGNAMVFHQDDKKFESAPIWAIGISW DSTKELQTHINILYEKLEPHSCIVNQRKLSFIPSQGLKHLGYALDTKTIIIKILGAEL INLRRSIRPLISSLSHTLRLIHNLTMYIKAATIALFPAYLNTQYRASATRKRLMALRS L PHYBLDRAFT_162074 MAIDSFVTPQDLEKQESRDRTLQDLYAVHNRSTFFKLDTIFKLL QWGYSARLTDQILNSERHIIGLQFTKQQAIDALKKSHKIREFIVKKKTLVESLSASAD ELIKDILEVIKKEVNIKLEQMTAAKELKQTLKANKYMHRVDNVLPDVDNDYIRPTVVL AFLFRLIFSGRLARIYAIFTNDELDNIGNDYSTRWRRTNIIGLHYVINVKEKKPGVKP QDQAENRVYVRKPQKNACTFSQTETLIVENLDWRLRSKNSVSELTSNDVEIGLDGKAL EENNMHRWTKHFSESVNVLRIAYMPILRTEVGAQTFNYISFYCRQLAYLRLESSELYH SDSEETGQLFVYIPFLQIKCREHIIKSFILTITFHILVMVLSILFLSNWRMSISLYWT KVIKKSHLTQTGIMCAGAGQTEKPDF PHYBLDRAFT_162075 MNAELVDISHYQLDLRLPRFGYRAPSVSTAVKHNVFNGYRCQGH TMRITLQKMKMRNEDRMVPDHIKATCIFRINQEEEKMSNVSEKGNSDGNVYYLWRFSS KAPISFHLEPVIRILVGFEDTTGLPLRPTSLIALPTYVNSSEFFDVTVNKNLNVEILN ATVILSAASPWFKTLFTSGMGDSNSKRVIIRGIHPRIFSKILSYIYSSSYKVQDVDEA LETIKAADRIELRSLCNTMFDYLKLNINITNVWSIWELTVDYDSKDTDFLCQEFIRKN LSTLVKNKEWLDLGPGTTIRVLRLRRVLSHALEEDLYNHIVLWRENAKNKVAPKSPNA TNPNTANVLATTSQSNLSGIQTPLLTLECIEDFFAEMLELICFDQMSMDFLLNTVETN PAVMHINSCRELIQRAVYLKVP PHYBLDRAFT_162076 MFSPSHLPTGQYYCVQPLSTLSVSNQEWLDYGYLDGDSTRQPTY PFQKYESRTQQNSSYVLSREQWKNDILEILAVPIFGDNIETYFQGSSSLPPLGPTSPG SSQGHYESDYDHQPETPQQHVQYDPTDIVIIHYDPHNPSRQNIKTSSPSSTNMYRCTV CPYETDKKFNFARHLETHSDVKILFCCPKCPNTYSTKHNLQRHTDQRRC PHYBLDRAFT_72623 MPKTQDAKSAHTFKDLSNLEKGMIIGQHLWNQYRFHFRSTESNQ TQSSKSPTSSFASKKKASRPLVKSKKPIIAEPEEIVARSKKTDYKNTIGRHLDHINMR YSVVQGKPFIDPKYIARHLKLLKNLKNYELWCKSVVWADECRCHIYQRNGKNHICLES DVYYSVFPTLDPSQIIATVVVWGCIWPGGMGPLFTLKDNMTPEERSDFLLEKFLEFYE TLPGVCRGGCPYIRDKAALPEDEPTNKKKGVGFEILEWPENGPAFTPLEYLWNYMSDN LLLMTASPNTPEELEKTLHEEWNNIDAGICKKFYGKTKRKLATIRADKTSRFR PHYBLDRAFT_162078 MTQKGFIEKRQCAAVFTVKRLEEVFPNDSTTGSIYFLRGYRCQG VIRQDTTRLLKRVLELEKPEESKKAISIKVTLQKISGSEKKLRLPNAIKANLKLETTI NYTKENLNRMWRTKYTELCRNNGQTYPLEYSIGPEIKVPVSFEDLINQPVALLTYPPL SSYLDCPSFSDIRVTVYENEVMVGEQSVFNCHKVILAAASPLFDKFFTEKMDGSEKKG KIIHGVHPEIFKKILVFIYSSDYDVKDVNEASKIIEAAHRFELPDLSCYHCTDTQGYC QKFIRKNVRKLLSSEAWIHLDPESVIRTLNIDHISPPVKENLFYEAVLDWREQALYNI RSNSEDHDDPGSSHTLVDDTKKSLEKGPGYPQTEEDVEEAFEEMISLIRFNQMDTKYL FEKVEGDFEIMKSDKCKKLRLFLLLIISSMLHLPMAVPPETLFVFKTLAAIYPNKIPP PYDEREKYHSRLQLLLSTKQFFPYLRAINTVCCNKNAWLLHLFHDALQHSNVKIKHAT IDFDMYTSNVKNLNTWIKHYSESVNILCIYHGNDYSSIPAARKLHLKYMTLNEIKYHC SDFDETGQALVDMHFSPLKVLITYDTSFKYFDSRSSQPPKLIVIEQKGNDNIDQGQAP QSSWYHLCADRTNRKSRMLVWELGRRDIEFSQRHLKNFKRRKGRENI PHYBLDRAFT_139020 MTRPLNADWRFQMCLRFADSRLVADAHFEHFSVAHLPPSNFDLW VKKVTNDNALKVMIFSQVADFLIVSSVRLERYFGSELGPTTNNFQPTTSTKTYVAKES ITHGQRILHCNA PHYBLDRAFT_139021 MLNCSVKERLSKGSLHDSFVGIFVHHAFIIYSRGGVCRIPPHNI RHALNRIRVFFCYLDEAKIVLWPWFISLLLLTSPDSPQSAGGLFSRVSFIQIDGRPLH KNLASSLRRRLVTSPISLPREALRTDLRDNLTGQPGR PHYBLDRAFT_72619 MSSSSRLSASQKEGVQYSSTPSFLGPEWLDNAVPIPGDNVETHF QGFPLLPPLDPTSPGSSQGHYENYYDYQPKTPQQQVQYDPTDIAIKNYNPKYTSSQSA KVSNIKSIKKYTCSEFFYESEHTYKLNRHMKTHTGDRSLFCCPKCPNTYSTKYNLKRH VNKCEG PHYBLDRAFT_139022 MPKTQDSKAARSFKNLSDVEKGVIVGQHLSGISTISISAQQNVS QYTVNRVLKEYKATKPAKKTKSSTNKKKPIKRMLIQVKPQVSRPTKKASRPLAKSKKP IIAEPEEIVARSKKTDYKNTIGRHLDHINMRYSVVQGKPFIDPKYIARHLKLLKNLKN YELWCKSVVWADECRCHIYQRNGKNHICLESDVYYSVFPTLDPSQIIATVVVWGCIWP GGMGPLFTLKDNMTPEERSDFLLEKFLEFYETLPGVCRGGCPYIRDKAALPEDEPTNK KKGVGFEILEWPENGPAFTPLEYLWNYMSDNLLLMTASPNTPEELEKTLHEEWNNIDA GICKKFYGKTKRKLATIRADKTSRFR PHYBLDRAFT_72617 MHFYSTYLFGNDGLVGLYAVMENKKISISNSSIHPQYILVENVY YSSNCNYTSNRYMWMCTSVLIFYITIYPYVPINQIHTRTKSLRLEGAFNKWDIKEVCA PGLLIDALL PHYBLDRAFT_139023 MFSPSHLPTGQYYCVQPLSTLSVSNQEWLDYGYLDGDSTRQPTY PFQKYESRTQQNSSYVLSREQWKNDILEILAVPIFGDNIETYFQGSSSLPPLGPTSPG SSQGHYESDYDHQPETPQQHVQYDPTDIVIIHYDPHNPSRQNIKTSSPSSTNMYRCTV CPYETDKKFNFARHLETHSDVKILFCCPKCPNTYSTKHNLQRHTDQRRC PHYBLDRAFT_104792 MSLEGRDIVIGNKYKLGRKIGAGSFGEIYLGSNILSQEDVGVKL ESIRAAHPQLEYEARVYKTLAGGVGIPFVRWYGKEGDYNVMVMDLLGPSMEDLFNYCK RRFSLKTVLLLADQLLSRVEYVHSKGFIHRDIKPDNFLMGIGKRGNQVNMIDFGLAKR YRDPKTNSHIPYRENKNLTGTPRYASVNTHLGIEQSRRDDLESLGYILVYFCRGQLPW QGIRARSKKEKYDKIMEKKMTTPADILCRGLHNEFAIYLNYVRSLRFDDKPDYSYLRK LFRDLFVREGFQYDYVFDWTVRKMVNILPCH PHYBLDRAFT_162084 MYDGVTQNVQTSVFFLWGNLMDSLKVCDITHNKEFNGYTYYYFF GSGSDFAVILRLINSDYISGDWHLPPILHGKMVCPQRNTIYSMNQIDFFRAGKKATIC GLTPGPLIYGIGNCKQSLYHSAGNADKEANYIGNLDYYCNIESKNETDSSYITAGLDV LSAYSTTVANMAI PHYBLDRAFT_72612 MLVTLYQSVKAGTVRMVVGGINTQLFFSLAINSQQGLAIKNKLP ISTQYGFSSDNVKENMEGLIEGEWWEGVFDKAFPNLTFIAMTLGSLVNQLLYIYNDSS DIWYLSQYNIKFPIQFSLSRAKIFRLTVFNQ PHYBLDRAFT_105618 NQIKSTQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIKTEGTL HWNLALDRGDAPDWTKTLYYNKLQNPLYIYTCKANEIFLKKNRTGLGWTGLSWT PHYBLDRAFT_178945 MLIGQMENEEPQLGLMDQDENSQLVKKVGKTYEQSRVYWRGRWK ASGNTWVCVRPVIVNTQKPRWSSDSHEFLSCHEWPVELATPRVNHGLSSKSQSARVAC EPVVRIPMYYWLLSVLSSGGRGCLLLPPVGLLLPTGRPFPPTSGPVISRQWTYYLPQI GLFILRPTAHFSSIEPFIPLAGLLLPVASINNQFASTAEQNGSIPATKLLAIACLLGT SSKADTAHQKPNKGRKRKGQTGQQQRPKMRARPEANPAYHKPNKGRQRKGQTGQQQRP KMRARSKANPAHQKPNKGRQRKGQTGQQHRTKMWS PHYBLDRAFT_139027 MPRFTALTNLSFAAPKRAKASRYQPYPAQSVRRALRASAKSPLG AIGVSSTGGFVATQVTSPEVFGGSGETQVVPRGTKRPCPKDFTSTAHMAKRLEVVTIR ALRRPAGRGPRGFLLSTSPARRVALKRSLRLVRHVVFELQIRGVISMTGQINDPLPSY CNMPTTSVLAPVPVPAPVSASASASASASAPAPAPVTPPVRSLTRSSSRIVTSSSSGF FSTSPSPSPSPSPPSSRSPSPEPETSLSVFQALSSSETSESLETSESSSAITPDNTYT KVMNIAADTTQIITSSVVSVVGAIKKFFSWE PHYBLDRAFT_139028 MSSEFMLQFYEPHRVYWRGRWKASGNTWVCVRPVIVNTQKPRWS SDSHEFLSCHEWPVELATRVSPLSVFPCITGCFLSSLLVGAAGIFLSVASASGVFLPV GLLLPTGRPFPPTSGPVISRQWTYYLPQIGLFILRPTAHFSSIEPFIPLAGLLLPVAS INNQFASTAEQNGSIPATKLLAIACLLGTSSKADTAHQKPNKGRKRKGQTGQQHWPKM RARSEANPTHHKPNKGHQRKGQTGQQQRPKMRARSKANPAHHKPNKGHQRKGQTGQQQ RPKMRARSEANPAYHKPNKGRQRKGQTGQQHRTKMWSRSGTNQVKVHFYVHVQVHVQV QFLSKWPNNVHSEEQTPLPTTGSILPMNLAR PHYBLDRAFT_105123 MVNLPDLFARRNQCLVVESVLHSIVVLTSHLQFKSTQIKSNQLK SNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSKLKEHCIGTWLWIEATLRIGPRLSITI SCKILFTYILAKRMKYFSKKTGLDWAGLDYHGLDYLIFYLI PHYBLDRAFT_178946 MNYNYLIDIVLGEGGVEEILQASVLMYVLVMGLVDVKGHCLSCN YIGEEGGVVKDKFPVRIPDGVNRDGGNLNLEKGGNGGRKPSTSEKPGNVRNGPGRTHL PPYQCPHGKLARAGEDEMLERVHRRDTEATVRTRKERRGTVEYELSGWDPLPEDFPPE RTANSCYIDILSSRAFKSRELVKNLLNRFKNWKESKFEESFWAKMTLDEKKKADRSTI ANRRLYNSSSFFSASSIRITKNSEELFSANSPEHLDDLAVSSSQQHESQPNSFTDTST DSYIDEGDISDDSQSVEAGDVFDFLSTTEGVYDVSVPSITKSWKVVSGFNVSESFLQY RETCIEKAKTHRNLETHEELALSGIMLIDDSICEEHYFPFEHVEDVLQDIEAVDYFHI PNADEEESRLCQKFAYNMQQRRLNYDHVDRILDDIIYCMNYSIHPINEATLVHNTINV MIDAYFKNSPIIKCLNADNMSFSSSARFTNMDPSLVNHNKRPDFSIVSNREKHLLLTL EAKRCGRSMSGDFFKLAKLLKDSLNQIEVFGIVYGLVSQ PHYBLDRAFT_73804 MPFGHVVKSRYGSPEAQQGAQEKRPNRPTALAQDARQVRGQSGL PQAQQGAPEKRPNRSTAKAQDARQVKSQSGPPQAQQGAPEKRPNRSTAKAQDARQAKS QSGPPQAQQGAPEKRPNRPTAKAQDARQVKSQSSLPQTQQGAPKKRPNRPTAKAQDAR QAKSQSSPPQAQQGALEKKPNRSTAQDQDVVKIRGQSGLPQAQQGAPKKRPNRLTAQD QDVHNIQNSQVKIHFYVHVQVHVQVQFLSKWPNNVHVLLEY PHYBLDRAFT_104733 NQIKSTQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSKLKE HCIGTWLWIEATLRIGPRLSITISCKILFTYILAKRMKYFSKKTGLDWAGLDWTIMDL TILYSI PHYBLDRAFT_178947 MNYNYLIDIVLGEGGVEEILQASVLMYVLVMGLVDVKGHCLSCN YIGEEGGVVKDKFPVRIPDGVNRDGGNLNLEKGGNGGRKPSTSEKPGNVRNGPGRTHL PPYQCPHGKLARAGEDEMLERVHRRDTEATVRTRKERRGTVEYELSGWDPLPEDFPPE RTANSCYIDILSSRAFKSRELVKNLLNRFKNWKESKFEESFWAKMTLDEKKKADRSTI ANRRLYNSSSFFSASSIRITKNSEELFSANSPEHLDDLAVSSSQQHESQPNSFTDTST DSYIDEGDISDDSQSVEAGDVFDFLSTTEGVYDVSVPSITKSWKVVSGFNVSESFLQY RETCIEKAKTHRNLETHEELALSGIMLIDDSICEEHYFPFEHVEDVLQDIEAVDYFHI PNADEEESRLCQKFAYNMQQRRLNYDHVDRILDDIIYCMNYSIHPINEATLVHNTINV MIDAYFKNSPIIKCLNADNMSFSSSARFTNMDPSLVNHNKRPDFSIVSNREKHLLLTL EAKRCGRSMSGDFFKLAKLLKDSLNQIEVFGIVYGLVSQ PHYBLDRAFT_178948 MTASSTVIVNPITTHTATVVWIHGLGTSSASWSSFVEQLSEQFP HVKWILPNAPSIPVTFQGGQRTTAWFDIRELGLKLTINEDRDGILDSLAKINQIIKDE VDRGIPSNRIAVGGFSQGGVLSLLTALTSEYKLAAIFGCSGWIPIADTLKNLLSGANK ETPIQMYHGDADYDILLEVEQNSFDFIKQLGYNVQLKVFPGMNHSFSPEEALDISSIL EKNLGAI PHYBLDRAFT_184627 MSALSSVIINASTKQTATVVWMHGLGDSGAGWSFLAEQLSGLFP YVKWILPNAPSIPVTLNGGMSMPAWFDIKELGRKNATSEDRDGMLQSVTTINKILRDE VDKGIPANRIVLGGFSQGSVMSLLAGLTSEYKLAAIIGCSGWLPMPDRIQNMASDANK KTPILMCHGDADPVVNYTFGKESAERLQQLKYDVEFKTYHNLGHSAAPQELLDISSFL KKNIPSV PHYBLDRAFT_129711 MSAPQEHNHNHNHNHDHSHDHAHDHDHDHDHSHDQEDAIDEEYL TQDDILEVDDAEGYEPMDDDDEGEYAEGQENPLEGYEMRPSADDENVMELADDSVQGF FDHGEPVYAVAIHPKDGTIVASGGGDDKGYLWRSDTGEKVFELDGHTDSVTAVAFSVD GDYVASAGMDGKVRVWKTMTGEFCTAVEGPDDIIWINWHPKGNILLAGASDGTLWMWA MPSGKFMNIFTGHAAPVTSGCFTPDGKKIVSVSEDMTCIVWDPKTAVAEIRLTSDDNR FHGEPITAVAVNKDSTLAITGSMDGKARLINILNGNIVTALENHTDSVETISFCDVLP LAATGSVDGNISIWDVQTQRLRHTLSHDDAIVKVQFIRNSYLLISCSVDKKAKLWDVR TGQCLKTWSGHRDTILDIAASSDGQTIVTASDDGHCLVFKP PHYBLDRAFT_139035 MILPRIIQSTRLLTQSVTTNVQLVSKLPMSSAGMIIARTHFTDR PSRFGSGDREGGKFSSDRERSSPDRGDRPPRRDYGDRSSSSGWRSDGGDRAPRRDYGD RESRGSYSSSDRPPRRDFGDRNDRGDRGDRGSREPREYSDRPPRRSYGDRQPREYNGR QPRAYGSGGDRAPRREGGSDGSKNFRPGDWVCTNCSFHNFSRQKTCFECSQPPTNSQR EVKLGDWVCGECNFYNFSRQTSCKSCDHTKPVGTSVSAPAPASTPSSSSSE PHYBLDRAFT_80396 MLRPAKIFASFHQLRHYIHNRVSELVHPIVKVAPTPIQWKPPSG PPTAVSGVPAPHTTGTTNTTTATASIKLLLANQEHQKLLFVLQQQFPIVKIFSAPFHR PCPVSFTRATTQQNLGLYYQTQFRRTITSFSSGTHSGTPVTFSCGFPRTATVGYARVP FAARQFSTAKSPLMVFQGPTTTTTTNTTAQQANTVFSHVSSRIFSPIGSKLGPAKDEN DPNDKAKSDSQDNSTSGSGSVFKNSINGLNGRSKSVKEHYHQKEPLEAYNRLARHEIK GIYDLVFSPVQKHAAGLVRRESVSRIRHCPPAPQKSFTPYDYICHQDFTVQSTGLAKR FLHPRQCQRTKEPPDPRHVFRGTDPPDHHHNQQQQQQNHHRNNNYNNRNYNYSVYISI PLDSIQMTDWEVESLSVSIKSIASLCSHYQHLLSLLHRLYRHADFESQLQGSELKLYF PSRVLQIDDSTEFKINRNRNSTNINSNINPNYSHQNSRHTLVDIARHWLRSVDLDPED NNARFVVKQTDPQKEKEKEKEEEVYDETYFQQQEKEYDHGDYHRYDKKAMGPEYFRGI QQFLDHVDDLIETGPAFGPSFGQH PHYBLDRAFT_129712 MYPASLPRRHSQSFAVTTSMAGTLTHPHQRTRSHSTSLPCRPVL ASHLIASVNSKIKEIEDADTTGFLRYMDDQVTETWDTAKAALVGAHRLLQFHELPAEW QENEYVHSGYRFYQSSRACLRSIFMIHNETMNIWSHLLGFIFFACLSVYGFHSHFPGA SFNDRTIFMTFCLSALKCLFCSSIYHTFICHSRHTVKSFTATLDYMGIAFLITASVLV TEYYGFYCKPSIQARYMVFTSIVGSIGIFTPFLKCWDTREYRPLRIAVFLSMAISSSV PVFHLFLMNGFGPTWSFLKLAAVSVAMYVFGVCIYVNRFPEKMYPGRFDFAGMTSHAI WHIFVCLGIFFHYLASLNFYANRYTYGCLLKGA PHYBLDRAFT_103796 SFSGDGTFYQPGLGSCGKQNDESDMIAALSSSLMSQGHYCGKQI TVEYGGKSVDVTIVDSCPGCSEGDMDLSPAAFSELASFDKGRIPITW PHYBLDRAFT_129715 MVEDQKSKLTDYYLKNIVSSKTPSVPESVLDSFNDFFNKVISRD DFVQQYVPALEKMMLRSPEIVLPALSRIIPSLSFDISSIFAEKWLEPLLNHLRSTSAA VRTGATILWNALTLVSHELEPLTKIVSELTKLLISGKVSSWEHRVVVYHALSALAQAA EPLVSQKALEGYFTMMAKESNEQAMSAAVDGVGRHLTVLIYNDEYCSSHKDIVDKAVK VSSEGLTAPKALVRKSWALAVGHTLWDRKQDTIQTSQTLSANVIKYLQALFTTFDKIS DKPLVWKDGPLEAYVLVAVISGRLEQWPTLPQPVTDLLKKHKYPSSVLVTTPKPSFLL WDRIYTKAIAPEEGLWLVRALTNVFENEIQASLEKSGAGYLCAQALIWIITSHPEHTV RRAAYEETSVISAKKPEMLCTFMKLALKQWLLDTKDSTAVAAHSAENFNSELSTYRLA SVLKTITSFDRDIPAEIKKKELVELMILSHHKYIASPSDKYNWITLVQRVNVDPGKLV KTHFERIKDILMETLLDRKKSELFYEAAISAISTLVFISPNSIPAFIDIIKANLDTSL MKGINELEYNIWQTPADQVYVDVLKKNKSVVSDNRNRKGYEDQKWEEELRAELAKKKG ITTAQKLTREEQVILDAQRKKEHEIRDHVQQVYEKITLGLHIVRALVSGNVEALSDHL VILVRVLLDLATKKNAGLLVGHDITNTYLQIGLCVTDSILPIREAIGLATLRSNNVDQ IPSRWLDEPLGDLVARILYRLRFITESQPLPPSSFAYCFPVLQQVLVQGGIDCPEDED EDSENELAQITMAIDIIGFHCPQGDSTILPRKEMINGLLLSIKEYPQCTKSAKLALIS LCEAMADNVTLDEINALLNGLLSNESLVRHAALQGLELLDLTDIDYSPELWVACHDDN ETNAELAKIQWQDNAMEVDEAYKGQLLDYAVSDIAFVRSAASRSIAEALEHYPETATD TLEAIYALYKKKAAPLDPEFDQYGMVIPETLNRQDPWQARSGLAITLKASAPYISLED ALSLSRFLINDEALGDRDEGVRKLMVEAGLAAIKTYGKDSVQDFLKIYETYLNTKAPN NQTHDYIRQSAVILYGGAAGYLQQGDPKVRTAVDKLIETLETPSEVVQSAVSECLPPL IKMIKEDVPAISETLLDRLFRSEKYAGRRGAAYGLAGVVKGRGITALKECSIMSKLKD AIDFKRPYEYKQGALFAFETLSATLGRLFEPYIIQVIPLLLVCFGDTNLDVREATSDT ARVIMSKISGHCVKLILPSILTGLDDRQWRTKKASVELLGAMAYCAPKQLSVSLPNII PRITEVLADTHAQVQTAANRSLHQFGEVISNPEIQELVPVLLEALSDPNSKTMGALSA LLRTSFVHYIDPPSLALVMPILERGLRERGTEVKTKAAQIVGNMASLTDQKDLIPYLP LVLPGVKAVLADPVPDARGTAAKALGCLVEKMGEDNFVGLVPELLDTLKTDTGSVDRQ GAAQGLSEVLAGLGLERLDGLLPEIISNADSPRAYVREGFISLLIYLPATFGTRFQPY LGRIIPPILVGLADESEFVREASLRAGRMIVTNYATKAVDLLLPELEKGLFDNNWRIR QSSVQLVGDLLFRITGTVGPKGSKGLGNYEEIKPDDDGDEDYGADEGKKKQLLDILGK ERRDRILAALYIVRQDASGIVRQASLQVWKALVSNTPRTLKDILGTMMSMIIANLSSD NYEQRAVAGRTLSELVIKLGENVFTETLPLLEECMDSKDEAVRMGVTVAFSEIMAAAG KVQVLDFAERIIPTIRKALCDPSAEVREAAAQAFDTLHQNVGARAIDDILPSLLNQLE SSDDSSVYALSALKEIMAVRANVVFPVLIPTLLAVPITAFNARALGSLVTVAGSALNR RLTTILSALVESRMVEEDEEVLEQLTITTNTLMMSIEDEEGLDTLMTTLQEYVRSDFP EKRACACDITAAFYTQSELDASEYVPNWLDLLITLLGDRSPKVVPAAWRALSAITKSV PKDEYEELVAPVRRSVRRVGVAGCDVAGFCLPKGISPILPIFLQGLMYGNTECRELSA LGVGDLIDRTSPDALKPFVTQITGPLIRIAGDRHPSQVKAAILQTLSLLLTKVPMHLR PFMPQLQRTFTKAISDATDDLVRERAEEALELWKKIGSASARPEKKPLAA PHYBLDRAFT_162099 MTSFVSSILHHSANKLDDMSFLGKSSKRKPTPQSHQHQHQHQQR TSNLSRFALPESDSDVSALVYHAQSRTAATTMAASQPQAQAQAQSQSQSHLQSPPPYN HSPHSSPVPSPSTSWTSEMSFLAEKIRNDTAALMGSSNSNNTNNSKQAANLDRRSISQ GMKLVSIAADEYDDGNESVALDIYLTGLDKILMALPNKTDPKTKLALREKLFSVEERV GILNLGAQHSQKQQQKQRLSIQASTEQPHIVTHNEPRAFLESSNLLSRITNTMGVISN IKNHASQYGSQDLPVVSSSSQSVEQQQQRQQRNNRSETYQQTTTRSSPPIMTSSSDPI HRFKRFGQLMISTSVSCAVAVKRSPLPDILYFLFGYFLQLLLWLDTQYNIIQKIQNFM IECVKLLLEADEEYRLHEYISEALYMLFAASLKAAVAFKEAPSYQVPQQNNRNSSKRH SHYSELPQKQPEAQIEYYSTEIAQPLPPPQSSSWVRSLCIKLSYCLPFSLRP PHYBLDRAFT_120964 MSFLNFSLGGKRNKAAKSKSSSTSQSKPLFLCNPYVTHMLVKGN FKTIIELPKYVDVNEWLAFNTFEFFNCLNLVYGSINDFCTAQSCPTMSGGPGVEYTWS DSVSKKVKLPAPQYIDFMTASIQNLLDDESLFPTKAGRDFPAREMPAAVRKVFSQLFR LFAHIYHHHYDKILSLHEEPHFNSLFAHFISFAREFDLLDKKETQPLQELIDTMSKNG VIS PHYBLDRAFT_129722 MWHYGTLATGLGLGAISESVKRATGISTNNEGSVMLSEKNVDRL VEKLSRMRGAALKMGQMLSIQGIQAAGEGKNMMPPQLEQILLRVHDSANYMPKKQMEE VMSQELGSDWRSNFSEFDSIPMAAASIGQVHAAKLASTGEDVVIKIQYPGVAESIDSD LNNLKTIITFSNLLPRGLYLDNTVKVTKQELAWECDYLREAESAQRFNSLLKNDDRYK VPTVVKELCTKQILVSERLRGRVLSKATEESQDMRNKLGEGILRLCLREVFSFQFMQT DPNWSNFFYNRRNGQLELLDFGACREFPDTFLALYGRILVSASRGDREGVWQYSKELG FVTGYETEIMRNAHIDSVMVLGEPFGESAPDMYDFEKQTITERVRETIPIMLRHRLTP PPDETYGLHKKLSGAFLLCTKLKSKFDTKAVWNEEVNESLRAFKPTA PHYBLDRAFT_162102 MSTHVKDVSDIPAQDRQSQPGLQADMDPHPIAYDLVGGDGHFEP YKAAGKLSGKKALITGGDSGIGRSVAFLFAKEGVDGLTIFYHPSEEKDAADTKRDIEK ESKCKVITFALDIGNVNEIKKGIDTHVKAFGHIDILVNNAAEQHVVENIVDIPEDQVE RTFKTNIFGQIFTTKYAVPHMKEGSSIINTTSVTAYRGSAKLIDYSSTKGSIVAFTRS LSQQLAPKIRVNGVAPGPIWTPLIPASFSPEQMSDFGKKVPMKRAGQPSEVATCYVFL AGPDSSYMTGQVLHPNGGEVING PHYBLDRAFT_139045 MSSDTLNTFDFEIILQKTSTKENSRQLASSIRAFYTIIDSANKV ISLEAARKDKVKNNEHTGIDLYLWEFKHSIFHVSSNLSATVLIRFEDKIRQLLCPTPS IDLSSYADSPDFSDVTIKVIKSESNDDSDSRKRIQEPNKYMYQTLNICIEKLKSRDFR CHKVVLAGASPWFKALFKIKMSDSNSKDVTIRGISPEMFEKILVLIYSSEYNVKDLDE ASKILKVAERIEISTLCNLIFAYLKSNINNKTNYRRKDIETACKNFIKHNAATFVRSK EIIAFDSESVIKILETNNLSPPVNEEKLYDAVLSWR PHYBLDRAFT_139046 MAAKLPLEILEYIAKYVKVYDWCKYVLVCKQWTESFLNAYWAQV DMKNFIKHIPELSIFPGRYMKKANSFKLIGVFGKHYHGLLKRLNIFAVFKPISEKDIE AIRNAEPAHTVTEIDFCSHYTDTSWIFYFAHKYPSPVNSNSEIQSPEYQHSTHLPHPL QTLEIAKTRIDIHVFKYISFRCRQLKYMTLLFISYRGSDIDKTRQILVDMPFSQLKLL KAYGNQKSYSLSPNLIVIEQMGNDETDQGHAPQLNWYHLCVDTTNRRNILLVWELGRC DIEFCQRYLKDFGRRREFEEERNDTEEYDFSYKLKRFWKRDLQLGVLILRFKSQHVSD QSQAPLKSHPPGRARGQQESTRSPPSGSSQRPNGDHLQALGHMGRGGEVLRTRTLCSS RNSYGIV PHYBLDRAFT_72594 MSLNSGLPTGRYERVEPSSRRIFMAHHRCHRLLQLPQDLLKATM KVLSNEYGLFQDYDHHPETLQQQVQYNLTSVVIRQYDPRNPLSQNTRASSPSSTNMHR CPECPYETDKKSNFDRHTKTHSGDRRLFCCPKCPKKYTKNYNLKRHINQRNC PHYBLDRAFT_139048 MSKKYIVAKLLRNLQNSRVNLFSFFWRTALPPLEHPELIPTLHP ELIIASPFVAALRTGCQHLKDFHTTHFRKICQINPPLSNHVPTLTLTQWKKIGIFPYT SLYETFDTAHYINPCPVAHTSITSLPQPSRLQCAPFASMALIA PHYBLDRAFT_73803 ISIILVVFYQGVEYVMAKMVVDDTDAQLFLQFAKQIPASLDREN SISYYFIMDNVSIHTSKLLSYFLRTHTRHRYSVKRKPGLTADQAIECISICKYIKFDG SEPMKSGKLSINIPHCQLNILILNSIICLDETEIINRSLAREIGEYDIEYAQTLCKEF MSNCISAYNTVNKKLYRKCCGDCGYLEDSSISEDLSYYAGTKLYSHFGTRRLQRLLIK SSWKLYYTGIDQYAVD PHYBLDRAFT_104663 MVNLPDLFARRNQCLVVESVLHSIVVLTSHLQFKSNQLKSNQMK SNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQN PHYBLDRAFT_72589 MLIGQMENEEPQLGLMDQDENSQLVKKVGKTYEQRRFIFQEDCS TYHIEPDTKVAKRRLCDQAFLINCSSQGLGKNPIEHKYLEGLYFHPIEHYGDYDALEI NQNLGIVKEIYMRAQSVDLQGMVELSQSPF PHYBLDRAFT_139051 MPSTAVYVISKSVASASGVFLPVGLLLPTGRPFPPTSGPVISRQ WTYYLPQIGLFILRPTAYFSSIEPFIPLAGLLLPVASINNQFASVAEQNGSIPATRLL AISCLLGTSSKADTAHQKPNKGRKRKGQTGQQHRPKMRARSEANPAHQKPNKGRQRKG QTGQQHRPKMLARSKANPAHQKPNKGRQRKGQTGQQHRPKMRARSKANPAHQKPNKGR QRKGQTGQQYSPKMWSRSGTNQVKIHFYVHVQVHVQVQFLSKWSNNVHVLLEY PHYBLDRAFT_105249 LTFTTQIKSTQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIKS NQIKSKLKEHCIGTWLWIEATLRIGPRLSITISCKILFTYILAKRMKYFLKKNGLDWA GLDYHGLDYLIFYLI PHYBLDRAFT_65726 MVGGLYLCLFPCFWINVTELLDQKKRVNEIYAKRRIALRAASNR FNGFPRVVPMTIGVLDYIKLREEHVEFIRDAFYGECQVTIGITKDKLLEQFLALKGVN LFISAH PHYBLDRAFT_162111 MLVVFYQGVKDVTAKMVVDDTDAQLFLQFSKQIPASLDSVDDFW IFCSVLKYPNLIGIKFERPYEKSSRTSLAWEIGEYDIEYAQTLCKGFMNNYISAYSTV NKKYSQGIMSY PHYBLDRAFT_139052 MAIFSPVLLNFTLETLVLSIFANHTFLELTLHCSSPPLTIKLLT YTNDVFGCLFNPADITRLQNHLKLNVWPSNARINMHENHEISFSDDLFHHWNAPL PHYBLDRAFT_139053 MGFSELRFEILAKIADNLSRRANLSCALTCKGWRYAFKKALCRD KRFVSYDDALTLTNIIKDFENVPTLHGIWVPNLHIPHYFSVTELLDIEFSDLLRYLPN LKCLDYPRRLRIHHKETSELQPAKIPLGTEKKISDNVWKSLESLRIHCKGTSELQLAK DLVEFVNAYSMLQRLDIFDDAGSRGTVRTVSYFESIHQHLKRQSSIKLVIYLSSDFST TLDPIPNTTQAFAVTSLYINTSRYEDKRNSWNPLCPHYLGFKYPKLCSLKLKVKRLLE LFCALKVPLKNLTLEATQTGESKQYSTFELFYYYPLLKILCISGSNVSLDIADLLDKC VALKQLKFCGGKLLINSDMIIDGPKQQYGLLTLTLHECSVATKVFSHLSFRCKNSKHM DLRALWIEESVCEKTGCLLIDMSHTFLKTLKIGQVQFHGSDRVHSYDDWASLVLVSEL HDSPLSNERDTIKRTEIDSNYPRTECNNITWLYTYNDMEYTGEETLSTTEISKDEANI AIEYYQNFQSNQINAISIDDSSYNGDDPTVAWKYELYKGYAELRFGKIQCRPCICESD FVERLPSISYPTLCLSQSFGGLEILSPRKIQAFLQFCWLIPSLRQIRLRFHSPFYGSV PTLCPWSVLPPVYHMIHSISSFPHFSSHPCVFFAAALSLCSSILSIIYPNLGMPVNCR WHPVWRFFSITYGTQSHLLMSFLKVLDTAFPGDPFKASLFASSFIQFFSSQSFHIHRV NTIPKRRPRSHTPILLAEPYYAHHRCNPRMTPIPAPPLDPQTPKHLMIPTLTLKRLEE SNGEAGETKVMLQSSGNENVNNRCSTTNRGKVLWVNVEKDAGGGKKPVGGVVALGRGL K PHYBLDRAFT_162113 MGFSELRFEILAKIADNLSRRANLSCALTCKGWRYAFKKALCRD KRFVSYDDALTLTNIIKDFENVPTLHGIWVPNLHIPHYFSVTELLDIEFSDLLRYLPN LKCLDVPIIFRKYIHTEPPISDNARYELQPAKIPLGTEKKISDNVWKSLESLRIHCKG TSELQLAKDLVEFVNAYSMLQRLDIFDDAGSRGTFFTPLYFPEFVF PHYBLDRAFT_139055 MGYSDLPFEILNQVSDYLSTNDKRSCSLTCKGWRYPFQNALWRY IHINSYRGVQKLIDSIKNHQIVSTTYGLSYLPNLKRLDLERISYKRIYTDITGSDKIW TSLETLKIQCMHNNLKNLSSISVRINPDYDFLPTLDTIPNMAPVSFVTSLNINWDQHS NGSRRRNNWDPLWLYYFGYKYPNLRSLRLNAMTIWPRRLIIDKNETMLSLFKYNPNAF QHLETFVLTHDKHFAISFFALLKVFRELRYPLRHLTLGATQLGDIHASFSIDINRILQ FFSETLQSFSIKDFKYIFGDQDQTFKLSSYHLLLTNLCISSIDLVFDVGNILDRCVTL KELELCGKELLIIPNTTAENLKQQQHRLRTLTLGGSVASEVFNYLSLRTGCLLLNMPH TFLKTLNIGQLRYGPSYEETNLYDHSRLTLLSQLNDVSLTDEKIKRSGADLAFRYYRT FQSKKSLENIKQQCLYDEEIGYMDWEFELHKGYGQFRFGKIESIHVICPAYGIQIQKK GYEPSPF PHYBLDRAFT_139056 MKNASLLKPILYACCSPRKLAEQYPSLPIQDGTSIDLFPFFYAL VPSQTWAPLSTHAFRGLCSHNLVRARYFDPPLGSCHWRKFRSLPLPLVARNIWFSSLQ DKISCRARLHSLLPLAFPSPTCSIYPFSPTPKTTSSSLLEFFGKIPTPTVLHNAFGFF SFPSFLNSSIPPSAVFGCTILDIWRHQWTFIFDDSPFVPSAVVGTARKTLTRICQRLE LDPLF PHYBLDRAFT_105006 MVNLSDLFARRNQCLIVESVLHSIVVPTSHLQLKSNQLNSNQIK SNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSKLKEHCIGTWLWIEATLRIGPR LSITISCKILFTYILAKRMKYFLKKNGLDWAGLDYHGLDYLIFYLI PHYBLDRAFT_139057 MPSTAVYVISKALSSYQWTCYLSPEPFIPLAGLLLPVASINNQF ASVAEQNGSIPATRLLAISCLLGTSSKADTAHQKPNKGRKRKGQTGQQHRPKMRARSE ANPAHQKPNKGRQRKGQTGQQHRPKMLARSKANPAHQKPNKGRQRKGQTGQQHRPKMR ARSKANPAHQKPNKGRQRKGQTGQQHSPKMWSRSGTNQVKIHFYVHVQVHVQVQFLSK WSNNVHSEEQTPLPATGSILLMNLAR PHYBLDRAFT_65725 MPRFTALTNLSFAAPKRAKASRYQPYPAQSVRCALRASAKSPLG AIGVFATGGFLVTQVTSPEVFGGSGEAQAVPRGTKRPCPEDFISTAHMAKRLEVATVQ ALRRPAGRGPRGFKLSTSPARRVALKQSLRLVRHVVFELQRRGVISMTGQINDPLPSY CNMSTTSVLAPVPVPVPVSASTSSASSSVSSSASTSASVTPPVRSITRSSSRIVTNSS SGFFSPSPSPSPSPSPPSSLSPSSEPETSLSLFQALSSLESIESSEQSESSSTMALGS TYTKVISIAVDTTQTITSSVVSVVGAIKKFFSWDKDKVKAKVKVKSKVMAKSKSEIET EKGTRKREDGENIIIIIITINIIVINIIINININIIIIIIIIIISIVLHSFCVAHRQR IIYVLKGLSSNTYTFSGQKVFRSQKLSVSSSC PHYBLDRAFT_139061 MAIFSPVLLNFTLETLVLSIFANHTFLELTLHCSSPPLTIKLLT YTNDVFGCLFNPADITRLQNHLKLNVWPSNARINMHENHEISFSDDLFHHWNAPL PHYBLDRAFT_139062 MGFSELPFEILLKVADLLSREDKRSCSLSCKGWRYMFQEILWKN IYIHDCDSLQNLIDMVKVSQSTSIFRGPWVYSLHINYYCSAPEILAIQFSELFRYLPN LKRLDLNGEIYKYIYTDTTRSDNIWNSLEILIVKYSAYTERQSTKELPEFINTCNMLQ QLQIIKCGDGFRLEFGVEDFNNMHQNLQSLSFIDAEMYLSSDFLTTLNTIPYTTPALS VTSLDISSKKYENHDGEFSRNWNEWNPLWLYYFGYKYPNLRYLKLEATNICSDAISSD ERQTMISLFRSNPNAFQHLETFDLTTDRYFEFSDLILWELLCALRVPLKHLSLDATNH YAVDDSNPVDFSRIIQSFSETLETLSLTGFLYREVDQNSVMEIPSYCPFLTNLCISGS DVSLYIDDILDRCVALKQLEICGGRLLIKSRTTTEETDQHQHSLQSLTLEKCSIAPEV LTCISFRCRNLKRMDLRSLWIGESVCEKTGCLLIDMSHTFLKTLKIGQVQFHGSDRVH SYDDWASLVLLSELHDNPLSNERDTIKRTEIDSNYPRTECNNITWLYTYNDMAYTGEE TLNTTEISKDEANIAIEYYKNFQSNQIDAISIDDSSYNGDDLTDAWKYELYKGYAELR FGKIQYRPYICESDFVERYYTTLCLSQSFGGLEILSPRKIQAFLQFCWLIPSLRQIRL RFHSPFYGSVPTLCPWSVLPPVYHMIHSISSFPHLSSHPCVFFAAALSLCSSILSIIY PNLGMPVNCRWRPVWRFFSITYGTQSHLLMSFLKVLDTAFPGDPFKASLFASSFIQFF SSQSFHIHRVNTIPKRRPRSHTPILLAEPYYAHHRCNPRMTPIPAPPLDPQTPKHLMI PTLTSSLLYFKRAISEYMTPPSQLPSPRGRFTGSLALKRLEESNGEAGETKVMPQSSG NENVNNRCSTTNRGKVLWVNVEKDAGGGKKPVGGVVALGRGLK PHYBLDRAFT_139063 MQILSIQKRIISKCQRRQSSTTNTTSRVSTFTSSMDQCMKGLAS VKKAFSCNKVQNTVAPSMISSSSTISDASFVSALSDDENFSKSCPVSEKSMALDSIIF DHPSVTVRIRPAAYRSS PHYBLDRAFT_157149 MGSKYPTVAIYTIDWLYTYLGPFNSKIYELRTGRLSKKEADIAL EYYQIFRYNNSNQLLQYSRLYGIDYQYICNKCELDKRYGELRFGKVEFDPVVLYPLYH GPFL PHYBLDRAFT_157150 MRYSDLPFEILTKIADNLSKRAKLSCALTCKGWRYAFQKALCRD KRFVSYDDALTLTKIIKGSKNVPTLHSIWVHSLHIS PHYBLDRAFT_139065 MLRELEILKGPRNQCFEFCMDDFHNMHHNLKKLLSISVHIYPSY NFLPTLDTIPNTAPAFAVTTLNINWDQHSNIMDPGRKLWNPLWLYYFGYKYPNLRSLR LNTRISQTNTLITDKRGPKISLFQSNPNAFKHLETFDLTTDRYFTIFKFPLLRLFREL RFPLRHLILHSTQLSDINASCSIDVNEILESFSETLQSFSVKSFKYIPADQDPTFRLS AYYPVLTNLFINTVKARYSDMDGGQKFYHYHYIERVFFLLRALFSDISNAAQARTQIF QKIITISSFGCSIDLVLALDNLLNRCAALKELDFCGKELLITPSTTAKNSKQQQHGLQ ILTLRGSVASEVFNYLSLRCRGLKHIALDTLHIKGSICEKTECLLLDMPHTFLKTLNI SHLRYDPSYKETNVYYYSFLTLLSQLNDVSLTDEKTKRERNEIDPEHPILTSYHLYDG EVKYMEREYELHNGYGQFRFGKVESVHVIEPSGGDVVGKIWFDPSSF PHYBLDRAFT_73411 MITMTAYELPPEILTQIGDNLSTRDNLSCALTCKRWRSPFEKAL WKNTRFYSYYDISKLTESVKVFQHLSLLQSLWVHSLSITRPFSGENLLYKEFYDLLKF FPNLKHLDVPLIFYRAIYTHKNSSDSVWKYLKSLKISYETSKALQPAETLLKVINMCS MLQELIILGRYQKLLIKFGVDDFDSMHQHLQDLSSIKADIDFNSDFSVTLYKIPNTIP AFTMTSPDICLREYDLKTKDEYQNQWNPLWLYYFGYKYPNLRSLKLEVLEIDNGPINS DQRQRIISLFQSNPNAFRRLETFDLTTNEYFEFSDFILMDFIDELKAPLKHLKLRAKP AILDVSRVSRPFSETLESLSFTGYTYGNYARDSTFELSYCYPLLTNLCISGRNVALNL SDLLDKCVALKRLKLCHGKLVIDSNMTNEKSKKQKQQHGLHILILRKYYIPSKAFHYI SLRCRGLKHMTLHTLRIKGLICTKTGCLLLDMPHTFLKSLNICQVEYGASYKESQKYD TYLTLVSQLNDAPSSDKKNERVKYEINSKFPVVASHNIDWIYTYLFSSSFTTYNVRTT KLSKRGASIAFEYYRNSWPKMYPRNLNSQLLYDKCSSYMTRACELQKGYGQFRFGKIE FVYIIGPSH PHYBLDRAFT_73412 MSRPEKYGIVRYRDLIFPQHVQTGRYLSSVHENYTSEIYQKKGT VLKLVGVIVSVSNITLLEPTSTATFFSSPVTEQQEVACFGDDSVSDANDTWRVIKFYK DNNEHDEYDYFWRIGQPFMLKHEETEYVLHSHNIEIEVDRNEVTGFRENDDENSKWII LE PHYBLDRAFT_162125 MRANCTFEIIGTFDPKSVQEIHRPIQDTKDYYFTVVAGKGYSKT RPVYCIAYGEVIEKFNVANLTPGCLYKISVLGHYLHPLKEGKTHPSIDSRFIALQIKL SV PHYBLDRAFT_184636 MVPLPSNIIDSHVHFWHPDKILIPWQKGSIFESKPMDGMAYSQD VKRVRVQTAVYVETDVDPVHSLVEADWIARYAETLNNQIEAATAKGTETGVAFGGIGA IVAYAPVHQGKHVVAYLDLLTRLVGRRRLRGVRHLIQDPLLDPDRVRCPDFIDGVRSL ASFGLSFDLNINCNHSPQQFPPIITLVQKCPDVRFVLDHMAKPPCDSKPGQDRFEFWR SQMLLLGKQPNVVCKVSGLVTETQTESWTVEQLGPFVETAKEAFGVDRILFGGDWPVC NMAVKWQTWIELLSIIIQDWPDQDKHKLFVTNAAKTYQLSSCKTVD PHYBLDRAFT_162128 MARLSTKTLSLLFACVFIFIHVACAQGDAMLLPVWNHPTEVVGH GPAPQATACANPGQIANEGPSDVTAKVLNGLKHTQAKANFFVNATWLYTQQYAMILQR AYNDGHFIGMTYRAPGDTSDGLTDEQLKADVINTAKIVETLIGVAPKYVRLHYSQPED IRLENLIRGLGFTLVSYNLDTMDYNFKNNPEGIADLYNQVFTKQLDTYDSKGSFISVQ YDIPDTGSWQAIYDIVKQINQNGYTMVRLDGCLNDKTPYKESAASLKFVSDKHSFGTP DYKQGQTVVEKPKTSGIGSPSGTPKSKTLHDSKDNTSTNDDKTSSGGIRQITESFVIP LALVVLIMTI PHYBLDRAFT_162129 MFWASSSLSYFLLKDDIFFSQPPTHDLIQSSLALHQSLAFAAPS PLNIKQKTPSPNLSPVTSPSGLLYDHEPLFDGLEDLDLSQPNDSTVTNNTNLIADNDN TTTAVIMINNNNISESPMSTHQSPQDYFSVLPYPSSRTTIIDDSLSDQSPQLEWSALL DHFNDDNENDENNDDNTTTTTTKITKKGSRRNKINNYESSESDIILDYDYHYDSDIDT DTNSINSSTRTSNSNSNNSSRSSSIISRRNSSLIIPRHGLKRKRLSIDSDTSLEPEKP FKRLLNTTTAVTSSSSPSQSPSFSSSTPRPTVFESLTDTGVDWCRYCGTTESVNWRPG PWGKRTLCNKHGCDYKGYGLVSRLPRLDLSAFVNEPIQDRICPVIQEFCAICRSPGFT SDNLLVKCQGGCSRAYHQLCRSPIIGSHLFQDTRWYCSSACRVNRLKNRVVVDLPRNH LPLMIRNQKRH PHYBLDRAFT_73418 MSPAIATKDKAGSIPKVTRTTQKITKTTKTVAKSVTPKTATETT ETIKTTTTAADSTDKNVKKTLSIKKKAGTPVKKPIGKAKETKEDVAADGSASDKPKAG PKKTIVSKKPIEAPKKRLTPAEALLKSMTAKKAAKDALLKNSAPTLHMTFDDEGNESI VEPVKKEQEKAVKDDKRKTAATTVTKKRKLETKEEIEAKKAKVDESLKEIQASVEEQK KKKPKKPKTPKAQKAAKPVKEIKEESLGKKEESLVYLRLFCADKSSWKFRKVQQIWLL QHIYDTEQINDDDFKLLLEYLKDLQGMARKNLLVEAQKICQTSTVSQSLTGYVDTQNN DDDDDDDFDAEKLLARSAAQVEKPAQSLEEPKEGEDDDEEEESKIERAKAIVRVLL PHYBLDRAFT_73419 MRYSDLPFEILEHVSDYLSTNDKRSCSLTCKGWRYPFQKALWRY IRVKSYSGVQKLIRSIKKSQYVSTTYGLSVYSLRIYGSYLGIKTSDIEFSELFKHLPN LRCLHLELITYKRIYTDITSSDKIWTSLETLKIQWEYSSFEQEKINFFECIKACRMLQ ELEIRRGLRNELLYFSMNDFDNMPNNLKNLSSISVYINHNHDFLPTLDTIPNTAPVFS VASLNINWDEIRSSRNESWNIWSPLWLYYLGYKYPNLRSLRLNIKPPRMRVLNRDNSE RTISLLRSNQNAFRHMETLDLIRDQDLELSKCILLELFIPLRVPLRHLTLDPGPLGDL NSSYSMDVIKVLESFSRTLQSFSARGFQLNLRDKDPSFKLYSYYPLLTNLCINNIDVY LDFGQLLDRCVALKELQFCAGTLVINPNTTTETSKQQQHGLQILTLRKCSGFIYEKTG CLLLNMPHTFLKTLTIGHIQYVPPYERTNVYNQSRLTLLSQLNDFPSSEDIGERKRNE TDSEHHVLTSHRIAWIYTYYTFGPFENGRVKTTRLSKSGADIAFKYFQNFPPKCFFQT LEHKSLYDEEFDDMTRACELHKGYGQFKFGKIESVHVVNNTYFILLFNSPRSSSNILS FIKQVLIAPCIYLRSPPKAQVQPELPFEILAQIADRLSTDDKRSCALACKGLRHTFQR ALWRYIRVHSYRGVQKLIDNIKRHQTVSTPYSLWVYSLRIYSSYSIAETSDIEFSELF KYLPNLRCLDLGPISYERIYTDITSSDKIWTSLETLKIQWEGNSYIHQRINFFEFING CSKLRKLEIRKGSMNQLLEFSMKDFDNMHRHLKTLSSITVHVNPTSDFWTTLDTIPST APAFSVTSLNINWKQQRDRNGSWNSWNPLWLYYFGYKYPNLRSLRLNSRTMWPSTLIM DKRETMISLFRSNPNAFQHLETFDFKHDIYFKLSRFILWEVFRELRVPLRHLTLDPTQ LGELDASYSMDVNKVLESFSKTLQSFSVQGFECISGVPNPIFGLYSYHPLLTNLCISS IDVTLDIVNLLDKCVALKQLKFCAGTLVIDPNTTTETSKQQQHGLQILTLRKCSVAFE VFNYVSSRCRCLKQMTLYTLHIKGSICKITGCLLLDMPHTFLKTLNVGQLRYGPSYEE TNVYDHSRLTLLSQLNDVSLTDEKTKRDTNEIDPEHPALTSQRIDWLYTYNASPHYKY KRVKTTKLSKSGANIAFEYYQRFQSEKVLQNLKHQCLCNREIDYMEREYELNKGYGQL KFGKVESSRVI PHYBLDRAFT_73420 MSYFKAYLGAANELPSTLERIPRTLPAISVTTLDIKFKNFFALW LYYFNYKYPNLQFLRLDISIGYHNWWPAVLTQDVTYTYPLNPNRLQHLETLELITEEI SMRTHLVFWQFIYPLKAPIKNLKYIATSSHSTAQHYAANVKSFLQSFSETLETLSVEG KLFFYIKQCPELELSSYSPFLKDLHIKSCGFSIDLALREKKWGFRWGFSWRFSLGLSW RFSRIAVESLVPF PHYBLDRAFT_73421 MTASELPSEILSQIAEHLLTKDILAGPITCKTWRYIFQYYLWRD IQIDSTDTLEHIYNMIKPYNNTSIPHGSMVHSIQIEQQYDLFQCLPNLVHIDLGHKNL NIIN PHYBLDRAFT_139076 MEKDSVLNSNLAEYSTSEQVLNEHSKLVMTTITAPSSQKKIPYT VFDCSSHSGLYYPQNICYNNPEDLSSRWSSGVCNQTQYITLRFDSPAIARTILFGKYH EKFKVYGGMNLEDMTEILHQGKCLRNDNQPEEFPLRHAANNMAFPVQYIKIVPLTTFG EKFNYSIWYIEFCGVTDKDIIQKVVADNQKLKEIETVRLCLKHFRQRNMMDIFRGLQE RTGVKLEEPLLTRLHKVLVVDGDYETSENIIKDIYQQGLFDEYANNTKYIPNWRRIYS SSTGPDSNNAPGPRGGHQMCIDIERGKVYLLGGWDGKQDLSDFWYFDIQKEKWNLLSP DTCQDGGPSARSCHKICFDPTSRSIFVLGRYVESHANTDGNLDSDFYRYNVDKDKWTL ISTNTAAEGGPQLIYDHEMCVDPNTNSIYVFGAIYGSYYGSGDTPSSGTLGEHYQNSR RNLGNPSTTAATATTAPLPRSSAQTPSGQPQLKSRAGHSMLFDPTTRDLYIFAGQRIK DFLADIYRFAVDTDDLIEITEDYSKNSGPDPGYTQRTTIDTTKRELYVFSGYMRTTSY DVVKNSLWVYNITQNKWEKVYQNEGRGREYWHKMQNKEPCPRFAHQMVYDSNSKRQYI FGGNPGDSKDVSRRLNDFWELKLTKTKPKDIMRRSVFLLRTQKLREMHRQAELEQQNN PVKLVSTKTKQALEYLRSYVSPVLDEKCEEELDEFQRLCACLCLADHSIDTKQSFISQ NMFKVPSSKVSHPDLSDIIFSGRTRLYNSVIGFMPQNIKEPEESLVDIIKI PHYBLDRAFT_139078 MKHISGSKRLLEFLNTFDMPTKTKTRSWPFRPSYQSTSRSPSSN KQFLPKQQGTQEIPNAFKTWGFPSVEGEMEVDEDQVVVPSSQNPDYPTTNQHLRHQHT QASGKPLKDLSFTQVILVHPSEGAHYVIPEDGIAPGGRLQHFQHTWEKMTKQTWPQAV VQEGYQILFKQAPIPWRTPQHKISQQATLDLDETVQKFLLTQVIEESPNGNDQYLSKM FVIQEKDKKRLILDCQKINSYVQLQHFKMKGVPALRDMVTTRSFMTKIDLKDTYLVVP IHKASRQFLSF PHYBLDRAFT_139079 MSNEQTISREELQELLAFKQEWEQDKQRKLDLFHLLPKEILEDL ELSKANLRKLCNTFNRTRTNYDNEWTRRTFINRQYIGECNKVNVSATSAIQGRYKDTE KLRMMASATSKLFEEIQTIIGDLDEDSMTYEHWKTIDKRAH PHYBLDRAFT_73424 MCSVSLMAFNYTTCQLLHPENKNIWFPNLRSPLVNGWLSLKQCE VYECLPFVFCCFIIIIVTLRASELPSEILSQIAEHLLTKDILAEQQYDLFQCLPNLVH IDLGHKNLNIIN PHYBLDRAFT_139080 MSYFKAYLGAANELPSTLEKIPHTLPAISTTTLDIKFKNFFALW LYYFNYKYPNLQFLRLDISIGYHNWWPAVLTQDVTYTYPLNPNRLQHLETLELITEEI SMRTHLVFWQYIYPLKAPIKNLKYIATSSHSTAQHYAANVKSFLQSFSETLKTFSVEG KLFFYIKQCPELELSL PHYBLDRAFT_73426 MLNWVQIWTLQWPVVKLFNLPMVFPSRCLGPSMTASIIFLELYF SYNQKELLDSNIFFKRQKLCRKCQYKKTVAHGHGESVSEKNCASSLFVVTFPTKFLTF EKSPIITIDTEKEAGEKESVEDQPVEEYDWELLDLDIDTMIAVYYNSFLTWRPDAEKN LRRLYRGDSRSSIMHNKRKMKEELEANKDKKVRTLADFGFSVPVVPVSPVTEALTVYK QLKDEELEEIREAYEKISEMIKPSVSSDSELGKFALFEVSKHIVLKEYFQCLLNNCKK IEASEKAAEIFRTTPSKYCGDIVHGWAKEFLQFGKTSEY PHYBLDRAFT_73427 MSDFTGEDEEIEMTSLLLENQKKLVMVTHDKSTFYAHDGKVDMW LEEGESYIRKKGQGRSLMTCEDMLDQLKNHAIPLFESLHEGCTGVFIFDQSSNHKAYV TDALVATHMVLKPKVVFENDKFIFKDTTFLRDGHIISQSFYETVFEAGRKGKGLVEKR QFVGVQRILQKCGLWMELDSSNLSRRWRMDCNGEETENHCYCACHLLASQPDFSGQKT ALQEVVEEAGHIFELYPKFHCECNWIECYWGAAKCVARLNCDYSFKLLEKNLPSFLDS ASPVAGSPSMIRRFYKKTWGYIEA PHYBLDRAFT_139082 MNINFNTPIEKMYLLKTTLSFPKNGYPDKQSVLQAINDYALSNN FTVKIKDGKFPILHTACSKAGVYCDKRNISDEKRKKMPNSSLTGCPYLLRFSYKKKSK RYLPLHAYGENEHCRNHPVTPENLASSHQGRMSLLTAEDATIAKTMLENHAKSRDVQK ATSDKVTGMRKLRISNINNLKYSATCGDDESAHGATELIRTMEAKGFSVLYEFNERNR LTHIFFMNNIMIKRA PHYBLDRAFT_139083 MPLVCVEGVSNLGGERLKSFPVAFAWVADETEKSYVWFVKSLKA IVLVGVDKAPIFVTEKSKALMNVLDSEFPLTKKLLYFQSKEQLEDNNDDSTKVVEKRT LEKYFEDEWMPCKEKWTDYLTSQLKHFDCVTTQRVESGHNALKRKILALQSLNSSFEQ ICSYLLQFESDYQNLELNEATITDARIYHESRLRGLIHHVSRIGLITICAELLEEVVP GKLCNCRVKVVFGLPCRHDLPRDRMLLLSDIPERWILSSSLGERLKQLECDVSLQKID IEKPAPWVKCITKLEQLFHQCEGNQKVQNLMAMVDELVDNAGEIIDHPNVVFPLASKV KAPGRPKHVKRKTALPKDFVHHKHRHLLMQKNKNDIRSILKEGLKEVMKEFLEEEPLK KIIKEIKKETQFAEKQEPLEEAKTTNFAKKQEPLKEVEKYSSGIKRPKHLQDDYWYNL PSPKKQNKNVYVFALPAQIDQAAILLMFNPKSNGWCSFRVFAHLKEGGEDQFPLVKKK MLATMATHGKLYEHNFGMDVAEVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQI IADTYNEPVCVYSDDQSVLPVTFLPLHDRKPLKRKSLPIILHHVHGCHWTTIKVKPHV HWFWPEVNALYFDAIHKGSIIDCFSTSWNHWGQFPKKKSYLLPSITTTTTITTTATNS PTNSSVNSSNIIDLTHI PHYBLDRAFT_73429 MVIIHSTHLGHRRDSGICTVDYTTCGSFGFSSQNGLPLGFLLQD FIAIIIDELFAIYCIQLFGTALVNSKGVFQYPNKLHDCSLYHIPARIDLGWAANNWRI NAAFLKYQEILTQDVAEKVASDYILQSSNEYRA PHYBLDRAFT_139088 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPQEIAPSFSS TTIQDQQYVEIVEMFNKVNNSINGVKDDIAAVNSNMTAFKNRMGVVVDTSGKTHTAFA DFATAYANDQTRITSLGPSLMPSYVPQTSLSDAEVSVIILEIFAEKLWDWKFESDGSA LVAENESKKKWNLNEKINHRDNVAVINYLKSYISAQTRLAGTHPWVISDKIKNRYKHS HRTFHESPEQKAKKNSKGRANSHTLQSTYMDNWVAIDAVMGYKTGNPVEKAYLKLFQK DAMSDGESDIEIVDNLPRRCLHLKRLLTMVDDINRTHHVSNAGVGTKPRMNRYLATLL PCSVPATLSQSLPHWAINNE PHYBLDRAFT_102153 PASRKVCGFTSHTSTNACHKCKRQFSRLAGTSSVDYSGFDFSKW LLRTKNDNRKNAEIWRNATKPTERQRLEVAHGVRWSKLHHLQYFDIVRCTIIDPMHNL FLGTAK PHYBLDRAFT_139091 MMDRWVNKKTIGAKEFAAMKKIAETMVLPRDYTKLTSKIGKGFP YMKADDWKSWVLVYSPVLLHGVLPFEMYNNWMNFVRACHYLIKPSITFDEVNSAHDYL EMFCKKATKLYTPTILTCNMHLHLHLRETIRNFRPVYGYWLFGFERYNGLLKHIKTKG KYSFEATYMRSFVQNAFKGDYVNTVLKSSSHVPFFNILSKLSPKFTPTITVITLSSHP FRLQLFLLASSNPHLPPKGNKPLPPSTFPLQLKKSSLMDETDYAHLLQHYKTSYDLPD LVSYQYATLTNSFVDNEITKLKFIDLLGQQYRGKNGSASCGSLVHVMFISSDGRNTLA YAGQIQYLFTHSFTHPSNSNLHLTRMVHDHHVFAYIKWFNTSSDRSREDDGLEFCLPT FSPDSRH PHYBLDRAFT_162147 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARTPLAAPVRAKLTVAAPVVISNHEPTREES NAVYQAHIHNLMWKSKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAERLLSNLER RFGSSSMRWSDLRKRLHTNFTSRTRRERMSDDEIVETNALTRRAACADDNECHCILAY KDNKEAIDLVMFRDCANTLQKAVMSDGESADEMDEDGIKHVIHICNRFIALVDTYAVQ AMGSSANQRICRITTSVSNSAVPDNISPNFSRWALRDGL PHYBLDRAFT_162148 MKETHSSSHSDAPSSQQSSGLARVNEHPSYKRAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFVLGTSNKIFHPKYNKLAEQEVFEN IE PHYBLDRAFT_162149 MQLFLKGTANHSAVIDITALTVTQKPLFLSDLQTFFNGNEHLWA VTDQIHREYNRLYAEIVVSPLYYNRSKNDPVLILPSFDVPFAIYPTLPPSAKILKISL SRLAQLHADMTNNFSQFGAIVDCGYVTGSSGLYAGGGYAVLALSPPNSTHSSVKLSHS LNWSYQPLNYSSDTGTLSSDFDHVSIFATWAAMPPFCRYCHLDKHALIDCDLRKKATM CCLCNDGGHIAKSCPRKNESSVTPQKHRKIPAVQETPQPSTPSSSIVVPPLPSSSSTN VPTTTGRVKRIQGSLERSVTCSQASLVVPNAPHNPNPIKEHKLPLPYLLALQETHATS TLQDTFHSQFQAKTRFWSVHCGLVSFSSDIILSNSFTSPCGRIISSTVSHTNSPSCPL SALNFHPYFLPLHAVQSYWVISTTLILLLPQGFGRRLTIGSSTSMSSLLMGSPHLNRF PIRLSIEDYPNRVLIICLSPLIWLLPSTLITVEQLMSNQLGLIIFYCLLNYNYPQPRM LPCRSMWSERGCGVPTLGCCVHNFAPGLYPATKWEALKATTAKVARAFSQHHAFTLSH AEDLLHRKHVGITKKLVTDPSLLPVLSPQLLIVEHQLSQLQQYHVEYLALRSGLRWCE LGESSSGYLKQSIASWASQKMIPPLWNPATSSICTSQEEMLETTTQFYSDLYSPNDVD QAAIDNLLNVLPTHIHISSSAAESLVAPITYNDLLDAFSQSPQKSSSGMDSLPYELVR LVVTDLACHDIAVELACHALRADLVCCIQNCNKVLYNCVGLVPSSQLLHRKYGLVGPF HVPLSFPVCFPFYSTISNITAMAMAVTPKIWITSCSSCSHNVVLSYSELDKAPCPYSF MPWNNCHIHLWECIVYPTLSRKVQALVSDRDTTVSSFFTHVFTAPSSGTFITPSPTAI FDHTFINLALTSLVLPDKSCPNAMFAIAAALEAIWRSHWAFIFNDTPFHASQVEYLID KLFLKARQESFLTGKK PHYBLDRAFT_162150 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDANTIEMRRDRILEWQQLADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKT TVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQH YLDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_139095 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDKTPKKI KEGEQIQMAMGANSDGNGRKFNFYGSQSDVNGF PHYBLDRAFT_162151 MTPAGPVCASSGASRPRVETVQNPVILTIAEIIALSRAYQYPAE SSRAAASRPRVEAVQDSVVPTQPMSIDLSFTEQYIAESSRDLTAAFEALQVSAVERVL DLTTTTAINTATVIPCCSSCNGIGHIQSNSLQCPNNRWHHTFVPGQLAVTHNMARHTT TPLPPTDNRGAIDVQCQFCGALIWIHEKNYTSKANNIKFSMCCRLRTVILSPFEPTPP EIAELLVHNTPAGKDFFEKIRQYNSTMGFTSMGVNIDQSVANNIGGAYNLQIHRTICH KIGSVLPTTQAQMDQPKFAQVYIFDLASQVDHRHCNAPELDRVIFEKIQAVLMEVNPF VSLFHSMHQVARDNGGTADMTFCLAESGPADQQRYNTPTVEEVAVLMSDTEHNEARDI ILHTQTDYHHKINEYHRNYDALQYVLLFPSGDFGWTINRYTPTGSKISTMDWYANHLM YHPNFMHLLHRFGHLFQQYIVDMYVKIEHSRLRYYVLNQKKMCAELYSGIQDAVHLND NDMTNLSWQLAVYRVVMEVVDASPTTPRLYFVDGPGGTGKTFFIQCHAQKSLSTRQDC SRGSYQWNCSSAFGCTKFWLLDQIALYLSDSVFFYEKRIITPLPEIFSIILDLTDYRE YLRKEFVESFVSRWENVGKLPTRVISPDQDPIIGFLEAVALGPEQLKLNEVMKSGLVP LSVLVSRGRPTFKSRLIYVAMIQAKHKHDLKAWV PHYBLDRAFT_73443 MFHFTLSVIVIKYLVTSYVLSDLKVRTQLVILSLSIVWLFFSMG NPLRASTAWFYKIAIFDRDIKVLAESTLKIYLKVREKINCWAGSFFYVKVTIFDLR PHYBLDRAFT_184638 MTLRLENIIRVKTSEWKECLTEIGEACTVKWVIRNTNKQPTNIT AEDTKATGIKLCFSQKYSCHCWGTYESKTALRVIQKQTKKNKCPALLCVKGFFKTSEL YEFVVTKDHAEHTPGDMRSDIYILPLAKKYLHELAQIDMLRAVDRYGRKSERKVNYYD IWNSMNKINKKLSIGLCLLFYVIYAASVVYTSQLSEEQTSQSNTGSFLLMNLGSLQSL ELLHIRHMYTTHSYSLQFLKTSFLLVDTKQFTINCCTAEVHAIQISFPATPIQFCIFH ITQAWNQKLSDSVKILGSSLSEARILHGVMMKSLQEIIYEEDIDEFHYKIVQFKEDFD DQESFLDYFERNWCTEAKFKIWSRAYHKRQFSYMLTNNYIESWHNQLKTVFMKKSRNK RLDKLVFVLVLNIEYYLTQKYKHVMANNGPMSSFTRQQRIHEMEAEEVDDDDREMMIV APGIAKDSQTCLFPVPALIISKDINLLKKFLRGRIQDLKLGTKIRENFCRFCLLRKDF VSKFDEVPTLNYYCYLYCFGLGKLYDWGQSQ PHYBLDRAFT_162154 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEAA MRNMDVDTEVILTSHSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPKNEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACN CNLTKSISSGALVAKREYVYQSIKNTLSVFFHRPSFEAKILRGTIIDPMHNLFLGTSK RLMDWWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSWVLV NSPVLLHGILPPLQFKNWMYFVDACQYYVKPSITFDEITTAHSLLEKFCNACNVDYTA TILTCNMHLHLHLHECIRDFGPNFKTNGKDGFEATYMKNFVQNAYKGDYINAVLKSSS QFPFIHTLSKLVTTSIPATTVTTLSSRPFRLQAFGNEPLPPSTFPLKYKKPLVMDDSD YLHLLEYYQVAYNLPDLASYQDTSYNRPALDNQIIKLNTISHGSLVQTKFVGSNGNII LGFAGQIQYLFTHSFQLPPMHNLHLTCMVHDHQHVFAFIKWFRTSSDRSREDDGVEFC LPTFSPDSYHSIIPVHRILLEVATATIATSRNVSKMLVIPLLKKLYA PHYBLDRAFT_73447 MHNLSLRTAKRIIEKWRSSGLITDAHLAKMQLDADKLVLPEDYM PLGTKIGLDFSFIKANKCVIFVCGKIYPVTLDMSYWKQALLPSTLPLALKGEISKDES KYEHLLKYYRKKYDDQTLVHYCQAGHSDNFVNNWIQKFESINFLGQIYKSKTKNQCRS FIQVLFETSDSRSIKLYADQIQYLSVNTVVNSFASQQVLLQPRAGEGVEINEVRFEDD SMNTILSVHRICYPVAVGKHLSLEGKV PHYBLDRAFT_104459 AILLVTCDIPSACRTCEVISHSSTCACNKCNQQFPRLSDSNDVN YSGFVFSEWVSCTDAKNRRDAKLSRIVSSNAQRKRLERENGN PHYBLDRAFT_162157 MLRRHAQQDIVRQYQSGSSFLVVEVMSNDNDMEIDFEDNVDGED QVEAKHLPLFDVNSLFDSESKDEGVIEATLLDISGDKSDDIRENDSICNSANADYYQY WPVTMVFSMQQSLASPKLDIVNIIFTKLYNFCKCAIFFTSTCRLFSTVIASFAAFYIS KYVVNFGSAVLLKFLNEVLAYFGQSFCLHLSINSVNFMTSLSDMT PHYBLDRAFT_139104 MTKIQSASTSATTTTNTNINMTNIHQEAVNEVNEFLDTCYVLAS EACWRIFSFKLHQEHPTHQRLAIHLENSQPVYFYDSTNRHQLSNANINTTLTDATSFQ NLWTVEGQLYETNQAACQALGLLIDDKEWEKCMEEAKSYELPYSLR PHYBLDRAFT_162158 MSSKKKLCASYKLMGHSCKSSVLCSLYKKRNTLYIPQKRTNENI SIEEEFPAESSRSASPKVRIDPAEEQQIIVDTVVDPTIILAEEPTKKDTDLSIHRSKF NMSCGKGKYVLPFLELIPPGISELLNYRTSNGKYFLNKIRGYNSTLSFILLGAKIDNS VANNQGGVYCFRIHGIICHRIGSICPSRAQDIDHPQLAQVYIYEAASQAQHHCHHAPY LKADILEKIQSILIETNPFVSLFRSMDQVLQENGHVEDVAICLVTEGPQD PHYBLDRAFT_73453 MISVIIQMLSVMQHLLSMLGLLSIKKDFRKITAEIRKTGSGELP PQERYIHFDNMKEITLSDPSFFPSMLMETDHVVDEVVAEAVISICYYDDTEPVLTTGT RRTMPSELQQAFLKNSRSQNDIMASMHKLLSTRYQIFEELYEDTLQQYRLDVTAPQEA RRLDWESRELIATCEYNRRVIADEREEELRRSLLEIFSRCKRSRSPLSSLTHINE PHYBLDRAFT_139109 MYGDSQKYLADMLQQDIDNSQYEEPAMYGFARTNNMDDTTLDIV NNDNCDDDGSDDDDDATIMPVLSTQSRARLSFKLDQNDLKSYAFILLMS PHYBLDRAFT_93581 IPDKMSITIATQLVQIFCNYGLPKVLQSDNGTEFVNELVKLFMQ NRGIDHRLVIPYHTCANGIAKKW PHYBLDRAFT_73456 MSLPRKRTEASIKDMQEKVACLCNELAESSDTAPKDVKDVLNKA INTTKEDLAIMQNTDHEWDSKEKVYATAKECLDYFEVIIQSHSQNIDNNWHCLLPHVL SLEQRAWFHDNHCDSVNLPWSLVRNDLIGINSANNVECQVYHLQELMTLQMKETKSVS QYTDRFQRARRSTQIDDCLIIAIHYTNTLLSRLSRHVYLTQINLFTKKRDMIIYAAQI ACSIYSIVIKRKDFHTILPRRHNSLHTRSHHASSSISSRRYRQESDSHRVIQQSHCPV HMSELTGRFHGTCNHDTANCCAAKDIIAEHQATAKVEQKTANSACHANPCCHCGQNWF YGHHCHSKFSDSSTTNKDKAPYMVWLWALVDTRATISSVNTNTCTKFGWKVLPHVSQI VLVTNNATAHRIEVTLSINVFYNSKSLVHSFEVLNLSEYVDISTGTDLMSQLGIYLLS LADS PHYBLDRAFT_73457 MTPVGPVCASCKQLGHSRRSNLSFPLNPRNKTLLISQKRTSDNL STQEEYQTESSRAGALRPKVETVQNHVVLTIAEIIALFRADQYSAENLTAAFERVLNL TTTTAITTVTVIPHCSSCNAIGHLWSNSLQCPYNRWHHTFVPGQLAVTHNMAWRTTTS LPPTDNRGAMNIHEKNYTFKANNIKFSMCCRLGTVILPPFEPTPPGIAELLENIDQSV ANNIGGVYNFQIHGTICHKIGSVFPTTQTQMDQPKFAQVYIFDPASQVDHRHCNAPEL DREVAVLMSDTEHNEARDIILHTQTNYLHKINEYHRNYDALQYVLLFSSGDFGWTVDR YTPTGSKISTMDCRLEYYVLNQKKMRAELYSDIQNAVHLNDNDMANLGQRVILPSSFL GSPQCMHEFYQDAMDIVRHFGKPDLFVTFTCNPTWPEITNSLLGGQSASDHCKRRANS VY PHYBLDRAFT_162162 MSCLNRDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LPRPSFFDYTPTLILYRQTNIHLFSCLTLFKQTGQEGVPQQAMEYSRGRLHQGWTNGC PHYBLDRAFT_162163 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYH LPTVSRLIRSQTRAVLATMPLTVNEGAFSTSNHPIADVVQSYTHQQAEVKSVSSAVVE EKTRRHISYMLQRAKALPEKIAQQNQISRCRSRKRNILADYKAIHLADKANLESKFGE TVIDLLDYDMLSDIESDEEKNKTRYTPRSRHPLVDEYFTVLKKQRLANKGPDVIGNSV YPIILRNTKLSNEKKQEYVIKAKKMVNVGY PHYBLDRAFT_139114 MISVWKDLRYLPTAVLVRMQRLADGILVPPGYAVLLTKIESGFP YMKADEWRSWCLIYSLVVLKDALPEDDYKNWTLFVKACQKLTSPSVTYSEIDSAHQLL GEFGKECETLYGESSITPNMHLHMHLRESMLNFDLVYAFWLYSFERYNGKLKNIKTNR RNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGVAQVKSNSDSSSPLNLDAG HPPALPFSLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDHYQWLFEFYVK AYQSTSVSFCVVGRIPIDENVFVNNRIQKVKKILLLGQEYCSGEKKKRGSFVRVLFLE RTNDDVSEFPGQIEYLFTHTIKISGVKRVSTFTFIKWFPAYHSSSHQPLADQGLQLWD KGFMEEDASCIVPVHRLHSCFALTTHKMQSGMQKHLVIPLPRKVVT PHYBLDRAFT_139117 MNTPSVLLCAPTRKAAFNISAQTLHNTFSLPVTQRGIEGLMQLS TDGSHSMSIALADLNLPPIGDSLLYKEIMRQRDDVPFVTVLNNMAIEAMTESDIEIFQ SQVVQISPEKGAISKAFDQIIGQCRDGSYIQRLLFSADNHAKQFDIQGLIKTLLLKIS EKYMMTVNIDTTDGLVNETKGILETFVNSDL PHYBLDRAFT_73468 MIREDSIAVCGCCGGFFFRKDISIIERITLKEAWGCDDNVVGKA FWAINNQYITTAFLNRLTIDQIPLFSTYIAVSSLPPNFDDTYTIHLSLTRKICYVKNY IRGNISRAKVWRAAVFLQSTPLYQEYNITLRTGDAEGQDQSVEEVGEDKLEDNGNQES VIVSDYEAIRLSSGDNRIPLSVLTDKDSDFLSFPKIF PHYBLDRAFT_73469 MPTSNNSLEFVMDKHCKMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHANMKWTRTSVGNPAVTNLLSLRSFLPTEVNEATTKTI VAQKPKTNLLEKVNPNSVPTAESIGRGLYQKYNQNQVNKLFSLVFSENQTAAAAAREM GINVWTAQNYVRLAREKIQADFDVATVETDESNGVVPGALCFFLKFFENKPDATLEQG RVAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLPEKRYDVSTIEMRQDCILEWQQ LADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKTTVPMQRGASITILGAMCKRGI VSLSLKKPTAVATKKKRKLDIYTNVEVNGQIGTRTQHYLDFLSHTMDVLDSQSMQGHY LIMDNAPIHKADEVKDFISSRGLKGGEQIQMAMRANSVGNGRKLNFYKSQSDVNGF PHYBLDRAFT_73470 MSCLNRNGLNDFQFALLILSVSQGQRFFWSINKDIYLGRVYKMT DRQCHCILCLSTLLFSELSVNKQAKKACCSKQWNTVGSIAPGVDQWMFERNNIVSTKI NDGIECDVSTQLQQKMISDVDE PHYBLDRAFT_162167 MSSNTQQSKKTKKTTTKKSVQQTAGTAASARQREILPSLTVSAE LDGTVLSTLFTMSTRLNESHSLLEKVYYNMGATNGQNNNSNHSPIGQALTTGEYIKYR LPTVSVGYILRLIRSQTRAVLATMPLTVNEGTFSTSNRPIADVVQSYTHQQAEVKSVS SAVVEEKTQRHISYMLQRAKALPEKIAQQNWISRCWSRKRNILADYKAIHLADKANLE SKFGETVIDLLDYDMLSDIESDEEKNKTRYTPRSRHPLVDEYFTVLKKQRLANKGPDI IGNSVYPIILRNTELSNEKKARVAAWIHICQQ PHYBLDRAFT_162168 MNSTTKTYTVMCTCSSCTKNAIGRILQNAQTFKRHNNADKLLDI GPKNRVNTEVVEEETDIEMIDVSETSIDYEDNYSIASAKTTLQSVPFLREDEIFQFEE SDVETTSLASDNDDSDSSDESEDENEVEVASVEDFEDMVASEILTFVVASLKIHEMSQ TSQFMALFGVIFQTFYLVQAGGTAMLKFFRHLLVAFDKDTDLPLTIDALKTMTSFNFM TKSILKYTVCNKCFTIYLPGNHQPNCTFEKYTTTPPTYCGNPLFSDTKADRTIPLMVF PYNSLKNALAQHFAKLGFEHQIENATKAEMWFCAESDAERAVLEKQHGTCFSKLHCLH YFDPVQCAIVDPMHNLFLGTAKHMISVWKDLRYLPIAVLVRMQRLADGILVPPGYAVL STKIESDALPEDDYKNWTLFVKACQKLTSPSVTYSEIDSAHQLLGEFGKECETLYGES SITPNMHLHMHLHESMLSFGPVYAFWLYSFERYNGKLKNIKTNRHNGLEVTFMRVFLE KALIEFLEGVAQVKSNLDSSSPLNLDAGHPPALLFSLAIFQQAATNPWYNVTGSEALP PTTLPIKLQPLTMMKNDHYQWLFEFYVKAYQSTSVSFCVVGRIPIGEDVFVNNRIQKV KKISLLGQEYCSGEKKKRGSFVRVLFLERTNDNVSEFPGQIEYLFTHTIKISGVKRVS MFAFIKWFPTYHSSSYQPLADQGLQLWDKGFMEEDASCIVPVHRLHLCFALTTHKMQS GTQKHLVIPLPRKVVT PHYBLDRAFT_157154 MASPSYTTAPTTLTRDLYSSSTPRQQSTRYKGDSYKPEWVRYAG HMKEGYCDLCKPGKWLQLKNSAYWYHKQFLHGISSVSRKPFIEPLKQRRGVNGMIEGL CHQCYSYVPISSRKRKNHLLWYRHAHKCHVYTKTSSSTFEK PHYBLDRAFT_100582 VTGSRDTTIKIWDARSYQCIRTLAGHEGSVLCLNYDDRTMVSGS SDTTLIVWNLHNLKNIMRLRGHTAGISGVCFDDRCIISSSKDNTIRIWNFADGAPIRV ITGHSGPVNSIQLKGNHLVSVSGDGLIKLWNILSGNCIRMFSGHTGGLTCVQFDGRRI VSGASDHTIKVWDAETGKCLLTCEGHTNLVRSLHVQDNTIISASYDETMRVWDLRTGE CLLCYQSGHTSWIFDVYFDQKKIVSVSQDKRILVMDFSEGLDTRFF PHYBLDRAFT_58140 MYFPYFIGIQCHVILSPYLAPDLSFLLLKVTFMEIRRLHKLGTV CVRHRPIVFQNTRNVPISLEFDLLSQNIFKSIVHYCEFQKGIEPDLATVCGNSNVRMN RLLPWMETQL PHYBLDRAFT_178961 MDMDVEAMLDAPFQENKTAPVEPERHHEVVVKDDRHREERRSRR SSRSPSRSHGSRSRHSRRHRSRSREYRRSGSRSTSRDRRRRPSRERRRRSPSPRMPPS RGFDRNQRRRGRARSPSPPLPEEERDRRTVFVTQLAQRLKSRELEDFFTQAGRVRDAK IIFDRHSGRSKGVGYVEFYDEDSVQSALAMSGQKLLGIPVVVQLTEAEKNRLAMQAAK NAQMAAQDQELFYQRLYVGSIHFSLTEDDIRQIFEPFGPLDFVNLHKDPETGKSKGYA FIQYKNAEDSKQALEKMNGFELAGRNLKVGLVTEKTSVMSSSLDDDAGLALNSLSRTE LMKKLAARQTDLMEELEPSTPFMPPKPIIPVSASRTVMLNNMFNPSEESEPDWMQDLE TDVKDECLHFGQVTHIHVNEDSLGEIFVKFGTVDAAERAVKALNGRWFGGRQITAAFV SEAIYNAKFSL PHYBLDRAFT_106384 ACVYCRRSHMTCDDGRPCQRCIKRSIGHMCHDEPKESASSSGST TNSAAPKGSRESSITSDSDYKSSVKRVIPPTKYQSNDFLDSMGNVNLLLGNQHNNSNV NSSSNSSRGGGGRGGGTPVTESPIVNTTEKFFLTAADPSDGRLEDRLTEVINAKYEAG FLKPYNYVNGYARLQKYMDRHMSASSRQRILNVMGTFRPAFRSVAQSLTDIDLILVEE AFERLLLDYDRVFSSMGIPACLWRRTGEIYKGNKEFASLVNVPMETLREGRLCIYELM AEESSVNYWEKYGNIAFDPGQKAVLTSCLLRNPDREETSVISCCFSFTIRRDKYNIPV SITHLQYFLFVCLFV PHYBLDRAFT_58144 MGKYRHEFPDELRVSWQVCYCHKYEMFKSLVQKRHSRYCYQTNY ESNTTSILGAISAAALITVGVRNPRLVKKRKAEGYISSGTVTGHCISFLKITLDEMDK HPHMKGHYVVMDNAPIHTHKNIKKYIKYRGYKCVYLIYSPELKPIVQFWVVAKSRVKH HNVLQEDTLSKIITEACKDVEKSHFRGFVSHSYMCWDKCRNREPM PHYBLDRAFT_178963 MSHHNLLTMTLPLEYIPGCDKMSRMVLKHQDVVDLITKELLNSP NGTYTLADGDWNNLRCDVLYMSKLPLSFPPVLIEVQNTINNLFLQRLVSYSLTVVKTY HALPVVLVLGAKKISPVSLILDFNKQSEQKPWLLTIPSLIWARRCLIISKETIPDSIY YTNPLNPLLGLSLFLLEQQPSLHRHTYSEDPTIILLYQIAFAQLYPQETYQQNFQSAV ETICSTNKRLFESILDKVNSKEPLNKIRRICEKGIHYNDEVKARIVDDSASSQSSDEL DFPEPLPMGPQSKRTHTMVDEDI PHYBLDRAFT_139130 MILGTNVVDEEGGPEPMDYIVVETLATHSEYMQTVSSSESSLTS PMLIEKPKNEDPRTKEANIEKCIVHHLQQGSWVFTFEQRQICILTEDHKMTVINFIDT NPSASVVEVTEHLLSQFHYQSFSHEFPDELRVSWQVCYCHKYEMFKSLVQKRHSRYCY QTNYESNTTSILGAISAAALITVGVRNPRLVKKRKAEGYISSGTVTGHCISFLKITLD EMDKHPHMKGHYVVMDNAPIHTHKNIKKYIKYRGYKCVYLIYSPELKPIVQFWVVAKS RVKHHNVLQEDTLSKIITEACKDVEKSHFRGFVSHSYMCWDKCRNREPM PHYBLDRAFT_120968 MKVIDKIKRAEEEGRVYWSFEYFPPKTTQGVQNLYDRIERMQKF GPEFIDVTWGAGGTTSDLTTDIVQTAQTVYGIETMMHLTCTNMPVEKIDAALEKAKKC GCQNILALRGDPPHGQETWKSCEGGFNNAIDLVKYIRLKYGDYFGIAVAGHPEKHADC PTLDQDILHLKAKVDAGADLVVTQLFFDVDIFLSFVEKCRAAGITCPILPGVFPIQNY NGLKRVISFNDNHVPQAIWDALEPIKDDDAAVKEYGIQLTVEFIQKMLAAGLNGVHFY TFNLERSTRLVLERLNYVASQETVKPLPWKPSLHTKRIKENVRPIFWKNRTMSYIKRT ELWDEFPNGRWGDSRSPAFGELDGYGISLKHTPAECLKMWNSPTTIQDISQIFSSYCA GKVAALPWCFHELDPESEKIRSHLAALNNLGYLTINSQPAVNGVKSADKVHGWGPKNG YIYQKAYLECFLSPEKLNDLVTKIGSNISITYYAVNQQGDLRTNTQSDGPNAVTWGVF PSKEIIQPTVVEAAAFMAWKEEAFALWHEWARLYDAESVSNKLLKGVADNWYLVNIVH NDFQDSDGIWRLFDIESAVEPTQQAMR PHYBLDRAFT_120971 MSSEITTKPTTESHADAFADAEEIDESELAYQEDSDDDDYDDYL DDELNDTDLWDSASGDFTKQYNRLRQQVAPVARAGPAAPSFSGASTTTTITTTTTTTT AIAPAANKKTKTATNASTAKEDVAAKKQLLESQIESLSKFTSRIQVRAYEPPRMSSSV ASDIKLSSKKASGERNTQKDKSDRATVEQVLDPRTRIILFKMLNRGVFYEINGCISTG KEANVYHAATEDGLHRAIKVYKTSVLTFKDRDRYVTGEYRFRHGYSKSNPRKMVKVWA EKEMRNLRRIKAAGIPSPEALVLRMHVLVMEFLGDKNGWAYPRLKDAQIEQSKYASLY YQLIKNVRTMYQVCRLVHADLSEYNLLYHKGLLYIIDVSQSVEHDHPHASEFLRKDLS NVTDYFSKRGVRVMGLMDLFSFVTDPSFSNEDAVVDDVLEKIQTKMNSEPEKERNTLE EEIFMRSYIPQTLEEVIDVERDTLLIAEGEGKDVSIILYFRLSWLSIKKSKKDVEESE DNDDDDSEDDDSEDDSEDDSEDDGGRKKPLRGKKNEDKDDKKDRKKKAKEEAREKRKH KLPKAEKKRKIKISRNRKK PHYBLDRAFT_129731 MSDNERSGPTGDDELSLPKATVQKLINEMMPEDVVCAKDTRDLL IDCCVEFIHLIASEANEICEKETKKTIAGEHVVSALQALGFEDYVEEVDEVFKEHKKQ QKDRDKKSTRLENTGISEEELLRQQELLFAQSRLKFEAQQQ PHYBLDRAFT_120978 MSNEANLPGVEHRDVQYFGYYAMLQHQQNMLQDTVRTSTYQSAI LLNKPKCFEGKTVMDVGAGSGILSFFAAQAGAKKVYAVEASGMAKKMKKLVDTAGKDG KNAFMKGKIEVINAKIEEPNLPIPKVDTIVSEPIGVLLFHERMLESYIYARDHYLKPG GALFPSKGNIYLAPFTDAMLWTETMGKARFWQQQSFYGIDLTCLYKDAREEMFGMPVV GHFDPRNLITTPTVCDAFPVDFSTVTLSELKDITMPYDWEADYTGLMHGIAGWFDLVF GPPPYTTNQETLGTTVELATGPMAERTHWQQVRFLFKEPLAVNATQRIHGWMRCIVND TRSYTIFVEVTVGDSVPLSDPSKLDPTTFGDSSTSTHPLVRRGKWELHEQTYNYSYTP GQTVDHKPEYSCLYQTEARLDDVPDQHDLSLEAMRMMDQYEDFVN PHYBLDRAFT_120982 MGVRTFEYKIDNGDTAWMMVCTTFIFLMAPALGFFYAGLARAKN ALSLMYLTVLSVAVVSIQWYLIGFSLTFSATGGPFIGDSVHFLLRSVGVQPHLESQTI PQSVFMIFQAMFACITPGLAFGSAAERMSLGPAILFIFVWTTCVYDIITYWVWGPNGW LNVMGVLDFAGGTPVHISSGLAAVAYAMVLGKRRDYHENVNTPHNVSFVFLGLALLWF GWFCFNAGSALGANAQSVHALICTHLSACVAAVVWVLMDYRHARKWSIIGLCTGAVAG LATITPGSGYVSYSSSLAFGALGSFVCNLAVQYKHKYGFDDALDVFAVHYIGGLVGLV LTGIFAQRNVMALAFPEGTDISSVRIGGWLDGNWMQVPYQLAAIASVSAYSFVVTYII LIVLNMFPFLRLRLADEDELIGTDWAEMGERAYAYL PHYBLDRAFT_87373 RRLSRLKKPKDGEDEDRVMMGTRIAEGHQNYVLMYNMLTGIRIG VGRVSAKMDKPVEDADFTAAHKLAFDVTGDELTPGAKYDFKFKDYAPWVFRHLREKFG IDPADYLISLTSKYILSELGSPGKSGSFFYYSRDYRFIIKTIHHTEHKFMRDILKQYY EHVVDNPDTLLCRYYGLHRVKLPHGRKIHFVVMANVFPPNKDVHETYDLKGSTIGRFL PEEEIEKNPHAVMKDLNWEKRGRKLQLGPKKRKIFISQLVRDVKLLIQLNIMDYSLLI GIHDLVRGNQDGIRNSTLQFFQPDTKKAERRATLMKRRESKAQVHRKAIAQANPDRLD ISELPEDPFGERSKCVFYADEGGFQSTDELNRPTSSLYFMGVIDILTPYDMKKKSEHF FKSMTQDKKAISAVKPAHYGDRFMGFMAKTI PHYBLDRAFT_34926 MSSNALVDFSQLSPKFRDLYAKAKVFVEEECIPAEKTYNLQMGQ GDQRWKVVPQVMEDLKVKARALGLWNLFLGQDYAEGAGLTNLEYSLIAELTGRSPKIA PEAMNCSAPDTGNMEVFAKYGTPAQKARWLQPLLDGKIRSAFAMTEPLVASSDATNIE TNIRRENNEWVVNGRKWWISGAGDPRCAVYLLMGKTDSANKNPHRQQSIIVIPADTKG ITVVRPMQVFGYDDAPEGHCEIVFKDVRVPLENIILGEGRGFEVIQGRLGPGRIHHCM RCIGMSERALDLMLTRVTDPSRKTFGKMLAQHDTVIAEIATSRMEIEQARFMVLNAAK KIDEVKAKGAMKEIGMAKVIAPNMLLRVLDRAMQAYGAAGISQDTPLAHFYASARTLR YADGPDEVHRNQLGKIEVRRAAALTEQQRIQKDKGDRLAANVSKL PHYBLDRAFT_176618 MNIDDIFKIPAIPSGRNKRKMPDTPDIQFLDKYKEAAEAAEAAE EENAKASKKRNVTFEDEEEASAATFDEDIDDDDEGRFFGGGLTEEQSRLLDLVDQYDV DEPEALTSHNVKKMILKFEKAINKNQEQRVRYAEEPEKFMESEADLDEEIKSLLALTQ APHMYPELVKLGSVPSLMSLLSHENTDIVIDAIDLINELTDEDVGTSEDDLERPEDAA TGIKTFVDALLENELLSLLVQNLERLDEKEETDRQGVFKILGILENLMALEPSLAERI ALDTEFLSWTLKRLQTKVFDSNRGYASEILSILLQESRVVRLKLGELGGMDVLLRVLS VYKRKDPQDEDETEMVENFFNAICSMLNEPESKSAFLEGEGVELMLIMMKERTLARIR AVKVLNYALTGEEGRPNCIRFVDALGLKTMFPIFMGKGLKKLKKSHKVFVESEDEEHI MCIILSLLRNLSPDELQRARVIRKFIEDEYEKVDRLLEMKEYYEARDQRVLAEIEEDK KGLDEDELEELKEDFYLRRLEAGLFTLQRVCLLLAVLTAEDNGIKEQVLMLMKRKDED MSSVFKILEEETALMADYVYLRKVAMGKIIHTSEIGELKEDPSEENLTAKDSVNNDKM DEDN PHYBLDRAFT_15372 MKFIGASVVASVFLLSTIAEAGRTCVVGHSGNNNEVAPIMKAFK DCNNGGTVVFPAGQTYNVKSALELQGLKNIVVKFDSIINFPRFNPKIQKGKGYMYFNG DNIHFSGRGTINGNGQGWFDAQNNQGPTMVRVNARNSYFAGFTVKQAPNGHFSIVGSQ NTILENLSLRTVSTNPSRPAKNTDAFGISSSSNIIIRDSTIVNGDDCLAVHGGVTNAT ISGITCTGGHGFSVGSLGKDGITESLSGVSFLNNKCINCTSGLRIKAWPGGKGNVNDI RFENTSLQNVESPIDISTHYCDKERASQCARDDATSLSFTNIKIKNVSGTGSTNKDYP LININCAAKNPCKNIVLSDIHLTPSNSKTKKNVCLNLVNSGAIPYCKL PHYBLDRAFT_162187 MFRIFSDNFSVQNGKRPIQIGAKYWDTKRALQRVIYDATSVGSS NIKSNNIYGSTYVTINSATNYKDYPTKKIKLSTKNPCKNTGIFNGFVLPCHSNLMFLA LTFHTVVVYYIA PHYBLDRAFT_139140 MDANSWYIDSCNHLMQLSKSFWKYNPALLTNLASLSLLSKIPEA GCTCVVGHSGNNNKVAPIIKTFQDCNNGGTVVFPAGQAYNVKSALELQGLKKIVKGKG YMYFNGDNIHFSGRGIINRNGQGWFDAQNNQGPTIIRVNVRNSYFAGFTVKHAPNDHF SIVGSQNNILVSVFFHIVPTYTNIPAKYTYVFGIYNSGNFIARSSTIVNGDDCFSVHS DLSNITVFELSCASGYGFSIGSLGNDAIS PHYBLDRAFT_14560 MQIFGIGAVVSLFLLSTAVEAGRTCVVEHSGKNNEVAPIQQAFK DCNNGGTVVFPAGQTYNLKGVISIENLKQVTVKFDSIINMPVFKTSLVNEKAFLYIAG DSINFSGSGTINGNGQGWYDAANNNAPTLFKIKATNSYFGGFHVKQAPRAHFSVNGSK NLTIENLTLNTVSKNSNKPAKNTDAFDVSGASDIIIRNSNIVNGDDCVAVNGGVTNLL VTGLSCTGSHGFSVGSLGKDGKTESVTGLKFTNNRCTNCSNGVRIKTWPGGKGNVKDI TFDNINLQNVEHPILITTHYCDNNKISSCNKDDSSSLSIDGVKINNIYGTVSAKEHPI LEINCSTKTPCKNVTVDNINITKNSKTKKNICINFVNSGLVPQCKQ PHYBLDRAFT_162190 MFTGMLLTSKNLYKEMLVKGTNNGKLDKNSGFGQRIDLIFTTKN IKLSTSEWKPILTYLLDLPFNEACGDCVFTAGIDLTGPMRYILAIKQLKDIYVAKPVS TLFISTYLDKLELFEKTVDCLYAWQNHCLRMKKFILPALRKCRKEVHFSSFFGTYAPE DSNSSPNTCWTPS PHYBLDRAFT_184651 MSLSEHCALKINFLFFSFNLTPDVDSRILLTATHDRKQEFLGPN GKGFKPNFVSPTVKFGGGSIMVWGCFYGKAVGVLDVVPGNIDSKNSLGAIYFGEQSGS PHYBLDRAFT_75402 MQILSIQKRIISKCQRRQSSTTNTASRVSTFTSSMGQCIKGLAS VKKAFSCNKVQNTVAPSLISSSSTISNDSYLSDLSDDEHFSKYCPVSEKSMALDSLIF DHPSVTVRISPAAYRSS PHYBLDRAFT_162193 MSCCKGSLPSYDQLPVDPSAPEHSAWGVWGKEDNLGTLNLLTKD KVEKAARLVRRGEVFPLNWKLECPNSGMIDRFAPKHNVKPIFENLAFDDVYDNFNTQA SSQWDGLRHVCHVSSKTFYNNTSPSDITKSTEGRLGIHHMARRGIAGRAVLLDFGRWA VKNAPEFDPFKRYEITVQQLEAVAKSQNVKLEHGDIVLIRTGWTKAYENMVEAKVDIS LNATSSEELPQCAGIKACEETFSWVWNNHFAALASDNVALEAMPFDPPNSCHSMFLGG WGMPIGELFFLEALAEDSAKDGVYEYFFTSAPLNKFGGVATPPNALCIK PHYBLDRAFT_184653 MKINPVIAEKYPSLKQCVDLYNDRVEKTHYWENSVVSNMTIVDA KPNQLIWEFYVEQRHCHIFDRVHGGCAATVIDIASSFAVLVHEGELQWRSIGVSTDLS VSYLSSIPKGITARVECDLLRLGNKLGSVVVRVYDDQQKMCYSALHTKFNVDSRL PHYBLDRAFT_178974 MAKNSTMEAATVDALKNVTLQDAAPAQDKKTEKTESEDQANGTE EAQEDAEECEAGEPGTEGAKKKKKKNKKKKKSGPKAQTEPPTVPVSKLFREKYPVGEC HDYRDDNLWRTTSEEMRAAERIKEDEYDQLRRAAEVHRQVRAYAQKAIKPGMTMIEIC ELIENGTRALVEEDGLESGIGFPTGCSLNHCAAHYTPNAGDKTVLSYDDVMKIDFGVH VKGRIIDSAFTMAFNPKFDPLIEAAREATYAGIAAAGIDVRLCDIGAAVHEVYDSYEI ELDGKNYDIKPIRNLNGHTIEPYRIHAGKSVPIVRGSSDETKLEEGEQLAIETFCSTG RGYVVEDGECSHYARSTESPFVPLRLPKAKSLLANINKHFGTLPFCRRYLDRTGEEKY LMGLRHLVDAGVVTAYPPLCDTKGSYTAQLEHTILLRPTCKEILSKGDDY PHYBLDRAFT_178975 MTVNSFYLPRVNRPGTDLMSKHILLNTDVMNFIVKGIIGLNAVD EYFPQPTE PHYBLDRAFT_139150 MFIQLPCEILANIARFMLQIDKLQCSLVCKSWCRPFQESLWKTL EVKTTNKINEICRSPNNQSIYRDNGHLVQTILLGNSICVKEKELLTMQRHFTNLRYLN IPCGGLNRTEFGGTTKWELWRSLTDLRIHIPYIRTGEKKRIMSIIPNLPCLRRLEFED DGWDNRSLYTFQDLEQIHSYLPRLTCLDMCIKLEPIPMSIMDQIECVSPAIHLTKTMF AIRHVDITWLYYFSVKYPSMDSLRWRIKYHYILPKVDMVKVTEMLSERSCIFPCLKKI DFEEASGLSVPDSVFLNMFSKFPGTIDHFHYTLNGKNVKSLQVSTLSSRFQKNWAASL KSLFFTFPESSFSSSEERISFGMLPVLVNLRVKLLSGYVELDLLLKNCPAMKKLYLEA GKVTFSTIFPQNVSRHGLQEIELDSMEVHSDIYRHISNCCRELSSMRVRNIRMEDTDS SEFKSIYLDMPYTRLKMLNLVRFRLSHQTYDKQCLHYTHLNRIQLLTIEQTGPITRPI DSLPDENNQRNMAATSWFHQYRVNAHPSIERAVWMLGEEETRVAEFYFSSASSGVSQR VEDPNTARDCFGLVDKSSWKDDLLRGYIKLRCKSVAVCNIDGTIFYGGV PHYBLDRAFT_139152 MDSITAAQRDKLAREVMREQAALETQRYEQELHRASVYSTASSA TNGRRLSANRPMADPNAFMTNSEVNQFDRGVWQKNMSPSDRAKELASEMKAKQKMRGQ VREKMVKVGDKVMRVE PHYBLDRAFT_99904 FQQRCPPDSISTDITLSQYVSIQEKGVAAFEFEWDIETNCIVSS RTEIQFSFGESCVQTNLPLPRNQDVYYWEAKIFEKPRQTVIAVGVATKPYPHWRLPGW NRHSVGYFSDTGRKHINNPFQGKPFGSGFSQGDVIGVGYRHRTGTVFFTRNGRRLEDA CTGLRWNLFPTIGANGPCEVHVNLGQMGFVFVEANVKKWGLAPIQGTLAPPPAYGAEA GSMLLERGGR PHYBLDRAFT_14608 MPPLPLASLGLSEQTPLSPNDSNATSNATLNPIPDSPQTGIFAN ITSNPLFSAGFGLLGVGAGLSLLKKGTNAAASYTRRRLLVTLEIPSKDKSYAWFLQWM AQQAPKRQVQHLAVETSFKQHDNGSVTTKFGLVPGPGNHYFKWKGIWMKVERQRDGKM MDLSTGSPWETITVTTLSRDRGVFTHLLEEAQSMALKKQEGKTVIYTSYGPEWRPFGM PRRRRLLDSVILDNGITERIVKDVRAFIGSGKWYNERGIPYRRGYLLHGPPGSGKSSF IQALAGELEYNICILNLSERGLTDDRLNHLLSNVPERSIMLLEDIDAAFTKRSQSDNQ GYQSMITFSGLLNALDGVASAEERIIFLTTNHLDKLDPALIRPGRVDLKEYLGNATPH QLRGMFLRFYGDRPDLADAFVARLDGKTVSTASLQGHFVYYKDDPLEAIEKADELLRQ PHYBLDRAFT_120994 MSEVREYLYKILVVGDLGTGKTSIIRRYVHNIFSSNYKSTIGVD FALKVIQWSPEIIVRLQLWDIAGQERFGNMTRVYYKEALGAFVVYDVTRPQTFEGVTK WKHDIDSKVTLPEAWGGGNIPVVLLANKSDLIHEGHGQQVNAEDMDRFCAEQGFLNWF ETSAKEDTNIDEAARHLMTAVLKIEEEHGSDLAQDEAERIRLDQLNKQSEAGSGGCC PHYBLDRAFT_162203 MNPLNFQIPRRRGNDTISPFPKRRRTEANDQLLNTASLFDKLKK EPLLEDVWAKEERALEELNENSEESQPIVDVASQFLDKEVSERLAEALSRNDVSDDSE PPSTRYFFTLPTKTSIGEWPMDSRDGAGVAKAEFIHKLTQTTQGRSFMLESGCLKHWH KRGWECPRSLYKYLFEMGKYINIYIFMGVLMCSNMRFVIVAFEPNKVKMESDVQYSDY PVGCIEPEWLTSIFEAYGRAPQLITKFASKTTPTPISTPISTPASTVRPTPEFEPELI SKSKVPQSSLGWIIELFGISIKQWPSAYRDNIDQLLGLLLDTSLDPTSRPALGALQTT IQGCLDLLEQTTWKKTLAEFDIDYKKYSEYQLLYCIQAIPPTNKRGVFFQQCLAAKGL GLSRDHDKLEDVLKLINDPDGMFSSSQPDYSLLAIKIRLLDIFIGSDEDELDLEKDTV QSLIQSLQLLSRKIGGRLGTLKRTVANECAQRLWNKLAYTIGRDERVIEDHQLCLWFG GTLGCQTIQDNIYIDIICHIKINLSVLLL PHYBLDRAFT_129752 MAFTASDICKIVLAVVLPPLGVFFERGCGCDLLINIGLTCLGYI PGIIHALYIILKY PHYBLDRAFT_184656 MSVGKLSSEAAPAVLEEGNWPAGDTLLSSDWEASLRASQETNDR WADESSVQEGSFIDSTSTLNQPITQVVLDKDTSHHKGFHNAEVSHDIRDLEVVGQLPD WLTGEHYTIGPGTVDVKFSRKAEVDGQLQSVTAFFRFNHWFDSLPLVNRFDFNAKRNT ISHRQRLTSYRMEEKIRDHHGFTPRHPATFFKTDSHQTVLSKFLTPSRAVKPDGEPCG VHIVTEIPGLRGRLYCRNYANHLQELDPTDLKPIKLQTFEEINPAFKGPIACPEGRVD STTGEYINVTMDVGYQSTQYTFMSLSEEYPQGRVIATLNAPTAFVNTFCLTPNYIILP LFPVIANSSKLNWNASILDSHQFDKSQPTRFYVISRARQQVVATYQARACFAQVNAFE VGDSINLDMIVYQDDTILRQLTRPQLRQLGTMFPERLASSQVCRFTLGNLTAAGSQYL IYGQPVASLSSRLSSLLLKSTKSSWEWMPVAHEEARVPPSLELPSIHPLRSGSSYSFM YGVGFSANSALLDGTIWDSIIKTNMETRSIVGTWYQAGCYPSQPVFVPRPDAIGEDDG VLISIVLDSARASSFVLVLDAISLQPLARADLGLVVPLSFGCGATRLVRHY PHYBLDRAFT_162205 MAPIVTDNIIIRLVSMDDKPVAELIRDTINTAYSSQNSWTREGD TIAGDRATTEMVERLILEQGKPNHLLCAFDGSIVVGTVMVQLEDMKPNEAEIRMVAVH PSYQSCGMGRRLVDASTEFIRSLGRDVMSIRVLENRTDVMQWYVRLGFVATGDRMNVL DESLLKVKGVTFLKLSRSI PHYBLDRAFT_178980 MFSRFPFEILTTIALLISQEDKLNCSAVCKAWRRPFQDSLWNSV EINSMNIDMICDSSPYHQRLFKTNGHRVRSMVLGGWTRLNSKQILKLQYYFPHLKSLS IQDKSLSRNDFGKATDWCHWGSLTRLEIILPSLEHKNLETYFLDVVGSLPCLEYLDMS EGVCTGRYLYTWRDFETLHEKLPRLEHLRMKVSLAPILVNDVLEIQDTKSAPSLSAVH LFGETMDPQWLYYCALKYPNIHTFEWKIFYGYDTAHERMTDTMYAAMGLAMKMISSLP SFFPHLKKAIIEEISDEGRLHTLFWKKLRQSGASLAQLEYHVRGEVIAPYQIQTILRE CSQTCSDTLETLQLQFYTSDSYLCMAPISLGTFPCLVNLTINLLYNHFELDVILDNCI NLKKLKLQNGTSSISHGALKYPQQHGLQTFELVSVRVNLAIFRHLSYRCQQLRHLELA TLRIAEKICSKTGNLLLDMPFTQLETLKLCDLVLYSEKDDYSNPYYYDNDSTDSMDRY HQIQLFVIEQTHTNPPIPDSPVQCHAVLPTSPPTSPHPQSPSQSPITKDTTWFHRCLG SPHNHNNDNSNNINNNTPSQEIRVLEKEQVETACKYFQTFQAQSQEEATHSDIERDED GLVLEQYWQKDLPEGYITLRCKSVDQYSIEGLPDHTIDGT PHYBLDRAFT_15107 CKGKFNRKYGLKSHMDIHKKEKKHVCQERHCTSAFKRKHDLTRH AKIHSNYRPYSCKRCHKSFPRSDALFLSDIYKYSFFFPFVCKLGCSKQFYRRSAFKSH LDTHLDARYFTCITEGCGKRFKRKSDLSRHSRAHSIENDFMCTDCEKRFYRSDTLKRH LKRCRKSTKSI PHYBLDRAFT_139164 MSAIYYSDSWYLNEKKKDLMRFLVTRKSFVESGRDVDVASTTAH AVWCVHWVTVFDNTYFLSDNVAAKAVTAT PHYBLDRAFT_139165 MDGSEVMHRLWNRDLAAVLNFRHILNNLRYDGTIPVRFTRVIRI GRIRRQAEDLQEGRRPTQATADCESQLIEFAKGVFLITDSRSETAYERKELATKNGAH VLKAQRSRKRLDPSRRVGRKIVYIALKILAATNVCLEGP PHYBLDRAFT_184658 MSMPSSSNQQLSHENDSWKKTMVLPPKDTRPQTEDVTATKGNEF EDYFLKRELLMGIFEAGFERPSPIQEEAIPIALTGRDILARAKNGTGKTAAFVIPTLE KINNKKSKIQALLLVPTRELALQTSQVCKNLGKHLGVQVMVTTGGTTLKDDIMRLSES VHIVVGTPGRILDLASKGVADFSEASTFVMDEADKLLSPEFTPIIDQLISFFPKDRQI MLFSATFPIIVKHFKEKYLVKPYEINLMDELTLRGVTQYYAYVEEKQKVHCLNTLFSK LQINQSIIFCNSTNRVELLAKKITELGYSCFYSHAKMLQSHRNRVFHDFRNGVCRNLV CSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLITYEDRFNLY KIERELGTEIQPIPPVIDKRLYVAPSALEDSEGQADNYHFSNSNRGGRFRTQQPNGGG NSRSRIPDPLP PHYBLDRAFT_162211 MTDQSRQRWSMQREASPEVEDDDPMMEDDDEDENNEFESTAPFN YVPNSSANETDHKGYYADFGNQGPNIYQDSSLAWNDLSTKLDPLNFTMSKGLNKDFFH DFGDDFDDEEDLS PHYBLDRAFT_139168 MATTAKHQDKASRLLKGANGVQKNNVESLLSRAIKFRKSRYVDD KLEMFKRKYAPINSTTGVVHKADFSYADQKNSDNVVGGVDANGFDQPGKSLFNKDNLE LGWKEIRPVGSGLIDLGKVSALNSVLQILTYTPILANYLLSRKHSANCTVQDYCFVCA LEKHVRQCLGPGDDLVSSREFIGKLKKMNNGSSDDSSSIWNYFMEQMQSFFLLEKGST DKRTQETTALYQMFGGYLQNNIKCGECGKTENKYDATLYFDLDISQNNTVDRCVSRMM KETTSKINCTSCDKDCTGQVKRSLYRTPSVLAIHLDRFDKSTEDGQKNGKAVKFEDTL DIERNVTESERGSVKTKYNLYGVIVHEGPSRISGKFVAYVKSSNGIWYCMNNESVQQV STKRLFAQQAYMLFYTHPAERSKSRVVNTPVPASAANAFGEGELVKEPVVEEEEEPVH ITEEEEERQKLQEAIEAAASKPKLENTEAIVVQHNDNLQSKREKLDALIERENAIGKS DTVKQELIVSSSNSQFFEDVSRWDEDLGAAVTEEGRKKALRAVKSKRKKTDLYDMDYD RGKVKKVKNKQMDKFGKPNMFQAAAEEVQKGKKKKRP PHYBLDRAFT_139169 MSDIQYARSTALFANGIFAGFSLCMKAACLPSAKASLNPTTAFR TLYKNGTPIGALVILVSSAANFYIYYRTRESRSLYLGLLSFASLPYTFLVMNPLNKRL FALDATISKESNPEISLHKKEALGILTKWNKLQLFKVVTSVSAFFISVINI PHYBLDRAFT_139170 MLATELPFEIITNIARLLPARDICNGSLVCQAWYQPFSDSLWHT VKIQSRSKLEALCNHLSEPNRHKVVRQLEIGAQLQLEGKQLSLILQSFHSLHTLILAT GSLSASCFKPEMAWNKWDSLHRLDFHAYNNDLEHTLNILSSLPALRQLTYLTNRSGEA SLHVCDMERIHSYLPGLEKLTLSANLALLGADDIQTMSEFTMKPANKLKHVKFAFGCV DLRWVSYWALKYPNLRMLEWNPYYDSTRNYSYVDEAAEMLCYISRPFNRLETIRLLEA SRNRCLHQPFVGLMSRFGRGFRRLEYDIAFDDQYYATTDGIKEVLRDGLSNRVETLDM RIYYPVQGPNTLIPLALGVCPSLLYLKLQVFDIDFAIDVLLDNCMALETLNLTCRGIA LSKGSSLITTTKPHPLQSFELHEGTTTPAVFNYLSDRCRKLTNMQLNCVKIIGRVSDT AGSLKIDMPHTHFQQLQLNQISYFSSVRGSECKNKMHFISFTQQILSQRKWYHWNGYW SCHEMSNPYRRLPDNQVKYVEEYYGAFTSRQTPSPCQHHELYIAPYISSTAWKNDLTR GYIDMHCASIDSLILKN PHYBLDRAFT_162215 MKTGRIYWSSFMANLPFEILTQVAIHINQPKDLRACTLVCQSWY RPFTERLWSRLCINTISQLNAVSGTFSSHKSLAPFYSRVRTLHLKCPFKIHSHRFHLI QQRFHDLEALHIHSDCLTSACFSQSTANWGLWKYLTVLEFSMGQHEISPAEFIAVLPL VPYLKQLVYAQREARHGLCWKDIEAIHTHLQFLERLYLGAFCRTPSLNDFLGLPRLIP AKTLKNLEVLDYQISPWFIYYCAWKYPNLETLELYETFPVRPHTTSIMLWDCPSFNPI QPFRNLLQSNWGQIERIIAQSARSIAHLEYSDILQGALDPYYQATNDPLLQVFEKSAE SLKLHLPDSRNSSPRAIFQSVSKWPCLTQLDINAPYLNLDIHHILGCCLALKRLVLTF RTLEYIFCLSKPQQTYELESLTLQNGTFHSDLLYFISKRCRRLNELKLIRLIIDSRVD SICFPLGIDMRYTTLNNLVLDKILFDGVDGFGSRYDVQNNVMLVYQMRSTFDDDTTSA TYNHMPTQTEKMQWFHWHRAPHAPPPLGQCRKLNEKEASFTKSVFRTYQYRYVYSVDQ DKRSHRTRSYRDREDWQNDLYKGHTTFICESVLNLVIRD PHYBLDRAFT_58184 MFGQTSLISSSASSVPSKRSRSYSQESITISTSSSANCAVSFMD VDSGNCSFGECNISFCAREEEDEDDIEILLDPSQLDMEDQFIFEIVTGYGQRKPSCYS VIHLFYMILLRNFILLKANVSTKDLKVDVNLGVVYLDEGTKNPVKTCTFVGGIQKLTK LKLYNDAKIHFFHIVLCCLSTYCSSYGGMKDIKKSRIYANWLLEMLLKYRSTEYQSYY YVKYFYLMLLKYFIMKITYIL PHYBLDRAFT_14411 MQLTASIFTLLAVATFSSVQAAPAKDIIPPVSTVPVSKTARFTM ASNPNFQPNATRSVFKARSKYFKYIEGPVTFSSGVGVVPVVDYANDIEYYGTVKIGTP AQSLKLNFDTGSADLWFASTLCTSCGSTQTKFNPNKSSTYQSSTKTWSIGYGDGSNAS GVVGYDTVNLGGISIPNQGIELAKKESSSFQSDPIDGLVGLAFGSIITAKGVKTPMEN MMSQNLIDSPVFGVWLGKQSNGGGGEYMFGGYNSAHIAGTLTTVPIDSSQGFWSINVS GTTAGTTSSVSSLGSFSGILDTGTTLMLFTNTMATKVAKVYGATDNGDGTYDINCNTS KFAPLSFTINGAKFSIPPADLIYYRSSSRCIAGFGYADLPFAILGDVFLKNNYVVFNQ QVPNVRIAPSK PHYBLDRAFT_58186 MRASIAIIGFFCLISVNSAPLSEPQVNNDILPESVPVLHAPIQL NNNEAHPSTNSYTLSPHIDLIGPAKAIEASESIARFLSSDGTTKSTLSKSAIFSTAFA AIAVALYLVLWPTKYWGYKVCLDDASDSSSR PHYBLDRAFT_162219 MPYTGRRKQHIMRMVKSRMHRAALRKQNESLLCETNNNIQDVNL ENETSICETNINVQDVNLENKAPICESSIEVQGVNLENESSTVLQPDISLQWKEGAGK KIRRVYRKDSATTLWRRRKAAINSAQNAEKIHKLEDLGFYQVPQTTSTVENITIPSSS QREEHLPSNSCITMYEMMKHQAVKTYLLQRIQGLKKVEASTKEMEIVYGQKNTYLPLV IRKWAKEYIYTGFISPRQQGKHAREPFLLADEGIANAVSNWIRKQEVENITTPNVKEY IDHTLFPEKFGVSGNVSLSSINRYMKSWGFTFKKTVGAVYVNNHESNNTVEY PHYBLDRAFT_58188 MNPQQQPVNNHTFVETKKRALVEKIFNKFLRQDTQVHSVSGPSG SVMHNLATGLQKRNYFRRGPQNVSLGCGTRGCKQKFSNQEELDLHLNYCSDSIYNTL PHYBLDRAFT_1121 KDGYLQVKEDGLRAWIWSKRYVVLREQSLTFHRNEQMGQCVALI FLKDINAVTRAELKTHCFELSTKQKTYYVACKNDEELYSWMDEVYNRSPLGASGPTNF EHKVHVGYDPITGAFTGLPDQWNALLKVSKITPEDAAKNPQAVLEALEFYAEQTKQEK EDFASHHHSKEEIMMVWPNSKTFSQDSQQQQQEEEAGATPAAPVQALVIVPILVPTLS NERDRMREREREHIMNLRTRPHPQLHTPQPQPQQSTPVPSSTPKVERVAPPKRNEQRI STMSDSQIMERLRSVVSTGNPSLLYRRSERIGQGASGSVYLATHLVTHSKVAIKQMNL ARQARLDLIVNEIMIMRESGHDNIVNFLDSFLVLGDLWVVMEYMEGGALTDVIDHNNM TEQDIATVCFETAKGLHHLHSQNIIHRDIKSDNVLMNFQGQVKISDFGYCAKLTDQKN KRATMVGTPYWMAPEVVKQKEYGAKVDIWSLGIMAIEMIENEPPYLDEEPLKALYLIA TNGTPSLKNPENLSRELKGFLAVCLCVDVKSRASSSELLEHDFMKKAGQVDLLSRLLK FKR PHYBLDRAFT_162221 MRRYEWKATGLILSNGTTSYIRHFLEPTTTTTNDKNAMVLQRLV ISIELNWFKGKKKKYGLRRLGLILMSLSDTGGSIRNDTIVSYLVRAIRLTFLEFAVKW DFDIYPVDYSNALTVKYQIWGSFAYCFPIYEELENSADRNCTNQSMEYLKE PHYBLDRAFT_94887 KYFFGTATEKWPQDKAIKRFKLPTGEVISCILWNNLFFITGTDI VRSLTFRFHAFGRPVTNPKKFEEGIFSDLRNLKPGTDARLEEPKSELLDMLYKNNCIR TQKKQKVFFWY PHYBLDRAFT_178985 MVNFIPILGAVACLVFSVDARPKVHRTKVAILGAGGAGISAAKR FNSANMKDFIIVDAQSFVGGRVQHTGFGDYQVEIGANWIYGQGSNPIYNLATKHGLKT APNEKDDVEFYDDDGQIKDGYGRQVYDTFAEYMVDLVNYASQRVESNQVDVSARTGLS MVRWKPDTSLKAAVEYFSVDWELAEPAELASLDYSAGTAEMIAGTYPGEDGFVVDERG FNHILKEETRLFLKPNDPRLMLNTLVTDITYGAEDVVITTADGDTIIADYCICTFSLG VLQSDSVNFVPAFPDWKREALLSFHMATYTKIFLKFDTKFWGDWQFALYAGDFSQRPG YYTVWQNLNAPGYFATDPQRKKNKSAAKDNILMVTTTFKESERIEKLDPEQVEQEIVE VLKRMFPSANITKPTEILIPRWHTNPLFRGSYSNWPLGVSTQHHDNMRAPLRGFNGSN ASPPRLWFSGEAMSADFYGYLHGAWFEGRDTAGSILRCMRSKCPVYPATEIVKGCSDK SAKDTSFQAKWRKA PHYBLDRAFT_58193 MHTPKTSHDLHNESSSNTEHPHTPSEERDPILLDDHFSLQYGNP IEIIHDAPKHAVDTFEPSKDHLPPDLIGHINTVPCQDCRRDPHQKRQGSQRDHLACSE FYHVNGVVEEK PHYBLDRAFT_75413 MVFSPPKRSQSLAYRRSRSRTTDTTEKTPSLPLSPGDNQQLQSA SLEILRIEAGSRSTPDLTSPDTDRDTSSKKNDVWDPSLQRKHTQKSTLNARDRNPIKR TNTTHPLPRVVTQIHPPTRSSSLRAGLHTPLTAPAIAHMPKFTDDYHSQPLSPVEIIP SPVSISSPSGFQPHSVIRPLKASKSESSACTEDYETADEFRNKSFEIPETTAFVDDCH NISSDPPLSEPNLGFPQPTLSSSLSPSPSSYSPTQSTSISKSPEAQKKFEDDVHESLL EWKLWYTHHN PHYBLDRAFT_162226 MRTILDHVDNVQEIHSNQGDFIVLQSYAVELQARRPRAALLQRI GSRKHKLKRENSIKNPLSVSRELTWGIMMCLEKEDQGNRFSAQYKACSAIMIHRRSYN TKLILSHCLATSIEHEDRGCAMNVQVTPEMNHLVDCGTRSLLNDCKAPMVPLSENGLG EEAQMEAVGHYFALLE PHYBLDRAFT_184664 MNSSTHVLSEEVFGSSKKIQFVQTTSSMDSLLSAVDRAPRINLP YTQSKQTMSIEALLDTNGHISKSSAKRSWTYDITDRSPKTKIHRSQLETHSPPTEHCP EPIQHLSLSSSLLLPPQRLSTITCLHAAVAQKSYGSEKRFLCPPPVVTLKSTTPGLRT DSPIVSMSVVCESIDRPVEQRASLDDNQSGSFKYLHVTGTAKAKQFCLRVGLSHSHSH SHSQFPPLGSSSPQQQQNQHHQISQPFATFFSSPVSIISKPSKKTAKARNVSTCILAN SPVSLFNRINSQTVRTKYMSSDANRLCAKSSAWSAFNIQIIRQPEDAEDNEDGPVPVL YGTEIILKDTQSGVCSPPLIVRKVDKGCIAATATGPISQMQKIALQLKSSVNIEVVDD YLCWTIVSIAKFEYTFADPIMVGMDVSPCSKMAESRLVPSSASSSSYSSPSHSPSPSS LTCRHAQPSPPPSPPRTIVPYPSLSSVSYNHTLHAIDVVGHHLFQKSTMPPRLLEFWL GNHGPLKQVPHETSLVRVELPPTQDLLVANHNILQRQANGERHLELALVLVRQDGMVY PTGKSLSCAVSVNGDTSRCLYLHFAYIILAHKAHMNVRTNRDKGAYLNMIDKPHG PHYBLDRAFT_184665 MSPTEKSTIEVAQPTSKDIVETLDIEPTLSAKEEAPKWPSVPTL SVDEVVSQAVKESNSAVKEPFQFKKPIRRAAVIGTGPAGVPTIKALHAQGIEVRAFDR NPKAGGIWAYSDAPSAKPKMPSTELAPKSKNVAPVVGIHEETVELTNEVEKSLLEQYP ASACYKDLYTNTPIKITEYIDFPGDHSKTYMWHQEFQDYLSRYLTHFGLDSLIEYNTN VEHVYKAKDEEGSECWHLTLCKSERVEGNKIHVKRWTETFDAVAIATGIHQNPKIPAF EFLEEFDARWPEKCSHSKQYRIPEPFRDLNVLVVGGHTSAVDITRHLEGISKNVYLSY RGPREIESNILGLIRTGVPESTIIMPALTSLSNADGLVDGTVKFIDGQTLENIDRIVF CTGFTSDYSFLDDLIIEDHDEYVKLGHESVSVPATDGQTVFNSYRHLFFIPDPTLTFI GAPPNLTTVPFFDYQARAVARVWAGKALLPTQKNMFSYTKEYKPVCYPLSFGAEPELL RCEDLVVWLNSHAEALGHTDLPPVLNHNKWMDTVWAHAVPNFRNDSDAKLAEVKERVK LRMASA PHYBLDRAFT_139186 MSSQSIQKSVSLTSYPVQTIRLTERNPLLSFNIEIGPGVSILLE VYSHDNPTQVVETEESKQKLANTLKLIIQSALKGRSLTSTPTS PHYBLDRAFT_86366 ANHAVDYVAHCLSDIVFIYPGITTDAYLGQALKSWASNTLDTPA IRNGQGELVKVVEMETRTGALTAVQGALAEANSSKTVTVLTSSQALLSMIPNLHALAI ARQPVVFHVASHYVDQDLVAHSNVDAVLAARNTGALLLASSTVQEAHDMSLVAHLLSR AVQLPVIHYFDGVSASRDIQKVNLLSYGRIAALAAAQSVEQSKKSYAEAYATAKALLA QFDYKPFDYFGDAAAETVLVTLGASSSTIATAVSRASKFLSTRVGLVSVRLYRPWSEA DLIAILPKSATRLVVLEEGDGLFAFNGPLFLDIAAAIRFSGLSVKPRLVTAQASSFHH LNASHMPLLIDQASQATFVDLQTAPFIVTLADQTREKEEKKEWQASIWDSSAETALQI VHLLHQDTTSAVQAEIVSDALHVGGPVINTRLTTAKSTAGSQVDYLGIHDTSLIREYD VLALAKPKAVVVLNGPWKHGDDIEAHLTNEFKLKATQLDIKLYTIDSARVAHELGLHA SAVHLVWEAVFGLLVQPLDLVKRLTALYRAVVLPVAPLNRPVGSDNDDDDKNKKSQIP GEEESTGGVAEISKWHKAAWNLMFKDAFQTTESARPDIHEPTYVVRLTENRRLTANSY DRNVFHLEFDTTDSNLTYSLGDALGVYGHNDYDEVRTFLEWYGLKEDDVILIGGEDGK KEARSLFQLFSQTLDFFGRPSKKFYETLAAFATDPKERDQLLFLVSPEGKEDFKKRVE ETVTYEDLLREFGSARPPVEALVQMVAPIKPRHYSIASSQKMHPGQVHLLVVAVDWET AKGKKRFGQCTRYMADMKIGTQVTVSIKSSVMKLPPLDTQPVIMAGLGTGMAPFRAFI QERSVAKAAGKEVGPVVLYFGSRNRRNEYLYGEELEAYLADGVLSHMGLAFSRDQVEK VYIQHKMKEDAKMLSDYLVNKDGHFYLCGPTWPVPDVKDALVYGLEHHTNIDAAKASA LIEEWKEKEKYILEVY PHYBLDRAFT_34944 MATKPEVAAVQPTIKPVRTTKDTVVGFAIGGLAACGAVTFTNPW EVVKTRLQLQGELVRAGTLSEASRPYHNSFQALKLVFQKEGIRGCQRGLGVAYVYQVC LNGSRLGLYEPVYTSTVNALGLKSDHALVGAGVFAGATAGVIGAILGSPLYLIKTRRQ SYSPVFRQIGFQHQMGSSVGALAEIWKKEGIRGLYRGADAAMARAGVGSAVQMPSYMF GKQLLIDRFHCADSIGTHFGASMFTGVLACLSMNPFDVISTRMYNQGVDPATGKGLLY KNPVDCLLKIVSTEGVGGLYKGFFAHFLRIGPHTMLMFIFMEQLKTVYAKHF PHYBLDRAFT_178991 MFMPQQPTLTPEEKAFLRQRTIGTVSNFFLVVLALRAAPFALEQ AQKFF PHYBLDRAFT_157169 MYEQLQRQPQPQIRNITQCLLVDSTYSDYSFIGENSPSSLSTVS SGSKNDDRSRHGSNISYQFEKYRQAMQKEIDSLQTRGRKLEASLQTVATEKTELQASV LEKELELADVRQKNNALQKTVSFFFFFFFI PHYBLDRAFT_58201 MASPEFSSPLRKYKLVFLGEQSVGKTSLITRFMYDTFDNTYQAT IGIDFLSKTMYLEDKTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDISNRDSFLN TSKWIDDVRAERGNEAIVVLVGNKTDLNEKREVTADEGEKRAKELNVMFIETSAKAGH NVKTLFRKIAQALPGIHQGTNDTKEQMQKVNLSNSNTEASGCAC PHYBLDRAFT_106839 MDDLKLQEELGKGQYGTVQKVSHQVTNVVMAMKEIRLELDETKL HQILMELDILHKSTSDYIVEFYGAFFIESCVYYCMEYMDAGSLDKLYGDGVPEDVLSK ITHSMVKGLRFLKDDLSIIHRDVKPTNVLVNLAGQVKLCDFGVSGQLDKSLAKTNIGC QSYMAPERIKAANTYTVSSDVWSLGLSLVEMAGGKYPYTYDNMFAQLKAIVDEEPPTL PEEFTPDAKDFISACLRKDPQKRPTYGELLEHPFITKYQDVDVDMAAWAQQALATRKA RDLEARQKSVAKPNVS PHYBLDRAFT_184669 MFSPVLRRSLVTAAATSAVVASSMPSTVYAEEKKLSIYDEPKPK VIIVESPTKLEEHVAYAQKYANNTIEEGKGHVDSLHQKLKNFENDVKATVRETVTDED VLPNALYVGVAALAGTIIARKHNVVVRFLTSSALAYGAAVYLLPKTTHNIGVQAERLE QRYPELQSVHHSVDQVVHEVRQEVEGVLAQLRGAVDSNASSLASQFQSGLDKVKGETS NVESQVSAKVEQGKKTFSDVKKDVESMYSTRSTPAVEETLKKSKD PHYBLDRAFT_58204 MSSIAERGAANLKTMYARMGKSIEANEKLVSSMASKVSLCHTDI TKLKVDAIVNAANETLLGGGGVDGAIHRAAGPRLVEECSTLGGCREGEAKITAGYNLP SKHVIHTVGPQSEKPDVLANCYLNSLKLLEQNNLRSIAFPCIATGVYGYDNEKAANVA LWTTRKFIQDRMTAGKDPVDKIIFDLFNQKDIAIYKDLLPVYFPAASTTIENS PHYBLDRAFT_162236 MPLAHFFISKNRKVNFEISILIRDLKNVPLVSGLYHIRWRMKHA SHTNGSTQRAPIKDHSIYWNHPISTMVQLVIDKHHVLSPCEFKLEIYQEIGSGKDAEM IGSLTVNLAEYATTGLTTRRYLLEQCKFNSTLKLSIQMTQKSDVDTEFVAPPLRKQQI FTDIPTMINKDEKQRSMVFYENKIARPSRPPPPTLKKSHSAMSLPRFCRQTEFQNDTE EQSPTDLVEQLFMGKQPDINAFS PHYBLDRAFT_139196 MGSFATLAQQRLSHLPQPCFNSLFFTSTVIPVHTLLRCLSNTVA ADFGTAYSGCSYLFGDNIKEISDITVWPKSDGFYYPKVPTAIYYESYTSKPIAWGHEA ILKANMHNSTGHLITGFKLLLDTNNESTNILPHGLTAVQVISDYLREFHAYVHKQLKR ELCTLYGTSKFRYCLTVPAIWDDKAKATMREAAILAGIVDRSDHPGRLMLTSEPEAAA LYCEKICKHFNLTHGKRFMVCDAGGGTVDLIVFQIEEYAGIKSLREITKGSGGPCGST FLDNRMRDILKRRFGSHADDNKRTIELIIKEFVSSKKPEFENEDVYFSVPAILNMGGH NMSRVGIHEGYLQVTAKQLREEVFDPVVDQVLSLISGQINQSQTKIDAIILVGGFGQS NYLSNRITDLFKSTVGSIYIPNRGEMAVTRGAVIFGVDPSRISHRILRRTYGLQTNTI FNYVLDADKRCYLVNGQVVCQDYFSVFVSKGESIDTNQCFTKQFCIYYPGILNLDMFA YDCSQETPRHVTSPGVCPVAKFAVEIPTLPNVKKGDKIGCTAKMYFGKTEIILEVVIL GYAYVFTSAFDSH PHYBLDRAFT_184670 MSILKSSPTPAMPSQNNGSQLRLRKRDRLFLGSILHFISLAYEY FRQFFYFRPLALTYTNSRPATVNRAALQYYKGKTLVLDLDETLVHSVRLGSPESGCSI NGSVKRKTIEVQSDRQSLLYEVYKRPHVDFFLKTISQWYKVVIYTASMAEYANPVIDW LDQSQTVISQRYFRQACIIQDGNFLKDISLAEPDLSKVCLVDNSPAAFGLFQENGISL PGWIANPNDECLLDLLPIL PHYBLDRAFT_129770 MGKGVLLQNFKDSSSLYRVEFKAGRTEMCYVMEGPQSPKVGDLV IVEADRGRDLGTVIADSLTPDEVLATLSETTEDDKFLHPKDVHVKRLYRIATPNEINT LSLKEQDEAKALAVCQTKLKQKNMAMEVVNAEYQWDRRKLTFYFVAEQRIDFRELVRE LFKIYKTRIWMCAVKTTESNE PHYBLDRAFT_129772 MLYRKFEAPRHGHLGFSPRKRTRSHRGRVKAYPKDDSKKPVHLT AFLGYKAGMTHIVRDLERPGSKMNKKEIVEAVTVIEAPPMVIIGVVGYVETPRGLRSL TTVWAEHLSEEAKRRFYKNWYRSKKKAFTKYAKKYSEGAKDVTRELERIKKYCSVVRV IAHTQVGKARLGQKKAHLSEIQLNGGNVSQKVDWAREHFEKEVTVGSIFEQDEMIDVI GTTKGHGFEGVTHRWGTKKLPRKTHRGLRKVACIGAWHPSRVMYSVPRAGQRGYHRRT EMNKKIYRIATGSDKKSGSTEYDVTEKVITPMGGFPHYGEVNEDFVILKGSVSGAKKR VLTLRKSLTVHTKRSALEKVTLKFIDTSSKFGHGRFQTPAEKAQFLGPLKKDL PHYBLDRAFT_58210 MIAQRIMLPDGQSLADFDNRHSTTRVGSNPRRESLYQSSRQPGN ISNQRTSVSISQSVSDGESSGLWVSHPPAQNNTVLQLKSSLIEQATARLRRRLLEEDL LGVVNQVDDLQSQLDTLRAEAAATLDAVKKTKDQPADDSGLDSNMSGQDYQMKIFESR AEMFKTSLTILKTNQHVKDSDEPIKMTPSTSFTSTLSKMSSLFSRAKRRLSVAASQSE AEDDVPRMQQPAEEETMIACDPMAADDEAQQRRHRRRRRVRHCRERYLELMQSYDQDL EQSESQANEQRLTEDIASESLSFSGHASQDIEAEYLRLLAANVPATPYSDSSSIVSDS LLDQIGQNYPKSYPVALSVVSSTLTDVTPSSSLLLPLPLPSPLPLTIPPPISMAPFLP FTETTDPNLDHWLSEQEAQHIYSSPLNIYPPTPNALNNNYSNNNNNNNNNNIQAPERN AFDETLSYLDHPSFNAYDINLLEDLYEIVNDPTLSPTPEEPLKDKPFFDQSHVLQWLQ LLLPSWLVLTAQAGWKWYKFFNVLGLALIISLFNGPDEFNSPSFKSDQ PHYBLDRAFT_162242 MVKKKDDFIQSAVKPEMWGNPTSDNSSSNFKQKPIVPMSQYSAL SSALLLGIYMCVCDVLKADFLVFPELKLPVLSVGSQMPVQKLKGQKCPKDGHSNAKKK KCTSDLGKCFLEIQSNKGSVSESP PHYBLDRAFT_86317 RESGPRSQHTAWESCLYKLDSVIDNMSKKGAWMNLIKRPIECIP AVILGLLLNLLDAISYGMITFPLNNPIFAAFGPDGISMFFVSCIVSQLVYSCGGSAFP GGNGSDSLYSIVVPFLHIIAEKIVETVGADNNQRVISTTVVAFALSSIMTGLAFFLLG ALKLGSLIGFFPRHILVGTIGGVGWFLVATGIEVSGRLDENLEYTIPMFKKIFFDTHV LSLWASALGAALLLRAIQHRITSPLVVPGFFIVLPIVFFLVVFLGGFDVNEVRDAGWI FPLVESNLPFWHFYTYYDFTEVDWAAVAQTVPAMLALTFFGVLHVPINVPALGVSTNR DGIDVNRELVAHGVSNALSGCLGTVQNYLVYTNSLLFIRTGGDSRLAGLMLAAATTTL WMVGPWIVGYIPVMVVGSLIFHLGLDLLKEALIDTWHAVHYLEYLTICAIVACMAVLG FVEGILVGIIMACIFFVLQNSRRTETIRATYTGQLMRSTVRRLYRQQKFLGEVGGQIQ VVKIQGYLFFGTINQVESAIRGMLDESVWKENPIRFLVLDLQLVQGLDFSAAEAFVRI RRLLRSRQVFMILCNVARQSDVEKALVKSGMWDDENNVDSERDLVCFEHLNEALEWCE NILLQSYFAKRPQHRLPGTLFYFYSFVPVSTSHNDNTMQVDHSPSNASPRHNMLKQAV QHVFKDWHGPHTHLNMSQPTLILVQALGELSDEALPVEFFHKLGTYFVKTTYANGQAV WNEGDVCDNLMVLEQGSLRSLMRVSPHQEVTVETILPGTVVGELGFITSSQSRTRALM ADQDSTLWTLTRESFETMLKDDPQMANQLITLTLYFSSDRLDIMTRY PHYBLDRAFT_139202 MIYKALKKPKEDQALDILKRVASQVEPILTKRAWKVTHLQEFFP SNPNLLGTNVNRGHTINLRLRLHYDDKLFLDYEDILGTMLHELVHIVRAPHDATFYKM LEELNQELDELIRTGYTGQGFYSMGNRVGTGQNPLSHQVKYRAATAAEKRRRLQGIML PVGGICLGGIQHQDVSPSIMAARAAEKRKQDQVESTKRKRDQNHPVKKKIMIDLTVEW SCPTCTFQNYEKVFACEMCLSIRPPDDVVPDESSTSIG PHYBLDRAFT_184674 MADTRKRTRDDYYGSDDDELILADSQDDDPEEVVGFDTFFNKKQ STHIAPLLDTENPDRPQLFKPVKKTADPSVTWAIPDTCLEVEELLYPDSPEDSNRLLD AMDIDDDDLENNFRFEDKTASETPKRNEFSGQTLGLTLKPINSTPSIQQNEYSKPPTS GSYIRATCPRTGKSLYFPKVVRATRRSTTVESIKKITGASNLLEKPIWKMRENISRDY QMRLQQLEREEREEIAEASQRLKKKKKKAHRLDTYDLWVEKYKPRGFLDLLGDQRVNR EVLAWVKQWDFCVFNRMPPQETQRDKLIKKYKTTFGNTPRFGNKMNETEKPKDSLLRP ERKIMLISGPPGFGKTTLAHVIGKHTGYNIIEINASDDRTGEVVRSKIKSALEMQAII RTKDATSSTEQTMKMQQKPNMLIIDEIDGASSGGGSESFIKELVNLATTSLTKDENPG SRKGKGKQSGPLLRPIICICNDPYAAVLRPLRAIAQNVVFRPIPSLTIAKRLQEICES EGLQSDLRTLCMLTDTTNGDIRSCLNTLQFIRGKNTVFSRDMMDKSGFGQKDMGKSLF SVWEDLFSAPTGAKLRANSEIETERYIGRIIRSVATNGEYEKIMQGCFEAYPNMQFHD VALKKCLKMSEWLDFYDTVNHYVNDQHEYGLYGYMAYPAVNFHRFFAGSTVQKHRVEY PRMDYEAFVAKKTYENLFSVFMAGIHPSQQRFMNRNSVIMDLIPRLMRIISPEIRPVN KQVIRPAEKIVLAKVTDMMIEYGLTFVQDKTEEGHLVYKLDPPVEQMMNFVGASSKSV LPNKYAVRQLISQEIEIELVRRRTEAADIRAGGPKLRKNFRTVDASAVVEKLAEVVAE KIPTDFFGRPIIPKQTQEDGNEMQVDEPKVPIVAYRYHEGFSNAVKKPMTVQMFL PHYBLDRAFT_178999 MPKDNHLQKSSRITKPRSVPKYAHYRLDPVVIRKTGGLLGNLFA QDWVNPGQIVRNLPEHRIRSTGPKDCLQLLSNCFEEIANTSSIPKPVATFASRCTLYL TTKQFERQFIDSYRGMRVELNESEYVKKRKNIIEKSKILSVDVTESCLDTLREDIKHD MEATNEACSTKNLRKDTDLDSKKEGLCSEKKICNEELKEVEEDWWLNETSSGCTESNF IKRPSRDQFSPHKPKWTHAEVYRLQSSCIIAMNSTPTSLLPPDIINILESTRSDQFSC AALSKFPTLFNILKEILQYEQEGFPTKLWIEFNKASHWNTQERNLFQFICLTLTDFWG TCLQNIPQGDGERTFWCERSDYMVPLGRSDKFNRCEKMSNSHMASSLVPGVWQQGERR YMDGLGQTASQEEKIFMESSGGTSTENIDHVLGDSLKLVRLCTDALRAKIMDNRDASF STAKKQEVYGVQCIVKTLTLTQASLYDKDKWLFLELRSAKLPSRWQEMPMWIKVFELM AQLYIGLLGQQVVEKTFQAECNQLLPVALTDSVRNMLRTSSLLG PHYBLDRAFT_139205 MLLLQGSKHLVVAAIPFASYLLEAQPIGLELLAGVKRAHPLSKH RCLLWWAAERRLLLQAPNYLVGAAIPLASYLLEAQPIGLG PHYBLDRAFT_154082 MLGAVQPLSIAVLGAFLKKLQVAAENNENILDATVGQILIFAYL GAFITFAAYTANTLWVISGENQARRIRQLYVHAIIRQDMAWFDKAGEGSLNTRLTVDT QMIQEGISEKFGLYVTSIAQCFVGFCASFAIGWRLAIVMLAPMPLIVGVSIISGSLTT KYTLKTQNSYAEAGSIAEQVFSGVRTVYSYSLQGRFSDLYNLKLDKAMQTGIKRGFVS SIGLGSSMFILFATYSLSFWYGAKLVFDGVIGAAFVLMVFFCMIMGAMSITQIPPSLA VISAARGAAFKIFMTIERVPDIDSDSTEGSKPHKVIGNLEFRNVQFTYPTRPDVPILK SLNLKIRSGQTVAFVGPSGSGKSTSIQLIQRFYDPLGGQVFLDGQDLKSYNVAWLRSQ IGVVSQEPVLFNMSIFQNLLMGYSREVPKEEVIDACKQANCHSFISELPQGYNTLVGE HGGMLSGGQKQRIAIARAIIKNPSILLLDEATSALDTQSERLVQKALDAASANRTTII IAHRLSTICNADLIVVMQHGDLIEQGTHNQLLSLGGVYSELVAKQQIETSRAEDAVED DDKDDIIVHSNISELNNEYHSNKDIDVQFKRSQSMRLSKFNTATSVDAFEMKRLKEKG IKEASKQQSAPVSRVIRMMRPEWHLMAAGVCGSAIAGAVFPCFALVFSFTISAITFHG KEASSPFQGTNLYSFIFLVIGIAAFFGFAAQTFFFELSGERFTKRFRGEIFQTLMRQD IGFYDSPDNSLGTLTSKLAIDAKNVNEMVTKSWGDITQIITTGITGFAIAFSQSWLLT LVVLCITPFIMGSTAYEARINRGFEDKSKKANEQSGEVAGEAIKEIRTVVALNKQAYF EDKYYKATEYPHTLARRKAWTSSIGFGLQQGIVLFTYAVAFYTGIRLMSEGKIDFTNM FGTTMTIITTAQVIGRASVFTSIFAKAKYSAIAAFEIIDRVPSIDPELEGIEPHSSQI SGDIAFENITFRYPARPDISIFNGDFNFTGKAGHTIALVGPSGCGKSTTIGMLQRWYD PISGTVRLDESNVNKYSVGNLRSHMALVGQEPVLFDMTIGENIRFGVDSNKSVTQDMV EDACRAANIHKFIISLPLGYDTRVGDKGSQLSGGQKQRISIARALIRKPRVLLLDEAT SALDSESEKLVQTAIDNILEEGGRTTITIAHRLSTIQRADLICVIKGGRVVEQGTHWE LLKLNGVYNSLVRQQSLNAN PHYBLDRAFT_75427 MLSSSIKQALRRISPTQSARLHTSRTLFSPLTPLTCVKHPELKR SSVYKELQEEDVDYFRTVLSPNNILYHPQPETPEQSILAHHNVDWFNLYRGTSSLCLF PTSTDQVSRILRYCNDNQLAVVPQGGNTGVSGGAVPVFDEIIVNMSKMNTVRSFDSIS GVAVVDAGVILEVLDQYLEPKGHMVPLDLGAKGSCQLGGNVSTNAGGLRLMRYGNLHG NVLGLEVVLADGTILHNLSTLRKDNTGYDLKQMFIGAEGTLGLVTGVALSTPRRAKST HVAMVGLTSFEHVQKAFVMAKEDLSEILSAFEFWDHDSVGMVKQEMMQDTAYPIQGKH AFYALLETQGSRDEHDEEKLNDYLERLMESDIAADGVIAQDNTQVKTLWSWREKIPES MAKAGVAMTYDVSMEVPLLYKMVEDAKKHFGEKGLLGPGKLYSNLLGFGHVGDGNLHI MANVNNFGHKAQADMDEFVFKWAIKHNGSITAEHGVGISKVGYMNDCKSDIQLSLMRA IKTVLDPKGIMNPYKVIPEKSNKQ PHYBLDRAFT_58217 MAEPSGSAWYRARRSRISVACVVALTLFIDMLVYGVVIPCLPML VIDRLKGNSTMVGFLFGCYAFGLLLATPVFAILSDKYQNRRYPMMAGMLGLVVSTLAF AIADTYVLLVLARVAQGVAGGASWTIGLGMLADVFPTKKLGVVMGTVLTAHTVGFAIG PAAGGFLYEYGGFSAPFTFCAGFAVINFFAIVWIAEPLHKKHSEKTSVKVSRAQEDIE AEGSFTNNEETPLLPQAKKPKVTMWLLLKNWRIMSCLLCVVVSASVFAGIEPALPIHL QLTYNASASTIGLIFVTMVVPAFLAPLIGHLSDKIGRQVISATGMILMAVASPLVALH FESIYMIIPPLMIFGLSSPVTLTPVLPEMGETVHDMGGAAYAQVYALYNMAYSIGMFI GPVLAGAVMASSGFETLMLAFGFSLLICSPVMMDWFAIWRAIKSFVRRD PHYBLDRAFT_179001 MVVGTCLFPSKCNASRNTQSLLVLLRRSRPLSTQPALRTRARPV KSPCLIQPLLRSHRPCWIPQRLLSTYTTSIESPLDTNNEREPLEKLDFPIDLHGLFTK ELAQQESTDKTTEQPLKVTRKKPPLPDIPALYNHINNNDLASALSLVLNPNPNAPVYP REDQRKVYERISKLSVDEILALFEPYSQYLSTKDKEGTGARRRIQRGLKEATSFWKID KIKEILHKSTEERIQAYLFNILMQAYRESLELDLLLNIPSNLTSESNTRFCNTAMDTA LKQKQLQHVDAIFEKMKSLNCIPDTASFNIWIRAKLVTLDIEGATEIYENMILRKIQP TIATYNTFLSYVCQNKKWSILDKWVDRLEENSMPNSITLRIILAAVTEHEEPRVVSAF DRIAARIPPSKDPEYVLNSSIAVLLRLKKINTALGLLRTMFESERDLSVYAYNLLIHA LTLKGDMSSARKLLYSMENNNYDRSIPEPDLVSFTTLIHGYIRGAPSPELIDMQSILD IYRHMLDRKIYPNQVLRSVLLYGLLKSGSVNVSAAQSMFDLMLVNDKAVKTSAAESSH TILYNIMIDGYFIHHINNKSKIGRSIPHEPYRLLEMATKTKVPLSTSTLNIMVRGLGV FNSEWIEAEKMVEWFESKGVMMDERTTWYFVYMAYRRGHLNKARKWLEKYESRGCVVH GDGLVHIKTLLIK PHYBLDRAFT_179002 MSRLILQSKPLFRSLVRLPTGRYTKIRPTYAFSTTTSWKGNNTT RQVTGECAHTTPNDPGLKKRKADMIEFLYQNHQRPVPTGKKDIVLTNCPHCIKIRKNS FAAYLDLAKSTYNCKTCGTRGSWQEYTRTLKKKLTSNQTEPQLISASNVFGSHHSQFS RPIEQVEAYPERLAQDTDVLEWLHTTYKIKPEVLRTYGVGLANYVDPDTRLREIQENK EVQESLCLTFPQTTLTYNPEAPEDESSPEGTFKLDTIRIKASSLDTPSETLAYDPPVP NRSISAGLFGYKTVTFESDSVIITRRELDAMAIFQETGIPSVSLPSSNYQLEESVLPL LERFSKLYVWLDDDVDGQLAADRFANKLGDSRCMIVSTRINSPHGPVNAHGALLEGED LRSILGSARQIKHDEIMDFSDLREEVYSEIMNPEQTRGVQSKDLPGWNSLLKGHRSGE LTILTGPTGSGKTTIISQLSLDYCQSGVPTLWGSFEILNKRLAKRMLYQYSGKDLSTA PQEIDAWANKFEQLPLYFLKFFSSTAIKDVLKACSHAVYAHDVRHIILDNLQFMLSQQ GRSSLDRWELQDEAIAELRRFATQQDVHITLVVHPRKDIGEQLDINSVFGSAKVTQEA DNVVIIQKPLKADGYRTLDVKKNRYDGTLGAMPYVFMKDSLKIRCLNDKETAKPKRIS KPRYPKSTYPSAVNRADHAEYPLSTEEIAGQIY PHYBLDRAFT_15291 LPSMSDVERKRQILNFATFARKQFLKLSVLVKWAENANDIQMCQ NIMAHLANQNKIFQDTSDYLHKIHVELPAARTRNYDVKTAVDVLTTGTYQRMPTKIKD MVPPACLTDEEVLETFQKMNDVIRVRLLTTEVLPSPMLDHRIENGRIYFSIENEFKVS LTLMGPSNARQWWIVSLDVLVQTAEGGGGAAGERIYIE PHYBLDRAFT_179003 MSYPDVKQSTFNDLNMANGLFGTLPQKTAPIIPDHCVDNTRLVP SSSHSNAQKIDWEHAYTKDMLEKAAKNECSLLNMSMTDGKCRLSGPRPSMTLWDERPY DGLDLPQKSSISSKEESKVFFRSKEPEFVPEVQNRNKGKMQPSSQNISHQPHRITFTN SQACETNRIHRMHDTVRPPPINTSAIVYGALISVVAQEFQQRIIQGDRVKNGIEYKNA FDGKEAVDKLALILNTTDRRLALGIGRALGAQRFFHDVNYESRLVDSTLEIYQFDDYV LHYANKTDGHISGNGSVITSPGTMTTASSIFSESLRDDESVTIFHDAQMDLETTEFPT GVFTGLTHCFVPTCDLNQTNNNRHYSVDTPLTISTTHKYLQTEAQQLWTDNVSPDIRA SVSNSERKRQETIFELIYTEENFSSDLDYMNELWIEPLRDGNVIPASRRDTFIDKVFS NLLSIRNISRKLVNVLLERQNEYPIVSQIGDIMLKFAVDFEPFIYYGARQHEAKFVFE QERYINPALRIFAETTERNPSSKKLELNGYLTKPTTRLGRYTLLLNEILKHTPEGHPD RIQIPNAVDTIRQFLGRVNVETGKAKNKFDLERIHKHLTFKNKADAMDLKLLEEDRHI IKQGVLRKTSNLESTEYQAILLDNYLVISKIKIVHGRECHIVRKRPIPLELLEVSVPQ SCALTHRSGSILSYIPTVESQRSSAVIGSLRLAGNTSITASRIGHPIVFKRMGRRMSE TFTLYAPSVATRKPWLEHIQSQREIKNQRQPVFDIHPIIPERTFYVNSKINHIVTFKN GQFYVLATDNGVYLGRVVSQSPPRQVLNLERVTQVNVLESDKLLMVLADKVLWEYPLA VLSDTSKVQLPGLKLETQVPFFHVGYCLDKTLICVPQVSPLSTTITLYEPSSSPEKKS GSFLGIFGKTKKVSVKTRLRIFRKTYVPSEAWALELTPNNLLITCKRGIVIVDMHTNK IDCEFRSPVFNIFSFVSGTDYNPHALDLLDPNDKNLNFITSREKDESRLNIGIDVKHI SLFCNHLKEYIVCYDEYAFYIDKKGRRKYPNFLIEWEGTPDTFAYSYPYLIAFEPSFI EIRNIITGNLEQIIRGKNFLCLSNGHKTEVPFIFGVMTDPVKDAYHSIFQLKLVSEDK TPPLL PHYBLDRAFT_157174 MPLNSLFCIPLPPASSDPADHYRTHFDSLARKILLDYDLYIGDK RYDILEVEMYLNTSELGHEDPHCHNHPLQKTFSNWYFHRIGKTDGFKGGSRKGVDITM GNPDNDTKGGMIIRAIKEQETDRLVEGPSLVVDEILQVHGHTSLKAFVSEKWKDKPAN CWATDTCLYLVKKENQDTSMQAPAKRRKLDRPLLMGTIYASCRVGLRTWKANTPYDKC LWFVGIPYRYVQQPWLLKKGRIWTVLEMLDKNMAEKDIASLNNISINLVKKYKEAYEK GQSAPLEALRHIMTKDILSDSLWRIEAMSAIRWWGRQNEKGRAQAISHIPIR PHYBLDRAFT_179005 MTEEDFLQKLWKVTNELASQHQTNQDFAGSLSSQLQDLKQRAVS TSNNGQEQAESTTTVPIDRIHSPLPSHVANDLSTSERQPKDLISDNYKLMQKYQAVLN RNQYLESECSNLQSLVKDYETNIEAVTIKLRSHANATTEGQSKLRREYEALLDAEKGT TAALFIENTTLQSRLHKLASMLRSLHDDGVNDEREALITQLTAENQGLRDMLHLPLIP QAEIPDQTSQLMKDMGTKEERVRVVSESGISGPSNVVEEYFVNDDE PHYBLDRAFT_176627 MSSLKEINPISTNTENSRFHPLDQLTGDEIMTVAEIVRKGVPNL NIVFNTITLKEPKKELMMSYLGWDTTKPRITKIEREALVVALEKPSMKCYEALVSLDN GQLKRFEHIPDVQPILTMDEMFEVEELVAKDPRVQAECRELGIEDMSCVFSDPWAIAR HVTYKGRSKRMMQALMYMRTCEDDNQYAHPLDFVPIIDLGLMKVVDIERIKPRDSKFT RPTIPLEKHNFLPEFVGEENFRKDIKPIIVQQPEGVSFKVKGTEIDWQKWNMKISMNY REGLVIHNVSYQDGAEKRPLFYRISLSEMVVPYADPFTPHNRKHAFDVGEYGLGLCTN TLTLGCDCLGSIYYFDATFNDHNGKPFHVPNAICLHEEDAGILFKHTDYRNGRAHAVR SRRLVISQIVTVANYDYGLYYYFYQDGSFQYEVKATGELNTHVLAEDETPGPYGVMVA PQINAQYHQHFFTMRIDPMVDGANNSICKVDTQRVPHATGHPNNMYGNGFYSESTILK DTAEGQQTANYETARFWKIINENRIHPYSKGPVGWKIVSNHHTPLFAQDDSVVGQRAG FAKKALWVTPYNENQIFAGGFYCNQSDGDDNVEHWVKDVQSIQNKDIVLWYTFGVTHL PRVEDFPVMPVEMCGFSMKPCNFFMANPGIDVPPTNKGINHSKLASKEKENKAACCTK L PHYBLDRAFT_162257 MSLNSFLIILWIHKAKGFDYRWLKRTKEKERLPIHSECCSKVSD KVLVLSYMQLNSDSLAQKSYADLDRPRPSLEPQTFLIGSISGVVKHIKGWEASCLVIL KAHAETYSIRLLLHVALRKMAFKGSRVIIMYNILRNEQIPQILAFIVGAFTQSPVEGV V PHYBLDRAFT_58226 MSNIVMHPSTITGVMSDTYISCTKRMAGGLSNIYFIFRITFDNL FSSDSKAHEFPLLFYVHCTDIIVLIYLVKSQDFIVNLALSFLENKNTMPHLGLVTKGF PHYBLDRAFT_139217 MTVCTDLEPLFLREAHLSAMQLQYKQIKCRLNDTSLGPQQPLNQ TRSLKEIFGLDVSHFGRWTSPVDNTSSSESSQSNLQAQILGYHMCPTNDFGPTTSLLY F PHYBLDRAFT_139219 MLRLILLSLSIISVSGYTPAGRTSQVCQFVRNAIYCFGGGHNNN GLFTADYPEHLYLDLSKSLELDNFAYDAWKDISISSDGFELEKSCNAASTILPDDRYI IFGGGNCMNYVTLSNNTVVFDAKTQKWTGYNQTAVQQNYEGFGVYLNRTNKALFWGGR STTGVQNTNINPIFYSFDATNPSVFFTFTTPLGDGMKMPGSGQSVLGPDEKTIYHFGG WEGFSDPAAANFTTYASSFRTISLYNTDTSIWSTVTVVPDIIPSNRTMMTATLLPNST SFLIYGGVTHLSAAITYPCEDYAYLFDTLSNSWSVANISSENGAGPRFGHSAVLHPNG DLFIIFGVDRSNTNKNDYHILDTSNIQSFTWKTAFTPNSFLESPSTNSTKSTGSGSST TTGSKSGTTKDGISGGAIAGIVVGCVAAIAIAGILFWFLRRKEADKKDTIYEEVNVSS IKPPLNPTSGIQTENIDIERRINESNILVVDNNKPDIPRIMMEPVKPQGD PHYBLDRAFT_162260 MFNFYFRFHTVCLLTFVKYCDAVYYLVYRHRLASAIRTSHTFVN LARRSFSLHCCKAKQTSCQQVAVGGTSISLRSAFLDVSGLSKARTNGQGRQCVEILVE QFFRIAANVSLNEPVLCVAFYRALNKEIKDE PHYBLDRAFT_139221 MKLSKDVRAVHVAFSLPLNPPVCELLTTSENHIIPPFKKRKIPT RNKDIPLSIYVDDGRELDGGAITRETLSVKLFVGKHFELTSFHVLSISTYPIILGTNW RARDDGFLL PHYBLDRAFT_58230 MRTSLTSIIFLLLLNGLLRTAVAFRPKARYAGGCALLDGALYCY GGAMYIAGQGHPTSLAEHFSLDLRHSFGIPESLSKWKSLNNLTSFLLEPNSYFSIVPQ PSSQSYVISGGIGYNNGSSLINPTTVYNASTDTWTSVNTTGIIQRFGQTGVLQLDSGI LFWGGLGGYLTGLSNRGITTDTYSPKLLSPSVSIADCDFRNILSLIVIYLTINQCTKF DEWSTMSTSAFPLGAYARVYGSATMGRDGRSIFYIGGQTVQSAVVNTTSTIVGQGLGT VSMNDILIYDTVDASWLVKQAIGPTPSPRTLHTAVLGLTDRVDLIFIVPDTDMVLIYG GLGINSSFPVKDYVYQLDTVQLLWTQIPLPVTSGAGPRYGHSAVLYGNSSMFILFGVN EAGIPTDDFYVLELEDMKWTDYFKSDWTPHYQERNPNLVPVPLSGGDKHTTLGGVFIS CGTIIGIVATAIVLLAARRKNAKEDSLYASLNAADERDERDIRRQGAIRISPRNSTYT LARSYRTSLPTDISRPIDSPGIYNYDETMQSQGSSATVYESIGTPPKGKSRQSDD PHYBLDRAFT_184683 MTLMIISTSRIYMHAIDDNPNKDGCTISKDTITGSGRMYRAILS SMCKVKGKKREREKGLIYFYGSFSHLSCCLFSMGNTDSMPISDAVTSSLSPETQKRLK KAAPYSAAFFELGTLPVNPPRTVRPSMNSFFEAAAMTPSPAPTSIRPSSSRKASSANS STFSRSTTTSSNSKASNTLSTDDLSLTPNTPHRSASQSTPMSAINEDNDAINNSNNDL RSLDASQRIESSQIEGRRYINQPKTTYVLPFDDDESDRLIVMHFLLKHAFEGNFMAPV TSVLQMGYLEKQRPSVLDIGCGPGTWVLEMATEYPNADFHGIDLCPMFPTAIKPNNAH FQQHDIFSGPLPFKDNSFDYVYMRAMLICMTRDNLTHTLTEMSRILKPGGYFEILDVE YRIQRPGPISETLINQIFHNNMQTHNIDVHLSHQLSTFAMTKPSGSGFVDIQQQRVAI PLGWGGQLGELHAQNIGCLLRSLSPSARRSSRVAVSPLSSPPSTPTSLSPFQEINPES IKEVLDECQKYQSHLNWYVCFGHKSVLSGTSLSPSVSNSSNQNNSTTSSMMNSSMHHH HSGNFGYSLATPPESHESLEDGAWEVINDFVHGFTD PHYBLDRAFT_162263 MNTPPPERSKPISSSPSLNTYEAPHTRPRRHTVARPESALGLLS GPAADDDEDQQETFDRISDILSTLIQEANEAVHGIESERARLIKSNNSIVPSKLPRPK RTRTPSFHARDLPEYSIPISPISPAPSPIPGTFSKRHSRSMSARPLSCPALAVRRSAT PRLSKRISSPLIQQQDPLAESFKRLDSSMALVDSLSRDLASPVTQQPQSSLPLDPRFS GLLLLPLLHIPHALISMAFDSLPSQRHSSPTSLTSMLTWAFFFVLANLMVDRLVVSTA APILWLSVRTRRLSLPGSFQPSTFPSILPPTSTSTSTSISASASRSRSLAAPSTSSFI SSSSTTTNISSDCHCDTERTRKRRMSRRPSHTISTKTHKKTYSVQGPLPSPIETHVAI RRTTPQTSWSVSTEPKPRITRRCSF PHYBLDRAFT_14343 MKRQKARTQNDGQIDLVDLKEQCDEYESRLVSRIVDPSKVQCTF KDVRAPPLTIETLQTLISLPLVRPDLFQHGILKRNFISGVLLFGPPGTGKTMLAKAVA KESGSRMLEIQSSDIYDMYVGEGEKNVKAIFSLARKLAPCVVFIDEVDSIMRKRRSDT NSGLHREIINQFMVEWDGLSSDNQGVMVMAATNRPFDLDDAVLRRMPRRVLVDLPKED DRADILRMLLQDEQHDVSLAELAKRTEHYSGSDLKNMCVTAALKAVQQEVLTKEKQVL GWSHFEEALKMVPASSSEKMESVVEIREWAAVYGDGDKKKKRPNIGFA PHYBLDRAFT_100646 LAADYNDLLKELSSHKMTSVGCYSIGETIGTGAYGKVKLGIHKL TGQQVAIKKIDKQHAPLMAREIHHHRQLRHPSIVTLYEVISTESTIHLISEYCPNGEL FDALTSSGRFSEYRAQKMFNQITSAVRACHQQGIVHRDLKLENILLDADNNAKLCDFG FARYAENNQFLETFCGSLAYSAPEVIMCQKYTGPATDVWSLGVILFTLLAGELPFDDD SEIIIQRKIRNVDYEIPSYFSPEAADLIQRLLQLDPLERPTIDQVANHPWL PHYBLDRAFT_179009 MSALVYTPKEDLIPIVNNLRQQFNTGITKNITFRKQQLKNLIRF AEENSEAIEAALWKDLRKHKMECGVGEISPIVDDCHFLIKNLDKFSSPTYTKKRFLMN AADKTYIRKEAKGVVLVIGAWNYPINLLLMPVVGAIAAGNCVLIKPSEVSQHTAELIA RVLPKYLDERVYTVVNGGVDETTILLEQKFDHIFYTGNGMVGKIVMTAAAKHLTPVTL ELGGKSPAIIAPDADLAVSVNRLIWGKFFNNGQTCVAPDYVLITKDRVEPFIEAVRKT LIEYYGDEPQKSGSYGRIVSNRQFDRLKGLLDHFDQKSIVIGGQTDRDDLFIAPTIIS PVSPNDAHIMQQEIFGPILPIIPVEDMDEAIEVVNTRDHPLAMYIFSSKASTYNKILD RTSSGGVLVNDTLMHLQELSLPFGGVGPSGTGGYHGKASFDIFTHERSTMVKSAAMES LNSTRYPPYTNDKYKLLSFMVYGFPASIGAKIKTFFAVLVVSYRIFLGKESE PHYBLDRAFT_58237 MSCKKLYSRWACDFLYEDGQGHVADENGVEPMDVVIGEELFAIE TTSSRTQFLMNNPLEGLNPVMQQATLYAQRWDKRYYEDPKNIFEKKNKPGRRCILGEE HKQFLLNYIDENHSAAVNEVVESLKQSFEGLNVSRSTVYSFITTRFNLSIKQAHGRKL TRILYQIVFFLDESAFYVNLKRGMAWSKKGTPIIVTVPTMKANAASVLSTISANDLTH VSLRVPKRIKKRYIGRAANGYNTETLTGHVKETKLNN PHYBLDRAFT_139229 MQDCSHGGQFQIYHIAQKNRLHHLGTKVLMDTVDQLVARECSIR LKVFILVFGDRFGQALPVTSGAGRS PHYBLDRAFT_58238 MSIWLIKETNLPFLSQLGSIDQLLAEVGRSFFVDSEHYLILMES TDNLTRKGRVLYTRSKRISMISSFEIVYREDKPNSLEEYQTIVSAQLSDLTIQTLVYG TMN PHYBLDRAFT_105970 MAPQHHKVTIIGSGPAGHTAAIYLARANLAPTMFEGMMANGFAP GGQLTTTTDVENFPGFPNGVMGGELMDKMREQSVRCGTVIETETITKLDCSSRPFKIW REGTETNSASEPSDTSDAVVIATGASAKRMNLPGEDKYWQSGISACAVCDGAVPIFRN KPLVVIGGGDSAAEEAIYLTKYGSQVHVLVRRDKLRASKVMAARLLKHPKVIVHFNTS PTGALGDGSLLTAVTTKNTLTGEEGQIEANGLFYAIGHVPATELIKGQVDLDNDGYIK VIPGSSETNIPGLFAAGDVQDKRYRQAVTSAGSGCMAALDAERFLSELEESQSETNTG SQSQLDLDMKFKPKSNL PHYBLDRAFT_154088 MMNLSIAGSTSNNDQLLDHSHLKPGSKASLLSYNQTINMYRENA KKTNSPDIQCDFAIFMVEAAKSIVDDDTTRSEYLAEAEKLLKQLSARGHAESQYYLGN LYASGLLSKKGKNDFDKAFPLFVQASKHSHADAAFRTAKCYEDSLGCRKDSSKALQFY RKAAALSHPGAMYRLGLAEINGELGISKNPRDGVKWLKRAEEGATSEYPHAIHELGQL HEKGIPNIVFVDLDYAVSLYAQGAELGYAPSAFRLGECYEYGKLNCKQDPALSIHYYT IAAQQGHREACFALTAWYLVGSPHVLPQSDEEAYLWAKRAAEKELPKAEYAVGYFTES GIGTQKNPVEAMEWYKKAAEHGDKRAIQRLQGKPSDQKTSKKKDGKDEDCTIM PHYBLDRAFT_58241 MINRLKFNSKKKEVMQRQDKKRQDRAGQEKQKAGKGKNQKGDAE DGGVIRGKRLKIKRFYLSSLVQHSLVCTERAIDGVLFKAKPAEIYSNIRVFRCYRRIR TNEDKDKEKFIKGLIVHPNIIIGISIGISISISISIIYIYLYI PHYBLDRAFT_121036 MARSSATNLESMYDSLVLEETQSPSPYERVIKRDLSRTFPHIEM FKADGGEGQQAMGRLLKAYSVYDAHVGYCQGLAFLVGPLLMVMPEKQAFCVFVRLMET YDMRTMFTLNMEGLHLRLHQFQTLLSQRCPRLDAHLTQHSIHPAMYASQWYLTLFAYS LPLPLVLRIYDLALAEGAVETITRVAIALMVKNEEHLLDIDDFEELMIYL PHYBLDRAFT_106919 MSVKAEAPAAAAAAPAADAAKKVDKKAAKKPKAAKATGDSEYPL EYEPKPEYLAHRIAMFDRLKAKSDADIKLKPRVPITITLPDGTVKEGTAWETTPMNIA AGIAKSLSERVVISKVNGELWDLERPIEESCKLELIDFENPEGKRVFWHSSAHMMGEA CERHYGCHLCIGPPLEDGFYYEMGVKDRAVTQQDYASLEKLVGTITKEKQPFERLVMT KEELLEMFKHNPYKVHIIKDKIPDNTSTTVYRCGPLIDLCLGPHLPHTGRVKALTITK NSSSYFLGDAKNDSLQRIYGISFPDKKQMTEYKKFLEEASKRDHRKIGKEQELFFFHE LSPGSAFMLPHGTRVYNALMDMIKGEYTQRGFTEVITPNMFNLKLWNQSGHAAKYKEN MFCFEVDKEEFALKPMNCPGHCLMFGHKERSYRELPIRLADFGVLHRNEFSGALSGLT RVRRFQQDDAHIFCRQDQIAQEMASCFDFLHHVYGIFGFDFHLKLSTRPENFIGEISV WDNAEKTLEASLNEFANKHGATWELNPGDGAFYGPKVDIVISDALRRKHQCATIQLDF QLPERFKLEFRTDNTETELNFARPVIIHRAILGSVERMMGILIEHFAGKFPFWLSPRQ VNVIPVAAAFFDYAQEIVDRLSSLGIYADADLSDNTLNKKVRNSELAQYNFIFVVGAE EANTRSVNVRNRDDVGTKAKGQTIPLDKVIESVVNLKKNKTLENKI PHYBLDRAFT_58245 MHHYDAPHSHGAIDSNPSFTPSFNDSNNDNRLPSVADPHSADVY RDHPMLATQPKTSCEPIGYDEREDRIYELIVCQQPLHARMCGFGEKDRRPIDPPPIVQ LVVQQGGSRGPVDVQTLQAPFFVLHVTLWSDDRKEERNIISNPPKCTRVLMGSLVSSP SLLKNTEGEQGLYFAFPDLSIRTEGRYTLRFSLMKLVSSDFQTNAKSKIIAQVFSDPF TVYSAKKFPGMTESTELSKTFAKQGLKIPIRNDVRTKRTD PHYBLDRAFT_179014 MSNPRSTSHQRRASIQLTNVYPEKSPHLGRLGSISPPPPNELVS VPLVSSKQRHGSMWLSIKLKCQQWIYRIDSFLDPAGGPLLPHDKHGVAFGGTQKKSKI MSLYRSKLVRFLLLVYCVFSVFLTINHSWHWLRSGPVLDSRFGENWVAQRTYNQDDTY SVVDGMSHGLKMAKLLSKSYYEAAEAVEPYWLKASKVPFEEDVSIITTVTPGTWTELV RLVEHWQGPVSATLQVKDDKDGLQEMSKIRAAYNENPKLATRLDIHLSRHGGRQPSVL LSRNAERNLARLFARTEFIVEMPNSMVPGTNLRKTLEANQATFVKVLREGDVLVVPTF GFPRYDKESYTVPHHKARLLELVEEEEMIMIDKHWKTNQGPTNMTHWKDADILYLVEK YEFHYEPVVIESKTVQPWCAERFLDSRSACRFSSYLTGAKFWVLPDDFVVQLPENKDS VLTDFDNVIESRLYAKFYWEKCVHYARQLDALGLWRGSRSQHIRTQCSRVIQNWGKGL IGKAE PHYBLDRAFT_15374 MAPTILVVGATGNTGKSVIHTLPALLESKNTKYRILGLTRSLDS PISKKLAKISNVEMQEKDWTTIDADWLKEQEVVRVFIAPHNLPHQFVDESAFYIALLQ AGVQYVVKISTALKFIGPVSPVFYGRSHWAIETLLSQPDFKNLQWTSLRPSIFTASYL PSSVEWIKSYRKTGNQDTLKLILNADAPVALINPDDIGNTAAHLLALDDPTPHNQAKY ALSGPEDVTGKRLVEAVEQIAGVKVQDVKYKDIGFLDELVASGGYPKKVLTSIIAGCE LAWNGQFSLSECPTSKEILELAPPKSTIMDCLHSMLEEE PHYBLDRAFT_139237 MESMFEFPEERPKSFLPEFANADSWPALHLDGYKESLRLKAFDP SLPKGMPVETLYIIPLACKSYSRTFRNKLRHTGSFVGRDFKELIQVLHPLGRLSSLIK HAVTDVTDLLFQLDVQLLREGFPKQDSTFKPKFIREHLFKTNRHSISRDVTTRFGNQF TCRHLCNGGSYVVERPAGNGTRSVRSSIGDFVKLAPVNFPGFNLHFFGSRVNSDNSGL LTLTLCDTLGGVFQSNGQFFLVSNCSEQQCKLHYTPAVVIDNYNNIVVLPLGGLVEVN KDDINIVQAVDIHLFVGSSNNQKFLNVAKFGMFWWMLMNIAKIY PHYBLDRAFT_179015 MSYAPQQNGPYYQPPQQGYYPQQQPQQGYYPPQQGGGFYQPTPP PQTIYVQQAPPQRQDDGCCTACLAGMLCCCVLEECC PHYBLDRAFT_139239 MTNPMIEGHLLMMGTHAPNALWGGHLELSLLWSVFSKCKKNVQG LRIENISWRLWYRQAVLRRPKAPHQPIPLPDSLPLLTRTRSLPTFPSQPTPNNISHDW IGKQAQAQATPSSSSSSSSSLSSLPPPLSLSLSLSLSSAPVPPVPELASPQTKTKTKT QAQAQIQTQKEDTEEFGQGAPSPIRSKFYIDQSDDVESLDSYMTSSDEDDDLYYYYSN SNINNDLNNNKKKEDEDEDKNDKNEDYYYWQMSEDGYGGCAYSSESSLCVSDLQQTDY TFEKQTVKATESISLLSAMLQDDEQNYNNNNNNNNNNSNNHNQNQNHNLLHLYNQQQQ HDHSKSTVMDTAMGNTMGLRRCQARYQHLSEWFMANPTSTSTSTTL PHYBLDRAFT_97869 LDAYSWVTLVIFLLSIVPVIRPFKIPFFRQTHIRLNLATSPPLG VLILLIIRAIPITTVRDGCIGSMGIQPYAILILFYSLAYICISLDLTGLFQYLAFWVS RKAGNRGILAFTLFFCLTSLMSGLTSNDVVILTGTVFLSYFTRVSGINPTAFLVSEFT TANIASMALYIGNPTNVIVSEAYDISFIEYSAWMLLPTVVTLLLAYCVLRVMFNNPVY LPRQIVAPDASPNSVLLDPKGAVFGTVLLACCLATLVGTSFAGVSVWMVTLPFAVVTL VRDFLYDLGSIKWMHSNNGLSTVTGSIDIDIDISTSSTVNNGTIDSIDRTRRSSRGSL SNRSIGLQSLPATRAETAPRETVGLHRSFQRRLGQRIKRLGYRWPTVFAVIQRMPWAI LPFSLGMFVLVEGLSLVGWVGVLAKGLVVFTLNYVTAVYGVTFVSIVACQLLNNLPMT ILLTRIIQHPNFSSRVTSPVIMQGVLLGLIVGSNLGACLTLVGSLAGIMFDHILKSKN IHVLGYRNFLGWNLILLPVLAL PHYBLDRAFT_157180 MLLGVMMFFDGGLLAIGNVLFLSGIGAIIGPKNTVVFFTRREKL RGSACLLGGIFLVLIKFPFFGFLLEVFGILNLFGDFFPVVFGFLKRLPIIGPILSQPF LSRFMPRESRTRV PHYBLDRAFT_179018 MNLAMESHASELPKESTESRFFALHSLPLTARACREKTILLWVN TLLSPPVASLAQISNGDTLLSLVLALGGRLDDNSHEQMDTLQQAADAIQTWLGLQEPV ITPTDLAHPDTLKALLSFLHLQHIARLLSILQPTLCTQYPHISSAHIPPIAALVDLQP LLSAWTSTILKNYIDASLIPSIRWQDGRSFLALVHYHNPSLVPDLQHFCRLDSTTHTT SQAIHTLSTAFQLAYTNLGVPLVIDPVVLADPEQLDEQTIAAYLIEFLRAVQTRPPSP AAEERRKADLARWREINADGGFSNKQQRKRRPSSPPLQQQQNNNNNNQNSSASTPSTP SLSSTTTDTPDEPFTSTTRTSTFSVQQFKLTKTTTTKTGERQVPDPLKEFESRATTLS EKITRLQSRLDRVVPTRSNYAMSSSATMDSFELASEDGEISSPVRSNDGEDVGHTRVL HPLRAAREDLSAYETNVRACRTSLPFIEESMAALRQYVDESLEPEWQEHQSVLSRLEE IDKQYQALVASMDTNDDQLARFRRGFLFARSCSEIRAELDVVQTKMVKAITTDTDIQE LETRVKRTSERLKELGVDYADLLDEGLGSDDDAYKARFEAITKKNDLVCTWVEEVRVW FAEAERIRQWIQIRIERLGETTVPDAMAGPDTPATNEVVNSLNAQHSVLEDEIEHFDA EDMARLRSHVKDLTGAGRADKDLSPADTTTIDITLTTLKALDKLMHSLRRKSLDLQAL TRRVAWEKEHVGTMDWLTTTDAQVYDFLTKSARWQAEEDDCATDETDQEKTDRLAAKE RLRDLIIQNLLSLEQRMNEFDQGQFSRTINLYEDLEDIVNETLPDHISHRQLHSEALF DNLLKRTAFARQVVEQRLSVMDSIYQIDILKADAHQITLELAAAEETHGGENELTAKV QAIHERILQLATATSRVVYPEPVLDLDRLENEQSNNVIRQVMDSKRAELIDLAEELDS QLNSLCYSLELHREGKELVQGVDRLCLWVDSRSAEIKNASVDGVDPNTISIDDVRKLE KEHHKLVQVLEEEKENEAADLLIRIQTLLETADQVGSVSVDRDELKLESRRLTDKFDG LRHTLDEHEEELKALRQKMEDGNTYVERAKALKAFISQTRASIPGLKQTCGFMTGQSE EQDRQRFEMLNSALQSLKTAYVDQQRHYQDICTRFESMTPEKTEDREEAARVQKELEK DWAQLANDMDSFENFTEAVGQWYDRQRRMSIVDEECLHGLTQEIAHLAKAGWSNADLE RIEKKLSRAVHMLGEAGKRITSANRKEDAVQTANYSCARDRHAALLNKAQDIVTRLND LKKNANNAVAFGDFLERAKKLKDAVQEEHDAIEKRMELMKQRRFETMERLEIEQLIRA TMGASAGSEARITELRQLLKEALEDARKLRKQGYGESAVKSPLETIESDIVKLVSVLA SEKRQAGFVRKMQMHAKTAGELLSWISHCSNAISQLPTDVCITDENELLAGLDNLEHK MHDMQPIVTGFKAMAPKILGPTKDGLKHLEDDFGLSGELIQEAIAIREARVMDAWNSL GQQLGETRQTIEHSQHRVDIARKVKEIMTLIGEAKDRASAVRVCHLKPSEMGDNTDLK VIQTCSLSMLPTEQETVQAKAELDSLDRDIELRLQVAIAELDRMLGISAENEDIFSGQ RAEIIEAVGGLTDMMKAKREAIAEAEKMEGFLTVVEELEVLLLAVAEVVDRASPEGAR VVDGVLSRADLQAILIDLDTRYRYYEPKINGLMDEAKEAGESLLNDRRVVHCLEEMAN KWKDLQALAVSKKSELLACIGPLADPFTMPDLHKRTLQAKRPGTPVPNRIMNGRSGSQ SPNAASTGGSLQDRGQRVADKRTNQIIRNNERVKPQVMHSTPRYMASTGNTRARKNTI TTGAGNGNVSPTARRVAQLSVGTPKPQPNVPKPEAYVADPKNDLDVALGLIVNDSPYK IKVEMVPGEVGRYWFGTVNPKLAYCRILRSRMVMVRVGGGWVELSQFLRDHALLEGGN FVPRASSSGSERGGLLGEVYLRTSRAASPGTITTIRGGGGAGSPGPLRESQSTPYNRG GSPVGFGHGIKEGNRFLVTVDGMGNQVEVKMTKANNKNFKFVTPRRTIL PHYBLDRAFT_139243 MNIQLLFEDGKGSVIDKYGRPEPMNYTIDEEQFRLETLSSPTQY FAQFPLESENKAEIMQMKKETKASQSVYLPSRTKKPSNLFYLFAKTWLLDVLSWVLTV SHFRKYTTKAEHQGSMEPVLQDNNKSNAESSKSWKHNLFTMKQSSLRVLKTNAAKKNL SHVYILGLWAATLFKCAINYLLKPMRRYYIWIVTSIFMKEIPPFSQQTFQENKVSHPR LLILAHILMLCSQHVSQFCSHPAPPKPHGGVYYNNETRDNVNYDTCPSICDNF PHYBLDRAFT_139244 MNIQLLFEDGKGSVIDKYGRPEPMDYTIDEEQFRLETLSSPTQY FAQFPLESENKVEIMQMKKETKARQSEVFQTTTKQLGIHIRTAQKWSKQYEKDSDSIF EKHKNFYSRMHRLESFSRPRRTRLQPIDRNSDEKILGRLDLGSVAWSQKGTSAVVTAP KRRATTTILGAISAEGLIKCSLRFPQSSSNKKRKWGDGVEHMSKGTVTGYYVSFLKAT MDEMGYYPHIKGHYLMKDSSYPYIKVHSQIRRISRLRLRLLVSLFSWTQLNRAISVSG KK PHYBLDRAFT_121045 MNSSVHEKDWHLQSPSLAEGAPTHPQPHHIQHYSPALDHPYAQS FPQGGPMHPPLHQQPPSSNYLPLVKPESDPRLPGMMALSQPGTPRPAHTNGPESSPVK KSHVAYSTSSFHATPYPHPSITRKRGRNESLFSAELGPFFSSTKPFDNLYALDRNTLL NIRIQSKMDRGFFLADNDWTCYRRNYFQVSSAFTIHGVAHYYADHETQCLVQADGAFY PVRRFLLNVSARVSNSDKKIELVQHTPKRDKGPQTTPLPKPIIP PHYBLDRAFT_162289 MDWDRFKRALEPLQDHDQHDGLPRYSHADLMHLMDEQYFILDFL TELAEKLGEFKSTKESTSAYPQLGPILTAASIHPFLCLDSKIAKATIQCLQRYSQFDT SSLQSSSATWCIDRIRRLVRSPLTVPSHVESSHMMNVGDTLSPKEDDATQNQIKQIIS NINKRFLDGSLTPQIVSGLMDLSLALLEQNATSVLIEVLINCGTILMDTEQKKLYWGN IEQSKPNTHIPDTYYDDYALHFDTTPCIFSGRFVSRLLGNPKDRLWKLYRSWTPELIA RVWKHQRHIMEYELLDFFQELATIKSYTSKYQLHKQLERTTMVQLLQNNPHLVSIAHN KVAKWVIRFSDWRLVRMWQHVISLLPTLVETKFSDQKHSSTFTPTYKDVDTILSQDAF TYFPYDLKVAAETLQNSSSEQLFIQHISSQCGTILDKLTIYTYTGTFEDIEVRRNEAW VLALMFPDFLQKCTMCLISWCLNQDVWDSKMDMISSYLGWLMCPSDDDRVDDTVECLK HWIISLKGFHTRQPDDALKVILIHWEEIFSGNILVGMSIASSILISTKEVWDSIHCTL MVNNILGSSSDEINFEATKTKNIFNLNLNNSSVMGDSFDGY PHYBLDRAFT_179020 MVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTASELLFQAY QQVSSLHSLEKDVGYWLSRFCPLGHVKIVTERCKYKGVSPGCVEFFKFVEHLDVRDEP EAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_58261 MTFLPLLCNIKLVTGSIILVDIFAILIFIESLDEEQAAKYQHLG QGFDRGDRYIFSFSFNSPGPLYHISQYCPYHLFRWGFTSSGCLAVECSEYHKYIIPSQ ILRECSDTQLSFFKDSLQPIIFNIKLLLRSTAKHPGLVKYPIISKLFSYYQVV PHYBLDRAFT_184697 MRKNRTKVNKTIDIRLNEEKFYFLNEAIKGLVIIHPKSPTKTNA IRLRFTAELVLSIKAKEIITLFEEVKVIPVGNGPDIKAHILEAKQHTFPFEFIVPEKL SLPSSMELDKGKSRIHYTLTAIHDRPMIPESLCPKAEYCVRMLEKIDTMVERYQTPQE KTIEISLPWAKKEKCTAKLYMPRRGYTQGDTIPLNITVNHFESLTRSKGITIQLIRMV DVATAKNTVSKQHVLRCIEYNLNLTSAQNFTQNISSQVSIPTSTPPSIRYKQNTLVVH YKIRVRVNFSKINPPKEHISVVELPITLGTWPRAAIPIDDDDEEEEDISEFMDSLAVS EDDFEDDNNGKPYYREPSFTSRRTPSDSSGFDTCLPVNASTVTVTQRSPFTEGIDRSR STASCSSNVSLQSGSSWKSNHSVEANSSTATATTSTILTPRNMSLTTSISSTDFQTQS NTPYGRNYPYVDTVPSTSFSQAYLNRSSSTPDLLTHPASPVSPVTSNHSYTRGDSLPG QRQHTPIYESQDSRTPFFVNVHNRQSKSFHTHSPGQNIPSKHYHHMRIPSDDSRFYGV PQPIHPQSQPQQQPQQQQQQQQQQPQLAQPQHYPLSPNVPSRVLQLIPSQPTYSAPPR MISPVNTQPSTPYMENDSNMDMMEEKNVSDDSDDSDDSDDLLGIIARKKRLQEKEIRE RQRMVFTVSES PHYBLDRAFT_129801 MPKCTRKGCEKEFKEEENKDNCCQFHSGAPVFHEGLKGWSCCAK RVTDFNDFMNIPGCTFGSHSTEKPVVAEKAPEKSAPAASQVTKEGVEVYGAPKAEVKK EAPVAIPAASSDKVEEVKKVEEEEDDDESIPVPEGKTCKRRGCGVSYKSDAISRGNGP EAVCSYHPGAPVFHEGSKGWSCCPRKVLEFDEFLKLKGCKEGKHLFVGSNKSGEELVD CRTDWYQTPTHVMLSIFAKNKLDTKVVFNKDSVDIDVKMKDNKRYKKHLDLFHTIDID QSKFTVLGTKLELNMKKTGGVSWAALEPTADVTSWTTFGVSGGGGTVGAKEMQYTDDS PLNLSNRK PHYBLDRAFT_58264 MKAQKLPLYRSVTEDKSPTENHSHFTRFVSKLLGLVRVPKRPRL EHKSHSTTSITKSSVISFQPRHYNQPSNSDALKAQICSDPTTLVSVVNCDTSLITTRT TDDSSSLSSQTTDSIQSTGDDDDDDNEEEEEEEDLKSITSINTSTSTNISIPRSTSCP QTRRKPFSAAIGALVSASKLPTKPPPQRLVHFDSFDMPPPTLVDRRPDTEPVMTSEIA EQLRPYLPRRYRLAPQWSLLYSLDQHGISLTTLYRLVKSNKGPCIITIKDADDQIFGA FLNETLTCGTSYYGTGECFLWKTTRQDSTQTAHISPKIKVFPWTGKNEYMILSENDFI AIGGGDGKFGLWLNADLEKGHSEQCPTFDNECLSPSPEFECIELEIWGFRI PHYBLDRAFT_184699 MFLEQICPGQVNPQFHCIHTFTWRDERYIVYATGSKVVIYADPD VLVQIIPVPFEQTEEESHLETITAVSGNSRTGKLAVAYQKHICFFRSQEQKDDKKQSI WEIETVLSTKSQVTCLDWSVNDLLLTAGSELAIWKNTSSDTDPWKIQWTTRPAVDVIM AKFEPKSTMFATLGEFDRLVTVWHETAEGDYSFIHLPHPRSVGHFVWRTLLEGSKTKR PDCALFTMARDGIGRFWSPVDLETPHALYMCAVIDPSQSLVTAESGSMASQDGSVAYL DHIEDVSPIHYIDCGELQSAISTQPQYQSLSQSKQEKLDQRIKKVRDLIKETPDLLFR IQSDGSLTFWGVKHLNSFPRRVPRVFVVLRIAQAVDPADVAYFLNPVKVLHDYSHIQS FSTIKPIELSLVARNPHGQIRCYGLNLVDFLEQNQFIPRLHLKYTWLGHRNSIKSLPQ SRSSRFCTIGEDGQINVWKYALREDGGKLTNRLQLSGSLSLESDIVLSIPVHRDRHVA VYNGKQLLIYRLDNQACHLNHYQVCEQYDPTVTFSSLHTEILRGDSQSKIHILFGVSR SAKQIFSWQLQFTSPVPVQDQQESEENESLEDIIFLGSQEFDWEEEPSVVVTSHGGSN HAASKLLQQIGMGADSIPIITVALGTKLLFYGIHYESDWEGNSKPLEWNLLYTLETGL ESIQQMRCVVNQVVLVSSSETYGPSRKLTFWSEIRTGVRPVLHKTFEFSEPIIAMAWN VSCDAQFILAVAFPTKVAIYGQKRATSVTNDDDIWICYDEFPVDMPESIVGITWVENG VLAVAAGNQLRCYLKWLTSEDELNQPLDLDPDLHPMSNIYDLSYDRNGPLTIYHPNYL IHYMMWGKMDLINSVLRSLYKFLRHYVDEDTIDQIPPLLLSKILQLQNSSKKESKKQQ YKSLFDDDDDNDTDYSEYYDDDDDSRSLSHEEANYLMEHLKSKKMPALSENERMHLVA MIDTFDEVSTQGEALDENGARFTALLENHFHLNNIISQDQQQALAARDFVWALHSQSQ DLLLERCIRLCNNKLMWEEAKSLGIFLWLKKIDTVKEQMGVIARNTYLSKEDFKDPES CTLFYLALRKKNLLLGLWRSAGHHKEQVVMTKFLSNDFTLPRWKTAASKNAYVLLGRQ RFEYAAAFFLLGDKIKDAVGIILKHMKDFQLAIAICRVYEGDHSPLLKDILVKNVLPM AVESNDRWLASMCYTLLERPQDAIHAIVTPVSMLAAPEKIKDTDANADADAEETAPVG DPTLFILYQQLKQQLQAQNRQDLNVPYSLEYEFSLQVARAYERLGCPLLSLYILTQYK MKPPAVESEQNIPQTSDKAADLFGDDNTPSTRPGLAANLFDDDDAIPSRPSYSANLFD DDTPPRPSYSANLFDDDDVPPSRPSYATDLFADEEPYNSPTDDLFADDPSLFENNNPS NGSDPLESSSQNSKNTSDSPERKNETVSIKDGLSSYKALLVIRMLQTVFRAASALYDS SVRAGKDLQFQTTFLQNRQDLLALGETLNVPQKDFSRLLIQKSIEADAFVFYLAILEK GVPEGFNVAVFLESFEAGCFQVFEAAMMPCQLGYSGLVFIERWADHLISNFGSWSSLK EKYPTRSSILKLGTQKLVLTNHLCLILVTLKQRHFEKTWGLLYYLKSLMECMAASKRD TLQKDVKIMYTDLLANTAKMVEMTPEDFESFSDDSLFGFDLNEEVYRPLEDVNDNSIG ANLLEIASLNFTLNLLESSMQNTERSVEMEGDFVAFIWTTLLDPIAYRAQCLQKDVLL QMEETPTKRNILKQFKTLRQKKYWRSIKTLSSTERLLPFVNFLPSGMNVMSNDSQPHS HTMYYSPTTTHAFCISSAYPTMMAICMKTEIQEVDLSKVQGHGPLLARSGSGSSSGVH QELAAGSYPDTEEEEDDFGLSDGESEVVRSSQSSRHTKRKEPRGLQPKTAYTTSPALS PAAGRIQAEDRPFQNRSLENLHEALKRSLGMENFRSPGAMSPMGSSSENSEHMVISSD MICSQNIDFHNDVTLKRSVSTTCAEAHPQFPFYITGCQSSNGSPSAILWPFGQEREIA NYYGSQGAATRIHFDQFGQKFGMGDTSGWLSLWKFDSHTQSDTPYYTMSCHSKATRDF AFLGSSSLLATVGTSVAMSRRPKRKDHVCLWDTLLPPSKAQVASLPGHDGGAYAVAFD SSSNYLFSGGSRGEIVVSDIRQRTLLHTFSAHQSRIRSIAIDTEKNLLVTGSTDGELK IWDIRSYKQKYSFDNQPRNRFLGPSFNRISLKAYGVTQIQLTSDDNIYTSGPGGIVNC DLSAIQ PHYBLDRAFT_121050 MNPIDQMRAQVCKELNGAITIVVLGASGDLAKKKTYPALFRLFH YGFLPENTFIVGYARSKMTHEEYLDRITRFIKVKGAEEDIEKFKKITSYHQGQYDDDK SFQSLNDYLKTLEKERGIDVGKRNRMFYMALPPSVFIPVAHGLKKNVYAKEGSTRVVV EKPFGMDSASSKHLAQSLAQCFSEEEVYRIDHYLGKEMVKNLMVVRFANIFFSQIWDR KYIDSVQITFKEPFGSEGRGGYFDEFGIIRDVIQNHLLQVLSLVAMERPISTEAEAIR DEKVKVLKCIPPIELSDALLGQYVAANGKPGYLEDETLKDKNSLTPTFAALVCWVQNE RWEGVPFILKAGKALNDAKVEIRIQFRNVAGNLYKGAARNELVLRVQPEEAVYIKFNN KEPGLSYHTIQTDLDLSYHSRYKDLEIPDAYDSLLLDVMRRDHSNFVRSDELEAAWKI FTPLLHKIDGREGVKIENYAYGSRGPAQLDGFVKRYGYDKSEAHYAWPIQKVGPSKEQ PHYBLDRAFT_105943 MSPPLSYDLANHPPADTIKMLTGLLERITKTNDKLYAASVESGR HPHPRASAYTCFHARSIPNIDIHSYLNRILKYCPCANECFLSLLVYFDRMSRNSSGLR IDSYNIHRLVISGIMVASKFFSDIFFTNSRYAKVGGLPVAELNALEVEFLQLNDYNLS VSIEELQQYGDQLLQHCMREEERRREAEYRRRELKLQAEE PHYBLDRAFT_139256 MNFNNDTANKQSHSLPPNVLIRVFENLPISSLANVAMASRRFKV LAYDDEIWDTKLKIMLESDTGALAALLEDPNAKLSLIDKDTSFLINNKPLNTLIPGMS RDPYNERARAKSTGHARERFKELYIRIAPYYLDLRNINGKSKVLQDFGSAPETCGKTL NLLVGLGKCKVVDDWRELNDTVEALCQYFESASLHEFEIAYDSNKPAEMKVYAHAIIA LNGGSLCIQTFLQKHPIFYDNPFKPEDNFVSSGLDIDSLKNLFAAITDAMKQQAELII QVFPPSADVFYMFADRVFEDVMADYVTQLLGRAQTIDSSNYLYAISSILTLVYSLVDV ITGDDVAIRIDKERGINLLYKLFFPLLDDYLEEEKKYVEKKYGKEIADWNQSSGERRD DPSVRLTNQSRELFKRNYLLAFKKVIALPIDLVSSAATTIASPFQRSMQFSFKSEDKT ANKRASSTLASVESTSSSTSFSPQSTPPSTPKNSVSIPRHQKTNSTTSISKSPVNESS SLHSRQSSRNSIPTPTTPETKSLEPSDALENAQHELDALQNLLSLSVAVNMIHVNKDS ERRVQRFINIGFPGRMKQDIQKTFEAIFINLLKTLGHDHIQTAFERASKRLLSYKPDP ESLKFNNGEVPPLTEFFGMVHLADLMQHMIQAYYDEEITKYVDKHDFMNDINKEKKSF ERLLDDCVAQGMDHGIQVLLAQVEMILSTEQKPEDYNPPSDIDVDLKPTKACFDAIEC LKKNISMLSGAAEKSTMDLFFSEIGRRFFEVLCKHLKAQTVNEMGGLRYICDMNAYYA FAVDLKQRAITPYFSALKSLSNVYIITSPQDIKSVIHDLERYHGLMRIEDLFEFASCR ADWPIIKKVVQKDMTDCSIM PHYBLDRAFT_162299 MALSRLFSTRKKLSKDASGRPKSKPTTAAPSGILSKPFPPLSSR AKSPSDVLDFVGYPRLSHSTPISQVRKRQSTPQLQPQRHFTIRNQTPQPDAEQPQLIS HHTPPQPLQPLQPLPPLKMPTPRRVIPPTRHMLSAELTDKTLVEEPESIYPQKESRRS LLTAPFNPNVVINRPLSIDHTYPLTNMSLKPRPHDNLVENYTWCPENGMHDNDLQEEI TALQERIHEFNREKSEWAKRETEHRQNEQRMLETIHHTQVQLEKLSLATLNAQNQAAP LWQHSPRRRKPSVGMLTSSSAPSLRRSRSHSTTSRSNSYTRDEESESSETNEHSGYDD GYYDYNYNDDSYEDLTPCEPDIRRSSYVYSYPVAPSHHTPQSIRWPSPHNNVQRSYPV SRRPLSSADTRGWLPKEIGGRNVFQNVYDRDIDILDDYEPDGSGVPWSSSCPQPSTRG YARYPRHPTPRVYNETSSFGQRYSTDPCRTAPSSYRSQPTESHSTVRRSISSSSGSQR AQRAPPSPHDYTHWIRRL PHYBLDRAFT_139258 MDRPLSPNDPQWSQNIAENLILRSTSPTPAQAPISVSQLPRVLG PRPFLPEHTSEPPLHTSQLQTPHSPEQDSVHQRPSQSTLRDPNAPDPSPGLWANRPKD LEQPLDYTRQYPHAYEQDQLWNRRQKGTPWWSTDDEDGFYSVGCLLFLFGFICPPLWW IGSFWPKHARELGGKMAERWQRLNRCMSIGFSILLIIALIVLGVLESREKH PHYBLDRAFT_139259 MVNPVTPSRLAAISAYRNLLKTQRDVFKNDNGAIQARVAAKKET HARFMQYKEETNCDKLALAEQVVSLLKKNVSLAELKNEGGIGNL PHYBLDRAFT_139260 MHKPIRAIASLGLIFLIACCSLVVVALNAVVVKVRPPPDPGVLP PLLILGVCTLAAPSQHCGSGPH PHYBLDRAFT_179027 MIFTRALGKASTNAVRAQKANARLVTRTATQAIGSQASLKARTY ATEAAAPGQIRSVIGAVVDVQFEQDNLPAILNALEVQDHTGGRLVLEVSQHLGENTVR TIAMDGTEGLVRGQKVIDTGAPITIPVGKEVLGRIINVIGEPIDERGPINAKSSRPIH AEAPEFVDQSPTPEILETGIKVVDLLAPYARGGKIGLFGGAGVGKTVLIQELINNIAK AHGGYSIFCGVGERTREGNDLYHEMMETGVIKLEGESKCALVFGQMNEPPGARARVAL TGLTIAEYFRDDEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLSTDMGGM QERITTTKNGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRSIAELGIYPAVDP LDSKSRILDPRIVGDEHYKVATQVQQILQNYKSLQDIIAILGMDELSEEDKLVVERAR KIQRFLSQPFAVAQVFTGYEGRLVPLQDTIRSFKEILDGKHDGLPETAFYMQGDINDV IKRSEELAKEMGGQ PHYBLDRAFT_179028 MSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKH PFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTET MPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_139263 MNSELIQSLYSEENILPVAGVIAAGVAAKLVHTLLVWAKKEKNE DPWNKKGFKKISVPSGKYPYFGHSLTMTDNPTYKVEEWHQECGPIIHLTLGVQHWVIV SDPYIAHELLSRNGVKASGRQRHYFTHEVYTDGGKRGVLFNNPGKKWKNSRGIALSIL SPKYVDRFTSVIEEMADDTVKVLKAASDKEGGVFPTPLLKLGTFSAITRSLFGKTSEE LGEETFKTIIFTAEELIRLAGPENDMASFFPHFSWIANCFAEKSSMINVVNNRDKIYK KLIKDAIEGDVDCLAKSAYALKDEYGLSDIDLIVIMSDLFTAGGDPIALSLSWLFAVL PHHPEIQKRMCEEIDAFIIKHGRIPSFSDREEIPYIIAVMMENLRFRSITNFGIPHYA TDDIEFLGYFVPKGTVIMNSMHSMHMNPEVYENPYQFMPERFLGSLRSWTTLSRGSIE ERDMYAFGWGRRTCPGSHFAEVEIFNLTVRTLARYTVEPTLNPDGTPHLYDWDVVYTG LNLPAKEYKVRFVTRTDTPVDIPL PHYBLDRAFT_179030 MHLSTSILLCLGAVAVNAATVKHSPQVIRLPLIRNPNSKDIITQ KRNIHLFKRDPAEAPLYNDDGSQYLVQLSVGTPAQNFTVTLDTGSADLWVPSTQCPQN TCPYTRFDQSASSSFKSLSQNFEITYGIGNVNGTFVTDTVTIAGVQVQNQQFGLASST NNILTNPTTIGVSSQTSSSSSDLASNSDTVGNGILGMGFPLLTTSAGADGVAYYPLIF NMVDQKLITDPVFSIYMNTASSTGWAGELIIGGVDTSKYTGDIVYLPVAALSSTSTTS LSSLGSSANAYKGYYYWMVYGQGISLISGTTTTDVGMGSISAFIFDTGTTLTYLPQAM AKAIVSSVAGSNGYRLDSSSGTYMVDCNAVSSTVTVQLQMSTTGKTMSSPVTLNVPGA NLIIPVDADTPEKASVCIFGIAPSSSSSSGGSFSMYLFGDSILRSAYLVFDIGNQRIG IAAANGVGGSVNGKSGGTSSSNTPSSSSSSSSGSSAKSGANPTLTLGLLISVLGITGS LLTSL PHYBLDRAFT_179031 MPSSSRVPTFPTWLLRLVGGTSFVALISLALYWYRRFPPGGRRA LAKDSSSASSSSSSLEKSALRRTSSSRSSLSDEGNANPPCENTSAGWGSRLLGNVRSV ARIGKTKKKITLSLKNTILWNPSPDVNVPNHAFHENGAILLLRLAQANEVYLIIHIHS EDERHQIQKLLENASLVGPRLIDSRRILYCSTEQGKIHLIRHIEPAIHIEGGWELDDG EDIVRKVRPFVNKLIWVVTRRRRTSFNQSKLKESDEGVMGHNVELTDKLSDTSLAQEV GILFD PHYBLDRAFT_58278 MEDKTHKAHNKKKGGKKEAKAENKFTNSKKSSNPRAFTFQSAGR AEKTARRNNDNDQKKLHVPMVDRTPIESPPVVIAVVGPPNSGKSTLIRSLVKRYTKHN LAEIKGPITVVSGKKRRLTFMECANDMNSMIDVAKIADLVLLTIDASFGFEMETFEFL NILQSHGFPKVMGVLTHLDKFRNNKALRLTKKKLKHRFWTEIYEGAKLFYLSGIINGK YPNMEIQNLSRFISVMKFRPLLWRNTHPYVVADRVEDLTDPELIHRKPNCDRTVTLYG YLRGTNLKPNMRVHIPGAGDHVLADVSVLPDPCPLPDKERKRLDEKYKLIYAPMSDVG GVMYDKDAVYINVPGHFTKKSAYAPAEEQNGQEQENEEEEELPYGQGEKMVMDLQDAS DTFADQLADSELRIFSNSAPVRAGDITEQVQDDDEDDEKISISESVEQDDQGRTRRRA VFGDADPEFDEDDEDDEDEDEMMESGEESDADVPQRGTALSKFDTRNIRKKGEKEDKE DAEAEDIQFADSDSEFGLSDDEEMINVDGRLRRQTDSDDDEDEDLAGELRWKADLKQK ATSLFHNHRRVNLMSLIYNKPELSPEDIASGNYNEKEESDESENEEEEEFFKLKYENN DKAVEIIDSSRQQPDVDNLEVWEDEDTLDSIRNRFITGETGEDGDEKLEGEEEEVFGD FEDLEDEDANGSSKQAEEEEKEQDPVEAERERIAKRKEQLKKKFNEEFEDEDEGPKMD FYETKKAEIEKQLIMNRAEFENDDSNTRALVEGYRPGSYVRLLIKEMPAEFIQNFDPT YPVLVGGLLTSEDQFGLVQVRIKRHRWHRKILKTNDPLIFSMGWRRFQSIPIYSLDDG TRNRMLKYTPEHMHCLATFYGPVHIPNTGFCAVQSVADNKTSSFRICATGVVVDIAQA SEIVKKLKLTGTPYKVFKNTAFIKDMFTSSLEVAKFEGASIRTVSGIRGQVKKALPKP EGHFRATFEDRILMSDIVFLRAWYPIKPRKFYNPVTSLLLSTKSDWKGMRLTGQVRRE QDLRAPQNVDSVYKPIERKERRFNTLKVPKSLQSELPFAAKPKQAKPQSKPTYLAKRA VVMEPEEKKIYTLMQQLNTLRNEKSRKRKIKDTERREVNEKKKAKVEEKTAEMDKERR KDYFRKESKREIAMSKSSKFSKKK PHYBLDRAFT_58279 MLYSYQSIIWLELFSLRIKEVLITYDLIESVFVMTIIRMANDSK KKEMNPITGQTPKIERPLLVLLVFIIDGFLRKQFRGATVHLTGAYHLKVNLAHHCHTF TSYLDLSTPERLTLNDQNIHIDKAFKNIEGQS PHYBLDRAFT_121061 MSDLPRGDLSVVLGAQWGDEGKGKLSDILCAQAEVCARCQGGNN AGHTIVVGDVKYDFHLLPSGLINPNCVAFVGTGVVVHLPAFFEELEKLQKKGLNCDKR LFVSDRCHLVFDLHQTVDGLKELELGRGSIGTTRKGIGPAYSSKASRSGLRIHHLYNF EDFSTKFRVLVENKRKRYGHFEYDIEAELIRYKALAERLRPYVVDGVWFIHQKIKENK CVLVEGANALMLDLDFGTYPYVTSSNTAIGGVCTGLGVPPNKIKKVIGVVKAYTTRVG GGPFPTEQLNDIGEHLQTVGFEFGVTTGRKRRCGWLDMVVVKYSTMINGYTSFNVTKL DILDQLPVIKVAVDYIHNGKPMLSFPSELELLEEIEVKYVELPGWQSDISKCRTWEEL PVNAKKYIEFIEKESGVPVEWIGVGASRDAMIHRVQ PHYBLDRAFT_162308 MCGYRFLKGRQKKSLGTCTNQSTILVLELSKALTSPYFYINMIQ EISLIGIQMLNKGTILFQHNNKLIAESISCHLEYMQKGSVLGIIPKPTKQLNYSNKLG EFKVYRSTICTLIKAHFNLSPEQKRQFN PHYBLDRAFT_157187 MYATSHTTQTPTVVENLHHHHHHQHIHNHQQDHHQNHHPSHDNH LHSEPTGVEINQSWTQAHEKNSLEVKAVIDPPFSISPKEPTVGWSNMNSSSETSLDYH GSSFGGQTHLDKRLLTDPRLEMAAPAWQQQVRPRRSPRPINRDESRPMRSY PHYBLDRAFT_58283 MTTLKTINIIQDHPAKNILNTCLLSPPLTPTEPKAVYSSPWQPC SHIHSCKPPGINSTNTQALVAPFGDRRKQFVQDYSRMIDCLRTTPKSTKRATIDLKKS DRFESKCRHDQVFSLDVYGSLASSLGKKIKATSTFGSKSSSSNEIRINSMAAIMTDNS TGGKRNGGRSCGMLDKDAVHPALKRKHSMSDKPATKKKTSRVSRAKPNLSSLPVASNE SLPSPALTRKEKLDLAAVFDTVDIDIEDDVYFPSSWIPQPEALDHIQVKVSWKGASLQ ITNQPYFHRLHPVEARIASTLRLSPIQYIRCKRVLIRAAQDYGEKDTPFRKSDAQKLC RVDVNKTSALWTAFGQLGWMGQRWPN PHYBLDRAFT_176636 MQHIQIVGRTLAQRLNTPHTARTCTQSIRLAPALVNKVLSSRQI TLNRPERLNALNHDMIKTILPNLLAWEKSELANVIILKGSGRALCAGGDVRDVIDSLE DQKRLAEIITDEYRLLHTIATLNTPYVALMDGITMGAGGALCAHGGFRVATENTIFAM PETAIGLFPDVGSSFFLPRLDGKIGTYMAMTGNSVKGEDVLFSGLATHFVPSSRLSAL ESRLAEIDSADPEIISDAIEEFSAEFDSSLKPSIGGSVRKTIDNCFKYDTVEEIIAAL TKDGSEFALNTKADLLKRSPTAIKVTLEQMRIGSTLGIAQCLKMEYKLLHVMANHHDF TEGVTSHLINKTEPKWSPSTLAEVKNSSIKTDFFDTRSEHNLTFLSQKSFSMHPFRKY GLPSEEDIRRVVVGETSAGLAGIMTQQAIVNYFVMNRKGKFGVREKVTEVLGRKTKAS SKDSHKLEWVY PHYBLDRAFT_162312 MYSNHNVQRLADQLAPSRTIRPPRYYMLDTEKPKYQKYTPLNQF SQLSQFNEFNQLNQDIQDNRLSQDIQDNNEGSQHVDQLSELTNAIRQLERRLAARLDS VEASILYNKIPSHEPTEARKYAYGNEPRASPCQCTCAHKNPTTSSNSHSSPSFTPETD VRLPTTEPEVPENFMSRSSSPVPIIRGNHKRKQVNPCLTTRFVSPERTVYAQVARRSC QWKNCEKTGLTLVELKNHIVYDHIEPKGMAYTHCFWKECNSYGKNFSRLCGILSHIRY HTGDIPYKCHILNCRKGFYRRFHLEKHLPMHTKQSKSIICPHRKCGIIFKLPSDFVSH IEKEHEDNWDDLPVRKSIYPNKSEKENDSRRRR PHYBLDRAFT_58286 MATKDEWNPLVEQAANELAERWNIQKTAIPILAEMDKVTLTCNK QVHATSETIQKARKDTADTQTMIQSLREQDKALQLAFDRIDQLESIIQTVKDTYNKVA ESVDTIEKAISFRNIVYKLKNLNHLISFYYVFQHENENENTSRSDVLRHLSTNHIFLL LL PHYBLDRAFT_139274 MSSRQTGIVKFFNSAKGYGFIIPNSPINQSKIEEVFVHHTAIHN DGGFKSLKEGEEVEYDLIEGPKGFQAANVSGPEGIPVQGDPFASLNQNRGQYKDEDKA QSYWVGYNNNYYLPVHGVYSYGPSYVPYGQQPMYIIRSTGTPIVSPPSSSSNSIVPSQ LATASWPRYQTMPTPEKTPYHAVYAYYYPRPVASRSPPLTHSESTSSD PHYBLDRAFT_162315 MSSHNSFSSFYSALPHQPSFRFSLRAKTTPVRETIHGESTLKVP VYFPPRLTSLPSRTKPDQSLSLNSSNTPSKHIGTSSYVPILSLPQRQDDVIMQGILLC VCEMGRHRKKSQDFDELKERQRQGRLEWQELNAKLVSNQIELYQQTDNKIVHRIPLAS KHCIAYLTIVSPQDCCWSLEIKPRMSIHQGLGSSTGRYLFMSRKIEQSREWYTAIYRT LGVHSRTPMPLLVQVSVPLCDLKTHFLELKPRNHPIKELGVWFEDEVVWLRKKNQQIP IYCLQSGSFLFLIDLNKALLWNNPYHGHRPRFTRFSLATYLSAGTASIREHLGANSMC SLPNPSDFDRRMSNMLAAFAVFDLSRVQNINIGSHRNIKIHTLNDSLELQASSDHTLR EWVIRLQQGSQYSTLSNDRVQRHEIYSDLIWKASMLYVKQGRRSTFKQYLCVLTRHRG LILFDKYRRSSWTSKPKSEPIYRRSPKTVYISNAYVYTSPSCVKDMIRTKARNQKTPP KMFEDGMTEGTHAIQLLFVVYQPPNPKDSWIHQKLSGRRVWVFQAKDKEEKEAWVWAL DQAKKL PHYBLDRAFT_184708 MPRDRSNTCSSTSTLTKRRPSYININAAGAATTSGDDLLFPRTP KSINKTRRSSLVPEHHDPPRSPSCRPSLTSMKHIPTLLSDSTTAYIQEIDNHQAKSKG GTREIVTRSRSSSLTSMLVSSATASSLPSFDVHTLYKIRTTRSSKKLDYFFGEQAPHD ICVKEIRKEGLKAMLESKVPLCYLLYHLLDEYSSENLFFFIELEQYESFTYSSPQQQL TTAQHIYNTYLTRNSHFEVNLDDRVRRAVITALEKKEISGCFDAAKRAVYGLLESSFL RFSQSPVFDEMTENCGELTTNYDNESRDLAVNKLITYIEDQHSLIYTDPHTDTPVFMS VCQTSRRRHELIKSMIHEFCRTLVGVEFNYYRPDPSAFFQEQSSRSGSPTSSDTRTYK KTTGHSPKSNKDVFDFFGKKK PHYBLDRAFT_179036 MSFTRLSIQTRCISSQWTRAEIPRRTLANYSTQIKKTAGPFTPN KLITESVGDTSANINRTLVYIGPFTETIRRYKTTASLFGVCGICAVPALLSTGEAPIM SVVLAGVSAITPIGFVHWYTKDYVTRLIVHDDVKTVEKQRKKPQEIKDKWVGLEKVGL MGFVREQTVRLSDLTDKSTPKAVMWEAKGKKFALERDVMNTDPFLNGLVNFIKKKSV PHYBLDRAFT_179038 MTSTGASVASQTNFDKGVEFRLKGNASFKEGDYTEALRQYYFAI LHLRTVGGNKEKKIFEEKANEQLVMIYNNMSNVYSKQNKWERVIEYATMVTALDPKNK KATFRLGQAYLRQGKADKAKPLLEDVLKMDPNDALVKSEIARLEEDEQHMENREKNIY RKMFS PHYBLDRAFT_56433 MEEIGIAIKPEATVLEELSEDDLYVKLKKLQRDLEFLGIQEEYV KDEQKNLKRELIQSQEEVKRIKSVPLVIGQFLEPLDQHTGIVGSTTGSNYVVRILSTI DRELLKPSSSVALHRHSNALVDVLPPEADSSIAMLGSDERPDVGYQDVGGLDIQKQEI KEAVELPLTHFDLYRQIGIDPPRGVLLYGPPGTGKTMLVKAVAHHSTAGFIRVVGSEF VQKYLGEGPRMVRDVFRLARENSPSIIFIDEIDAIATKRFDAQTGADREVQRILLELL NQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEFPTPDRRQKRLIFSTVTSK MNLSEEVDLEEFVSRPDKLSGAEIAAICQEAGMQAVRKNRYVILSKDIEKGYKANVKK EDTNFEFYK PHYBLDRAFT_15006 MAPSTVRLASHNKTRVAYFHDEGVGNYHYGEHHPMKPHRLTLTN HLVLNYGLHQKMEIFQPRRATDTEILEFHAEDYVDFLKRVTPDNAEQFESLFQRFNVG DDCPIFDGIYDFCQRYAGATIEASRKLIANGADICINWSGGLHHAKKCEASGFCYVND IVLGTLELLRYFPRVLYVDIDIHHGDGVQEAFYLTDRVMTVSFHKYNGDFFPGTGHID EIGSSLGKYYSVNVPLRDGIDDDAYVWLFKEVIDAVMGTFQPSAIVLQCGADSLGCDR LGCFNLSIEAHGRCVQIIKGFKLPLLVVGGGGYTVRNVARCWTYETSILVDTTLSNDL PPNEYRDFFRPDYKLHPHLSGRVENQNDRQYLLKVRERVMEQLRYLDAAPSIQMQEIP PDIQGFLDGEAEIRDAKDDSEAGKDRRRSGGRVIVEGEWYEDDNDNDGDAKDSSWQKN NGMELDV PHYBLDRAFT_14428 MSVDLIPGEFTTFSVAIAILSGFIIFFGYVSMFLKERLFLSEAL VAVIVGIIAGPLVTNGINPYSWRDNDEITKQLTRCIIAIQVMAVGIELPKHYMKKDWK TMIILLLPVMIVMWMVSGLIIWWMVPTINYIEALVISACICPTDPILANSVVKGRFAE KHVPSHIRNALSAESGANDGMGFPFLFLAIFIIGEDDVGQAVGKWIYETCLFQIALSC VIGVIVGWAARKALQWSERNHLIDKPSFLSFAIALALFLMSMTGFSGSDDVLACFVAG NAFSWDDWFRQETEEAHFQDVIDMMLNLAIFVYIGAIIPWSDFGNAELGLSAWRLVAM AILILLFRRLPIVVLLKPVMPAMKTYQEAVFTGWFGPMGVGAVFLSKIAKEELMKVYT NQEEPISVKVINPIVLFIVLSSVVVHGTTIPLFKLGKSIQTRTLSISSIT PHYBLDRAFT_162323 MREPNLSFPAQSKAAVCITSALYDRRALDCTATLPLINSLTHLV YLTSTSPRIREILCLDGGLERLIRVLSPSNSQTDRRSLWKWSLAFQCVVNVGVRGTEH IRTKVVEAGMVPIVLRVLENFLRALEIVRQESERTKGSHPDPNPIPVRRLSLHSLSSL LTNELDGLVTPPITTATTVASTKPPTNAHNRIIRRAPYPKTSPEQRRRNRITRELPRA APTWQDPRSPSIDNVFYREEDIMFSLQLLAYLSKYPHIRTLFHTAYDNNVFSIVERFC HKLHPSGIQYWAGVIMRNACRKDESRGGTRRCANMACGKWELLPREFAKCRRCRKAKY CSKACQSKAWADGHRWWCVERTAISASAPGTTAPNHNPPTTTTTTTTAPEADPRRSVH ENNQATIVVNELTLPTHAHDIGHIEPELTDQTGTESRLLPDMGVHMEL PHYBLDRAFT_179041 MPEKPLPVPLSPLLGIPTSPSPREHIARSLSPLIAIAASPDADE ICQSNHIPSFADFIKPFGEHVQGRVTPRDSQGTPYAIDNFCVRFKAIDKLDEPNHRAL MDVMDDHVRACGSDPAASDIVHIKSRSDVDDNYLDTSLDQLMPWYADFKHMMLSLRGI TEHETFDHPVAVLIVISSANPDPMSTIMQLYNPNVPSFTIDKPYVDPNILRFYVLLHD PQQTTLEQSQIVFDKMKKTFGLHCHLLKLNSRPPPSDLFEDSIQHTDENNAIRDIWSQ LPTSLNIESRLQARTSTLAGPEILSPNNSSFSTPLSPSPSGHRRSNSASSNTNSFTPP THGTNLQNGPLDMSSPLEDGTRLPTHDMHLIGSAASPAMQYGRCLTVDDVQNTQFMIK ELVVQSLVPFMERNVQHWNEQVASARRGITGRVFGAGRRFFGTSSRTSSPQSIQSIPA TGPNTPVGTNTLTIFPYGAPEAQMRKLADYAFMLRDFKFAHAIYDNVRRDYATEKAYK YHAGTQEMIGVCQLMMNQPLSNKLDVDRNFELAVQQYLGRCRSSFHATRTTIMYYELL KARRMWKEVPTALVRMTGEDSDLRSALFLEQAAHCFLRTPSPMARKYGFHLVMAGHRY GKASQRQHAFRCYKLAAHIVEGQEWSVAKSHIQFALGRQAFHLGQLEDAVKYFTNVLA DAKQMPQQQAAHIREFLFIYRQYTTQAGIDPLKEALPHLSLPVIDDNSTHVTLSNTQS NTGDNQEEWSTMEIELLEESIAKGYIAGSKKALAIQQQDDQRPICAVGEPFLVHISLF NPLQVAITISQLILGCKHRESLQHNTDTSTESDENVPMIYGAHIEGTNMYAFDDFELE KVSEIFLEPLEKRMISLAIIPRREGSIAVKGLHYTFNDLVHTFKPFHKKGKRLHSTRE QLMTPVYAVDHTLDIIVTSPMPLLDLAFHGIPETILSGELVQAVLEINNKGNKGMTAL RLKSSHPSFICIGNPEEMDKHVYAGESDSKHQKEEEIKFDNLLFDSSVISVPLPAEGG GKSNERGVVSPGKTTLVPLWIRGDRIGKHTFKFLFSYQSEEGNGAIAHRTLRYTVNLQ VLPSLKINAFTRPSTTAVNEYILGIEIENLQVAANFQLNQISATSPVWTITPLSINID SPQDIQAKTSIPPRQTTFAYYKIQKKAKQTEQSLCPEAWTSTALEALLNNIEYHEPPP PVDLHVSKLSFRDTVIPFNTVPLKMFALNSRVHWRNSNLQSQFPNISPEKYDTMFTLY NSSDVDLTIYWNIPNMKRHGHHYIIGVNLGIVQNPFQGQVTSSKGNSGRTMFEQTAKE RATLLSSLTKNKVLKDESPVKLMVKTNDTINHDFEDEGLLGVPVDIVLKNCSWNKTAT YTLELMSWTENKVAQSQKSAKPTLNIHPFHWTGATVFTGTLHPDETNTLEAQAIFQLP GVYDVNRWKLTIETSQYRDEHPDSTGYFVQWPSLPQIVTIIN PHYBLDRAFT_154100 MERLNRRLIEAQLPEEALKVARRELKRINQLHPTSTEWAVSRNY LEWVTDLPWSNKSNSVLDIKRATHQLSQDHFGLEHVKKRIIEYLCVAKLKGDLKAPIL CFVGPPGVGKTSLGKSIAISLSREFHRISLGGVHDEADMRGHRRTYVGAMPGLIIQGL RKCGVNNPLFLLDEIDKLGQTSNHGNPAAALLEILDPEQNNAFSDHYLNMPFDLSDVL VIATANSLDTIPEPLVDRMEVIQLHGYTFDEKLHIAKAHLLPKQIMEHGMDQSQISIN DAVLFKLAESYTRESGVRQLERTIATLVRAKCVELVHLTESGRGQNYRSCIRLDDLDS LLGSPTFEKEVAERDSQPGIATGLAYSGSGQGGILFIEATKMPGTGKLQLTGSLGEVI KESANIALSWVKSHAEILKLGSGKNINIMDRCDVHIHVPNGSVPKDGPSAGITLVSAL VSLFSRHAIPTTTAMTGEISLRGQVLPVGGIKEKVISAHRAGITKIILPYRNKKDVTN DIPEKLQKEMEIVYAKTIWDVLRAALVSDGTKNAWVAPPYESVL PHYBLDRAFT_105951 MPKDGTGLTVLDPWLDPFKDALRERYNFFNQWRERINTSVGGYE NFTKGYEYYGFNVSKDGTITYREWAPNAVTASLVGDFNNWDVNTHPMKKNQYGVFEIV LPPKAGKPVVPHGSKVKISMTLPGTNERIYRLPAWIRYVTQDLNVSATYDAVFWNPEK TYTFKHQRPKKPESLRIYEAHVGISSSEPRVGTYKEFTANIIPRIAYLGYNAIQLMAI MEHAYYASFGYQVTSFFAPSSRYGTPEDLKELVDTAHSYGLTVLLDVVHSHACKNVLD GLNMFDGSDHCYFHEGQKGRHELWDSRLFNYGNYEVLRFLMSNLRYWMDVYQFDGFRF DGVTSMLYKHHGIGYGFSGNYHEYFGDLVDEEGVMYSQLANDFLHEKYPTVITIAEDV SGMPGSCRPVREGGLGFDYRLAMAIPDTWIKLLKESSDDAWCMGHIAHILTNRRHLEK TIAYCESHDQALVGDKTLAFWMMDKEMYTNMSDLTELTPVIDRGMSLHKLIRLVTHGL GGEGYLNFEGNEFGHPEWLDFPRDGNGSSFHYARRQWNVLDDKLLRYKYLNEWDKAMQ ETEEKYGWLHSPQAYVSRKNEGDKVLVFERANVLFIFNFHPTNSYSDYRVGTGQAGKY KIVLNSDDKKFLGHGRVDPNGEFFTTEGNWDNRDHWLQVYIPNRTVLVLAKSD PHYBLDRAFT_184715 MSSLEDLFGKLAMTTVTTVSRIALSHATNAAIRNVTTYITQQPK SKEKSSELEPLQRQLDLKIKNLKPTLDIIARSVADGNTDLEPALEMCNYLERDINQFS VETAQNNLKADYITLRLKQVLANVDDVVPFLHLALRSFEATTGKDQVSSSKLIQASYC VEAAKPKASQPRPVFCLKLYSLFAANARSAEKTAFTWKEEFHKCSLELQSHGSGIDYQ LVIKEDLDDGLYHEELGESQKKEKTLKGKTLVLDVSKVKRMYYTQSGELLNIEDAKTP VLVLKVKKTAEDEHGQIKAIETKPEIGQEELQDADWYAFELWTDGDNNEDDDEDDEDR KEDKKTNEQRESRFPSSLLLLESAIRLALLGTTEQIDHLAASDELINLYMV PHYBLDRAFT_162328 MSLLITGTSKRYGYSAGWDMCEAISSLAISGSKINLITRLCSNL KSCFDNLALQDCKYSERLLSLRPGIARNKNGMEDGCDQGFQFHAPIMNYPDVYGCRVF ETSKNKFPKRAIWSFGNDDHTIVYLDYDLV PHYBLDRAFT_106870 MDHSRLDVETDGREKNLAEFLAMMDNYTPIIPDAVTDYYLSRTG FDCDDVRIKRLLALAAQKFVADIATDAFQYCKVRQSGNRRTGKERKTVLTMEDLSTAL AEYGVNVKKPDYYS PHYBLDRAFT_58304 MSIDCLDDETLARILQDEENEQANKRRKICEDDERLARSIDRDQ NEITSEIFPDVHTLFTAYNPLYFEDKLGVVELKWSKRLTLCAGICCYRLNGECTIKLS EPLLKLRSSQDLVDVLLHEMIHAYLFITKGHSSHDGHGPEFLEIANRINKDANTNITV YHNFHDEVSYYRQHRWQCNARPLPRSGALLWYCGKVNEQPADRWFPEHQRTCGGTFTK IAEPAQKVKPAQKPKIKPSGQNLITDYVSAPLQSSSKVSLIRQPTKKR PHYBLDRAFT_75465 MYKPNVKYSPVLSTTPLLYSHNTIYDPALGSPRIKNDGWNTLDP DSPLKLSDQHILRQVQQQAWWLDRDYRFCGLAVGLDGIIGLVPVIGDLVGAVFSLHLI WMACQIRLPRYIIFQMLLNVLADFLIGLVPVVGDILDTMFRCNIRNAQMLEKHLLNSN ALRQPEQGMVENERSSTPARLSASASASPSPSPSPSALLLLYKDPSNISSDVIKSHPT DKPPCVPVRARATHMTNHLLTPTPSVCQEHDTFESMSGSPLLDQPQTPPSEMNIQMNG THCIHHNDNCDGPNGSNSDSVDAALVDLLKVTKNNNHNNHKNNDSSDGNTLGDTVQSD IADSPQSASRTAPTTETTETEPAIKSDSADDEAESDAHTKDKKDKSEEDKPKLNPLVH KPNKFVGKRQAFSFSVGCQDTLVECSPFNKFVTAKQKKRIIQKSGNPTDLLVALYNPI TPLEQNDALQPLTGNSQSNNPNISSNSSSSGQEDDYNGLSVSTIVDPTKINLQDRIIL RRESKRHFRKPLRRSAKC PHYBLDRAFT_162332 MIVQEEADVVGYEAQSNSQHQQHEAGGVGGMGGGDPYVDKITSS LWRRAAQIQSTLGSLTGKEDWEEAGRRKELEAQDMYREAQARAEAHEPSWAHGEYERW MGKLEQAVGHVSGDTDMEARARQRVQNGTDEVERATE PHYBLDRAFT_184718 MFPATTEFDPQRFAKDPQSNKDDKTYKESTTMEPLVLTSQNSNA NNDEDCHCGTPATISRFDPNDSSLDGLLMNNRRWVNSIIREDPLFFKNIALRQEPKLL WIGCSDSRAPANQLVQLGPGEIFVHRNIANVVNHSDLNCLSVIQYAVEVLKVEHIIVC GHYNCGGVAAAYGKQQYGLIDNWLRNIKDVYRLHQQELEELDNEEDRIRKLVELNAIN SAKNVCHSTIVQNAWKNGRALTVHAWAYAIEDGLVRKLDWSVDNNTTLQHIYHH PHYBLDRAFT_15211 MSWDNEIDQLIHRSSLDHKPIISLVCGSKNLGKSSLSRHLVNRL LNNYKRVAFIETDVGQTEFTPSGMVSLHILSSPILGPPFTHQNTTPVRSFFIGSSSAQ KDPAYYLECIEELMATWKFECNNSHTNMDDDDNDDDNDDDNSGIIPLVFNTHGWIKGL GYDLLLSITKKVQPTDVFAFYSRQN PHYBLDRAFT_157196 MISYFHQTPSSTLSPGQPGWDFETRLVERVPWTLDWRHLKGVWI LFEEVTTRQLLYSLNGSVVGLIGDVVDYKPVESQRSVDLATQEQSLVSPAYFDSLNYP PPPPSKTTCHGLGIIRAIDPSKHALLLLTPLPLDTLKLVSGIVKGDLELPIQCMLDEK MGSGPGICGVPWNMTPYVTT PHYBLDRAFT_179048 MDILELIHSETQQNEHKPHKCKVSNCEKAFKRRSDLSRHERIHT NERPYVCPDLSCSKSFIQRSALKVHMRTHSGERPHICEDEDCGKTFSDSSSLARHRRI HTGKRPYKCRHDGCNKTFARKAILTQHQKVAHESAVKRAPLQWRPLNESLEANKRHLT RTPQSAKRTKSNAATNITTKSIKPTKYKSTTIVIEPTPITTAEAVETKDITKPAQDNL SQDKPTSVLSYTMPLSPALSLVDPVCYDISHEALLSPTITSGTTSPANSPLLYHKTNS NHHHHRTHHQSQQSPPAKTCTLPAMMMTWPVTPPPVWQEASVERPSAAIQYVPYVFEP HEDPYLSHNEMHNITNHLHTFSVNDSINRHCPSFSLPSDNYTLFSSFRQRTYSQQTRY EPY PHYBLDRAFT_162336 MEQQYKPQLERYLGLLDRSSSLKKNIDRLSDQYTSLTTFDTMRT SPRVVPEQGALVVSKNANITDGNPTHLKSQIKPKSNQLERRNTQLQYQQKLHQQQKDT TNKKGHVSLPLMNKKTPQEVHFSQLQRALSSATVGNKAIAANPTTSVFPVASMSTSFR IPERSASTIRSSRNSLRFSHKDSMDILNAHLYKDQQGRWNTDSLKEKSFFGPKAPQYP LLSSVYKNVPSTATSKTIQLNGNTPPSPRPIHPSIGMYDLPINQLAMPLTPDTSPQSS VRSAVSMTLSNTSIPEEGPVGSVPTQKKTKGLKGFLTRNLPGRNNKLFGILNGSPEAP PGQRERAK PHYBLDRAFT_107014 YNLGGVCVGSPMAGIRLYEERYGLPTNYINVAITQQGEQGAFQK LERGEIKLHDFYIAFGDQLSNPSSKQHYLNYLEKTGKPAPSFIPDVVINGKDLFTTMM KETQRLDQKVFTAIRKLRESGQFRVAALTNNFELPEDDLKETEELGGKVPETLKKMFE LFIESRLVGLRQVLYYERKPDPKFYLHACKLLNVNPTECIFLDDIGMNLSSAKKLGMA TIRKLIRK PHYBLDRAFT_162338 MSSNTLNSEPAAAALDNYVGFDTITQQIEKKLLKRGFHFNVMVV GQSGLGKSTLVNTIFASHLIESKARLAADEPPRQTTEIQTVSHLIEEHGVRLRLNIVD TPGYGDQVNNENCWEPIIKYIKDQHSAYLRKELTATRDRYIQDSRVHCCLFFIGPTGH ALKPIDIVVLKKLSEVVNVVPVIAKSDSLTLEERQAFKQRIKAELVFHNIKLYPYESD EDDEQEQALNESIRELLPFAIVGSERNVIIDGKAVRGRKNRYGVINVESEEHCEFIYL RNFLTRTHLQDLIETTAQIHYEAFRAKQLLAIRESTHPASAQPSVASPTATMLSSPMA PTVMSPSTIGSPATMGSPAQSQKYVL PHYBLDRAFT_58313 MYTSSAVVFCLAALAGLGSSAPTSGVTITVTNSCSYDVQVNQLT NDQTSNGDGSVLASGSSTDIQVASNWGGRIWARKECTGSTDCNASAPASLAEFLLNGA NGDDFYDISLVDGYNVGMSISPNGMSGSGQSCGTPTCSNLPACPTDAQVKDADGNVVG CESSCKDCDDSEYAAAVKAACPEAYSYSTDDSTSMYSCKATGYTVNLC PHYBLDRAFT_179049 MAGSETVYGIHHFDAENDDEISFSVGEPLIVIQRDDGYDDGWWH GRNALGQIGLFPVNYTSPIPLINTPINTPINTHADTTIHTTSLPTPSTSNQLQKPANP RVDSSPTITRPNHMSHPSIDRTDEASSIPQSARMSQPFSTRPDQPISHQNYSYPTKLP SPVVRPESISPPHSHSSTTTTPNTTPPVTTHQPSLRNTQKTFSDSMAHPAIANTNPED WSVDQVGIWLEAMQFGQVVHIFKAQEISGDILLELTIESLKELDINTFGKRFKIHSAI NALREERSRQEGGGAITPIRNLTESPPPQRQSSSKHSRTTSMSERYHTQSRDFGHKNV PSQDTDSGRTSIGYSRPEMMESGVSQSDFEPRRLATSSSFQPPSKNTNSITSITTTDH PVNDTIIHAHQSTRDFPQIRSRSDSMRSSFFPTGKPQSILPVDSRSSMDSINQRTRYS DGSVAPDMEGWLHKQSDKYRTWNKRWFVLKGYNLFYFKSPTDVRMKGIINLRGYKIIL DENIHAGKYSFKAQHDTERTFYFHTDTENSMRAWLKTLIKATISRDYKAPVMSSSTIP TVSLDTARRMKPRPPSVLLYAKENPPPIPMQTIPHSPTDSSIPPMTPRAVPSTPRSAR PRSQSCSDLSFNTHDSDSTIRHRVPSPDVNPLRPSYDSRKAYQDSGFSYTARSMAISA TQPSLMFYQPSTNSTTSSSPPISTGSSNTLNTIVTASDLNPPKLPSQPQPKYLPGSNR YNGDDSNTATSATEEEEESGGDGNDNDDGDLAEYRPGGLRRLTEESQTNVSLMMPKDH EDWNSADYIDWVNQIIYHKLNDLTEFRTGDMLIELLESLSGKEVERLPTGGGGSSSSN GSTVSASMHMLDKIVAAFKFMSREGVDIEGRFTIKDIFGGNEQKIVDMLSAIKTWVDS YQIVSAKKASGGTFGAKNDRDDLRAWDDDINF PHYBLDRAFT_121082 MPQQIKDIKQFLEISRRKDARSARIKKNADATKFKVRCSRYLYT LVVKDQQKALKLRQSLPPTLVVSEI PHYBLDRAFT_157198 MSRDDEYDYLFKVVLIGDSGVGKTNLLGRFTRNEFNLESKSTIG VEFATRSIQVDNKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHSTYESVG RWLKELRDHADSNIVIMLVGNKSDLRHLRAVPTDDAKQFAAENGLSFIETSALDATNV ELSFQRILTEIYRIVSNKALESSNNVIKPTGGQTIMVSQSPDEQKQGGGCC PHYBLDRAFT_58317 MLASELPFEILSHIASFIAPDKNFDYTVVCRAWKIPFQESMWSR MNIYTRKKLEDACNTPASNQSYYKIHGHLVRELTLSKRLQTSDRQLRILQDLFPSLRS LYIYEESVDNIEFGVMADWSIWRSLTHLKICVEGFEIEVNVKKFLELLSFLPCLKRLE YVKALCGLSSLFKIDDFETLHRHLPQLTYLSTAMDLDNISPNDIQTITNSNPASPLTT AEIRVDHMDIRWLWYFAQKYPNIRTLEWKIINTGFDTSVSPDEVISLFPSLTFAFPHL NKVVMKGESEKVSMHLAFWDLVYQFRAPIKHLVYYLAGRRNIPELLEQIIKKCMSSCS ETLETLLLLCWAEMCDPLIIPNALGICTHLQSLNLEVRRSSIALDVILDNCSLLKKLK LTSQRVSIQPDASNSPKLHGLRFLELRMATIKSTLFQYISSRCRSLNYMRLASINIFG PLLPKTGSLNLDMPHTCFERLELNCVVFCASHDDWSIEMPINFMALSQTNSTPCDKNT PSQLVWFHLHRPSRCGHQRDQIRILKKAEAKYSRKYFRAFERKTVKNPDSQNGVRRSY HGQVLKHLWKKDLPLGYARLNCKYSRNFVVDTKESQKRNKFLNHHFCFNVCFSRCVPI YLDLITITHSFCGLSSHEADILKTLSTNCEKIFVISIFIMSASTLPFEILVHIASFLS TNDKLTCILTCKAWEPAFQETLWNTVDIRHKGKLNVIHGVLVSKGDSVHSQGTYVRVL VFALGLKVSSEQLRTFQRHCQKIQHLIIREGGLSKDYFLKKPDWELWGALTRLEICLS GLNLVNEPRELIYIISHLPRLRRLDYVDAMMGPRPLYKLQDFEALHNHLPQLEYLSMA VWLDVVPTEDLTIIKGATPANALTTLKLYVDNMDLWWMYYMAQKYSNIITLEMKIDTE LRRPNSFREDETSKLLDLSDVFPRLENTLIACRPNTEWSQLTLWDLFRRFDTPLKNLK YDLHSCYDMSGSLERTVSGSIQSCSRTLESFHISSYVDFSDRCKVPRALSFCPNLVDL QITSYITIVALDIFLDHCAVLKNLTLSVSMLSVIASPFHDSTPHGLESLKISRATTNG CILRYTSLRCKNLKYMYLIDVNFFGIINQETGSLCIDMCDTRFKYLELVRVSFYSSSG DRENSLKFLCISWPNYSLISDTMLQGNNDSSLWFHMYSSLADTGPHNNGLMVLSEEEI RLSEKYFSNFRLNSANVFGNQEEDRFYRVKSTWNNWIDWRKSLSLGYATIRCASVEKY HITYES PHYBLDRAFT_139302 MSASLLPFEIISIIANLLPLKEKLCCTTVCRTWKTPFQESLWTA MDIFGRRKLESICDTTSSSNIYQTSGYRVQTLSLAWRLGVNDEQLHAFQQLFPNIKQL HIRQGSLSKENFGKSTDWNLWRFLTHLNVSLSWLGLEDEPKELVRILSFLPHLNRLEY SDAAMDQKRLYTWENIEAIHAHLPHLSYLSMAVYLDVISERDLSRIANIEHTNALTSL RLCVDNMDLWWIYYFAQKYLGIHSLELRVDTEYFRPKDYLETAKPVFSTLTKAFPNLK TVLFSSRPNVELSQKVLWDLFGRVSVSLKKLTHEVGCNDLPKALLTQSAIESIRSCST TLETLLISSPVDLSDKFGVQNALGSCRCLVDLHIMNYNLPLAFNTLLDSCVNVKRLTL NVEAICEPASSSSFTETVTKLHGLQMLDISRATINAELFGYISRRCKHLKYMRLADIN VIGQPSENTGNICLDMTSTRFDYLELNRVLFYGSAKKWGPENIINMMVLAQKNNISLS GQSLEDSLPKERIIVPSEESVWLHLNQTPTSFPWMQRWRVLKSNEIKVACKYFWDFQG NSGINKGYSGTSHTDVERSDMGQVSWYNWKQDLPRGYALLCCKVANKYEINTCRYPDN NSWESLYRYLK PHYBLDRAFT_162346 MHNTCNEQAIMANTAEAYEIVKLYDSVFGSLFFLSSSKLYPTLL KGSPPTTLFSSSSSSFSNGTKCGSFFGYYYFLFRVIQNININSKSNDSYVAAILILKV ASFVGFFWITKPNTRMSVIQNKPCYECPAEFIDVADLFFSYFGQISKLLNSQLFSC PHYBLDRAFT_176641 MEEEYESVLLIIRECFVYRIPPRTTARGYRAAEWGDLGDSFLWK GRMRIMRKGSTCTIRLEDPNTGEVFAVCPYDPNSNSMEPVLDSSRYFVLKIEHEGRHA FIGMGFQERSDAFDFNVALQDFTKQLIAEKKASERAVEVDNTPKKDYSWKEGQTINIS IGSVGAKRTRPRPSGTSDSSGFVPIIPPPPSAAQVKQQQQQRQF PHYBLDRAFT_58320 MKFTSLSFIAFAFLVACTEASPVTFRVIAPGATGNAQVSIDGKV TDLTASDADVPYFTGSVEAGTSGKYKYVVNGQAESFDRTLETGRNATRNDFFSRPVTY ANIPKLPWPITENPQWTRGGSNQEMWDDNYIPSIFMTGDAAELSDLVANVPATMSTVK FTFIGPETVRVYNGCSFGIHGAGKKKNNAKQSWKWSLPAGQTIDNRNYIKIRHMEEDP TQLREKLYADILRAMGTYANQANMVRLFINGEGYGTFNMLDDVADYSYIDAMFYNGNP PATKGPLFDGASGASFAYSAEDDFYSAFKPNVDSPQDYTAIKPLALEFSQTDVTSDAA IEAFSKKFDVDQFLRFMVMEYLTGHWDGYWQEQTNDGAYQDPTDSKWYYLGQDYDATF GVNLGAAEGKEFTKVSYTTYPTRYPGAVMINRLLENPSIKTKFETYIKNTVSVLFNNV TLTNRVLAYHEFVLPDLLWDRSIVQKSPGINFGWTADQVSQNLYEAVSGSGGEGGGAA WGLIEWIVSMSQAVATEFKVDITTVPVGPPGGSAATTPSTSASVAASVSKSASPVSAT GATKSTGSSSSSKDTDGDISAASKDGSGAFQITPKTAFSAIMIGSTALLSALCKLIKR LPFVLISNNHRKK PHYBLDRAFT_14376 MSSPSDIQERMAAARQEAEALRDKIKSRKSTLCDTTLSDYAKQH MERLPRLSMKIGRTLKGHQAKIYAMQWGFNENSLVSASQDGKLLVWDSYTGNKTHIVP LKSSWVMTCAYGPSGGLVASGGLDNICSVFNIRSSAETNGSISRPTRELIGHTGYLSC CRFPTDNQILTSSGDTTCILWDIDSGRKLQEFTDHSGDVMSVSISPNPSIFVSGACDT TARIWDIRANKCVQVFSGHESDINAVQFFPDGNAIGSGSDDASCRLFDMRADRELNLF SHEQIFSGVTSLAFSKSGRLLFGGYDDFNCHVWDTMRGERVGILRGHDNRVSCLGVSS DGRALCTGSWDSFLKVSCLLLHF PHYBLDRAFT_58322 MVESNTLLYDTLGSLRVPDLKSIINMVNDKFNHTISTKGRKDEI VLRLSEHLPELVEKCDKAEAKYVIDFMNTAANKKLAWTYSQGSFKLLEDTTPEEQERV AEEDTLTQPTLPQSVPNSADGPWPKRRRLQDQDQSQQLNERESQLQFNQAQLLHETQQ NDLTRQHHLLQEQSQQQPNPNQLAEYMIKTPQVSMSDPNNMHQSSTSQGFPSQYRTDN PMLINTKPNSFYRRISTLTHTVSCPVTPENGRGSKHLNFKLSLQQVEKLKLPRPYDGR SIFQVRMFCIAAPDPDQTDPTAESLIEFPPMCELRINGHIIEGSSLRGLKNKPGTVHA PDVTPWIKPFHLNKVELLFANTTKRYLACMEFVERSTVNALVEDLTVNFTMSKETVLE NFKNTNSDTDIVITYATLTTRCPIGFSRIGIPCRSIACQHLQCYDLTTFLSMNEQTPT WKCPVCSCSISSHRELFIDGHFSDILSRVGKRIESIQIDSNGEMKEGSGGGNSSEDDG EDCADYDSDDNPITSASPQTNLRKENNPANPSPAILCIDSDSDNDNDNNKGKGKDNIK VKDNDKSECECECQSKSKSKSKENEDVNRNDKEKEKGDDVKAQPKPLKSNPSCSSAGS HVTSNYNHQDQKSRSLRRTEKNKNRPLVIDLTTDSEEEDDEDKKVIVKT PHYBLDRAFT_179053 MSVITSDEKTKYAEIFQARGAVNGYMSGSIARDVLLSSSLPPDR LERIWDLSDIDKDGSLDFEEFCIAMHLTFECINGAETPTSLPPSLVPQSKIHLFPNGY MSQPHSGMMPQQTGMMPQQTGYAPYQQPYATGYTQASPASGPVEFSWDMTPQDMTSYQ NIYSKYANETGKVKFGLMDDFYRSLGLSHADLSDAWSLVDVNHTLALTQDQCITYFHI LNQRTKGAPIPKELPPDLQAAFAGEYAADLGERPGAGTGARKGNNQSMSKSAQLADSY VNRLGVASTSLSSKGVCVCVCVQMIGTSVKGEKYDEEEMLKRELAALKLQAKEAEKRA LAMKENNSAHDFESRPLRDQFQALYDYKLQQLTNQADVADKIQKQSRDIEVGRDAIRR LDRLVEEARDKKRELEALLEERRMEVVKTDRLLNEA PHYBLDRAFT_139307 MSTFSKPISLCRNFILVSLTKAFTIYAIWGAHWASVMDEQPFLS GVIAVKTLVVIRRFLE PHYBLDRAFT_5581 SYKPFVLRHRSEIVAQQFCIIEQQMLQNVTWDELAELRWRKRSR AMASNASNPSTDILEEPCVREGVDELIGFFNKICQWVASEIVRTRSIETRVQAIEKFI RIALKCYHQRNYSTLMQVLLGLQSPAVSRLEKTWQRVDHYELHIFGELKELAKPFRNW KNVRDCMTRHTLSGRGCIPFLGLYLSDLVFNSELPTYI PHYBLDRAFT_15389 MSTPEDDDPYNARIEKTGCAEENEILQLCFYDKKDWRLCKEEMQ RFRKCFQNNQQNAGSKALAQSEKNNNKH PHYBLDRAFT_176642 MTSYIKFTPISGAKNEDALCYLLEIDEVKLLLDCGWSDSFKVDD LYHLKKIAKQIDAVLISHSDLPHLGAYPYARSYLGMTCPVYSTVPVVNMGKMCLYDMY QSKTNEMNFDTFTLEDVDNAFDKITSLRYSQPFALPGKCRGITITAYAAAHTIGGTIW KIKQDTDEIVYAVDFNHRKERHLDGTVLHSGGVVLDSLTRPSLLITDALNAAVIHPAR KDRYAAMFDTMISTLRNNGSVLLPTDSSARVLELSYLLDQHWTTNQLQFPLIMLTNTS YHTAHFAKIMLEWMGDDLTKHFSQTRENPFEFKYLRLCHKLEDLDQYPGPKVVIASND SLETGFARDLFLRWMENTPGQATNTLILTDRSAPGSLARKLYDDWDKTTDEMTSTTNE SVPLNGRTKTPVKPAIDYDTKHHLTVFRRVPLEGVELQEHEAIQRAQAERDAAQAAMI ARSKTIMEEDESDVSDIDEADDTMEDLMTTQYDLYVRDAGRSGGFFKQAQSYRMFPYL EKRKKIDDYGEAIQIEHYMKDSDLDRIQAERNTVGEGANFGKEEEMQIDIQEPLLPGR DQTPTKYIKNEQDIQVHCLLRYVDLEGLSDGRSMKTILPQIAPRKLIIVHGGKESTDD LAQACQSMDHFTKEIFTPSVGEVLNVSAATNIYRVKLTDALVSSLQFSKLDDYELARV SGRIHFPEDSTTPSLDVAISGEPSKWEPSVFVGDVRLTEFKRILQAEGISAEFKGEGM LVCNDQVAVRKTGAGQLLVEGVLSVDYYKIRSLLYSQHAIL PHYBLDRAFT_157200 MTQGVVQLDKVVTRNNPVTEINWISLDRIFDFQMSLKTQEVVRS DVKPYTTFIKRVAATLTSNTLTYEDVPDFLKVQDVLHKHTTRHRLHYPFIIEITRTQR LTRTPQPTMGITSQKIMCAYTGLDMWYDVEVFYSPHKAEFELNRKLAVGKLAPWTVET ILGENDSQLIEYVRCLLLLTEKYQSVVS PHYBLDRAFT_184727 MSLPLLVVGGSGLVGSSIIRQSRQDHKYHILALSRSASASNDSD NVHYVQGNALEPSTLSGPLKNNPDVVCAVGIIREDPKRGNDGTFERVNRDSAITVAKA MAERYDGKTRKCFVFISAANYLPSFMLDRNYYKAKREAEAALLGKELSDRLRVVILQP GLIYSYNRRQLVLPFALSLIVGSAVLKPLSSHLPDQALYLTDRPLLDDDISKAVLEAV ENKDVEGIYNIDRIRLLAKAWEQKHTNKTK PHYBLDRAFT_179058 MATHDVHRAMEESIFSSFQHSLQNPTPLGQQSFRSMSASDLISI PPSTSLGHRHQPRGRPTSTASDSLHQHLMHSNSPEAEAIDRLFENLQRYEKMLEDVAV ASLDQEFKDELQHINQWLRCRSDAERTAALYSVVQNASQIQIRFLITVLQQLAQDPLN TPAGQDKGLAINVASSVVSTESEYEMRRRQLYPPSRRPSRPSLCHRLSSALSEPDDLR RRHDFFPRTLGRPQELLYEKAIAARTQIQAHTSLSCAFPRHQPSLSTSTSASVSTSIS TPESKSLFADWPFPLARKESSNVPTPIGHPVDDPWSFKKKKDLDLPWARTIKEDQAEE DLHKPAATIVIESNERLRSVSSSGSLLVPPPSLYEQNDDLSESDASLTSKDQSLTGTA RRRKRSSAARALKDKLAAEAVDFELMKDVQNWLRSLRLHKYGHAFVGLEWQQVIRMTD ADMLREGVNTIGARRKLLKVFENVQRHCDENSIAY PHYBLDRAFT_179059 MTLGFDDELPEDVYVFDAIRKNLTYEKTGVASVLKPAPVAQHLP AFDSKGKPCFKPYVGSGKLEGKYALITGADSGIGRSIATLYALEGVAGITIVYRDEEE DADAMYTKNTIEAQSKCKIHLIARDIGYEKNCQEILDAHLATFGRIDILVNNAAEQHK VLRVEDLVADTVERTFRTNVFGPIFMTKLVCNHLVAGGVVINTASIAAYRGMDVLVDY SATKGAVVSFTRALSQQLAPRRIRVNAVAPGPVWTPLIPNTFSQEEIQNFGSFPPFKR PAQPAEVAAAFVFLASDCASFITGQVIHPNGGTVINA PHYBLDRAFT_58331 MFKKQDKNQVKALPHLPGGPAMLQPNGPTSQNMSSGQNNQGYAS AAQGGNGGHNQQGYQDRYAQQDGNQNMQDDVNGSTPWYIQDAKVQAEDGEVPPPVFSS QPRTSMSLPGRRGSMLQQQVCRMDYGIDPVNLLCDRLSTWRVSIKTLVKMFKSIHDAE SKSSKGYCNASKNFALPSETDPNKFVHSGGIQDVWLAFKNYSLEEGTLRQDYVHYIER ALLPGLRGIKTDIKTMIGSIRKDPELRNQKLYDSRLTVDRLVSRLDKNLKTITQVPEQ ADQIGDPLLLSQGKLHIHILYLSLLAVRQSIKTLYDQENTLHDQMLNLQQEVCVFEQN VVESLRLVFQEFKKFRLESKIDSPSLVNDIEAKFNAIRPQTEWKDFVQRNQFNLVRED ATYKSDRLISYPNQNHHLVQPIKEGPLERKSALRKSWVEGFYILSPAGFLHGYKSPDM FYEDPMNPESTVFLPRTKFSTDNTDEDGTFELRGKDAQVTLGLEKTFIFRAINDRDLN RWLKNITQCMERYKPMPMLPGPEYSDESSMAGYQQNNRDSRYMGSNNQQGQNQQAYQN GQYQKQQQQQQNNNSNARYQQTEPRQQVSNSANDRGGYVSNAQQEGNSYHRTAQYTEE NYPVRGTSAYSETRHGGQNVGQTARQNNAGHRQQGSQNVSMDTTAATISTAQTPDERT ATFSPFLTDRFDQSPPAPPKKEEIDEEQSLTEDGDEHYTSSEELGSQTMDDNDDNWDT LPDDGRAATTNNTTNTTTTTQKHSCQPQIVEPQNATEDQGVFKRYLGI PHYBLDRAFT_121096 MVRNFYIADIITLMNGVCGVQSVFASMRYLVTNDAADLYRAMCF MPFGLLFDFFDGRVARWRNNSSLLGQELDSLADLISFGMAPAALAYAIGMQTFLDTAV LTYFVCCGIARLARYNATVAMAPKDDTGKISYFEGTPIPTSLTIIALFAYFLSNDKYL EALPGQLITFGEDWEFHPLVLVYALSGTLMISKTLRIPKP PHYBLDRAFT_97442 PPSASLGLRRVMSEPDTNTYFGTNTSPQASNSTKSSIKSYPSPS TSADPIRSSYSVQKEDAKVGPDSFIKLRLLGRGNVGRVYLVKQKETDKLYALKVLSKK EMINRNKIKRAMAEQAILSTANHPFIVPLYHSFQSNDHLYLCLEFCVGGEFFRALQQR PGRVLEEHEARFYAAEVVAALEYLHLMGIVFRDLKPENILLHESGHLMLSDFDLSIQA PAAGPPTMVKPSSPFFQQPMLDTRSCTNLRTNSFVGTEEYLAPEVIRGNGHSSTVDWW TLGILLYEMLFSFTPFKGATRNDTFELILKRNVEFPDLTSNPYRTSPGISSQCKACIR KLLNKNDKKRLGARAGASEVKSHAFFKPVNFALLRHMRPPIVPSKSNAVDAVHFKALK ESVSLDLEDSRSQSGNEEAGPVTDSQSDPFEYFNS PHYBLDRAFT_139319 MPKDPTPHQVSKGLTYVHKEPPFLARMKGRQEEKEQAMKKFLDY EDGDDDADYDELDGAQVVTFDDSGKEVHKDASDYEEEGEKDEKKEKAAVDETGRVLFC ARKKKPVKSTDKDSKDKVKRKAEDKDTEEDKTKSQKKQKKQKKAIIALSFQEDE PHYBLDRAFT_154111 MSLSVLFRTLSVRPSQLCVSRAVGGQCKSHCSNHQCRSGCCTHK CTPACTSHRLFSTALPNANRSKTVGFIGLGQMGYGMANNLATKSIDPLLVYDVNQDAV QKFIETHPNARAASSPGDIAEQAATVITMLPESSHVESVYKAMRDAVDKDSILVDSST IDADVSRRVAADIMSKNATAFDAPVSGGTLGANAGTLTFMVGAPSLESFEKVKPVLCH MGHNVVYCGCNGSGQVAKLCNNMLLGISMIGVSEAMLLGTRLGMDAHLLASILNTSTG RCWSSDTYNPHPGVIPTAPSGKDYKGGFSNKLMAKDLRIAMKAAKDASADPVLGTVAA QIYNQLSVTKDFDSLDFSSVYKVYFKNKIDR PHYBLDRAFT_129857 MDDEYDYESLGSNTTMAHNALAGALAGIAEHCAMYPVDSIKTRM QVIQSSKPVALVSPLSATATATATATITATTISNPLQIPASTIRSTHSNLWRGVTSVV MGAGPAHALHFGTYEFCKDFLGANKDGHQPLASAAAGACATLAHDALMNPFDVIKQRM QLKDSVYRTVRECARQVYTKEGAIAFYVSLPTTLTMSIPFQSIQFATYEYFRKTLNPS GEYDPKTHVIAGGLAGAFASSITTPLDVIKTLLQTRGSHHDPYIRNTKGLVEAALIIK ERFGLKGFFRGFRPRVLTHMPSAAISWSVYEYFKWILNARLSN PHYBLDRAFT_139323 MNVNASDTMGSTPLDTTSSLQEDGRIFIPFDVTFSIVFVSIGMS CFVWQTIESGWIFHKSHKPLHGIVFAQAFLGVVVTFVTLLTSFVEISCTFRLLFSVVG VNLGDFCLQYVLLCKAYIGNQRSKIVLFFGALPLLGILIVIILNFTLSRSESYYDNGV CITNYPLYGVIIKTAVDSISNTMLSYWFILVIYRQYKLFGTSVQKTLIAEAGYLSSYL IIKQLKYVKESPDADNRASDEENQSIRSRLRHDFMEPERDTLSLHLPFDSTVNYSFTS RPSYVIQKHSGFTEKSVINTTTNTNTNTTTIGHDICPPSPFSVQSPLGDTISEMLFCS PRTSSRRPLGSEGTFGWSQTTLPDEENKDSPTSSPKNQHRFA PHYBLDRAFT_106045 MSDTASTVPAGHTLQRNLKARHIQMIALGGTIGTGLFMASGKSI SMGGPGGALVGYAIVGILVFCVMMSLGEMAAYIPVSGSFSHFATRFADPSLGFCVGWV YWANWAIGVAVELTGVAMIMEYWIDSVPTVVWSIICLVILLSINVFSVKGYGEIEYWF SLIKIITVIIFVIVGICVDTGAVGGNHIGVTNFHLEGGAFPFGFLGVFNVFLTAAFAF NGVEIVGITAGESMNPHKTVPAAVKQVFIRIVLFYILSILVIGLVIPYTDPNLLKGSS SVSVSPFTLVFEKAGASWAADVMNAIILITMISAGNSGVYSSSRTLLALSQDGYAPRF FMRVNRFGIPFWSVLATCSIGCLAFLTSLFSSGVVFNWLTTLTSVAGLITWVTISITH IRFRQGYISQGRLLSALPYVAPFYPFGDIFVIVIGTIVICGQGYKSFMAPIKAEDIVS SYIGLAFAVVLYVGHKVIVRPSFVKVADMDFDTGTIKQTVFDIREDEKFASDGLPIPW WKRVGKKALQIIA PHYBLDRAFT_106709 MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTV MIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVKEKWFPEVH HHCPGVPCLIVGTQIDLRDDSAVLEKLARQKQRPIGFDAGERLARELGAVKYVECSAL TQKGLKNVFDEAIVAALEPPVKKKSKKCSIL PHYBLDRAFT_176647 MDVDACIESVRQCKYLAENDMRLLCNKLKEILAEESTVVPVQAP VTLCGDIHGQFYDLLKLLEVGGELPGVSYVFMGDFVDRGRYSLETLTLLLLLKVKYPD RITLTRGNHESRNVTRVYGFYDECLAKYQSSKVWEWCCTVFDYLPLAALIDGTVFCVH GGLSPELPSVDSIRTLFRMQELPQSGGPCDLLWSDPEAHVDSWAISPRGGGFLFGYVP TNAFCHNNGVELIVRSHQLVDEGYNYPFADKNLVTLWSAPNYCYRCGNKAAILKIPDN RKAIFESDYRIFLENEVQGDVSSTAGPGSQYFL PHYBLDRAFT_179065 MSSEINCIALIGKQNNPLYIKNFSNTHPDLKYHYIAHTSIDVIE ERVSNGPKNLDLYIGLLYAMEDLAVYGYITNTRVKCVVVVSVTDCVIRDADMKLIFQK VHAAYVNQVCNPFYNLDSQKSLTSKAFIRAIDAIGLTKPTRTTLDI PHYBLDRAFT_106623 HFWGPVANWGIPIAAISDWKKDPDLISGKMTLALCLYSGLFMRF ALAVQPRNYLLFGCHFTNEIAQLVQGYRYINYHK PHYBLDRAFT_75483 MALDNLNGDELASSWSQEKQPEDSPHAVVERPVSVIVVNPEGMD AHAIMDDESTLETNTIDEDSNGVSTQASSIHDSAPTSPKDDKMPSDQAIETQIHAQDT PPTPTPTPTPTIQMQKQTNTPVIASATKPRPVDEVDEPSRFDLSALQNILPEFAGPVT RFYQHKNILLTGATGFVGKAVLWKLLQALGPNIGKIYVLIRNGSNKRSKVGRPTDRIR NEILSNKAFISLKRSIGADLFDAIVKEKIIPIAGDIISPDLSITEEDREMITRDVNVV IHCAATLDYHERLDLSLETNTLGTLRLMDLADECKNMQAFVHMSLAYLNANLPDGNIQ ERVYPMELGDPEELLKEIVGLELQDIPKATQRILGHYPNTYTFTKALTEHLILKRVDY NRLEEVQGGKTQWPVAIVRATQVGGGAYEPLPGWVDGVTGANGAIVLMGNGIQVLQPD IGDTKADIVPVDYLARIVIGSAAYIQPPGSKFLLPYNEILANNAPVGNSNIPHIQYFP IIYQVSATSIDSVSWRKVYESSRHYWMRTTKITLPTAKEHFVSNKTFFKAKIFMRNHM HQSFTSVSTTINTNIGVNGNTGNRSEPSLASRAIELATRVVEANQPFIRHRWQFENQN VKEMHSFLDDDYVFSLNGYQHINWERYVANYSYGAHIYITQGPPGSRSITVPLQWDCA LYSKFSNAKNSIIDRQIESVIFSASDIEKRTERMLTQIIMSLEKPDNESRDKKMIEEW VNDFDASLDDWCHDDSEILKDGATTADLGRWSVSVGEDDQSLKVIVLNDKRVGNSIRQ IIDTSGVPQQTVVGEAFKVLQRMKERTQLAYVWFAGAFLDSLFKNLFTSIRIKEGDLL KMKESIKGKNVVYVPVSKTILDQLLVWYICLRYHLPVPAIVCDEALALLGPISDILRI AGAYFVRRDQSTRSPLNTAVAAAYTEALLHDHGALSMLIEKARSRTGRLQTVYRDGVL DMIIEATLERNQTKPTSRQSTQLQAQYQEAYDNGSSSSLVSKGTLFVPINITYEKIPE LRTLIDQVLDQKSRAGAASATRLTAPSTFLRPSASFVGRAANKDNGTVEQGKYGRVYI GIGDSVDLKQRVQEAHTEFEKDGTMAINEKQAYSTEYVAKAIQKHQHRAAIVSPVSLV AAAVLFGRATNGLPIGKIYEYVEWLRAEIIEKGISLDWQAEEDVETVVAYALNLLDAK TNIILDGKRITEFTNVRVMDHADNIMDLSYMANQLVEILLPEAFFAVLYLSTGPKRVS KDDLLRQFNFLVHLFKDEFVYPWDKEQEFYRLLEWYTKKDILTLAEGDNTYYKTLTMD SDHTVYTQICLIASFLYPTLDAYWITSCSLSALRDLPYIPRKIVPILSQWIAAHLISG RRTIYREVLSTEASQNAVDNFLVIGFIDAVHPKTKLSPDAQILLLELGVTTNEDLVMV SNRENISTESEVDSNKANAHEHPDSLSHLKDIAALCHEIERYRFGADAQTRNHGQNAQ VFDKCQNQIRSILRAEESYATQHGMELIRDEDQMIQLVYSLKAGSGASNPRRVSEVYN LKS PHYBLDRAFT_184736 MANRTPLELEQDIAAIDQTLSTVSEIRSSLHYFTRLIQAEKKEP QYVQNFSIRLNAIKRELNKLSIESEGLRGPLEYAQQLSGAGNFSWPMIKEAASKEAGD EDIADENNSDKQKEAGAMIKEKAESVLGQLSSVVLDRAQTQKPNRFITSHIQYWMLND KPTNLGMIVEIDEEEKETLVGSTCHIKVTVQKVLVASLSLEYESKSNTLILQQFDIRG PREEPLISL PHYBLDRAFT_184737 MPHKRAKASIRTARKKQLGADAPVTSNEIDDTPKGFARLLRFKD HKIKKQQELKDKANQTNKDAQAPPKLTIQPGEKMKDFTLRVEKEYKKDFINAVKASKP ISDRKKKNRESRKEKKTAKKRKVEEIYGGRDFDDLEDKVQFGEVASAPPTFSKLPKAR GRGKEVLENKIKEAKETKSTTDATDGYVSEEDENMKELKASHKRKLQNMSASARKALG DERERAIELYRAKKAQKLVNMETQS PHYBLDRAFT_162375 MKLNHLDLKINVETPRIILRGALDESVGCVLRGFVVFCIKETIR VKSLKLRLSGRMRINCTERVLSCANPRQHKREISVIENEWVFLELAKKCHVLIPDTYR YPFEFVIPGDAPESVKDHCYGSLLYKLKAVAERPGLLTNLVDRQPLDVIRQDNDQDND MPIQMVNLWQDQMELVITAPRKKVYLGEHVSIDFFIKPLGPGFQVRYISWFLKEYTSF QVDDNTIEAEPKIIRFHRDDQGATIDPYWQKTEVVFIPHSADAVRCDTTSGRLKIEHK VKCTVSVVDEEGHVHDLRVSLPLVIAEVRQEEDEEDLPTYEHAIQTEQYSPTPCTVSS IPAYTAIWLAPQAPDGQNPTTCYTLNNYLNYFKILLRR PHYBLDRAFT_157210 MGQARSKETRDLTRTTHFSSKEINNLRNDVESSAKQTDQSSITE NVFKEAVKKYVPSVSSSDDVFLKRLYAAFDVNNNQSLDFGEFVDGLSVFMKGTADEKM ALSFKLYDIDHDGYLTRSELERVMLQLSHTFSEEDQASEIQQSISRMFDDLDVDGDGK LSFEEYKLSSLKEPMIVDFLEHFLDQHHLSNQPRPPSRPPSVISYRSNLSAPRRPSPH HNQRLSIRLSQAELLEYSLQQQQQRLGSNSPSSSPRSSLNPSHSPSSSTALYPARIPN PHRLSRGTSMVSLDAAISSI PHYBLDRAFT_179070 MPPKFDPSEVKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKGTKEWKGLRVTVQLTIQNRQAQVSVVPSASSLVIKALNEPPRDRKKEKNIKHSG NVSLEAIIDVARTMRFKSLARELKGTVKEILGTANSVGCTVDGQSPKDLCDAIDAGEV EIPEK PHYBLDRAFT_27294 MLAVQVKQPGDASQLYIGEYQTPTPTDTQLLVKIKCFCLNRMDI NQREGNYPPPPGASPILGVELSGIVESVGKNVTKFKKGDAIFGLIPGGAYAEYAVIEE GLAIPKSENVSFEKAAAIPETWFTAYQALFFVAELKEGQDILIHAGASGVGIAAIQLA KDFGANKIFVTAGSDEKVAFCEKLGATRAINYKTEKWADVIAKETNGNGVNVVVDMIG KDYWNDNINSLAKDGHMVILAFMSGNLVPNFNIAPLLFKRIRIEGTALRSRCLEYQIR LRDAVYEKAIAHHLCKDDGAVKVFVDKVFDWKDIVEAHKYLESNQSMGKIVVRVN PHYBLDRAFT_154118 MRMFNAHRYFSGSSLVLAINLAAGLSIFFFGYDQGVMSGVNISK DYINIMGLENNDALLGGVVAVYYAGTLIGALMGGWIGDRIGRIRTVVVGSVIGIIGAS LQTCSQNIAWMICARIITGIGTGHLNAIVPVWSAETSHHTSRGMFLAMEFTLNIFGVV VAYWLAYGLSFTEGGFRWRFPIAFQLIPLVILAVGINFFPESPRWLIKQGREKEGLEI LGALRGDGNIDHIDVQNEYSQIKENIREEKEDGEPGYLSMLFKKDKLNIPRRVHLSIW LQIIQELTGIGVITVYAPTVFGGAGFDERTSQLLSGINNVTYMMATLVAVFTLDRWGR RFTLFYGAICQGISLLMVAVLTKPSIMVQNPHAYGIGATVFTFAYTAFFGMTWLTVPW LYPVEIYPTKVRAKGGAWSVVGWSVGNALVMEITPPMLSSIGWATFLIFAAFNFLSIP IVWAFYPETSNKTLEELDVIFSTESYLVWRAEAELARAKAEKPDLFESKEKKLDYTKQ EIFSD PHYBLDRAFT_58353 MLISQCKSSLLKKSLIKTTCVFENSERALVTLAINEKKIWSGKS CLCLEGNDGSKRVFQKIKKRYKEKQAIDTVKQSGGGIMFLSERFGPLKVIKSESVNQD KYIDTLAQALLH PHYBLDRAFT_58354 MVSLKLCSQSSNSISNFQTITTTTIITTIGKIGTIETINSMYCL LDVKFSDDYDDYDDYDYYDYYGYYDYFKTITTTTTITVTTIITTIETIETIETITFLY CLLEVEFQDDYSDSDDTAITIITTTTTTTTIKTIKTIKTIKTIKTSTTITVQNEYKTM WIRFGTLMVNSIATQIPILAVVLSESVFLKNKVYLNVHLFCKYWESKKRKNYHEYEKT CNRKLQQADIIVSKNKIISKILLSYYEDAGVNYASLDN PHYBLDRAFT_139338 MSSLIAAQCFLVHVFFSVFYAQRPFHCSHGEVLHVKFMYQCPVS SAVLLLSTSTFLNPTQLSASVQCPVPSCFLAQVLFKPSAALLPTILLMVPSYHVWSTE CVQSMLMERCTENQLSILHPSQLTASELLFQAYQQVSSLHSLEKDVGYWLSRFCPLGH VKIVTERCKYKGVSPGCVEFFKFVEHLDVRDEPEAKLRCLSKCYAVHLGVSDTCPEQF GIKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_139339 MIISSVNIKTFYAFRAAPSPFFSYKNRYNSQFPVIPSLPPGFLS VVLGFRHGRFPAQGTPGRFHVQGTPVRFPAQGTPGQFPAQEPSRRFPKQETPGRFPVQ GTPGCFPAQGTLGRFHVLGTPGCFPAQGTLGRFHVLGTPGRFPAQGTLGRFHVLGTPG RFPAQGTLGRFHVLGTPGCFPAQGTLGRFHVLGTPGCFPAQGTLGRFHVLGTPGRFPV QGTLGRFHVLGTPGRFPVQGTLGRFHVLGTPGKFPVQGTLGRFHVLGTPGRFPVQGTL GRFHVLGTPGCFPAQGTLGRFHVLGTPGKFPVQGTLGRFHVLGTPGRFPVQGTLGRFH VLGTPGCFSAQGTLGRFHVLGTPGKFPVQGTLGRFHVQGTPGRFPVQGTLGRFHVLGT PGRFPAQGTLGRFHVLGTPGRFPVQGTLGRFHVQGTPGRFPVQGTLGRFHVLGTPGKF PVQGTLGRFHVLGTPGCFPAQGTLGRFHVLGTPGKFPVQGTLGRFHVLGTPGRFPVQG TLGRFHVLGTPGCFPAQGTLGRFHVLGTPGKFPVQGTLGRFHVLGTPGRFPAQGTLGR FHVLGTPGRFPAQGTLGRFHVLGTPGRFPVQGTLGRFHVQGTPGRFPVQGTLGRFHVL GTPGRFPVQGTLGRFHVLGTPGRFPAQGTLGRFHVLGTPGRFPVQGTLGRFHVLGTPG RFPVQGTLGRFHVLGTPGCFPAQGTLGRFHVLGTPGCFPAQGTLGRFHVQGTPGRFPV QGTLGRFHVLGTPGRFPVQGTLGRFHVLGTPGRFPVQGTLGRFHVLGIPGCFPAQGTL GRFHVQGTPGCFPAQGTLGRFHVLGTPGKFPVQGTLGRFHVLGTPGCFPAQGTLGRFH VLGTPGKFPVQGTLGRFHVLGTPGRFPVQGTLGRFHVLGTPGRFPVQGTLGRFHVLGT PGCFPAQGTLGRFHVQGTPGCFPAQGTLGRFHVLGTPGRFPVQGTLGRFHVLGTPGRF PAQGILGRFHVQGTPRRFLAEEHKRDFMYKDSRTISCTRTQGRFHVPGHKDDFMYQDT RTISCSRTQGRFHVPGHKGDFMFKDTRTISCSRTQGRFHVQGHKDDFMCKEQ PHYBLDRAFT_139340 MEKLQCLNRSSLLTAPDCELVNCFSRHTNKSVAFTPWKRLLEGA IPSPCLEFLSLFSSKAKYTYKKPTEHKYRKGKTLDIGSPDFVLWGMSRLSHKELDMRD EPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_121114 MSNPIKVVDAEPQAPNAGNSINNLNPNLVLNNALDGFANLSSRL NPFAQKFGKGLGQVRQYAQEKLGTAEDVTELPQEYKDLEKRVDALRNVQMNLLKVTRT HSNPSYDYPVQLQESLIGITSSVTAQLQTLTVSPAERAVMDAKHQSEPQHPKTLSHAL GRVAAEGALAIGPEEPLGVALDKLANVSEQVGNARLAMDQAIVTKFNQPTQTTLKTSI EQALKARRKVQSVRLSLDACKGRYRAARPERSDAARLEVEQAEDLFVAAVEEATSLMK AALENPEPFRHLADLVAAQVQYFKQAHDLLAEVAPELDEIQVAQESLYRNTRE PHYBLDRAFT_98426 KKVVVIGVHGWFPMKLVRSMVGEPTGTSTRFCEQMSTAAKLYFQ AEHNVQLPDSAITCVPLEGEGKVEDRVNKLYSSLLANSVWLDAVSSADVILWATHSQG TPVSVMLLQRLLERGHVHVHRQSVCLLAMAGISHGPFPALKGSLIVKYFEADPARELF EFMDSNSSISQKFREAQKHVLKSGIKMVLVGSMQDQVVPLYSAIMSATTHPNILRSVY IDGHIYSDDDFIIHLVSFALKLRNAGLSDHGLLTHVSEVLAGNIYALEGGHSTIYEEI DVYTMSLRYLFETKPFGKLSLARPKTITADSKMQWNPFYLPWAMRGICDDARITSDPE LHQELLNLGQSLAKWNPPSSKMREVKYRLEPL PHYBLDRAFT_162386 MITKFALVSSVLFIVYKCIFHENGDKILKWIEVTAAYLQHSSMG PPITLFAFTVLSVFPIASFTMLTIIAGSMYGFYRGALFAISGSFLGSVVCFCLARKIN IEKRLKLSSKNQQIYSNLQDIIDKSGLLMSLMIRWSFLPCSVSNILLSKASNTAFGSY SLAAIIGSLKVLPKVWLGSHLTTLVKPLAPAQSHIMQYAMGSSVIVSIFVGLWVFHQA RNPKYAKTQ PHYBLDRAFT_129877 MSLKEISRNKAFGGQVIKYEHHSNELDCDIKFNVYLPKEADSAP VPAIYFLSGLTCNEDNFIQKSGALVEAAKHGIALIAPDTSPRGVSIQGDSDSWDFGTG AGFYVDATEPSWAKHYRMYSYVVHELPALINKSLPIDGSKVSIMGHSMGGHGALTIFL KNSANYQSVSAFSPIANPINCQWGQKAFTGYLGKNQETWKEYDTVELLKKFNGKVNAL VDVGTSDNFLENQLNIDTLKDTVGSLGKSSEWTIRYQPGYDHSYFFISTFIADHIIHH AKALKQ PHYBLDRAFT_58362 MGKQEAKQRIDTHANEILKTQIDASIGIARSVVHSWLPAPKEGE KLEDDDDSFTLYTTGRPDRLGLGAKFLSHADAMRHTPTPTTGSKEEIQLRNKILNQNR RSGAKDQYAKRKEESEDEEESRTQRPAPKKAKKAQAAVEAKPGPTKKIGQQGDFLSIK KTIASQDMFFQAIDTILIDLLWGTFLIICR PHYBLDRAFT_139347 MFTHEDLMPVKGWKMVIAPSITFPEPTPVHYDNLMKVSSLLKEI GKKHEWSEEQIANDLEILHKHRLFYTRDLRALSDHSWETIELLPIVRDILRTSVDPNW KENQDPEAKEKREQERREKKERKKEKKREKKEREEQEREQEEYRYQSFGQSVHPFDMT SLRAQYGFDYYNRKPQIPVVSREELGLDAETIRNTVRNESIEIDPSELEKVLTSSSDD SKRRKSVTFPDDLDLLLEAHKEERRKEKKKRAKKELKKSRKEACRRATIETVKRLERA ERERQEQEEKEAKKKNESCTPTPENDLVPVKNLLEELQNDKIEHATLSALKQFPIVHS LSIDSIEQRLECLPSWLWTYPISDFVNTCTKPQTASFCEVSERIFLINHAVLIFKSLG NQTNRLCLNWCKSQLQQQTYQARVMINIGQIDEMALRFVNGFGFDKNGNERLILEVSG GHAANDQKHACDVTRLFTV PHYBLDRAFT_139348 MEDKEIRPFIVPSFSPEKTRWLQERLKTSNYPNELTEDVGWTHG APRWAVEPLAKIWAHGFDWEIPRAKMNSWRHYHTTIDDINLHFVHEPSSHKDAIPILL LHGWPSTFYEFHKIIEPLRDGVNGGQAFHVVVPSLPGYGFSEPPKKSGYVLQGGDWGG IIGKYVASHYSENCKAFHTGFPYTLPPLPTPRNILLHPFKIVKFFASLIVGFDRIYGE GSTILGGATFANAERNNDCGYRSIQGTRPYTLAYGLSDSPLGLLGWMLEKYHNWAFHP EERKDADALPDTIHPYEFLTQVSIYLLTNTMSSSIRIYYECLQQNEMMKVIVPRVEVP VAVCAFAHDIARLPEDWLKAATNLHQYSKFVSGGHFPALEEAKLLTQDVQQFGKTLRN LPIFPKE PHYBLDRAFT_14871 FKAAVEELEKLTHKPSAADSMDLYGLYQQATIGDNETEMPSLDI KGRYNWDAWNNLNGVQMKKV PHYBLDRAFT_27308 MSSTNLTKQSVRTDAQCTALEELHQQFRLPTAELKKVSSHLVEE MREGLKTHNATVPMLPSFIKHHPTGQEQGEYLALELSGSYARTFLVHLHGKGRITTRQ QKYRITENLKRGSITALIDFLARCVDSFLLFVGKQSVEAAPLALGFSISFPLNQTAIN HASVRRWTKDFEITGADNQNLSALLQNSLNKLKAPVVVKAVLNGTAGCLLAHNYRSLD TLLACTVSTGTNAAYWEKAVKVVKYDIKGAKEDDEVIMDTEWGSFGDDNPNYLPRTFY DNTVNRESINPGVHLFEKMISGLYLGEIVRCIMVDFLDRRLIFDGQYTKELNTPYFFE VSYMSAIEMDSSEDLEDTKHILETILNIPTTTLVDRQMVKRFCGLVGQRAARLTAAAM SAVIDKRNVLETGLSVSVEGSIYEHYPSFPERVNTGIREIYGANVDLINIGVTRDGNG IGAALAAMIACTRD PHYBLDRAFT_139351 MPNIYPPAFAPYPPIIGNNNSNNSWHSSSASSSPTSPGSSRKRP SPEDCSVQDIKPRPRERRHRKAPHELLTDAEKKANHIASEQKRRQNIRLGFDQLIDSV PSLHHGNRSEALILQKSVEHIQHLISIKNDLKNQVRDLQSVLGDPNYEEDSSEDELSY SY PHYBLDRAFT_58368 MRAFKIAIGLVSFSLLLILCSQWIPQTLPQYFSDLTGTTQSQSH NPQSSQDMMDDSSNDDETEKSTEKYVTYLPHSGFHNQRIALINAMVIAKSLNRTLIMP ELNMGHANYWLPGKALALQFDDCSRKIFKGPHRVSLNGCYAFRSYNPWSVSSILDLSA VNDQGIRYVERNDMSLDFFEKNFGLTKDDTYYVQDPTRFSYRIYDNSSMDLGSFDFPL QLSVLRQRTEPLISFGSLFGSFRLAIEPNSELWWLREYLTAELGISHSVIMQDSLSIA SHLGGPGNYISIHIRQGDGSFRKALKSTIVHVQAHLEKIKGRADPDAVEAMRQLSVPD RLKACVVVNHTVTDPHLRVIFMATDAHNSRESMADFHYAFPCMFTLNDFSNVISNLIE TDHSIFLPLVDAEVASHSSRFVGTPKSTFSKYIKYRQRRWLKYYPKAPKS PHYBLDRAFT_184747 MTHAMSDSPASDPTSTYSTPMSDDVETYVDQSHQQTESHQDTGK RLATEPPVDTTKDAKVRKTDEKEYVALDSSVVAKPKEADENMPDINCSPNPPGLSDQQ TQQQQVQQVQQQQAQQAQQAQQASGQDLANLTASSLAQAMVSPIAVPGLTQNLLQHLQ GGNVITALPGPPPQIPPSSGNHSQSNNDGMSSDDGQPGKRGPSVRNMSNDERRQRRLL RNRVAAKECRKKKKQYIHEMEEKIQRLEDENARLHKEVEELNAKLTLGAMQGSESYRL MKEVEELNAKLGMGHLPGGNSALTAAQQQQQQHHPLQAQDSQSISKDSLKDEKVKAQH MSELESQLSAAQRTMEEAEAGKN PHYBLDRAFT_75496 MDGSEVMHHLWNRDLAAVLNFRYILNNLRYDGTIPVRFTRVIRI GRIRRQAEEDLQMGFCNGLKKLRKRFFALTAKNMVGQLFLECFATFDYTKPKSSLLNR PHYBLDRAFT_162397 MSKDGCRICHVVGQSPGHGQYFRMPSAITMRTPESFKHFDEVAS SSKKGLTGQSPFSLLDSFSGTFFFALDEMHGICHGIGKQVWGLVCGKRSIPTSFHGAW INIATRSKYFRAVDWADFILFVIPTLVAERVRDQAARKALLDLVQTCNLLMSWELSAE EKTLIKTNLVTWNAYLEASLAKGEVQLKLFTINQHLLQHYPAMIEAYGPPRAYSARSV ERAIGEYSRAIKSNSAIGINAGNIMLGLTQIRQMRVENSITTTATVTATTLLQYVDPS AGWPIDREGSNVGTDSDIEFWGPLRNRTFVDSFGGISCLPELLQKFYESKGEECSMIE AAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGQSYSLVYKDFFGKV VVFFEHKLNNKRWPLVLVNVYAVRLVNSIPAINNGQMKPMVVHLADVKELVGLVKSDA TINTITTTATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_58372 MNNLQVEYTHDFRKIYPLLPWKVPSIKSGTTTASMSLLVYFGCF LASHSYSCSSFSLRSRTPLSDYNIKDQDKDTIDSSRFPSLLDSTKIQRLTCDDLGLVV NTKK PHYBLDRAFT_162399 MTGRFQDINSYQPSERPRPARYTSGMSDFLFSDTSGFDMMGWDM DPHSHPDHHSPHSSPYTTNSDYTALSPVTSISPHFSGVGEMQSLDNLNSCEEATKALA GYQYNTTQNSNTLSSSSSSSNPKGSFSFTSLHALNGNVPGIAIPTTPATTDQAHNQVI HTHPSLSPSASTSSASLSPLMESHSPLTGAFMAMNPWGTDKAPAYTSDEHETRRWRTS KHSDDDHHRTRLEPGQQLKKVAHNAIERRYRNNINDRIRDLKNVVPALYKARIKEKGQ DDDDEDDNSETEEIVDGVQVATKLNKATILRKATEYIQFLKHDNDQAERENQILQQII SQMPGGNHVLNRFRTQKSAYEKAEQERLCRERKEQIEHEREERQRLLRERAAQRAALA QLVPKPERRPYRRRKQKALPKKKEEEGTKMFMAMFMALAFFSTSPTTSTTPSTHAAHA NARTFSAYTNTTTPTYRTLFGVTSNASDLWFTIRWALLAIGIFYTCVLPLWSHWLRPR RVLRGKKCSGHNHNHNHHHYASDVPQAWHHLFESFANLISLESASNTAQTTIGLIRDL IYITLPSFIQPRAKIGPKQLSRSGAWVRVAETECLGGNSETSYIHMLRSCVGMLANVK PLEISSQSSLYLRPRTIARIYATAAIQLELTLPAVLSEPLANHCWSRVVDAFEQAREV HDTKDSDEHWMTQATGIPEWPVSSNHKEILSMVQARSGLVGRQSAAVCQNIFYSFVLP YLTSPLEWIVYWQHLSSLQDSWIAQLQQNKSTLFKVSQLPPSTTTAVDQMLSWSIHLG LSLQSDELRSSASMVSLSESLKASSSGSQTVSHLLQRHRSMVFYTLQGAKLLERNDTE AALQSFALAQNDSRAGLDCIRHIATSNVEASVLALSTLAVTWHAFKILSRHPELKTTM VSVRDRLTRSLALSSGHLPGTIKRSVQASLLEN PHYBLDRAFT_75498 MSVANALPVEILTWVDQYLTFHQRYTCLFVCRSWYTTFRALLVS TVVLWHTDQLVSFLRVLSDSGHEKEEIGFYVSHLTIHDDVRIRHHQFQQLMALCPRIG SVYMGSRLRQTLFRHGHLNDASLQALLTSKRVGRLALGLPQFKALCGAPHAAAFTGAL RHLMLDCGRTSLEDLELIHSACPLLESLKLAMADLSCRPDLEAAQEAIVETARPCGLR RLSIQRDVYGRWKNPDRWLSYFAAAYPRLQLLEIQTSQGSKTEWLSDIRLSLIENAAR TLAYGCTELKTISINGPWSWMVLQALSRARTPLTECSLEEYTGELYANSVSILSSQPQ SQISRLALKIRGLCGADRLCSYLIGLPLAHLVLTSTDRIHISSNTLLDNCPSLITLSL EHISLSIPHLFNSSNSSRSSNSSNLSPLVSLVSLSIKYADLNVLSFEYLGQRCPNISN LVLDSCCVSGKDEYTPGPFQLNWSHHRLHSLHISNLTTAQPAFPSKPTRLPVRSFHMI MQTQTPVETPTQSRYVKEQWYTVETKGHPQFVQDRSQWTHSDKDAGWMVEPAFVFETI GHVRPCLPIQECQPNHISFSSYSLAFLYINHRWLSLLDLPSTK PHYBLDRAFT_139358 MDAELMATLDSIASKDGVQGVLIADDMGLCLGARGIAKPAASAF VASIANTALELSDRGETEDKSQYPTIHIEYEHHKLIIRNEGAFTLAIFT PHYBLDRAFT_105894 MPAITSTQLSALQKNSRNIRNICILAHVDHGKTTLSDSLLATNG IISSKMAGKIRYLDSRADEQERGITMESSAISLYFKLVKATKQVTSEYLINLIDSPGH VDFSSEVSTASRLCDGGLVLIDVVEGVCTQTINVLRQAWIDKVRPVLVLNKIDRLIVE LKLTPQEAYLHLNKILEQTNAIMATFFTGDWMEDEARKMEADKMKRTKEEAEAEAAAE ESATGQIYDWSMEERDDSDIYFDPSKGNVIFSSAIDGWAFRVQQFATIYAKKLGFNET ALQKCLWGDFYFDPKTKRVIQQKHLKGRNLKTMFVQFVLENIWAVYDSVIIRQDRERT EKIVNALNLKILPRDLKSRDPQILLSAIFFQWLPLSTCILLAIIGQLPPPKEAQRIRL PKMLYPEIQQNDNEPLEPTNDVERALYSCDSSPKAPIVAYVSKMFAIPADQLPENRRK QMTAEEMRERGRRQRALRASQAAESANPENGIPLDMERLSQELSAEDIEPELEDPKGE HLIGFARLYSGTIHVGQKLYVMGPKYDPKYPKRYVTEITVQSLYLIMGRELELLSEVS AGNVFGIGGLEGHILKNGTLASTLDGVKNMAGVRLEQAPIVRVALEPEDPTEMDKLVE GLRLLNQADPCVQVLLQETGEHVILTAGELHLERCLRDLKERFAKIEIHASEPIVPFR ESIVSADLSPNKEKDNVVVPRGTLEVRTQTKYITLKLRAVPLPTRVTAFLTANAASIK AIVDQKLEKKKAKRSNDGQEDDEEEATTTRAEPLEKAEKIFTAKEFQDLLKHEFDEAR KEGGQIWAFGPRRIGSNLLVNQDHADEVIKVEGKDAALSILDVDFHIHTGFQLSTLTG PLCAEPVTGVCYIVQDVVVNKNEMVDAHVRSRLGLIQGQVISAMKEACRHGFLDWSPR LWLAMYTCDIQASAEVLGKVYGVISKRKGKIVSEDLKDGTQFWQIHSLLPVIESFGFS DEIRKRTSGAASPQLVFSGFEMMNENPFWVPTTEEELEDLGEKADRENLAKKYMETVR KRKGMFVEKKLVEHAEKQRTLKK PHYBLDRAFT_162402 MTQGEAYSGHREDMQNVISSLNGLNFSFNEFRSACVNFGNNTTS ASIIVPTENGSIGQDCPRPAVPKPTAKKAPKYNMCREDMSFLCFNGALQHWSSTSKTL LMSQKKIRFLVFSNIRAKLESLLTESIKLIFRLRLIGVYIFLYRLQLPFLISLSSLLR LNLVE PHYBLDRAFT_58377 MVPNKSNRTTRRNRKNLVIHQYILSSPSTKDQEDEKFVPMSSLS GSLGSLTYYKKTSTTVKARYSDMDGGQKLYHYHYIECVFSYSANYLVIFLMLFTKINS ELDIVERLYFLVDGKVLAEIEAYLPENKTKNTTTRK PHYBLDRAFT_58378 MTSFLIWMNNKLSALNFNIFKANTSYSPDPSAFAYVFMSPVHNV NEILYTLLVRDEDIGRGWPVAFMFLKRSSLLVDPKQFTIDCCAAEVHAIQTTFPATSI QFCIFHVTYAWNRKLSDSVKIPGSLPSEAQILHGVMMKSLQEIIYEEDIDEFHHKIVQ FKEDFDDQESFLDYFERNWCKEAKFKIWSRAYHERQFSYMLTNNYIESWHNQLKTAFM KRSRNKKLDKLVFVLVHDVEYYLTQEYERVMSNNGPMSSFTRQQRIHEMEAEEVDDDD REMMIVASGTAEDVNWQVRLFVNENTAYVVQVAEPNLIILCICFDYQQRYKPCKHMYL LKMHTNRSLYFSPPSVTSTNVIQPVSTSETATITPTISRTSAFIQQCIDINQTLQYAN PHYBLDRAFT_58379 MTLRLENIIRVKTSEWKECLTEIGEACAVKWVIHNTNKQPTNIT AEEAKATGIKLCFSQEYFCHRWGTYESKVALHVVQKQTKKNKCPALLRVKGFFKTPEF YEFVVTKDHAEHTPGDMRSDICTLPLAKKYLHELAQQLEQSLFMHDSDYISYDDITII WDTSVDYYKHNYNS PHYBLDRAFT_58380 MAINTVLDEPEQAELIVKNTIPDANAFDYLSDEDDSSSIGDEED NIVDEENDIFNNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDNDSEILEEVFETIG AVNDHTSCYPFCDLQTMILFAFIDGNNDMISQQMLKKILLAMNLIIKIQQETPIRKTF RLPRLDALLNYQARKKSKMSVFPSQRIPVPGSNGNAFVHINLPSDHLRFLMANPKKCK LILSMPNRTPNQSICLEQDEKWRTHHLFQQPMHTVNGIDVWFGNIIYLKTNNCSIRFL VESFHMANKNIFARGYLVRAISIVCYGIEVAVTNLRVEQISHVDTTPVERDHYYSISS SLTRLSPAHDFLLFGVHPMKNHMPLSVLPGNVDRDAVFYKVRVVSIIFFTDGTSSNHS KQYNPFESWLMRCAALPFKDQNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKG VKMFSAEDNEYVLVVAPILWIEADTPCHSELCGLLGLVTTFPCRRCYIKLRRAKDFVK DLSYFYTEIPNALKIRMNMPANKISFRDHLTGRLLELQSFDPEKNTPVEILHTILLGV AKYMVIALVKVVLKNDTVTIARLSEFLTDYMQSTGLSRKFIQNLRHSGSFLGRDFKVL LQILPVILITEFSGNHELDLVIPCFVELGQLCSLTLINYIIRVDNAVKHLIRALFDCN KGTKNELHKAYCIKPKVHYLTHLKEDIIRFGPALNYETEKGEQFNKHICKHLFHMNCQ NTSRDVCLKFAKQVALQHINSSGNREKSGTGIERFIKDNNDTGDIEDDAIQNNSFGVF VFKDDPISCPRIRLVSSSVCYHVALIKAQIGSIT PHYBLDRAFT_58381 MTYKRRMEEYSGDNMEVVEEPKVLHGEKKLVLVTHDKSTFYAYD RREKNWLDDKKNPLPKKDQGQSIMVSEFQCACHGTTQRQKWSSQKLFFAGKGRDGYWT SDNMIKQLIKDAITLFELLHPDIKEDKEFDFKNTTFLLDGEPCPQAMYYTKMETITKK KRSVDIPVKYVKGIRSILEECFLWLDTNSYKPGSKWLADCCKDEEPDSRCCAHHFLAA QPDFNEQKTVICEVVEANDHIFEMYLKFHCKCNWIERYWGAAKDLARKESDYTFKSLK VNADSYLDRAGELAKVCRFYNKSWCFIEAYSQNCTGDDAYEKVKQYSARVCASHRKVL KND PHYBLDRAFT_139365 MNSTTKIYTVMCTCSSCTKNAIGRILQNAQIFKRHNNADKLLDI SLKNRVNTEVVKEKIDVEIGDVSDTSIDYEDDYSIISAKTTVQSVSFLREDKIFQFKE SDVEATSLVSDNDNPNSSDESEDESKVEVASVENLEDMVASTLFGIIFQAFYLVQAGG TAMLKFFRHLLVAFEKDTDLPLTVAVQSPTKFHIQKYTTTPPAYCGNPLFFDPEVDQP ISLMVFPYNSLKNSIAQHFAKPGFECQIVQWRSRETINSTLLDIYDGAMWSGLLDKDN EPFFSQIFVPNLKSSILPLKNFFNFNHHNNHDHSLMLTLNVDWFQPFEGRTHASRALY LSMNNLPREERMKPKNIILVGVMPDKLVELYGGITVKTATFPNGTIVCAALMCVACDI PAARKTAGFTEYPSTNDCHKCERHFSVIAGSSKIDYSGFDNESWVPRTKEMNAIYANM WAYAESNAERADLENVWKDLGYLPAAVLVRMQCLADGIIVPPGYTILSAKIESGFPYM KADKWQSWCLIYLLVLLKDALPEKDFKNWTLFVKTCQKLTGPSVTYSEIDSAYKLLGE FGKECEILYGKSSITPNMHLHMHLHESMLDFGPVYAFWLYSFERYNGKLKNIKTNRQN GLDVTFMRVFLEKAFISTFLHAYSTNLLPPMIQFLESIAQVTLVSALSPLPCLENGHP PVLAFNFPIFLQAATNPWYNVTRSEALPPTTLPIKLQPLTMMKNDDYQWLFEFYVKAY QSTSVSFCVVGRIPIDENVFVNNRIQKVKKILLLGQEYCSGEKKKHGSFVRIGGVKRV STFTFIKWFPAYQFSHHQPLVEQGLELWEKGYTGKPPLNTLVPK PHYBLDRAFT_184752 MSSTIEQNFEECYCTKCIKNYNGYTLVSKRTAQRHGKKAALKDA IRSELAFILNTGAQRHIMNVDVESIVVQESASVEVLARQSDLPVLDISPMSVDYEVHV DFNDMDFEYESNENAKDTVDIDIKEVDTECSYKNMFSNSSMSENLVHRFIVTFTVLFA SCYVINKGTVVLIEFINKLLKIYEQDFQLSANLPGLQHMRGFRKLSKSIRRFVACEDC HAIYKENQSVPPHCVFVKTGARAACNCELTKKSSSGALVPKRSFHYQSIKNAFKILFN CPGFEEKIRNRSYLSLNQSNVILG PHYBLDRAFT_139367 MIDFVHACCHLVKPSITFDDINTVHRHLEKFYEKCNKVYTTKIL TSLFLPVLSKFVYSSTPVLTLISASSTIVQLPFILQAFVDSSETTRIPILGKEPLPRT SFPPLEKSFQTMVNNHQSIAPAPSPEYTELLRRLTAIEESLKTMDSNIGIVIKGNKDS LEILDSIANASGERLAVIAPTTISAFASTFFGIPSATPSVAPSVGPVVLTGANTGKLS KQDRTRVLLMHDLHAYLAPKVVGTSVQKHFDCCKLTYHTFKTEIDVKVGKSCNRLLQK EAMSEGKSKDNMPEVLSNCAICTYNHFLMVVDDFIRNHMDFNLCQMLKRSFGRDAVLA VLARLTSLLPHWDFRDEFQ PHYBLDRAFT_139368 MKKFIKDVHKDDLVNSFFQSTYQTSAFPLLTKLTSSFTRTTIPS IRQCTFCIQSFVEASEDPSVLVKGNETLPLSLKSATTMSDIHYVHLLQYYKVAYNNEQ LVHFQQASELPYLANNTITLLKYINIFGQIYKGKGEYGSRGSLLQAKFIGSTGEHIIA YTDQIQYIFTHSFTPLPTSSSLTPLLYTHRCPTQLLHNSQHTFAFIKFYTPKNDKSRE YKHVETCFSTFSPDDFQCVLPVHRIMLKVATSEHTTRRKVKKILVIPLPKKQYI PHYBLDRAFT_157219 MYAKATQLIPGANMHTERRMEKKRMEAYPRKKVHSSNNQQHQIS KLECSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRWYGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_157220 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFAAINKR VLRINEELKKKYNHKNILIDIPKGAHVMVRLPHRPNKLAPIYEGPYTVVRRNKGELYE LKDEQGELLHQNYTPSELKMVTIDESTIENELYEVEDIRDHHGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_58388 MIRRFHRRDRGSIPRWGTHFAESVYNCTEPFLKPVVFDLVVLIL RLSYKCQKRNTRLRLDNTAKIGQTHWESYVVPNLGWSSFYYNKVKRNSHYTAVNAQED ITAHKEVLRLTIFRFYGFIKIMSDTRKEGLILLQTFLITTKSCLSLKKFLRERIEDLR LGTKIRENLCRFCLLRKDFVSKFDQVPTLNYYCYLYCFGLGRLYDWGQSQ PHYBLDRAFT_58389 MNNMNNINNINNTNDFVIISEISKKYDTVLTDFNSIIVVVEVFK KFSHIKLICKHSSEYRDIRKAEEITYKISVTSETLPERERKYEKNIQKHDCPCFMYAN TTKKGKLTVRSRETQHNHVIKENCRAYAMHRKLLLKTMALVVKHLENNDNISTIFHSL KINGFTNIVQHDIANIKQYFRKSDEGREIFEFLTTLQDLDFYVRYSVSNTEDNQINMI FFVHQDAIKEAQKMSETVIIDVTYKKNSH PHYBLDRAFT_162415 MSRNLNNNSVNNAFGEEPFVGSPPRNTNDIRTIMLQHLQGTVSN QRPLAPKRAWLNLEGDSLGRTHNIYDVYEKLDTINGVLNTVLKNTSSEKAKATASNAV EQDMSSGRQPTLDQLLHDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLHRQEEGK KVDLPTLRTKIVQHIGNRKLQEKKTGEKKQEKNRWACLCPQRVKLCERRQSALKANRA HFVNSFGENVDSILHADYMSDLESDDKREEEEQDSCGDPDTRMLDLSHIRTYSYWDSV VAIILEIGSLMNLMWIMRQLVVSNIIVDLN PHYBLDRAFT_162416 MSSEQTTSNSPVLTAQSDLSMMEAPVNSQVINHADRTLQAIDRL REMLATCSSALADAIEHNMPEENKNSIRQTIAATEGNLVMLISAHGHLVRSSPVQAEQ TAPQSCLVPRELPVFQWQGNEWDHTQKVYASIEECLDKFEDVLHSYSMDLNVDWHRLL PIVLSREQRSWFDNYLRSSPELPWSFARCCGDWENYMTGVSLNEVLTSKSILIYNTRD AFIKAYGINDLERRVQLTHELMLMRMQTTESISNYTDRYQRVRHEADVADNMQAAITY TSMLLPELARQVSLLQVNMPREKHDTIDKAASLARSIYSTVFLTARSNEPVARAHTLG SEQVSRTSSSSSAGRSSGSSRENAQSCERSTHKRCSLHGKGNHDTEDCRILKNALATK GGNWVEKAPHANKYIDSAPCRWCSEVWSHKHRCSSVSGSSSSSGSASGSPQGSAPHFA VRSIHTVTNDSTPSDASSSEDDQSMVMDFEQCSTCKYTSTHTDHNKRSTHSYLVPISL EEEKLWALVDTGATISSVSTKICSKLGWPTIPRNGKIVLATNNTIAHRLGVTKPIKVF YNNKHIIHSFEVLDLAENIDVSISTDLMPSLGIHLLGLAESWYGSNTPQTPTPIAEIE KPNNAPAGTPTEHSQFMKALLPFIKANEAIPITSFCTVKESIVRLLTPPGQSTHNAPN SLPDMKKRIEQMETVVFPAICERIKATQAADKGKHNKDHKIIMEFPNDSNVMIKNILR KSKTDPRYEGPFTVKGRTKGGSYILQDKTGALLSRNIPPSQIKLISQDTVISPDSFYE IQAIIDHCQEKGDYLYRVRWKNYAPDQDTWEPTSNFNDLSIIDKYWQRRGPEKPTNKS TIIHNKRTHPTQEPTCFSKRTRK PHYBLDRAFT_162417 MSNNQKKDSYVICKCPDLSVAKDDAIDVPEEHFDNMEVDNIDSD NDNDYDYKNEGKGEYKDENEEQNIEFDQEVDLPLSQEESIFTAKDTVTEAFMVDGNEI EEGNTDFDFEQEENFDETSGTSIVESVRPLSFDNTPLYIRFVAVFIVIFHLICLVESS GSILIEFCNTLLSLYDLSGALPLTINSLKHKTGFNMTTDGMTVYIACSQCHSIYPLKT KLHRLSYFDPVHFTVIDLMHNLYLGTAKQMIQIWHECNCINEKNQLTMQELVNGIVVP CGYHVLPAKNLENWILFADACHLLTKPSINDKEIDEAHSKLQLFCTRFQTLYGKSAVT LNMHLHLHLGKCVHDFGPIYTFWLFSFERYNGLLKNIETNQKGCFESMMMKRFLERTY IGSFIQSFVNHLPQFAIDFLHCISNSQDQLAALHSSSTASTFSLSDFVEYSLNSHHSA LGCEPLPPSVFLIKLNQRIIMCKGHYECLLEFYRHAYSSHNFFGHYSNWESNQIFVNN RIEKMKWISLLGQEYSSGSYFRAYYLENNSEDKAAFPGHILYLFQHLITINETVITHT FAFVEWYSSYSLGSYQPMLNEGIELWNESSSVLNYECIIPVHRFYSPIAIAKYRFTIT SEFKRLVIPLPQKIEA PHYBLDRAFT_162418 MGIGHFFVVNVTGSVPSSASLPASQSTADVGTATSTWATKTSLI LPAKTPRVSSAGWVAASQRLFSDKTGPDGFEYVYIPRSRRIMHSEVHRSLRTLGADTG RLLDINFPACEVIGILVHVQYLEEFKSQLASAKVSLVNNFDPLDPKNVADPKFANLSV SGLETQALVLQNARCLQALKFLRSHLVLLVAHFFVQSGWIGLEEIPARPVGLWNANGL QPRAIKDMLNHYQSLHMLFITETWLLSPARLPTSWSQFHLYGSPVAGNYRRSMGVSLL VSPSCSYAVTQIPMPNNYALAVKIGTLRLICLYLPPSMPTHEALDILSAIPLTDDIII CGDFNARLGSVTGDYASNPRGVALEQWLEERSLTVFNGVLSPCTPTYISFCNEVEISS IIDLFITNTNFANPSLHIATELSLGSDHRLLSLSFTYNLQHSPPAPPPMRQTWNLSHL HEDDVRSLYVTTIATKSISLLVSLQDLVQNLPTICPLIDALTNSFNTLIYDSLSSSIG SRPPCLSHWKSFWTPALQAAADHRDGCYKQWRRACGIDKINWWSRHQHAHKEFCQQVQ TAKHLSWHAFCHSMNLDFNKAMSKIKQSKWRRQPQHTFQHDDSPAVAATVMCDHLALV YSGHILPDIRPPPPPLNTSLMPFASVDSPFTSPVVETFMQFMPNRNVPALATMATLNA VGACQSGFSLLFSSHLYRIFIRPKFEYGLTILPLRRTDTIQLEKIQDKCLRMIIGRHR ISSTTVLKHICHLPSMSFRADVLITKFCIRAHYLPSGCLLSLLHHHHSQSSSLVTLRH NTLLQSIPIDLNFKTDQLQLSSNQVLFLVCRPLLEVDPILFLPATRVERSCLVCWRMG WLPGTSKNCPCGTDHTSRHHLAVCSLVPAHSLACLPIPSDQNCNPIDVAITALPYSSQ APCPSYWVALLTILWHFDKLCNPDGDYTHETHFCTLWAGLS PHYBLDRAFT_58395 MQMFYLQDKIWRIRYSVLIIKRSVETTVSYVSEVINNDEQNSVA IDNDYDMDYDFDEMDTSIEVDMETQEPIRVLPLSESDAVFGYENEEFNSDLDSDGCED DSSEDDMLDSEDNFPEFNSELSFIHRFIIQVLALFVSLYVINEGAILLIAIMNKILEL FRDPFCLPVSIPGLKSMAGFNTFTDGIKKYVSCSKCHSIYENNESTPHFCIFDKFGNN SMCGNSLFKLGNQSSIPKRTYVYHSVQNSIKALFSRPDFETQIDSWNHSPKAENTMFD VYNGLMWKDLKDTNGIPFVHGNRSLMLTLNIDWFQPFDGVTYLCGAIYLAVNNLPRSL YKGVRVQTYQCPNGTTIRAALFMVACDIPAARKVCGFTSHTSTNACHKCNRQFSRLAG TSSVDYSGFDFSKWLLRTKNDNSERHRLEVENGVRWSKLHRLQYFDVVHCTIIDPMHN LFLGTAKRMMEKWVADVVLQGVLPKQKFENWMFFVNACHFLTKPNVSEDDVQSAHIAL EKFGKGCERLYSKDLLSPNMHLHLHLRDTIKDFGPVYGYWLFSFERSGFEMTYMKTFI EDTRKGDFVHNFLKTSGPFNFSGIFDKLVTGYRPADSTTSTALYNWFSLPDFLDAAEN PNLSIRGNEPLPPSALPLQKKAYEMMPRQEYDCLVGYYQAVYNDPTISSCKDVIQDTA FVNDWIEMLKSVNLLGQTFKGSREGRNGTKYVYVGEIHPLVSTPHHRTLQSSQHTFAY VKWYKASKETSKKIAGVEIWDVAFSLPDFQSILPVHQILLPVAIVDHTTLRNISKKLI VPLPRKLYF PHYBLDRAFT_162421 MPSNATRKSGCKGKQNVRGTLSRVAASRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDCTAEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMHAIVLNLINGRIWARNFHSNDPELVAENESRRRWNTNERID HPDNVEYIVAQPRTAGFWEDMIVQKIKNNYKTCFHAVNATPKQASSKRRNNHINSRRI EIHLRCVDTCINNWLAIDTKMGYKPGNPDEMAYLHLLEKSVMSDGESEDEDVTPIIRV QVLQVACPSWRSAELNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKAIAVTPVPSHL TAILPVWTIQNQ PHYBLDRAFT_58397 MLQLLLTNCMQSLPAELVTFLTSMQSQFNALNECTEHLESLAAK NVQLHAQLANVQQENANLRFQLLQNNHCKKMPDSHTFSNNRPWIVDIWSGHLIQTFNI GSLFCILGFGFLILGTAILL PHYBLDRAFT_162423 MYTKKHVEMKNCSKYPHVTMNFHTRVFRHNLDSKIKLNASYTSE QLENYKTALKNYLRHILIESNKDVFLRAIEDFKLMVQDQPQFLKYFEKKWTKNEELLR RWGRPCVSQQHQRYHNQLKTIYFGRACIRRLNQLIFILTNDVEFYFEQEVERIHFNNS KMGPIDNELARNSFVASKIQNDMLPSMILNPLGETGNSIDDYNGEWQIRSFVTEDKCF IEQREIAGVVLNQQNTVNANENEVEEEVEEELESGDTAEDRGIYVFDEIAAYSATMYH SFEDLQTLKTISGLDQTKADLIKRALTDAVSLMDEYRSENPSYFRNLNTQRK PHYBLDRAFT_102309 NLPRSERFKAENTILVGLMPRPKEPKSEEINHYLKPLVDEMIQL YLGIQIPTYQQTDGATVHAALLMVACDIPAAKKTSGFTVHISTCACYKCNNQFSRLPG TSSVNFRGFDCDQWRHQSDRANRVHAEKWNSVSTPSERQQLKIEYGVRWSQLYRLRYF DLVHGTIIDPMHNLFL PHYBLDRAFT_139383 MTAPITTYATYAPTNQQPFQLQQFSLKESTMSNIDYPQLLDNYK IVYAMPNLISYHDVRLSQYFYLFIHSFTLPPHPNHQASTLHQDQHVFAYIRWYNLTND NEHRDEGIAICLPEFSADNYYSILPVHRIHLEVATVVDVTDMNEERMLVIPMPKKYCA PHYBLDRAFT_139384 MKRDCSGLIIEEAMSVGESDDGTLPHVSYSRLRLRCPGWGSDEI ISFFKYNHFITLVDNKVVADLGLNSHQLLSCAFGKTIKGPVPDAIASQFPQWALINGP PHYBLDRAFT_162426 MSKVVIDTSVFFPSDVFSLQWPSGLVQTISPKIFPAIKQDHQHD KCSSAKHAMNQKPLSLGAIIDMVISTLDKYYLGLQINRLSLVSVPKITTEYEGLQTLY IKHCLI PHYBLDRAFT_162427 MSNNNINNTIYDISTIQQVLINSPLKGIKMLPLNSTILVKASEW EKCLERINVLCSTKLNKKHKYSGKGLVLGETKKCYRAGQYITNRQLRLAQKDTKACSC TSALKIVQHLNNPNIVTFCQTRAHVNYVPGDWDEVRTLPLPSEVIKIIENQLKSDSSC RSTRISVLRQIDSWKVGVRKPNYEEIYNRMRKMTTLLYIFASDENASISIWLNVKLAE QNYCIFEINLSVYNDGKKQFAFGFQSPMQVSIMRISQSFCLNATHSISSRSDKVLYTL VTCYLQTGKGFPVAYIVTNNQTAISIKLWLDHLCIKSSFVPMNITIDCSIIEVNAIKE ALSHTEKITINTHGEIQAYYCEYSAFYSGVLPISTGIKADLVTCAQTCYLQV PHYBLDRAFT_162428 MYKQIKRKMAPITPRTPRSNTRNSLTHVAVGFVEQHLVVSAVTQ EQCMTEMLTRLDNMGAMLGSLDNRFNQFIDVHRRNIKTVLIREKIWKKDFRSNNPTEI ANNEARQRLNVDEWIGHSNNVVVVAYLQKYIHAQPQADIYTRYWRGVGKEMDLTVEED FKMAFFDTIQKGTMLDGKSDTEKLFSEFPVRMLKVVCPSWRSNKFNKFLGLIDESMQS NHKAKGNAKLRMPRFLRGEIDVAVPCWLILSLPS PHYBLDRAFT_162429 MHLHLHLQVTIRDFRSVYNYWLFSFEQYNSILKTIKTNRKTEFE LMYASNEPLPPTAFHLAKKPLSLIPTPEYNCLIDYYQIAYNDGMISNCKNDMMSSSFV NNHIEILKSINILEQVYKGCNSNGRGSYIQALFEENCMNAHYSYIGEI PHYBLDRAFT_58407 MPPSCISTKKFKCYCAVYRIKVEGYNTVSAQTLKCHERDEQITI RGQINRITLIINTFLQATVYSANQESNFQKMKKYFKETSEVVSHNEINFEDKKLNDSM KGIEMSAQIRDLSLSESDAVFDIKGNKYAASNDIDEEDESDDDISNYEGFSFKSISEL SFIYCFIIIVIVFFVSLYVVDEGTVILISIVNKILGFFNNLFCLSLSISDLKQLVGFG SSTKGIKKYTACDECHMIYSCDECVPLCCTATKFGSNNLCSTSLPKSESESRIAKIYM YNSVVLLLKIFFYHSEFENSINSWNRSLKVDGMMFDVYDDAMWKSLLNIDRIIFVYTK CSLMFTLNIDCFQPFDRVTHSCGAIYLAINNLPCNIRFKKENVILVGLMPGPRKQAYL TSITI PHYBLDRAFT_162431 MERVIGVFLKLIKSKSKGGQNASFLVEQFAIHNYTSMAISICDE VNLIRPKPYGRESYMDHPNDPSGVQLWKPFHQFVNLNNDSVEGVGGPSVKEALLKYYQ RTTGLNGHEFGDSVVIKNETSRGNHYVMFTCPYRNNRNVNVHSWLISTVQFYFQHVDF HGFPHFLAFVEVMKEHDAAGHDSSVPIVKQQSQSTHTLGHQMQPTYAVISVNDICYQV GLVQYPLNGNKFYVITPYYIFNNNMCITKGNLSIL PHYBLDRAFT_58409 MLADPATRELLCYRANQKSVAGQLTDIFDGDNYKQLVQQGLFSN PNDIAIRLLPMDPSIRYTNKYLLQLAILPGPKKPTHLDSFLISIINELQDLEAHDLVV KHNGVELCRFKVYLLLASGNIPAVADMAHIGSHTSLFGCRFCETKGKCPTNRWHGMYF DDISAQLRPLEDFKVGNPLKNIYQPSIYTQLSTFSGSSFFVLDELHLIARGIGKLVYN LITITLIKETKFYYTHPDSTLNTTKYPFHIPRADLVTIGNCITSSQKYIPTSFQGSFD NVFAKINDTRAVD PHYBLDRAFT_58410 MSSFNASQQRDRISTQQYHCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDKNDTSNENESVSNSEYTMESMKLNNTISYKCACNFEDSE GEAHIYDSSQISTNTFIKAELMSIHLSQLMLQHRIARAVYRDIVQFINTVIQDHDDIM IEPGAKISHGKTVDALLKSKSSVKGHEYDVCSSGCQLYGINDDQESCVDCGKP PHYBLDRAFT_162434 MSNINTTLLNSIQNIEVDLAEIKQALRKLQRQFSNQFAPASSLE CIAESVKRAQLTEYPDQLGKQVINTGGKFKGKNKAQKYNLLLQILHEQDWKARCKEVP QGLSCGLKRMEEYLREKQGILYDTFGEVGKEWWIAHPLILLQESFKSNNQTHKRRMAE KNKQDISDSSLYSPDMSETSDVESPIMADVLPIIITVSTVDNILKIVKIIISYCQYFY MSLLSNILFFYCFVPEIPHFLLSILEMSQQSAVNSTIVSGEETTRVNSGVIPPPSAAG SADHDGDTVMTPLCSEDGAFDSEEGSSGSDAGRSGYEGANSPRIYLGNSGVRVNNNLA TMEMLLASAEEDLNTKKGNYYAALGHYLGVSKRDPTSTAAKYASKGAKEAQELFAEAE QILKDLKTSTAPASKPHDKRSTLVPSNLPFLQLRSEGPLVKANCDVFDSVYDFCQEFI TVLEAHSLSLDSCWERLLPTCLNKEERSWFEDKLKGKAYNWKKTKSILLDHYDTPFRK FLNMGRVWCMKQGKGESARSFGAKFQKFRHQASLDDGVQLVLCFWWNLRLEVREACLI PLSANYGTKMPSKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSA GSHKGKKRSFSCERGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEQEKYLTKVS RMAVRSSAGRPARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKN MSTNITFPILANNSIRTISLLDCGATFSSVDKNFCLKNGIAISYINHINKDLLNKNNV HKYFIRLADSNTQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSL GIGIYGLPLSYDDADSSEERREANRRFNSKSDLLESIERENERKENNPAVGPKQFEDA MDYIRPFIKDNQDIPKGSFCTIPESVVCLDTPENATAFRSPYPIPYKMQGVADEQEYW QRIGEAPEGIKDINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKHNKLCQI DMELI PHYBLDRAFT_139393 MIEPAIQTSCKAFDNGCVIDSALNQNCVREAHNIRLQIQVDENC NIGQSYSLIYKDFFGKVVVFFEHKLNNKRWLLALVQIYVIHETNGIPVVTNVLTKPKI VHLVDVKELIGLVMSTATNGQHQTVGLFGSLVQQLAMTGGTVLVDIDINGESTR PHYBLDRAFT_139394 MSPATAIHILGSSHDFRETDESSQRGLTWQSPLATLDAFSGPYF FVLDEMHSICHGVAKQVWGLVTGKYRKKHLLVLSVEVQKEIGAAMALTRKTIPTSFYG AWRDISKNARYFKAVDWADFFLFIVPTLVAEQIRNIPAQKTLLGLVQVCNLLMSWEEL VEWNVYLETLLAKNKIDLKVFTINQHLLQNYPDMIKSFGLPRSYSTRSVERAISKYSN VIKSNSATGTNTGNIMLGLTQTRQVERTKAKIAARLLAYKDSSAGWPMTGEGERVGAG SYIEFWGPLRNRTI PHYBLDRAFT_58414 MISDENIDIVDRIENDEPMYDADMEHDNTMKESTAMKEIEDATA PLVFDISQPLPTPSTNDVKNLEFIQIVKEFGISCNAYEKIASHFNKILASSTSTYRAC ISYLGKKLLKCFSRIK PHYBLDRAFT_102204 NLPCNERYKPENTLLVELMPGPKEPKSEEINHYLQPMVDDLIRL YEGLAIPTFECPSGVRVCAALMMVACDIPAAGKTSGFTLHNSTCTCYKCNRHFPRLEN GVNVDFRGFDFSRWVLRDGVENRLHAEEWESASTPSERHWLEVENGVRWLQLHCLGYL DLVRGTIIDPMHNLFLGM PHYBLDRAFT_58416 MYNILLDSFQTMVNNRQSIVPAPSPEYTELLRRRTAMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVSFAASSIGSTLDWYT TPSEAFFGISSAAPSVAPSVAPSVSPVVLTGANAGELSKQDCTRVLALIRGELKKHNF KSNKPELVAANNSKCSWDVKVDYRLPPNRQLMHDLHAYLAPKANISNCIYTNFCGTRR QVKESYEVCKKTNSQSRKAGRETDNFDCRKLTYHKFKAEIDVKVGKSYDGLVQKEAMS EGESEDNMSGVSSNRAVHTVRLSWRSDEYNHFLMVVDDFMRNWIDFNSPDVEEVLW PHYBLDRAFT_162440 MISVFWPFGPLVFCSFCFFFFIYKFSLFSLFPTFIIFTDFLDTC VLLPSDASPSQCSSRLAKVISLKLLSTIKHSYEHASPMYSLGLQINPFALGSILSTMV RIHDAFSFCLCACGKNPYTENANTSYYPAVLTFSYVRKLVLPPMNNSVIQLLQGIQDA LISLKNGQEALEKKQDIIQLEITSLCNELKD PHYBLDRAFT_162441 MCISHSHALKNYGIDFTRCHKNWASITKGIADGDSTVIRKNHQL NSKLNLQLNLQLNPHIFPIVTKELESINSHYTAVNAQEDITVHKEVLRLTIFRFYGFI KIMSDKRKTNSDRERHDSNIIK PHYBLDRAFT_139398 MPSNATRKSGRKGKQNAQGTLSCVAAGRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMHAIVLNLINRRMWARNFRSNDSELVAENKSRRRWNTDERID HPDNVEVINYLRQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNN HINSRRIEQDTKMGYKPGNPDEMAYLHLLEKSVMSDGESEDEDVTPIICVQVLQVARP SWRSAELNRLIQFIDFLAAENDKKIATPQSKQRMLRYLKTIAVTPVPGHLTAILPVWT IQNQ PHYBLDRAFT_162443 MAPTRKSNKTKECKCSVCKTRCGGSDTVSVQTFNFHKRRDDAGM NIFRNRVIIKRSVETTVSYVPEVINNDEQNSVAIDNNYDMDYDFDEMDTSIEVDMETQ EPIRVLPLSESDAVFGYENEEFNSDLDSGGCKDNSSENDMLDSEDNFPEFNSELSFIY RFIVQVLALFVSLYVVNEGAIRLIAIMNKILKLFRDPFHLPVSIPGLKSMAGFNTFTD GIKKYVSCSECHSIYENNESTPHFCIFDKFGNNSMCVENGVRWSELHRLQYFDIVRCT IIDPMHNLFLGTAKRMIEKWVADGLIDNKKLVAMQKIVENMTLPPDYTMLRSKISKGF PFMKADEWKSWCLVYSPVALQGVLPKQKFENWMFFVNACRFLTKPNVSEDDVQSAHIA LEKFGKGCERLYSKDLLSPNMHLHLHLRDTIKDFGPVYGYWLFSFERYNSVLKNINTN RRSGFEMTYMKTFIEDTRKGDFVHNFLKTSGPFNFSGIFDKLVTGYRPADSTTSTALY NWFSLPDFLDAAENPNLSIRGNEPLPPSALPLQKKAYEMMPRQEYDCLVGYYQAVYNN PTISSCKDVIQDTAFVNDWIEMLKSVNLLGQTFKGSRGTNGRGSYIQAMFIEGRNGAK YAYVGEIQYLFVHSFSPLVSTPHHRTPQSSQHTFAYVKWYKASRETSRKIAGVEIWDV AFSLPDFQSILPVHRILLPVAIVDHTTLRNISKKLIVPLPRKLYF PHYBLDRAFT_58420 MTSKPRVEAVQSCVVLTIAEIIALSCAAQYHAESSRAAASRLRV EAAQDCVVLTQALSIDLSFTKQYIKLRLNQREKRSSWNNFFKVVQSTRPNRPYIKFLI KASIASFA PHYBLDRAFT_58422 MSTPSAIEFVSYYEDDNQSQSWMKPDGAIHNMFPSTQTHTLDCH CIKCHNSHKKFSYTAKRTETHCNKRARVEAAMRNMDVDTEVILTSQSNSVEVMDGQTN SPFLDVISMFDNDRDDNDFDDNAEDKVNEIEIENFSSEDLSAAYYMPKNEVYQFTVTF TFINNLLRVYNQDFQLPISLAGLQKMTRFSVITKGIKKFVVCQDCHTAYQDNVSAPPQ CVSSKLGARFACSCNLTKSISSGALVAKCEYVYQSIKNTLRVFFCRPSLEAKIHQ PHYBLDRAFT_58423 MNEKLPETNCVFTGDLRVNNIESNLFVFGFQSPAQVRVMRIATS FCLDATHGISARSGKVMYSLVTQHNVTGKWSPVAYMVTNDQTLMHLRERSYFCPLNIT IDCSIPKVNAITSAFPHVAIHYCEFHILHAWQTNLDNKVRLAASFTSAQLAAYKQKLK NKLKYILMESNKEVFLTRILDFKRDIPDQLHFLRYFETQWTGSKVLLKRWGRPYFDDL HRRYLTNNYIESWYNQLKTIYFGRTRIRRLDCLVFILTNDVEYFYKQEVNRIHLNNGK MGPVENELARNEFAASKIEDDILPSMIISPLNVISTSMDDSDGEWQIKSFTNDTTWYS ITVVNDLIECCSWPRYISQQVPCKHLFLLKRYRKINILYTIQRDANHLAMQRPAVLAE EEEVVIVDEEDGREDVVGAQNDVDTSITDLITHTILLHHQRLDLKHMQTISDIDVSEI NDMTRCVKELLDRIDNIRNRNINSFRNINTQCQ PHYBLDRAFT_58424 MSNPIIYDISNIQNVLVNSSLEGIKMLPFKVVIPVKVSEWRRCL DQINTLCSTKWNKKRCLDSKEYIFGETRQCHRADIYIPERDSRPAQKESKACGCNGAL KIKQFKKSPTIVTFCMTRDHNNHVPGDRSEIRTLPLPFEAIKLIEDQLRSGSSCRSTR ISVLQQIDSWGVGVRKPNYEEIYNRMKKMC PHYBLDRAFT_139404 MNINTNLTETEILAVYSLQFSFQKTIASDNDEDYEEVETKMRCL QHMREHLLNMHKTLIQMYNDIILAENNYMTDDFQTVCYLSSPMHITVAIVLWHLSNTH LKYKMISGIFDISQTAYYQFIERFLKAMICCFLNDTIK PHYBLDRAFT_58426 MSLMTICDHKMMFTKIKVEHPDSAYPILKHCMILYSHSTNVQCE KHFNKIQSSIRFAIENAFRLLTAKSILMICCDKTLTIHNMKNPQYMDLLVLIRWMVLN WTKMI PHYBLDRAFT_58427 MNPTFTNTNSIHQNVLNIVLLQEITIFQEVSQSEKPKLLVVLLC LIIDTEFYAKHAILNNSGKTRLWQKLHNDFCNHPDVVCYAASPLNAGFTSRVVDEVVA EAVISICQDNDVTYKNTQLTTSSFVLEGRSEISQWSYSTPNPVPSPKQGMELVLISRT RRTMPSELQQAFLENSRSQTDIMASMHKLLTIRYQRLEELYEDTLQQYRLDVIASHEA RHLDWESRELIATREYNRRVIADEREEESRRSLLEILSRCKRSRSPLSSLTRINELN PHYBLDRAFT_58428 MSRNLNNNSVNNAFGEKPSVGSPPRNTNNIKTIMLQHSQGTVSN QRPLAPKRAWLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPEHQSTLDQLLHNYLSEEKLYDQYNTNENKSSEGNRLVLKSVTDYLHCQEEGK KIVRHIGNRKLQEKKTGEKKQEDNRRACLHQQHVKSCKRRQSALKANRTHFVNSFGEN VDSILHADYMSDLESDDEREEEEQDSFSEKSFFWRFCPSWRSEEGDRFVDELAANYKA AHDKKNNTHPFKHKFKGIRDKQLSKTKANKLSSWSKKQ PHYBLDRAFT_162452 MSNNQKKDSYVICKCPDCTKLDSCGKKQKRQNAQRHYEKHIVPV AKDDAMDVPEEHFDDMEVDSIDSDNDNDYDYENEGKDEYEDENEEQNIEFDQEVDLPL SQEESIFTAEDTIIGAFVVDGDEIEEGNTGFDFEQEENFDETSGTSIVESVRPLSFDN MPLYIYFVAVFIVIFHLIFLVESGGSILIEFCNTLLSLCDMSGALSLTINSLKHKTGF NMATDGMTVYIACSQCHSIYPPETSQKVCTFKKFSQSAICNNNLFKVSTGNRSLPAMV HPFNSLNWANTLNNTERTRLEKENNTWWSELHQLSYFDPVHFTVIDPMHNLYLGTAKR MIQIWYECNYINEKNQLTMQELANGIVVPCGYARITKKIADGFSFMKADEWKSWCIIY SPFVLKHVLSAKNLKSWILFVDACRLLTKPSINDKEIDEAHSKLQLFCTRFQTLYGKS AMTPNMHLHLHLGKCVNDFGPIYAFWLFSFERYNGLLKNIETNQKGGFESTMIKRFLE RTYIGSFIQSFINHLPQLAIDFLHRISNSQDQLAALHPSSTASTFSLSDFVEYSLNPC HSALGCEPLPPSVFPIKLDQRITMCKGHYECLLEFYRHAYGSHDLFSYYLNCESNQIF VNNRIEKMKWISLLEQEYSSGSYFCAYYLENNSEDKAVFSGRILYLFQHLITINKTVI THTFAFVE PHYBLDRAFT_139409 MILDLTNYREYLRKKFAESFVSCSSDQDPIIGFLEAVALSPKHL KPNEVMKGSSSGPASLSVLAKHKYALKSGKNSILHIKVNFKMDAS PHYBLDRAFT_162453 MPPSSSPAPMGERKSSNISKYLSALEIFVGGPNGVGMTDEEAIL VAATHFRGMTAMWWAICETEVTMWEVFSEEFKKQFASRQMEDVWWMEIDEARQGLGQT IGEVALRLQELFDLVALANETQIIRILLKALHLEIAYEVEKSGLPCSWDELVQQAAKV ETVKEKYHRSGLRSVPEFGHFSGQGLVSKNSFVEDALSKLIQEFKSMKIHLVDTCYPL SQTSGSSGFGSSDFGGSGSSPGGSGLGGSGLDSFGPGGSGGPGGSGGFSGSGPCGPRA SVSFADAHNQPKVSVSEDAAINMVETAPVYAAKRARVVDLLSSKGLYTCNKGKAVDKT VPLHSLSNTLPPLKQHLYAQGQLNTFPTNNTYMTPALDLSPPVGSSPLAPKPHHLRPP LRELPVHISRKDVWERLKSLLLDKCASKDVKDGLRFLSGWKWKVVAPGVNMVQHQEES SDGESFYLDDWETQSLETNAANLDCDSKLDGYDSNDTVYNYHYNYKDFASSQPLRAPI TINGQIILAIFDLGASVSVISKALALRLSLVPNSDHLPLSSLDGQAHAPCNITVNVTI RVTGKLRPDTMCIKNSGSNHDLCLLGMSWF PHYBLDRAFT_162454 MIYHKNCDDISSIIYIFGTFDPDEITLFFQCYIYKWMTANLLNT KYVSLCLAILCLIYQVMSNIMHEDNVSLFKDYWYCLDNSEKHVYINFMSSLLRLHSRY PHYBLDRAFT_162455 MRHKVVFQDDGGHLLLEYYFLQPAFCQAQYQICVSLFGYTLSDI SRMYLYSKTTGIVLIILKNMFISILCQVFSAFIPAIKRARSISDYNSNSIKTVAKLGF LSRKDFPIK PHYBLDRAFT_162456 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMARNKAGRRRNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKC IPYEKEVSIPENLAVTTRLVLFKINHFFLANYFRVFFLLVYVYGLA PHYBLDRAFT_102089 NLPRSERYKKENVVLVGLMPGPKEAKTSEINHYLRPLVAELNQL YGGVVMPTVQCPSGALVCAALLLVACDIPAARKTCGFTSHSSTCACNKCNRQFPRLPD SNAVDYSGFVFSEWVPRTDAENRRDAELWRMASSDAQRKRLERENGVRWSELHDLVYF NLVECTVIDPMHNLYLGTAK PHYBLDRAFT_139415 MNNTDNNSISLLHAMYNEILSLKAGQEKTKLEMKAQIKELKLKM KTSIEDLNLEITALQKQEPVESPIRNFQELNILHLIMNSIIERFERTGSVEYKSLGGN FRTISKDHHKQFIMDVIDSNNTITLAELQQELMIANMLSQYEYVLICDKSNIQVSGSP HHLCYIERITLKKAVPIEVKRKDEVTLRKRCDFILSLQLKEILYSQNCIFIDEASFNI NLIQGRVRAKAEEHALVPTKFKRAKNVTILFALSSEGVELCHTKIVEGETTGPIFKEF VQQLVEKLDAVNAKPYHLVVDNARIHYNPGLREWLKQRNYLQAKHPLDGQEMLVERIK DGSNTITKQDCEGWQI PHYBLDRAFT_139417 MLKLMGSAYAAMREDIRVSTWELTEAFPGTAEEREEKKRRVVLE LELRTMEVNLFVKKNEERSLECARRARLDEERAVEHERKAREDEFLANHKRMTDLLSK FVSRNIADSSTQSNDNGEA PHYBLDRAFT_139418 MVQILIAINTPFEINPAQPATKNIQSLPETSNEAVFDTFPNVVS AEATGNGELEDAIDEEDVLHSKDNEDAEQTASMEDVPEVNTSQFWSMDTTCLLLKVIL QTDHYNLVKAAVDSNKCNTKFKLLKTSFKADSDRVYTQTGRNAYLSHMHWSNEMCEIT LNDPTYSIPCLVLTRTMSQGVTITCTLTTGRIIREHVGTSQPLEILEEEQAAAEELAA EKPVAEEPVTEEPAAEDPVANSYSSIPPNVPLHVAPAHD PHYBLDRAFT_139419 MAKVYAEKEHTIKKKSTGVILSVVKGGLHAAKKLQVDNSEGLST QVSVSPVVSPTSAIHLFLSLKNDENISNIDGKLDKILKELSSMKTEIREGSLRVEKTI EKLVAFIAEFVSSTTQPPPQ PHYBLDRAFT_139420 MTVFHECDIQMHMCKIFDFRCIRELCYYLYDDRGYASSEFVMRL FADKRRLSGVYRQPRYVKYLCYNRE PHYBLDRAFT_92047 SQGWMEKFGKRHCIKMNRIHGEADSTDIESLQIDKAAIKEKIER YSACDIYNFDETALFYAVPPRTTISHQKFSGWKENKKRLTVGLLCNANGTDKYKKQEA VDHGFSMYHYNSNTWMTQSIFHVFLCCFDRSMKVQNCKVLLILNNFYGHIVDYAPTNV ELLFLPPNTTSHLQPLDDGIIQAFKAYFKRKQYVKAYQYIDMIQND PHYBLDRAFT_179083 MPSLGIHLLGLAESWYGSNTPQTPTPIAEIEKPNNSPAGTPTEH SQFMKALLPFIKANEAIPITSF PHYBLDRAFT_139423 MSCPKKRSTIAKKINRSASSEAFIKKIQLENEDYVDLEFDHEDD IVLDNRKLQGISKEAPIELEESIDSELENIQIDKIAVLKLAHEDVKKEILSYTHTGPS SQSVDAFELCKLKSVECYLQYRISGAKTMEASEKALIEIWLHKNTYRPAAIRKRRSLF SDEDIKSTICKWIQNQRPESRSPIEVKKYIDGEILTRKLDISGNTSTKDVIAYHQKWA KRMMVYKKKMTTFSENEETVVLPVLRSDEIEHVLVTHNELTFYANDGKDNMWLMEDEN PICKKDPGMSLIISEFKCICYGTMARGAWSSQEVFCPGANRDGYWMSADMLKQLKNNV IPLFELIHPECKDVFSFDQSTNHKVYDQNALILSKMNLNDKEIEDGNPCSLRNTIFVQ NDVEKIKYVKDVRHILEELDLWLEKDLYNLIKKWRLDCKSKDTSEDSKCCAHHFLASQ PDFMSQKTALHESVKGSDKNIHTFLDHAGKLPNIRQYYNHLWRYIEAYSQEMNVKEVN DVAVGH PHYBLDRAFT_58443 MRIQAAMITLFLARLYTQHLMWFKNGFVKKNEGQHYLNGVPEQA GWLQGLLSNDTDYQDIEAVSAPWVEVDSPVYSRQGECGGGFGISLHIYKELVKAVLSS FSSYLTDMGSSFHGLICSLADPPSPNFCFMETRPKGNILAQDLARVHSDNSSSINLAK CMVVPIAPPDEYRSPSPLDIPGHNSGFPKTSWLLKNPQWRLGVYRVSSVGFKIQDSMQ SQLISSCLETIKNYHTAALKLHRDPTSLRTHKDIHSLFACLAKMAILTQQHKTHMDLT PTLSFLASIPSLASTSLAALAWKTVFLLAMAAFLRPSDLNCLQLTSAYLQTNTGALVF DLHAPKECRRD PHYBLDRAFT_58444 MDGNITEQYMEQDEDQGIEDDDEFFNALDMSDGNYIHKSVDNLH NITLMLIFKIISEYLIVEVTSHVKATLTLITKLICDDMTAYPLVIALGHNLSWRSIPV AVKKEMCTSPDILETEHKLQELLFSGDISMEDCSLISNQNAMGLNRIIYFKNIEDQMF PHYBLDRAFT_162470 MKKKTKTRDIFLKEKKQGELNFSINSDVFICSKCEKEFEKPWLL KKHLKVHHISNQMATNTILDKPQQAKLIVENTILDANAFDYLSDKDDSSSIGNEEDNI VDKENNIVNNFFDIEMNSNPVFNAFSDMFSSVAAADEVSMTDDDSEIPKEVFETIVTV NDSTSCYPFRNLQTMILFAFIDGDNDMISQQMLKKILLVMNLIIEIQQETPIGRTFKL PRLDTLLNYQARKKSKMPVFPSQRISVPGSNGNAFTHINLPSDHLRFLMANPKKSKLI LSMPNRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIRFLIE SFHMANKNIFVRGYLVRAILIVCYGIEVAVTNLRVEQISHVDTTPVERDHYYSISSSL TRLSPAHDFLLFGVHPMKKPMSLSVLPGNVDRDTVFYKVRIVSIILFTDSTSGNCSKQ YNPFESWLVRCAALPFKDRNSIANIQFLSTIPKKDGENGMSLLPAIVDDFKKLEKGVK MFSAEDNEYILVVAPILWIEADTPCHLELCGLLGPATTFPCRRCYIELRRAKDFVKDL SYFRECHERRTQEHYVLANSSSGRDTEIPNALKIGMSTPANKISFRDHLTGRLLELQL FDPEKNTSVEILHTILLGVAKYMVIDLVKVMLKNDTATIARLSEFLTDYTQSTGLSRK FTQNLRHSDSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGQLCSLVFVCQ VTSDFDNYIIRIDNAVKHLIRALFDYNKGTKNELHKAYCTKSKVHYLTHLKEDIIRFG PALNYETEKGEQFNKHICKHLFHKNCQNTSRNVCLKFAKQIALQHVIDERFIKDNNKS LFYYTSFGGSRELKDNNDTGDIEDDTIQNNSFGTFVFRDDPISCPCIRLVSGSVVKFL SIVPRMDNDKNNNYAKAVITGEHSYVANINLICKLDLHIFCNPFYIVNLSKFGSYWFI PNNLLFDK PHYBLDRAFT_95507 RMSKTVALKSMKLFCKTLTAVFRDEYLRRPNQADTDWILAVGAK REFQEMLGSIDCMH PHYBLDRAFT_139428 MNSNIFQSSTDNVSSSEPVSTSTSGQIVHPIGCKQSKAGSQGKR KVQEALFDLVNDQRKAQRSGSGKDKNFREMLDMKYIMMDADTISNPVRRCCVLLKQKQ ALRQAEEIERGFEDEDESSDDEEEEVEELQSDEEYNFSDE PHYBLDRAFT_139429 MNTTTLRDRTISYRDQSSVIVRRDKVMLEAMIFKHPKYRHGNIG GAWDEIVQKVNAIEFDDGKVGLSTIKDQYRARKNQERQMTDTNERHIKVDNLLYQLIS LRARHEYIRPAYQQRQQAADPGEGFSTFWLEQTFVCMNSVMHVLKGFHGNLFNIVDRV GDNDVLLVIERLEQKFNERMTRLEEDLVTSQLRIEESIIRLFSQ PHYBLDRAFT_139430 MSAIERLIEIADSMLYHVSEYVIEEEAEIAQQYQQEFDCICISL HIQGCLNINTLSDKDSPVDDCVGFINSTFNKIARPIVGQDVVYNEHYRGHGIKYQAVV TPDGITSSIMGSETGDRHDTHLYLESGLEEKMRQTFDFRDINNGPCYFLYGDPAYTAS DFMIVLFNRRK PHYBLDRAFT_89094 TTSTTSSTDTNSGGVPAQVSPSWLSDIQPMAGNVQSYPTGPVPT GPFTAAVGPLTGYPQAWKAPDPTSAEVVAVINSLDWTQVPNSAVRQAGSGGGVKMTGY SASDPDCWWSASGCTVSKRSNIPADVAFCPTAGDWGLTYDDGPLTADAGQWAEPNLYD SLAANNQKAGLFYIGSNVVNAPAAAQRALADGHTICVHTWSHPAMTSQTNQQIVAQFY WTLRAIKEVTGVTPRCWRPPYGDVDDRVRAIAWQMGMTTVLWDQDTNDWNMPGSGGGN LPPATVDGYFEKWINARKSGTDNQRGHIVLQHELNDATVSMAEKWLPQVQEAFRLVPW NECVQMAYPYWEENFVYPPGNPTASSSSDSAAGISSVSPSTTQDATLSVGSSGVGSGA ESTTSNS PHYBLDRAFT_58452 MPLMSPVFVSMPPAFSPKHYSTAFSTPPLSASPFELPPMLELMD TSSPSPTSPKPTISEDPSDDESHLSSSSHPLPAFLEPVPLLSMHLQEYESAFRPDFFP ALKERHRLSLPSSFVCPPTAKRDRRATIPGILHSRPTLQMYKQDKEEEKEEEYSSNNN HNQHQHQHQHQHQHQHQHQHQHNYMIPCGKLSFTQTNKIPALQIPIPRRSMPILPRPA VEQYQYQEQEQNQQQGLIDPLNHIPLPTSPTMAAASAAAASAVSSATNNNSATQSATP NLSRAVTATMTNTPDHNNNNNNNNKNNNNNNYLNHRQDRLLLLPTRLDNIIETAVDLR QPHGTFDRVSFTVHAMNKSQIGLDQSMFLTKNAHIKRPRNAWIHFRCHYGQALKTEDP TLRAEEISKRASRRWARLTEKEKKPWHDLAEQEKQAHKEAFPEYRYCPKRANNSVLLN CPTPPSSSASSTSSRISPVLCLPRTHDMSFPLIHQDIIANGNDMHKKARRRTKKNNQQ DLE PHYBLDRAFT_35051 MQLAIIGAMVLATGSFVSAATPTSSGILAVASPTWLPDFPKPSN VLSGYPTGPLDTTSTLSHDSLDLTVYPEPWTSPSTTHAEVQAVFNAIDWDHVPAASTH KAKSNGDLDFAGYDENTDPYCWWSDTNCVDPKVTYLPSDISYCPNAGDWGLTYDDGPF NPTGNSAVDKYAEPNLYNFLATTNQKSTLFYIGSNVATFPAAAQRALNDGHVLCVHTW SHPQMTSQSNIQVVAELYWTLRAIKEATGITSRCWRPPYGDVDDRVRAIAWQMGLRTI LWDEDTNDWDMPGEGGGNLAPSKVDSYFQGWIDARNSGKDNKRGHIVLEHELNNSTVS MTEKWLPKLQQVFNVVSVHACMNISQPYWEENWVYPTEANNITTSTPVSASSAASQSF SATPVLSLAPSAVSSASSSAADSSDKQSDSASSAEGLKSASTDSGASNLFVSGSITLV AIATALWLS PHYBLDRAFT_139434 MKWLISVLLVLSAVISTQAVDLPNLRTLHNECSQNLVCLDGLYC RRYNGAVYGTCEQP PHYBLDRAFT_75502 MVILDDAVLNSDPDTVLSQASIAQVRSLEKKTRVAIETQKKELR ARVGEQYLDLISAADCIIAMSKNANKIQEKLRRMQSVCDGHAVRQKAALVRDSHPVTG RQQRPVYTLAALIKSLADVPEQIWHALEHHRYLQAGRLYTLAKVVHDYLLEESDCKPV DTNAAFPVIRRQWDAINFFMPQIVHKATLHLRVTEQSGEHVAEDLLVLMLLDQRTYTT SLEELFRMRTQGIKDTIHTSLKSSVAETAEQRLGSHLRDIIYAIQRTLAHIDTIAFTS SPSAFELYARDMETNFSLPNPSSPNNTPLPAITSVFSPSTNAHLLVRYLPESVQQYTP TLADDPLDLGSIRQMAEEWLKEIQNVLTSPLPEMLGPLDTQHKLVQARSKVWQLLESQ DSSWKQVCSRVLGHSYSLWDCLFKDSFDGHAKHLIDKALKTLSDQPTIILSPSLVTKQ CGDFSTSLWPSNKSRSAASDSLLSLSSVADMGAFKLTLTKLAQGETSWIEQGYNAFET TLDTIRKDQEAHASFASQDSFDAKKSTQQLWSYFQSNVIQAISQYCSSLRALLNQVTE WKDNKKASDASLLIGRLARSLAQHSQSLPLALTTLSSLKLRSAIDKDPSYTGLQNDFM RLFEEAHTPWVKRVASCFEILVDGALKNTAWDDHCAAVMLWEDTEEDKEEQREGPLPS QATHPTVRALFSVCEEMQRIQMMLLNKKVVQTLVNQLLAVLQETLDVFLEDRVMTERG ALQLMFDLQFMGFVLRQGGRLNLDNVKKHIDPINWAAFSPHFDVRIERFYLKQTLFLH TLACSNGEIFESNKAVTKGLVQQNQPNVLPMVSTTTRFTLLPIGQLTHASRAW PHYBLDRAFT_107057 MHTHGSALFASQPPYLKEGVLIRKHLLESATHKAKHREWREIFV TIGQGEIKMYALHNSGEVERRLTIFLLLFQSHSQLLGTIQFSHTLSNVLPPPGYNRQR PHVFAIQQPNGGVYLFQAASQEQVNEWVATANYWAARESKEPLPGGVSNMEYGWNSCL NDVFLDLDTIERVPTGSALSDPDAVTIYDWKPPAPPMVASPLNEKEQYDSLQKHLSTL NSDINEHRELKKKILIKVRKQAYIYTCLI PHYBLDRAFT_105827 QLLRIRSKEGMIRVQVDPKDTFATLGQKVLPFTTHHKLSKHGSM LFVSYKESSPPSTTSTTTTTATTTTAKDSTPTTGSDAVKQDDIDNFLEKERGLIKRSK DTKFCRHGAHAMCDYCMPLEPYDAGYLEENKIKHMSFHSYLRKIDAAQQTKAPSATTQ QKLPLLEEAHYTVKVPCTGAHAAWPEGICTKCQPSAITLQRQTYRMVDHVEFSSASVV DNFLNAWRKSGCQRFGYLYGRYEPYLDVPLGIKAVVEAIVEPTQEDHVDGISVAMPWA EEEQVNKVAAACGLVQVGMVFTDLVDDGSGTGKVLVKRHGDSYFLSSQECLFAAEMQR RHPNITRQSATGHFGSKFVSCVISGDLEGNVDVSAYQVSNSLVAMHEAGIVEASRKPS VMRVKESIPHERYVPEVFYKYKNKYNVVVKESAKPTFPVEYLLVNVTHGFPQIPSPLF QASGWNELAGGQSKTAALAKYLSKTDGDLAQSLNDFNVLCAIKSTNVLSDEEFGLLCS IATQPGQSTFELEHTSGWKTLKMSLGEPGKKKENK PHYBLDRAFT_176656 MPQETIHPDSSGIWVFGYGSLIWKPPPQYESRIVGYIKGYVRRF WQHSEDHRGTPEKPGRVVTLLPYEQWKNSGDEHGHDGITWGVVFKIPSDDVETTRAYL DHREKALVYIATEDNEAYVGFAPAKEIAQQIHDTYGPSGWNAEYLLELADALREIAPQ HRDEHIEELALLVKELIQNSSKDS PHYBLDRAFT_129896 MKFSHQIQFNAVPDWADHYLPYSNLKKLIYSIEKDRVNGTHEHS QDLEYAPSEQTALMRDQIQDSNSVFAAALDKSLDKIVKFYAKKETELYDELDQLVLEI ESLDSSRRPSHQDEEITLGDTPHPHHRADFPRRESNLDGDSLPANIISQNLTDTYCDH EAGIEPGGGGGGGGGGTTGWSNNKISPDATSELRKRTIDLFVLLSELKSFVALNLTAF AKILKKYDKITNSNLKRDYTASHVSQAYPFKSATKSRLNERIQQTERAYAFLETNNNL DQAIDELKAHLREHIVWERNTVWRDMVGQERKVQTVGVGESDMMQIKTPCGSLKIDRE QAIHTFFLVASILVFVILLQVEIFAHIEQNRCFAILVFASILWAGEVMPLFATALLVP VLVVTLRVMRSEDGNFTRLSAPDATKRVFASMFSPVIMLLLGGFAIAGALSKFGIAKA MATFVLSKAGTRPNRVLLVNMLVATIASMWISNVAAPVLCFSLIQPILRTLPVDSRFG PCLIIGIALASNLGGMTSPISSPQNIIAIQNMNPAPSWGVWFAVAIPLSIIGNIIVWM FLVWSYEPEKQTPHIHAIRASNDPINSTQIYVCLVTLITIILWCLAHTYQEIFGDMGI IAILPLIAFFGTGLLTKDDFNNFLWNVIMLAMGGIALGKAVESSGLLQAIAVQIEALV VDMNAFQVLFTFSCLVLVIATFISHTVAALIVLPIVAEVGARMDDPRPSMLVMGTAFM CSAAMGLPVSGFPNMNAIAQENELGQPYLTTKDFVRNGIPSSVFAMICVTTIGYLLMT VVGL PHYBLDRAFT_139438 MVADTENIQRLRTYLNFKTRIQISDGRTFIGVFMCVDKDKNIIL AHAEEFRGDEKRLVGLVMIPGKHLVKVEAENMNADDLYS PHYBLDRAFT_162482 MSANYSNGMGAASQWQGYQGDRSHNNTMNGSYEGSQGGNPNYGG NYGQNRSQNYNRGRGGRGRGRGDNRNLGRGGGGGGYRFNSHTSRQKQAKTLERQYRAF YSPSFVSDPWEDLKNSSS PHYBLDRAFT_58460 MTRRTILSDYNNQEMMRDLIDDINDYIGESFDTPQNLEKPIMKT NDFNPSIPEPPNSISVDTPSREALMSDIAKSLDPLDFERPLLVESDSEDEDEDVHDEG FDHKRNGHSNMKGNVANNKAYPKLEEEDKDKADFNDEEQEQEEDDDEEEERYAHRRFP SRLPPPTASSARSNSVERPSVNICHKCKKEEPVKPKPSNSLRPEYTCKDCKKGSRRRS LSATGLRILEFAGRVKQTLLAPIKTIERRRSMPSIEVYTKDGKDLLHKSIPSPITPTG NYTIPIVDRRSLISPRQEDKPTTFYSEPEQTTNKRPEGRKETNQGWTPRNRRPSEKLK YNSEAQSSFQYQEQEQWPDTATATVTETNGRSSSPLEYPSEEVEDQNQEEYKEEEEEE VYYQDQEQDRLNQYSDDEYYDNPGDYNGSPYQDQEYIMVGAPSEMVYSASPSGTQTCL TPANRNGGEHTPLRTMDLDELNSRIDRIIAYEHAYYSCIAAKTYLTPWVKKQYSKGPP DAMFDYTPPPKRSTSRIFGLFKRSSSRNLSQSNVGDLSNPLTKSMLSLSSHISSSQIP ISTITTSQPNCLIEDQRRTSTLDFLSGDEDFDEGDNSLQIVKPKKSGLSLRSSSISPS RESNYSRTSISSISPMEEPVSILKKKPSQSKVYQTPSTRSSTFFDPSREVYDEDPVLD NPYESIYEPQIPPQRRSSYISAAPSYHSSPLDDRHSMIDHDNFQEPYSASKRRVKSTP PVKQYTSRPLSYTESSPVPFPTRSSKYVSAVSSSTSLHRDYQSPQGWTPTRSPSFQSS YDYSHKPAYNEQSSYSYHSHRPTPEPVYEPQHYQHTPAARAAHAAQFSYDAWDKALDD LCAFFRHVDRSILEEYLEEAQGDFHTAKRMCIDTIMTSGL PHYBLDRAFT_139441 MVKLGLYLKADLENVTELVPVEDYEWHFKVECGSCREVDESWIT FNRQDSYDMSGSRGTANLVMRCKFCKRESTAQFETNGKVVPYTKSGEFQKIATFDCRG LELVDFSPRESWVAKGIESDTKFEDIDLLEGEWAEYDDKSNEPVGISDIEIKFKKEK PHYBLDRAFT_162485 MSVGTVKAVPFHEKSYWEGRFEQENHFEWLLSWDALKPLVESYL DPNEPILHIGCGNSRLAFHLADDGYPHVINVDYAENVIQQMKAETSPKYDRIEWYAGD CLNNLLFLLNQHDLHDGFSVVIDKSLCDTIACGDDDEQTSQQKLAHQVGSLVRIGGVW LCVSFSSQREHVWDQPSGMGWKWKREHAIPVPVNHPNSLPGAPEIFHYLYINRKVPA PHYBLDRAFT_162487 MYFGLNPSQLESTTHDVANLFRRTRFTSRFGLTGLSTTMMDNFQ NNGSDTKVSEQTHNDTASSSVVDDFLSALFASKPAGINANQEASSGEQKSREFAPPVD MGAQQREPNIKPTPVAKQAGLKRDRLADEFEEYSILSKRQSANPSQTKQRTTSEKTRG HKPARGNRFQPNKRGAHQAQYQARQPPNMSHEGQPHNFDRQLSPVKRMDWEDPANSQA EISFNSQSNRGNYSQPARGGSTQSHRRIGTSNFQQGRPGPSSLPSQERSPVSVAGVPT YPSEGGIDRKTLTPETRMLIRRLPAHIKHREIVNHFSKYGEVLEFVPKKLHGFIQFSN PHSCAAAVVYRYMVIHMNLPMSEIHCYCHVVPSILLFFLLLLESNVSICVLYLEWPNW KIIKWSMSDPKDMNLLYCGC PHYBLDRAFT_58465 MSIIGQLVYLLMYHIYLRTPLVSYITDLEICQPNSNLARGYDAY SEGRPNADQKANQGTRGRGQVGYRGNYTQGRPVESINSEQNNTANGRGKRGGYVPSYG RRHSQDKQKGPLDYNGSGTAGWEQYDSAVRGPDGIYQHENTNSSWQSNGYGGETSSEP MVHDEYSPSQSLASSFYEDSYSSQKGGDQVMNGIEYNGQQQNGKFDHQERNSNDSSSH GRSRGHVRGGFIDQRTFRSHEDSNNSEPPIMNKGPPTAPYALLSSGQRGGEGAKQPTV RPPIVERKVQDSIGSDQVPISRRFGKDVPVVEILCWDNVSRDLILNIEKTFEAAHISI HTLFLQRGHTSRETATKHMIQEGVKALMIIEPRHVAQGKVYLQAFEPNGDGSVRYDEY DSITVKEAVVVVQQTLKKSAVQALSVPSAPFHSQLSYEAAEPASAPQKTYTSQQNYAP PAIVQPRNNSLNNCNSNPTNNTQCNNHNSQCNNHNNKCILINSSSSSDHNSHINHNSH INHINHRDHNNHNNHNNHNNHSNHSNHSNHSNHSNHSNHSNHSNHSDHNINHNINYIS SNSSNSHHSNCHSSHGSNLHNHLINSSLNLTKNIINNLPNPKNGLLQLNIILSGTSNH PSSNHHSSTQQQQPSYQQQRPAQIQQTYQQPQQSSYKPQQSAIQSPPPTPSVTPGPGP ISKESLQQLLSSWSAGGVGALLASTNAVNQQQQQQQQQQQQHVVPTSTHSGALNQAPS SYGSSSGYSLAPGAPIPTAPSTTGYYSNGRYNGSGNQPYSQESHNARNNGYGNSSISK PHYBLDRAFT_139445 MVKRAAKRKKTSPTDSTTTNKNSDSDFEDQPPTKRITRSQLKQV SSDSSPQLSNPIKSSKTKATPKGEDIDQPKPVTKKQKNTAIIEPEEPKESSLSASETF EDLPSQLSDRECDESDSDSEGEGIDWENVELPDGYLQERLSQGRVYNDVEVNAWELAY ERMLREWIHNSHVLTLIAHYTIRNRWCASPEVQSVCLSIVPNDVQKQCYQMTSSNNDF ENGVKSLYQWWNKYFKLSGDGLVTRSYEEISFLENIDILNPKKDAKSIEEILKGRGID DGETIKNCEDFVNHLLTKTGTRDTSAELFVAVLRALGYDARLVCSLQPVPYRMPARRP DIKKMSSTEKANQKEDELEATKESSQNNIKFPYRMSRPKTTSSQYHNNKLHKAKHPTV WAEVYNPHRAQWVSIDPIRGFYDNPRAMEPLLNDKKNVMSYVLAFYADGDGCVDVTRR YSSRITKAYKLRERELTKREKQGGFIPWSSILLRCIKRHRYGEREIREEKELEEIQEK DPMPTSIQGFHNHPHYALERHLKKMEILYPKEPVIGYIKGEPIYPRDCVKEIHTPETW MKLGRIIKKGEHPVKRINARAATIEKRRAIEHAKQDGNEVLADCYGEWQTIPYVPQPV IDASEKVMYQEIAMVTLICLRRKCYQRELLIFQAIQGIGKIAKKLGIDYADAVIDFEF AKGRSIPVIKGIVVPEESEEFLLEAWQEHHYNEATKELEKRRKEVYGNWRKLIKSVLI QARLEEDYGEKHSP PHYBLDRAFT_35065 MPSIQNPSAFLGVILRQTTEILDAPRLKTIKNAIFVLVLIHYWS KFYTKVLVLGPIRAIKDFKAYFIKLVFKQLRRLPSVQAKIEAELGKTLQGMEESMVKR EGNTTETLVLPEHGLSEEYVLANLEQFKKMKSADWENGKVSGTIYHGGQQLTDLLARA YHMFAVANPLHPEVFPGVRRMEAESVSMVLNMYNAPETGCGVMTSGGSESIIMACKAY RDMYKDTKGINYPEMIVPETIHAAFMKAAGYFNIKLVLIPIDPVTCKVDLKRVANAIN RNTIMLAGSAVNFPHGIADDIEALGKLALRHSIGLHVDCCLGSFIMPFLDKAGFPTTS FDFRVPGVTSISCDTHKYGFAPKGSSIIMYRNKTIRKYQYFLCADWTGGIYASPGVAG SRPGALIAGCWAALMRVGKDGYLESCRKIVGARQTIEKGVRSISQLHVKGEPIGPVIA FGANEPMNIYDVGDKLSERGWHLNALQNPAALHISVTLPWAQSAELFVSDLKEVVAAL AADPSSGNGSTAAIYGTAASIPDKSIIDDVAAGFIDLLYNAQMNKV PHYBLDRAFT_139447 MDILRLATPAKDIIDRYIQDICFAFQVSGIVFHGIWERVLLFVL RQQYDSVQTVHTPPSSSRMVIYTL PHYBLDRAFT_184762 MESNFSSELFFNEADFRVLIPNLHSPGPSPSFETIAKAKQRAFA FYDEPLTVYLLARIPHQVTGTGDEVTEAVRSFYSQLDVHVEASIVDSHSANASQRNTQ SKYASSLPSTPSSVPTSPRMTVTHKHEGPRNAASPHLPNEPLPFFTHTYNSQSKDLEM TMAEYEQGHCCIYPLSIPIVYVKNRGVSPQLLINFNVTYRPMAITRQSASDGAEDVSE YDIDMFETVDLLSGLSDGPTFSSSGEKPLQRFVMETSQSRQTNGSFLPTSHSNAHLLT LRRHVRETIPVRSGLMVKMKTTNASVTDKTVMMSVELENPSDTGSVFMVDKIEVQVSH SVVSMAFAEEITFPVTLNTLDQMVFLYNVTLLEDGSVKPPVQPTRMFPSRRINTMPVI PPPSHYQDERLQPQRVSIQVYGAPVIDGVVAASMQSKWNTMLDVTGMRQKREDPNPPD PRFSSLLASNHPVRTQSSLPSSVSVSPGARSVGSPLHQTVVDNKKRIVLNSGSVSQFV TPGRDHRSNSSGPLGNGGARRAPEVEIADGIVVSFTVPSFIQVGKIFPLHIFIVNRSK HTRRFSVMIPSRKRHLPEGFSNATKTALPLLPAEQRPIEPFIEEGEFLRQYFENETHE ADVICLENNVRLSPLGPSTSQTVDVRFIAVKEKLHTIDLIQLVDQDTGFVTNLRHVLE LFVDPQE PHYBLDRAFT_27333 MNFTYPLILDGGFATQLEESFQKDLTGTLWSAKCIEDDPDAIKA VHLLYYEAGAHVGTTCSYQASIQGFLNEGYSKEHAIELMRKSIRLAREARDQFITTYP DTQPRYVALSIGCYGAVLANGSEYTGQYGPITKEGLVEFHNRRLDVFMKEPGIDMILF ETIPSTIEVEAIRTIVKSRTDLPPVGVSFACRSDDQISDGTLLTTCLDQLDGLPNVFA LGINCTKPRFISSLLSIANQHTSKTDKAILLYPDAGAEWDPIGRCWHSDKVTPEAFGK QMAEFTNQYGPKMVVGGCCGTSPAHIQSYLKALSQ PHYBLDRAFT_179092 MTFRDTLLDQLRKRDKRERQWKEMINANQRLLHHAIELQKRNDQ LILYESNNNSTHTQNAPANQQQINDLDRRIRELHEERAEMYKSQSENAQRLVHMNEQL RAKEETEKQQAEEIKSFSEKINALSKECDLQAQQLREKNIVIQILQDELATLHLEIVT TEDRSKNLRKENDQLLQRWMNLKNEEAEKMNEATQFYEELRILARNNGQTLRQVNGKW VMEPSPSTTSSKNRTPAEDDLKRSSRILSNILLPSRVTKKFTMHEGEIYCVQASSTGS MFATGRVNQTLGGALQTITSVDFNSTDELVLGASTDNATRLWQLSTGRIKHTLTGHIG KVYSAKFNADSNRVVSGSHDRTLKVWDLQKGNCIRTIFTFSSCNDLCLGDADGLTLIS GHMDNNIRVWDTRTGNGIKDMTGLHTSQITSVCMSPGGSTVLTNSRDHTLKIIDLRMY EVVQSFQADTFRNGINWSRACFSPDGHYVAAGSADGVLHIWNSRSGKLEKSIEGHGAA VCGLSWNPSGSLLYSADKSKVVCMWDTAHSDS PHYBLDRAFT_35069 MVLANKLNVWQKLQQHYDSEGKNLVIKDLFVQDPARFDEFSRKF VGSDKTSTEILVDFSKNIVNKDTLELLLELAKEAKVEEMRNKMFAGEHINFTEDRAVL HVALRNMSDKPILDEGQDVMPEVRDVLEHIKEFSEAIRSGEWKGYTGKAITDIVNIGI GGSDLGPVMVTEALKPYAKKGLKAHFVSNIDGTHLAEVLKSINPETSLFIIASKTFTT QETITNATSAKEWFLQTAGDIAHVAKHFVALSTNTKDVTAFGIDAKNMFKFWDWVGGR YSLWSAIGLSIAIDIGFDNFEQLLLGAYEMDQHFLNTPLEENIPVLMAVLGIWYNNFF GSQTQAILPYDQYMHRFAAYFQQGDMESNGKYVSREGDIVETSTGPIIWGEPGTNGQH AFYQLIHQGTKLIPCDFLAPVETFNPISGGKHHEILLSNFFAQTEALMVGKSEQQVRA EMGAKVVENIVPHKVFKGNRPTNSIMFQKLTPSTLGSLLAMYEHKIFVQGVIWDINSF DQWGVELGKQLAKAILPELQTEGPVTSHDPSTNGLINYYKTHRK PHYBLDRAFT_121158 MATDLCYTLVHPDDATDQPTLQDFQHAFEKGSDEARIETMKKLL VIMVNGDPMEKLLLHVIRFVLPSKNKQLKKLLHFYWEICPKRKPDGKLKEEFILVCNA LRNDLQHPNEYIRGATLRFLCKIKEADVLEPLVPSVRACLEHRHSYVRKNAVFVIGSI YKHFEMLFPDAPEVIQNFLISEADMTCRRNAFVVLCNIDQPLAVDYLTQVFSLVSTYD ELLQLAVIELIRKDSKTNAANKGAYIRCVSELLSATSHSVKYEAANILIFLTSNPAAI KAAASCYIDLVVKESDNNVKLIVLDRLEDIRSKNERILDDLVMDVLRVLSSPDIDVRR KALRIAMDMVSSRNVQEVILFLKKELTKTHDQEYEKNTEYRQLLIQSIHTCAIRFSEV AANVVHVLMEFLGDSNNPSAVDVVSFVREVVEKFPELRASILERLLETFTDMKSGKVF RGALWIIGEYSNSAKEIDEAWKQIRCALGEIPLLASEQKLLDAAEADDEESTTDEAKS AVPSGTAAPRRVLADGTYATESAYTSTSSNAARLEAVKQASKPPLRALILNGDYFLGT VLATTLTKLALRYGKVVSDSSLANARKAEAMLIMTSIIRIGQSQFVAFQIDEDSYDRI MQDLRVVGSYSQDKVVDHVYLEETHAVYAKQIQAEEKRLLDSKAKDKTSVKVQVDDAI VFRQFSKKSGDDTVDEYEQDLSRATGSLDSKDDLMSKLNRIVQLTGFSDPVYAEAVVN VHQYDILMDVLIVNQTSETLQNLTVEFATLGDLKLVDRPVTHNLAPHSFLSIKAPIKV SSTETGVIFGNIVYDSHGTSEGNCVVLNDIHIDIMDYIKPATCTETQFRSMWTEFEWE NKVNVNTNISDLRTYLDHIMASTNMSCLTPEKQLEGDCGFLSANMYAKSVFGEDALAN LSIEKAGEGPITGHIRIRSKTQGIALSLGDKITLAQKVAL PHYBLDRAFT_58473 MNVFARSARVAVAAAKPARLARFYASEASVTDKLRLTFVLPHKA IYKATDVQQVNLAATSGDMGILANHVPTIEQLNPGVVEVVESAEVTKKFFVSGGFATI NPDSSLNINAVEAAPLEDFSLENVQAGLAEAQRALAGNGSEVEKAEAKIEVEFYEALQ SALTK PHYBLDRAFT_129919 MYISRALGHVASSAFRAQSVNVARAAVQPLGAQASLKVRGYASE AAAPGQIRSVIGAVVDVQFEQDNLPAILNALEVQDHTGGRLVLEVSQHLGENTVRTIA MDGTEGLVRGQKVIDTGAPITIPVGKEVLGRIINVIGEPIDERGPINAKSSRPIHAEA PEFVDQSPTPEILETGIKVVDLLAPYARGGKIGLFGGAGVGKTVLIQELINNIAKAHG GYSIFCGVGERTREGNDLYHEMMETGVIKLEGESKCALVFGQMNEPPGARARVALTGL TIAEYFRDDEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLSTDMGGMQER ITTTKNGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRSIAELGIYPAVDPLDS KSRILDPRIVGDEHYKVATQVQQILQNYKSLQDIIAILGMDELSEEDKLVVERARKIQ RFLSQPFAVAQVFTGYEGRLVPLQDTIRSFKEILDGKHDGLPETAFYMQGDINDVIKR SEELAKEMGGQ PHYBLDRAFT_179097 MLVNSYQPQQQTLPEPLPFHQTLSYTDRPYYINHQHFLPSPTNS VSPARSPPTPVNHSMDQFSPHSHSSSLSMIHSPVVRSPVNCSTNLRFYIGLEAPTAAA QKLEESPLTYLNKGQYYSVTLKDTETSHADQIVKSTIIIMFNDESHRKVAQSYWKFWL SQQKDAQSARAIDIGNDSSYNTSRSSGVHNAEYSSFDRIAFEWNTKKGAVINIRFNCL STDFSRIKGVKGIPLRLQMGTQVVGEQHIEKAYCRIKLFRDKGAERKNKDDAKHIERK NGEPHPLWLTYSQTQPQSIFREVLETPLVGSTDSHLSHTRVSSTNGSLGSPKSNGSVR SISNHITLPPPTTTDIQLSPHSLKRAYPSNYPTHQTLVPDVRSPDGSTNSHSAWFHSQ PQATDIDPTYVVQRRRRIAKLSFFARFDANEVHRAIYLEHLTIQELIGKISAKMNINQ QVTRIVRHVSKQQQSDELVVCVDDSVVEDIKEEQAMDVDVSQNEDGTLTLILRY PHYBLDRAFT_139456 MRQTLNVSQKASTEHKFVVQDLNSAEEQQNFLEVEETLDEDAPK GDIKNQSPETSTAEQSV PHYBLDRAFT_106010 MTSPAYSQPIQQTQQAGAGGYAQPGYSQPASPGYAQQGYSQPGY SQPGYTQPPPAYGQSPMQQMTNQFGNMNVAAAPPMAARTVSLMGVPPFIADLHAPQPP IHLPPHASITDSPYANADSSYMCSTINAVPSSEALLKKSRLPLSLVLAPYRRVQDGDD EVPVVTDSVISRCRRCRTYINPFVTFVEGGQRWKCNMCFLLNDVPAAFEFDSQTQQPA DKWKRAELNYSCVEFVAPTEYMIRPPQAPAYVFVIDVSYSAVQSGMLATAARTILDSL DRIPDDEKRTKIAFITVDSSLHFYNLNANLTEPQMLVMSDPDDIFLPQPSDLLVGLSE SKAVISALLEKLPEMFKDTLVVTNALGSALQAAHKLVSPNGGKIVCLQSTLPTVGTGA LKPREDVKLLGTAKETVLLNAASPFYKSFAVDCSRSQVACDMLIFGGQYSDVATLSCL PHYTGGQTYFYPGFNASRSEDALKFAHEFSELLAERIGLEAVIRIRSSRGLRMSAFHG NFFIRSTDLLALPNVPRDQSYCVEVSIEDDIKTSTVCFQTALLHTTCFGERRIRVVTL CLPVTSNMSELYSSVNQQAVAAYLANKGVERALSSKLDDARDAIVNKLIDMMGVYKTH VLGSGQGATPQLTAPDNMKMLPALAFALIKHDALRQTSQIPTDLRSNAMNLLRTMPIQ LLLPYIYPNFYSLHNMPPNAGEMSENGVVFPPTMNLTAEKLEAHGCYLLETGQNIYLF VGRGVVPQLCVDLFDTKSYEGLRAGKITLPSLETSLNRKVNLLIAKIREMRRGNYYPQ LYLIKEDGDPALRMWFMSHLLEDRTDNVMSYQQFLQHVKDKVNYKPNK PHYBLDRAFT_105884 MNSAEAVHQHLFNLDAYKKSRHISVYISMPGSEIDTYPIIHSIL RAGEKELYVPRCSRDSMEMVKIKNMEEFLSLPINKWNIPEPLHTTILENALDTNGLDL IIVPGLAFDNSRNRIGHGKGYYDRYIEKCNEWAERTKNASPTTVALALNEQIIETGRI PLEHTDKKVDCIITPQGII PHYBLDRAFT_139459 MPLSSMMVRIKREYKDVVNDKAADIEIEPGEKLGHFIATISGPR DTPYEGGRFKVDLQLADDFPFKPTKIKFITSIYHPNISSQTGAICMDILKDGWSPIMT IKASLLSLIILLSSPVPEDPQDAQVASHYLRDYDDYARTAAHWTAVHAIPERPKSPVT LESLLSPKSP PHYBLDRAFT_184771 MVFKLPLHPTVQHPELVKHPIRDIGYAWGSRKCEYTRNVSLEIS PQHFTPSVLRHPHFFSYKNRYNSQFPVIPPLPPGFLSVVLGFRHVFSCACFFFVFYAQ LPFHCSHGEALHVKFMYQCPVSSAVLLLSTSTF PHYBLDRAFT_75517 MSVYNHRSMVPTPQNRIIEVLDAIRGEFEKITQDIYLYKSEKDD FEHKMAQQTQEMSNFQQSLSELERSQQTLKKQYEDEIARLRQQLEQAQARTGGGNGNN NGSVSNGHPELTPGPFSTSSAGLHSHQPPPPNIGPGSNYFGGIMNAHGQGPLGLVAPP QMNEPSYPIQAAPLPTAPSSYIGSNSPGLYQTPAKAISQPPPPPPPAPSGPYHTKDEW PSGYGFPQRVSNPPAVQNQMDMNSGQKRKSGSIPPGPPAGQMIMPTGRPAPKPQAATG ITGNLADVDPDSVPANMKIEGKDWFALFNPKAARSLKVNLMSTLEHDSVVCCVKFSAD GRLLAAGCNRSTYIYDAITSQKICVLQDDNVQKDGDLYIRAVCFSPDGVYLATGAEDK QIRIWDIAKRRIRNILPGHEQDIYSLDFSRDGRIIVSGSGDRTARIWSMADGQCLHVL RIKDVDQKDPGITSVAVSPDSRLVAAGSLDKMVRVWDTHTGNLLEKLEGHKDSVYSVA FMPDGKTLVSGSLDKTLKLWQLGTHEGRGHGIDRDRSKGPCKMTFSGHKDFVLSVACT PDGNWVVSGSKDRGVQFWDPRTGQTQFMLQGHKNSVISVAISPAGRPMFATGSGDNLA RIWSYEPYGP PHYBLDRAFT_179101 MYSFVHLLIQNEEKRRAKQYRWSLAIGLLAIICIGSSFVLYASS YLNRPLSYDTIRGAPITRDDISLLPSFGFRVEWLPVGAVFVYLSGLHWLSLGKHIHWY FLPLALLAFFQLLRSSWVLMDREEYVKDQLSDTWERAFSQESNSSSVLYLLQKQWKCQ GYRTPEDRPAPGTEFTQPCFSYLVASFGADIYSWGMKLWSINVVQVTGLLICYSFYFK LERTGTPVRDLEAPEVQEKSIKYEVQGVPHGYSVIPPESSTPHPNLPPL PHYBLDRAFT_75519 MASRALFNTQLINTVKRAGARSQSSLAATEFLAEREAVKHHAAD AAGTWLKISIFVCIPALAAAGFNAYNLYSHHVEHLAHHPPQYIKYPYINFRAKDFFWG KNSLFFNPKVNFSAEE PHYBLDRAFT_179103 MADAPEMKATQQELKKARIPIEYRDYCAHLLIPLNKCRGETFYL PWKCENERHEYEKCQYDDYKRRMVALKKAKEDEE PHYBLDRAFT_162507 MAFSKISEARILFKVQNNNKTFNLNHCYSILSLAPKWMNGLEGS ARGQGNRSQIVVSITPSGGNVQGNNEMSSQQGVVYPIGCKQSKAGSQGKRKVQEALFD LVNDQRKAQRSGSRKDKNFREMLDMKYIMMDADTISNPVRHRCVLLKQKQALRQAEEV ERGFEDEDESSDDEEEEVEELQSDEEYNFSDE PHYBLDRAFT_162508 MKSQIQKRPPFSHSAYKIVKTHPPKRQGKLNFSINSDVFICSEC EKEFEKPWLLKKHLKVHHISNQMATNTVLDKPHQAKLIVENTILDVNAFDYLSDKDDS SSIGNEEDNIVDEENNIVNNFFDIEMNSNPVFNAFSDMFSSAAAADEISMTDDDSEIP KEVFETIGTQMLKKILLVMNLIIKIQQETPIGRTFKLPYLDALLNYQARKKSKMPVFP SQRISVPGSNGNAFANINLPSDHLRFLMANPKKSKLISFMPDRIPNQSICLEQGKKWR THHLFQQPMHTVNGIDVWFRTIIYLKTNDCSICFLVESFHMANKNIFARGYLVRVISI VCYGIEVAVTDLRVEQISHVDTTPVERDHYYSISSSLTRLSPAHDFLLFRVHPMKKPM PLSVLPGNVDCDAVFYKVKIFSIILFTDGTSDNRSKQYNPFESWLMRCAALPFKNQNS IANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMFSAEDNEYVLVVAPILWIEA DTPCHSELCRLLGPVTTFPCRRCYIKLRCAKDFVKDLDTEIPNAPKIGMNTPANEISF RDHSTGRLLELQSFDPEKNTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEF LTDYTRSTGLSRKFTQNLRHSDSFLDRDFKVLLQILPVILIIEFSGNHELDLIIPCFV ELGQLCSLVFVCQVTSDFDNYIIRVDNAVKHLIKALFDYDKGTKNELHKAYCIKPKVH YLTHLKEDIIRFGPALNYETEKGEQFNKHIRKHLFHKNRQNTSRNVCLKFAKQVALQH KSGTGIERFIKDINESLFYYTSFGGSRELKDNNDTGDIEDDTIQNNSFGAFVFRDDPI SRPCIGLVSGSVIKFLSIDPHMDNNKNNNYAKAVITGEHSDIANINLVYKILYTTSAY QNTINLNEDSDIKEYKYFLNNPVVDFGLFDYFLNDINVLDRSDVFQEITKGTDSVVHY NIRGKTYDMGYYLANEIYPSYATLIKTISNPILAKEKITYICLFAQKQEATRKDVEWA FGVLQARFNIFKLPAKLWRITDLNMLMKPCIILYNMIVEDERGVDGLDMVYEQSLAAM TLSDRASRRATSEDVTRGSFSEFVTRYCEVTSRINYAELVKSLVNNLWSMAGNNE PHYBLDRAFT_157235 MQMSYPSALIPEKRSRSLFPRCGLHARYVILIEQSRIWLKCSTI FSWARQEIPNSWINCMNARFFLSSLLGTGRGWLKSKTSEGVVPSISSMTFSHSSPKSY YTYPKAKLGTIADVNWL PHYBLDRAFT_58486 MNNTDNTVIQLLQGIQAALISLKSGQEALLGRQEALEKRQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTGIIPRPVSKINDITLKHIYKMITDDLRIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGILASTIHE PHYBLDRAFT_139469 MDRGMPVEEIVMLVLQLPTTAFSATIDMDGNITEQYMEQDKDQD IKDDDEFFNALDMVPL PHYBLDRAFT_139470 MVPIAPPDEYRSPSPLDIPGHNSGFPKSSWLLKNPQWRLGVYRV SSISFKIQDSMQIYDLINFLVYNHLLGYSLGNIKNHHTAALKLHRDPTSLRTHKDIHS LFACLAIMAILTQQHKTHMDLTPTLSFLASIPSLASTSLAALAWKTVFLLAMTAFLRP SDLNCLQLTSAYLQTNTGALVFDLHAPKECR PHYBLDRAFT_139471 MPKLSNKYHPTVPISDNQQHFLRQEIVELILKKAIEKVLPNSSG FSSPLFVIPKRNGGHQLVFNLKKLNEHIKIPHFKMETLQNICKLICPHDYLTSLDLSN AFLHILVVYQSDPSSTRMGSNLGNTKIAALTIATTGTFELSFGHQEHNFQNSRCQIEG FTIINMTTDTTQISNSLFGPQSHNADPGSHDHTLSGQTLHATLDVWECNISQWNDQSR LSQQNKHVLFVDASSTRWRCALGDKAIYRYWSHQEATMSINWQEMKVNEQHYLNGVPE QAGWLQGLLSDDSDSQDIEAVSAPWVEVDSPAYSRQGECGGRFSISLHIYKELVKAVL SSFSSYPTDMGSLFHGLICSLADPPSPNFCFMETRPKGNSNRRNVDTMVSMG PHYBLDRAFT_58489 MVNNICNMNDTDNIIKSLLLAIQLQLSVLCANQEQIKMDINSLG NEIMIKGSPKQNLFLFINCEIEYKEIVFDYKDVQGLSEEVTSAVYFNQLIRWCESADK LLKSVESYLQFRLSGLKTMKASEKASMEIWMLKNIYCSAVIREYVKNRSIISVKKFID KDIFSRKLKISGNISTNTIWKYLHEWRYLFRKNSKDIYYDNHKRADIIAYRQVWAKQM ISYKSKMSSHKIFYSEADRDGYWTDAHMMKQLESKTFLCSSFFTLDARLYLYLTKV PHYBLDRAFT_74054 MNDEEALDKFVRGLHPTIRGNVLAARPVDVDDACNIALAYASGL HLGQQNNYAPVPQPYQQTYQQPYQQQYSGPEPMDLDAIQGCRNNYRSRPPQKRNVATC HWCGEVGHFKRNCRDRLAAIRQLDEARSKKQGFRETQP PHYBLDRAFT_139474 MKEMNKLQSEKSDVVKETLEIVSHNEVHFKDKSNEYGMNYVFEL NDSAEEIETPARIRDLPLSESDAVFSIEGNKYAASNDLDNRENESDNDMSDDEVRLRI GFDPPFYCAVILISIVNKILKLFNDSFCLPLSISGLKQLSGLSTLTKGIKKYTACGEC HTIYSNDESVPVYYTSPVFGSNLLCKTPLFKSGSESTIPKKAYIYHSIVSALKMFFFV ALALKVLSTLGIVVQK PHYBLDRAFT_102389 KKENIILVELMSGPKEASKSDINNYLKPLVNELELLYKGMKIRT HQHPESIPIHAALFMVTCDIPAARKVCGFTSHTSTNVCHKCNYKFTWLNGTSSVNYSG FDFSKWLHRTKNDDCKDAEVWRNATKSSERQCLEVENGVCLCELHRLQYFDIVCCTIV NLMYNLFL PHYBLDRAFT_58492 MPEHEYNCLVEYYQVAYNDYNISSCKKAMTSPAFVNDRIEVLKS IVILGQVYKGCNGNGRELMHVMVMLVKFNTSLYTPSLHQQPLSYQLATTTSIPLLLSD SLKQHQIHEDNLKALKSTMTTSIN PHYBLDRAFT_162516 MYKQITRKMAPVTLRTPRSNARNSLTQVAVGCVEQHLICMTEMS TRLDNMGAILESLDNRFGQFVDIQRRNIKTVGAIAMSLTSTSRQVLPAVAPSAAPCGI SECIYCLTSVGVTIMTSSPKSALGLPTKLAPYRSDTVYLALLCYPNMNMFLYVINPTS ECLDHHTPSFNQMSEEETKVTVLALIREKIWKKDFRYNDPTEIAKNETRRR PHYBLDRAFT_139480 MSLGQLTVDVNAHKSSTTHQGSPLDQITEGIHHPVSITRSWPNL RLVVRAHPGA PHYBLDRAFT_58495 MLTPPTTDNRSRPHSHSRSTSPIRAAPYHTMKKPHHAVINSSQS KWQQLVVGASSAAGTTAAVVSEESMKCLKYCLSWLQYAVQHIEQQMTLLRNFLVSLAT AQSSSTSNLSTSLSAPGQKSNSPALSSIKKDIVETLRKVVDVISRYAGTSLPQHAKVA VRGFILNLPGRWASLNDIHSTATSPASSPMLGPRTHESCDMNGLPRVGNKRQEETAIR LLTFGQESVDMLQSISSVFSETVERAELWLDRLRLVRVPGISAAEQDDKQQHPDNQNS NSNSDDLDNVRLPPIRTLDPPNHYSFDRTEKMDLDH PHYBLDRAFT_58496 MQLYHLLQVLCVLWAIVQSTDGYCVYNDDSEGTSISIQADQVRL GSYSSSFKRTAMSAGDKGCCPYDNEDCCDRPERDATASLLITEDDGISLGPSVHISLQ CGGWIVYGGNKADRRIQSYTHDGVLYDSPRAFTKAD PHYBLDRAFT_75520 MQKPKASSDSHTSNSSTQITEEQREASNALFRRLLADRNRTLDE LQQTHATLQKSIPKLLRESESGDKDSPKEIPNTKATLAFALFGDLIDECIYDVLSKVH RDTKRSLETCQLCQTKCRSYVVRPNTDIFGNHYNVNNLPSYKCMNCESTIASTRYATH LERCLGLAGRQSGRVASRRLGSSPNPSNASDDAGSSNEYDGPMSDRKRKKTPLASTSG SSRVKKFILAARLAINNNIYIYSVTVTVIIVQHSVYGILGVPVCFVAVLLPFVEITAY FIMWLGLGSHIWGTKETAASHS PHYBLDRAFT_121171 MVNTYTRTNSPLGRMLSLIEVPDSPLRFLILDCPTESTLPYYLE EFKRFNVTDIVRCCQQTYSASTLAEHGIQVHDLPFKDGGVPPPTVVREWLSLLDAQDR VAKAHPDSPPTIAVHCVAGLGRAPALVAIALIELGMQPLDAVDFVRQKRRGAFNKPQI AYLDTYKRTLNKTKSSTGSFKSSLGKMFKFGTSTKKQEVTIH PHYBLDRAFT_139487 MSSQHFIPPVNYGMIEEDLYRSGQPNELNFPFLEKLGLKTIAWL APEEPNQRFLDFIDDQEIQLHHLGVVSAVNAWDPITEEAVLQALDLILNPRNYPMMIM CNLGRHRTGTIVGCLRKLQRWNLTAIFEEYRRYAGPKVRLLNEQFIELFDTDLVRIPT SKPCWL PHYBLDRAFT_162523 MSVYAIYQELFPPQTVEHAERAHLTSPDAINLVVAKASLLQVYA FVEYTPESTLDDEDADQDINLSDSDKEIENERSNGDEEQTLVYPKLKLLKKSPLDTTK GRLELVAQYKLNGSVSAMGVVRTSSTRGKAGCDSLLLGFNDAKMSLLEWSPETHSIVT VSIHYYERDEMKKEFLTNPYPPAIHVDPQQRCALLNFYDNKLAVLPFCQSDNLDTSAS NPGDQEDEDHAQKWPYSPSFVIELEKIDPRIRNVIDMTFLSGYYEPTLAILFQPEQTW TGRLATTKDTVSIVVISLDLSAKAYPIIYSLDNLPYDCRSLVALPKPVDGLLVIASNA LLHVSQGSPGVGVAVNGYTNKTTEFPGMIYDDALIEQGLMLDGAKALSMGGNKCLLFL QNGDWVGVQMKMDGNKVVGVSMDTIHHPPVLGPQDSGDGHTPVACIPTCISSIKDGEF FFLGSRVGDSLLIKWKNGSEPGSKPVSPEIDWTFRVCDALLNTGPIVDMTVGDMESKD ASDDMIEKSTDFPDLELVSCSGYGKNGALCVFQRHVRPETTFSFTQSDCQAVWSIKCR KEHFFEGVQVGGGVQLTQTGLTPEEGTGHWGTVASDDGFKDAFDKFLFISKTESTLVL AAGDELCELESSDFHTTGPTIAVSTLFDHTRIVQIHSHGVVLLSPDGKLVQTVPVKDS KIVDASIHDPYILLTLENQTILALKGDAETRDIIYVQVPASIKNKDVLVASVFADTSG IFASVSEKLATVVKKSRPAGHEKKRKASEAFGTTNTNNNGATTTTATTANANTTTTKK ANIAPPAPPTVEFDEIDMDLYGDQSEGEPVKTTVTIAEDEIMTDSTTQIGQQGQNEDD DDEDDDDDEAMLYGDSEPIKKYPGLFNEEVDEVNVSVKGGLGQIEMISFWCLIYTVEG NLQLHSLPDFKEYFVFPHFDVLPSLLTDCPGHEQEQVPPHLPAHQDNGSLSIKELIIT DIGKERKDPHLVARTSTNDIIIYKAFAFVPGLDSQSDHTTQITEEAGDRLAIRFSRVH HEYVSRYTEEDNDNSNNNSKKYSKKNKNSTGAAADDDDDEDDEEESDGPEIKKDDHVM GTDPFGSGLKESKVIKPRRQRLLIPFNDVAGYAGVFVAGPQPAWLMCSCKSFVRVHPM KADQAVIGFTQFHNVNLKHGFITIDAESTVRLCGLPVDNATYDMDWVMQRIPLGQTAH KIQYDPTMRVYAVLVSTPQPVRLRNEEGAPVDGKEEEGREPGEFLPLVDRFSLLMVSP VTWETVDRIEFEEYEQGFSLQCVALESKQTSTGRKHFMTVGTGFLRGEDTAMRGSIYI FDIIEVVPEPNNPQTNHRYKHLHTEDVKGAVTAMCDVSGHLVSCIGSKVIIWSFEDNE SLVGVAFIDVQIYVTSLCSIKNFILLGDAQKSIWFLGFQLEPAKLVLLGKDYQAFEVA SVNFIIDDKSLFLLVGDTDDNLDIYQYAPFNLQSFTGHKLMRRGDFHVGAQVKTMVRL PQIVRTEKGLEYSRRHFCLCGSFSGSISVVSPIPEKTFKRLNTLYGQLVNGIQHVAGL NPRAFRLIKGPKQKMASNRTKAILDGDLVFEFAGLSVSQQKEMTKQIGTTVAKIKEDL VDVDSSIDHF PHYBLDRAFT_154140 MDTSKTPVKLAKVTKVLGRTGSRGGVTQVRVEFMDDTNRSIIRN VKGPVRENDILCLLESEREARRLR PHYBLDRAFT_179107 MSATRTLEAIKYTPGSLLILDQLALPHETIFEPILNIQDGHAAI KTMKTRGAPAIAIVAALSLAVDVATRAAKHEFKTVPQAVEFLNSSLEYLKTSRPTAVN LFDAAHKLSVVIQDSAKKATSPSEVTDAYINAAEKMLVDDVQDNKNIGKHGAAFIVEN CKNKEDIRVLTHCNTGSLATAGWGTALGIIRDLHKNGQLKHAYCTETRPYNQGSRLTA YELVYENIPATLICDSMASALLHQNPSVQAIVVGADRVAANGDTANKIGTYQLAITAK HHNILFIVAAPSTSIDLSTKSGKDIVIEQRPAEELVTITGLLEGKNIPATVRTAAHGV GVWNPSFDVTPSELISAIVTERGVAVKTPGKSEFDMKAFLQ PHYBLDRAFT_157239 MPQVAEFEAVARQVGGRPLSDAEWADVLSPTMWIEGRIPQDRVT TYVSQTQYSSSREIILLEIEPSTLLPDAAKEAKDSNLRQSDTLLKYFNSRQRYGVVGH NKTKVKDFYLIPLYKTNDIPDCLYVVRIEESKRDCDLFLGVLVLTKQLEQRPLPIPVA YQNHPPYEP PHYBLDRAFT_58504 MPWQRKKSAQWPFVLNKLRFYSQSSHSSVSIPISVASEQVEPGA EAPSEQPKDDNIDLEPPHYDDPIHPWTSIFPAYLSQRTVEPREEEGREVLPEYECTIF KMGFVRVKREMERPKVESKDRAWKKLYLVLWGTTIHAYKIEPYEGMKPVWSYSMQLAE AGSAPDYLKYRNVFRLRVSNGPQMLIRSSLEEEQVLWIEHLQASANVSPDLDVRPMPR FITLTTRRRRGRAARTAGQSEPVEASLI PHYBLDRAFT_162528 MSTFQPLDLSKPRYPQSTYWGRVRHFINVTDPRYLYSLYDWFNK CMLFYIGILWFLWIKNTHSLRFSKSTEISNEHTSNLDSQIVLDADIFLSILLKLLSSV NFTDLYFISTSLDSY PHYBLDRAFT_162529 MFVSLSNCAASKQSSIQWSCTILQDKLYIPLFFAYITVIISILC LFLRKYQNSNQPKILFRKFCLSFYINQPKAKECLGSNISSEFGLPNQNCFEDMAPLQF EHNLWNRDLAAVLKFWHILNRLKHGGLLHKYQHLVFLSTSPKF PHYBLDRAFT_184781 MTSKASNNNSGNNTWDSKTQTFHGGQEHFFIDNFVEDFSVTTNY LGTPKKALEAARIAMGQIHHYPAANQEPAKSRLAEFLWPKNFAEHHGRLLMGNGASEL IDLVVRKALDQARERGIKNPTWKGGPWNVQYQEYQRSAATNGFKILDPSSKERADMIC IVNPCNPTGDYLPIEEIKGWLEQNVAPKGTVMVDESMQLWHSADFRADSLINQHEFML SMLERDQVSVHVMHSWTKIWSCTGLRVGSVICPTAQHCEALKKIQVPWSVNGPALKFV EAVVKDDEYLNKTWENTSRLRAHLISELEKIKGFKQQGWVCHGEPFLSWVWLDVRSDE VATKAVDLARAAGVPVRSGKPGYGCNTFVRIAVREEHQAAILISAWASL PHYBLDRAFT_58509 MLGIQFRSFFWCFCGLVLVDSIIALPLLAHRRSESFSGSGTYYN VGPGSCGETNSDTEMVVAVNTGQMNNGPNPNSNPHCQKTISIKGDEGVVKARVVDTCP GCSEGGLDMSPALFQRVCGDLGRGRCDIEWHYL PHYBLDRAFT_162534 MSDRCLSSGKKEGIHIVAGESVSLASILRINESRTIGSISEIKR VRSGYRCDMIVRERKANHGEHIKEVGIKLPKVLKDMLASFVFNDNGSYEGLATFGL PHYBLDRAFT_129935 MSVQLFTYEEVSTHNTRDDLYMIINNKVYDITKFVEEHPGGEEV LVDEGAKDATASFNDIGHSPEASEMLEKYYIGDVDPSSAPVKGGASNSNGEKSVSASP QGNPLRIIIPLSIILGYLYFRFFVKSA PHYBLDRAFT_58515 MIESHKCTACSSKGLLQVGSDLRNIIQDHHQQFIIKAVNENNTI TLEELKILLLEEFDNIQSISASTLYNFLNNQLVEKLDNANAGPYHLVINNVRIHYNLS VCNWLQEINKYILKFLPPYSPFLNPIEECFSKLKNLVKKHSLVSKETLCERIQSSSYQ IIKQNYES PHYBLDRAFT_58516 MNPAGYETHVFHINITSNTTENIEQQDEDGVTTQTMQNTQTTKA TTTERTDCRQSFTIYFAEVLWYCSMQELFHAQNLQRDSELSNTTNRSNRKSSRYFILS FRTARISFRTSTDTRASMNTTTSTSAITRKNISININTRSK PHYBLDRAFT_139502 MNRVTKKLVPGRVSVPTPRIPGQLNFSLVDIGKSCSLCEKTFKD HWNLKRHLQRCHDIMEMVADDMSIVQETKYQDIQMSNSPKNLLTPSESVEEESDVDNE YCNSILNYDECEESDDGSRVDNSDFDVEENTEPNADIPLFNHILNKMSAFANNNESSI DEEDEFQSEVFNSTAWNRFIPNTHSFKDIQTMILLVLVNGDNDMISCRMLKKILFTIN LLLKIYKEAIRKDISFKLPQLDTLWNYQTRKGSNIPIFKSKSLDVTLSDSTKVTPFLN LSSEHIKLLAANPIKSKSIFSLPDCTPNQSVCLQQGEKWRTNTYFQQPMFTHNNIDFW SGDIVLLKDCSPNTRFLVESFHTMDISNVFSRGYIVKIAPVILFLDDTSGNTSKQFNP YESWSMKCAALSFEERCSIENILFISAIPKKKDANTASMLSEIVDDLKKLENGVVMFS AEDNAYVLVVSPLIWIEADTSCHSELCSLGAPNSTYPCRKHPTRTKGHYIQATSTPDR DTVISDIPYFDNKNTAEELSFKNKSTDKLLELKAYDQSKDTPAEILHYILLGIAKYLI TNLVKVILNKNKKELEELFDYVKDYKNSRGISRAFTRSLTHAGLFLGRDFKVLIQILL VILAIKFADTEVLQEITPLFVCLGRLCSLVFVQSIDSQYETYISEVDSAVRSLIEALH KYDTNCKHKKHAFYTSKPKVHLLTHLPEDLQRFGPALNYKTKKGEQFNKHICEHLFHT NRMNTSKDICLKFGKQYMTSHIIDGGSWIDKNGLRETRGKAIAEYMQQNSDGKFHETL LGGSREFADNNGTGLTPGRILKDNTFALFRQSNGHIIIGMVLFSKVYHLYIKYPSAHA VNNNYCLALKYADDIYTLLDELKVVCLLDMHLKVGCKYVVNLNKFGSY PHYBLDRAFT_162539 MAPKYTTKKNVYLTKGNRKKAEKKYQCNLCQILTVYTSLVGFKK HLNKITLHKDSSTAVSVDLENNGKIESGFTELEIVIENHMDYYDSCSSDEEDQYENNP RLTEAKTSDADNKITDSAIHMETHMRVLESFSTSMNDYAAGVTVSKSSHQSIEDIFIL PSDISPFKSKAEFILHVLFHGDEDLASERLIKKIMYAMEMLLEVHKSARKRIEFSKPD AVINFHLRAKNNVLVFKTSTAKSTNQKSEVHKFSINMPSEYIKNMMACSGKTLQLSAL PDFTENQRLHLNQGDKWIESPLLQHPMLTIQGSDYWLCENYTNFAVSIPKYKINTNAT IVERFKRRLSGSSLIKVIIFPINMYSDDTSGNDSKQYNVYYSFLIYFAVMTLEEQNKW ENTLFVCTCNHVLNAVDMLRPLVDNLVTLQKGIQMYSDDLGKHVLVVAPLLLFMGDNP CQSQLAMHKKTASRRFCRKYLILSPCLDWKHVKGITCFSHVALYDWPQRSKTFLSAFA SSDKQSNIYKSEQSLSYVKNGSKEFLRLKAFDPTKDIPIEILHTIPLGLIKYLPVLTA IQKDSLQHELSPYRSYKSYNQTFSNQLHHSGSFVGRDFKQLIQILSSVISKLFGRDSN LTFIITSFHAVSRLASLIYICGVHKGFDNNLLKIRSAVDKVARVFLVLNTFIVSNPKT FQQQDFSFKSKLHLLHHLTKDMFCFSSVLQYKTENSEQFSKFICEHLFKTNCQATSRD VAKKFVKQFICRHLCNRGSYIVEKHIGNNIRPVRLSVGDFVKQAPIDFSKFNLHFFGS RANSKNSDILISNLRDKLADVFQKDNRWFLGKISIETLRDGRGKLVRKMCIMQEYQMI SSFNVNTVYSCNIVTDSYDNIKVIYYRTSYEFDKNEVIVAQDIDMHLTLISNSSRRLL NVAKFGMFCWILVNISNIN PHYBLDRAFT_162540 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSIEDLNLEITALQSQLENTNISNQHTSPSVSAISSANTIRKPVSIFREITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKRLQSHK PHYBLDRAFT_162541 MSSNSILDSYQCNQCKERYTNLKKAKSCRAQCFKNHHRRHNDIQ TSQTTPVPGQVSVVLNTVSNDTIDRERADAIEDQIMNTLNSEDNDDPIMNIFSNDDND ESMYDAELGNDMDIIENETSPLVFDFSQPAPTPDKDDAKNLEFLKIIKDFGISRNAHE MIVKHFNSILETSTCITYRACTPHLGKKLLKRFSGVEETVHDICQRGCMLFTSPFQTE CSNCGQSRTLPSTNIRTLESFQNFSQASASSRKGLNEQSPLATLKVFSGPLFFALDEM HGLCHGISKQIWGLVSGTYGTDHCFALSSGVRKEIGTAMDVYKNPGSFKAVDWADFLL FVVPTLVAERIGDATAWNALLGLVQACNLLMSWELSAEEQTSIKSKLEIWNMYLESLL TSGKIKINIFTINQHLLQHYPLMIDTYGPPRAYSARSVEWAIGEYSRAIKSNSAINVN AGNIMLGLAQIQQAEAGATVMITEARTARHLQYEDSTAGWPLTDEGECVCAGSDIEFW GPLRNRTIRDSFEGISCLSKLLEDFYKSKGEECSMIEAAIQSSRKAFVNGCVIDSALD QNCVREAHNIRLQIQVDENHNINSAYSPVYKDFFGKVVVFFEHKLNNKRWPHALVEIA AVRLVNGIPVVNNGQMKPKVVHLADVKELVGLVKSDATINTTTTTTYIVWPELNRGPK LSLGSLADL PHYBLDRAFT_139507 MTLDKFKYGLEFDTRQFSAENYKRFAEAIYKKNNVYSPIIGFVD GTMQKIACPNVNYEQSLAYNG PHYBLDRAFT_139508 MIETALGRAIYQAIKEKEDYKEKKMVENATKLAKEKLDKTTGNE FLETALYGPKRTTRENEESSCSADNENVEADETEKTGNDMDHISKNDDDIDNQEDFYN NALPLLQQIARSVSALEERLERQQ PHYBLDRAFT_58522 MDEASNPLDRLHVHHLHHTRCQAIPRREKILVHALNIRKTVDGR KESGNNTFSCPERHQTADQVLALYANGGSQLSQRALSWPEPKLRTSNIVTCNLEVAGP SVICGTNDRLAAKPVIDCCLSEQEILHVLPWFLSRLDCRAVDTDCPFDLPTTVCLQRA HLLHHIAKMNLHMLVHHENCRLQPLFFDLTMEKGMPATNDPIKMIKTLISIYPIDLLL CFLDISSSDPPLLSNSACSNKFHHCCFRTIKNKMIDDNRWNNKQEEVYLIDSLPLAIG FLQGSSRSHEIGWYISPGCANCFVNIFQTDIQGKWVQWSLDNFKT PHYBLDRAFT_106355 KTKATIFAERIGVKDFNASQGWMEKFGKRHCIKMNRIHGEAGST DIESLQIDKAAIKEKIEGYSARDIYNFDETALFYAAPPRTTISHQKFSGWKDNKKRLT VGLLCNADGTDKWSDVLMIGHARRPNCFNKNNKKQEASDHGFSMYHYNSNAWMTRSIF HVFLRRFDHVMKAQKRKVLLILDNFSGHIVDYTPTNVELLFLPPNTTSHLQPLDGGII RAFKAYFKRKQYAKAYQYIGMIQNGNQDKIGPIDKIFEIDQLWAMKWIREAWESVSAK TIENCWNATIFRFIEDEDSEGSSKIIYWS PHYBLDRAFT_162545 MTMDICVSITLTECCDISVSLFIRKHNLLLSLRLSFLECIYCLT SVSVTIMTSSHKSHAQAISLELFSMFFENNVSREVYDKCIEIVNKYMAELGSTKVDSL LSYYRVDTLLKEEYPVKSVAYDMCINGCCWFSTVEEGDFINEDETCSHCSEDRYKVER ASVKPAQTFQIVPLSEQLQFKLAHPKKQAKMAYGTRCLAGRREDIREDIFDRDVVGWL LDRGVVGQDDILVSMFVDQFNPFKMPKCHSLLFIYKAGNMMQLAIIPGPNHLKDITSF LELVLNDLRNLGANDLQFQTDSGLVIAKVYLVMATGDTPAVSDLMNLTHHNAHHGCRA CILYGARDSSTTCIVERDGLSLLRTEESLHQSIGGMYGVKGPNVFKDLSTMTSTAFFG LDEMHLIGHGTGQQLYVALGGKFCSMINDAFHDFVIDINVKCVKFSCQTLGYQVVI PHYBLDRAFT_58525 MSSTSELYNKKCYCIKCSNNQQGYSFVSTRTLQRHNKRAKYEDM ERSERTTSNQQTGPMEAMGGQTNLPVWEGAPISVNEVAFSNESNGKSSDGDKNDNDEE SNGGEESEDNEENIVEIEVEEFDTEDPFATPNMPENSVHRFIATFVVMFASRYVVNKG AVVLIEFINKLLLIYKQDFQLPKSYVYQSLTRALKILFLHPNFKQKIMHWNQEFKITD TLCDVYDSEAWTDLKDNDDEIFVEHPRSLMLTLNID PHYBLDRAFT_139513 MENNDLLAMQTVAAMMILPSDYTKLKTKIGKGFSYMKADEWKSW VLVYSPMLLKPVLPSNMFNGWMHYVKTCHILVKPSISFIKIDQAHRYLQEFCQSCEDT YEPKVLTCNMHLHLHLHDTICDFRPMYGYWLFGFERYNSLLKNNKTNRKDGFETTYMT KFTADTYKADYVRNTLSYSSLSQAAPIKGNEPLPPSTFPLQSLKESTMSNIDYPQLLD YYKIAYAMPNLISYHDASLSQYFYNSINDNEHRDEGIVICLPEFFADNYHSILPVHRI HLEIATAVDVTDMNEERMLVIPMPKNTMPEAFMNNYAL PHYBLDRAFT_162547 MKAIPLNALLLREMTNSLAILCRDMTTVMKGVADIKAKTLNTSV SAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLRKHLWDPKFKSK HLVEIQANNGKPRWNTTVNFNQSPNTELTENLVAYLERNFIGAGLRKSDVCDFVYTNF TSRKCAANKSQAKKKSENARNRRSSREKEHLKHCKTVYQSNKTAIDDEMKRDCSGLII EEAMSVGESDDGTSPHVSYSRLRLCRPGWRSDELKLKKFLRERIEDLRLGTKICENLF RFCLLRKDFVSKFDQVPTLNYYCYLYYFGSGKLYDWGQSKLIYNNNKVVADLSLNSHQ LLSRAFGKTVEGPVPDAIASQFPQWAFRNGP PHYBLDRAFT_154142 MLGSLDCMHWEWKYCPTAWHKQFVGKEKTLTIVLEAVASYNLSH LFRDLATEKTPVVNYSINDNKYNMRYYLTDGIYPTYGAFVKEICEGTGGVRKDVECAF DVVQAHFAIVSGPSRMWDRDTLHDIMTVCIILHNMIVEKKRKSMEIGHADNSERIADE R PHYBLDRAFT_162548 MIYLELKAKSMYKAEMKLNFTAYHCYRYLSWYPKWQETAEKSLK KQKNKRKGGMKKSMSVAVEGQQQDDNDTSDMSGTWPAGRKQMKARELGIQRFKEMIEK MYAMKASSALELKERSACILKSIEDQAEEQIRAAKAHQLLDKEEMMSFKRHCLLEEEE IALAKIRQQIN PHYBLDRAFT_139517 MGPRTNINQNACTNGSASRPLINAVNTDCIGLSNPMIAPRPDNI SIPVSEFNDVISLLATLNDKMTAVSSNVSELKIQCQVGAQSTDMQAVLDSDMSSQDII SSSRHPKISFNKNLASDFMLYIRCQPVAAMVPPKGLCGICCK PHYBLDRAFT_139519 MSGDDTKTDTSVVASRPDWRSDELNAMFDFLDNLARDDLGKRAT QLKLRSHVLIHKTIPCGLVTKMPAWSKRV PHYBLDRAFT_162550 MTDKRTAKRHAQNDNDRKIDKIINVLTAKVNTSETDMDVDQIEE YVEDDNHSVGAPSPEQYVHIYLPLLVEESLFGTEEYTSEYESEYESSDEIEPEEQDRE EEQESTANLPENPWHEVIAIFTVMFISTFIMDDSAVILITFINTILEHYGEDFRLPIS ILGLKKMTGYNDLMNRVSNYVKSRQQDLLWKQALQTQYKKCNDSQTYCGISECIYCLT SVGVTIMTSNHKSALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIRVSGSP HLHQLMYFEPVHATVIDPMHNLFLGMAKCMMDNWIACDLLDSNDFAEMQKEADSMTLP MGALTKPAITEEEIDKAHVSLEKFCCSCETMYKLDLLLPNMHLHLHLKESIQDFGPIC SFWLFSFECFNGVLKGFQTNQKSGFKKTYMKKFVEDSSKGDFYHTHLSTINNPSYISV FNKLTDSITGTIPSGNHQNLLSFFHLSSFLESTTNPEQQTFGNEPLPPSALPLALKEA TTMRKAEYGCLLKLYKIEYDDDTLCSAKTMLRHRIFVNDRIQKIASINLLRQVYKGGE GLIMRGSYIQAKYMGTNNNSKGIYAGYIK PHYBLDRAFT_179113 MSSENQSPAPSVQGSLNTSEIASNEQANKSMLAITRLRDLLAAA SIALADAIEQNQPEDTKNQIRLTIAATEGDLAILMSAHTHLLRSGPARAEQVAPQSRV VPRELPVLQWQGNEWDNTQKVYSSIEECLDKFEDVLHSYSLDLNVDWHRLLPIVLSRE QRSWYDNYLRSSPELPWSFVRDAFIKVYGINDLERQVQLTHELMLMRMSPTETVSNYT DRYQRVRREADVADNMQAAIAYTATLLPELARQVSLLQVNMPREKRDTIDKAASLARS IYSKVFLMSLHNDPVPKGHHLSPEQTTRMSAATSSARSPGSPREATQSHERSSSKRCS LHGKGSHDSEDCRILKNALAAKGGNRVEKAPYINKYVGSAPCRWCGEVWSHKHRCSSV TGSSSSSSSASGSSSGSAPHFAVRSAHTVSDDSSPSDVSTSEDDQSMHMDFEQCSP PHYBLDRAFT_58534 MGYKTKMASFGENNEVIMLQLSTDEVEHVLVTYDKSTFYSNNGK EAMWLVEAENPIRKKDLDISHDYWEVFHPEANRDGYWTSAHMLKQLESNPIPLFKVIH PGCKAVFVFNQSDKEVEKDDLCTLRDTTFVQDGEKQRLDYKKDASEDSKCCAHHFLAS QPDFMSQKTALHEAVKVSGHIFELYPKFYCECNWIERYWGTAKREARLQCDYRYKSLD KNIHSFLDYAGKLQNI PHYBLDRAFT_58535 MVDSYGSPRAYSARSLECAIGEYSRSIKSNSAIGVNAGNIMVHL TWTHHIDLKDSGEDANRAIVLEYNDMSAGWPVMEKGEYVGAKSDIEFWGPLSRRLIDE SFEGISCLLILIQAFYKSKRVECSTIEPVMTTSCKAFVNGCVIDSSFTQTPLREAHHI CLQVQIDLFYNVCWRYTPIIKDFFSKVVLFFKHENSGKRWPLVLVQVYSVEEYNGMLV AKNGQMKPKVVHLVDVKELVGLSVEVTSRKISANTISLLKLAFNEIKEEIALFICISS SSLPVDHYELCKLKSVKSYLRHRLLGSKTLEASEKAAMEQQKPESCSTILVKKHIDEI IIPKKLGIPGSVSMSTV PHYBLDRAFT_58536 MPVQDQAMSSMHLNHRHALPPAWELQIVESLTSLQLGNIQRVLP YHSKHHGKMFGFNVLCLLLPNQFPFEHQQTGKEGDYSCTYHIPIPKFTCQFHGKVHAF RQEQKRSGPLNDISEAKGDCPVQPLHDKLVLLKFQKLSRVQMLVPSKDRKYCLWP PHYBLDRAFT_58537 MKKKILKKCDIEYENDIKSGTSPLVFDFSKPSPIPSNNDAKNLE LIKIINDFGISHQAYKKLATHFNKILELSTEITYRACTPYLGSKLLKCYSDLEENIYS VCPKGCMMFNEAHKIVCKHCGEDCYKADKNNKDGMPVAAKNMVQISLVRQLALVLANS TTKAEMLYRHNHEQISDGSKSDIFDGHAYQTRDIFSPMRMILPFCLIILNATILNLPP MVYYKKNQMLQIEMIPGPSAPLDFWSFLKPTLLELKVLQEEGMVVKTQTAII PHYBLDRAFT_106945 SQGWMEKFGKRHYIKMNRIHGEAGSTDIESLQIDKAAIKEKIEA YSACDIYNFDETVLFYAAPPRTTISCQKFSGWKENKKRLTVGLLCNADGTDKWSDILM IGHARRPNCFNKNNKKQEAVDHGFSMYHYNSNDWMTRSIFHVFLHRFDRSMKAQNCKV LLILDNFSGHIVDYAPTNVELLFLPPNTTSHLQPLDGGIIWAFKAYFKRKQYAKAYQY IGMIQNDQQNKIGAIDKIFEIDQLWAMKWIREAWESVSAKTIENCWNATIFHFIEDKD SEGISKTIYWL PHYBLDRAFT_162555 MWYVVIAAIIIKTTNPILQGIQETLFALQKGQEELQIGQDTLKK GQEAFQKEQALLRQEITNICKDMNGQESPEPTIVHNNLGLAIPRPVPNIKDITLVHIY RIMSHDIGVELDKRNKAILHTCTDLVCDELATLPSVQALEQYPNWSAISQEDENWVCT RHACLLRNSSTDFTRCHKN PHYBLDRAFT_58540 MPPASKKSRLAKSKFQSESGNCFSKNIETSNDDLVDLFEIDIEE DITVDDRELQRITDDVTSAIYSSHFLKWHEGADKFLRGTYQKNSLTIEWRKRKTMKES FDSKGSYLLTLQEKKRVCQGFTGGLLRRLPRDWVINHFNWIKNIFFNKYSYKQVFYWL LE PHYBLDRAFT_139528 MQKNTRQETYKIVKTRPPKRQGELNFSINSGIFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVESTILDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIC FLVESFHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPSNVDCDAVFYKVRIVSIILFTDDTSGN RSKQYNPFESWLMRCTALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKDF VKDLSYFCECHERRTREHYVLANSSPGRDTEIPNTPKIGMNTPANEISFRDRSTGRLL ELQSFDPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTG LSRKFTRNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLV FVRQVTSDFDNYIIRVDNAVKRLIRALFDYDKGTKNELHKAYCTKPKVHYLTHLKEDI IRFGPALNYETEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQIALQHVIDGGSWIN SSGNREKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFV FKDDPISRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLD LHIFRNPFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_162558 MSPLPTNITAFKRRFVNKCNSEELEHLIEQQKAEISSGIQPTSI AAISEEVPKKVRRGQPSKRIMLVENSTAESSSSAKLSTSVGSNAFVALQSSLSINVSE FEPALKTLPIKVHASTAAIYRMPLEHWKYPYTVGPTEFVIAFFKEFVFKRMYMKSVSV ETDVRTQIGLRTEDNKERQLTLLQIAENAPSNKNGNKKVIKVPLGIESVNQYKKILMF LYEFQSERQEVGWLSSKKTKEVIELIKKYEHDLVYYQVQTNVDRAAQCVIWDSYKSGK LIRILKSLWTSDSKSGLHEMFSISSRHHMLLRDQNLRNLNFVDCFCTIIPKKQHEGMQ QALALVFSLDKGKTLKEGEVKFACAMSHKNVFRCLFDAFAFFIFSLLQTSGNFLNKDR PHYBLDRAFT_162559 MAGFWEKSFSLARNGVSPPMELQKMVFPWIEDYFGAGNIGWVAV CEKEMNEVDENEDEDENIINLEIDEEADSVEFVKEDGRLQSKEKKRKGKQRATQSSIN TAKHKFLQLLIQCRRIILQDAVIYLYLNKENKYINTRNLPFSTAITSPSIGILEEYAI LVPKIVDTNKEVANRVTKQQYLNSQMQLLMTINNASQSINTNTSLSQPPIFPVFFIIH LILFFPFSTSAPFTFSPTQQPTAISVTPPLLNSNRHFIALLKPKVKKKAR PHYBLDRAFT_58544 MNQFLYKDKEIPVAVLDMEVDNEQYLLHNITNLKQYMELKPSEK QVKKKTLKSNKKEPVVKASYQSYKKEDKEKFFYWVYEKQFNVCAACKMVNIPPSTGQN WFKKGVESLMNNEDLSQRKSGSSLNSSSAGRPPKLCEEYKDFVVGIVDEKPDIVLEKM IEKLNGQFIGLKIKKTALHDFLTKKFQLTLKRAYFYSIQQNSIENIEERHKWVTR PHYBLDRAFT_58545 MDNAPIHKHGDIQLYIEGRGYGCVYLLPYLPELNSIEQFWSVVK SKLKREALLEEETLTSRISDACNKKEKQSADEKYGETLFIDEKRDDLLLLFAIFSTNT FIRSHIEQICNIAKKHMWSKKKYIANYLGQTLFLSGMTFLWSTTFIESPPFTIYRPHK K PHYBLDRAFT_162561 MSFTISSPLCTICQVSIETQEHFLLACPLKSAVWTGILLEFFGT VPLPSVLSNAFQSFVFPSILNPAIPASSVFGLTILAIWDHHWSFHFNSVPFLLSAMLH IVCKSISRLCSELELDSP PHYBLDRAFT_162562 MALSTNTPEPPGVKNPSTTGSSPPLPTSFTPLSLSSTPLYSQVA TQNALPLLEKQSHVIFSSTNNATPRTWCVGSSKFSVFFTVPPKSSPKFDPFWRALLSA YPREVNMGITLGSRSSPDTCELHLPTSADCERACSQPLVVGDSSFPAQPAVPIGTIVR RVFLTKLPCVPYHDLATQLAKCMSPFGKVREIAIHESYGFFDSSGYVVLANTPTDDVP SDSLTYQIAYDDMQKILANCPYITDPSKTSKTSNKRSRHPNCNSKLDCPIIVPKPLIP TELSLIYGGSEASKHNPRQPALRELSKLSPTKTTFTLLTPTETPTSSGPCPRSHSVDT PTRGWDKEIDNRMITNLMDRYETQALRLQGLPKVGHPESRSFFIHHLHSQGIDILALQ ETHASSSMLQSTFDQQFRSSSSLWSPHCDVVCLSPHIIFTDPLFSPCDRCITTTITHV DNNFSPFRIGVIYAPAFQTPRYCFLASLLSTPDLIPPNPSNFILLGDFNHAIHSHYAL GRRAPADWLQFIDTNMTDCITPHGQHPQPTFHQALSSTTIDYILAFSDLHPRTTDPQV SYIHQKWSDHCLVAVSLSLPSTKSSGKGLWNANSHLAQSLSFRTDLNTLLSTLVPALR ADLFPQAQWDAIKLEGGLGILDPGIQQCALQLRWLKPLIRNPLLPHSLVPQWFSTLLH SDVPTIDPLLPLLFPDCHPCNHHTLDSLLHLVLKATDTLPRNFDRVVLNLSSCLILPL SSMISSMPSHPLYRPAWRDLRVHHLYQIEFNLDILTPITPSRPLLHSVTLNRILNRIR DHTMPDLPICDGSSIDLQPLLSAQLPGQTWSQLTMRSYRSACSHQLSNAHCCKCVLTT AVIENKSEGNNIRPQLFQSKVSTNYFII PHYBLDRAFT_58548 MIHSKSKKEFDWALNKFNAVSAGSEVENGNVKTPVSAYFHDRWI TCQEKWAGYLTQKIMHFGCTTSQRVESAHQALKRGISAIASLDLAFENISRYIERLER DFRNVEIRESTTVDPLVGRNKLLSRLLMKVSKRALLVIDQEVRLKCESSIVCECMNKL QYGLPCRHSLPAGRDIYISDIPERWVIDPRNVKPRDNTCRENFQLEERPEVWMEEVIK LESLFRSCEGSQQVANLLNKIKKVTSEFEGKTGHPSINFQALEKIKYPGRRKGSARPK YLPKDFGRANWRKISVSSGHAGLKAMVRLRAKMREGKPAATQKTKNKKQNKNKQEPLD PVDATKNKIKQIKQEPLDPVDAPQKNGFKRPATALEDYQYDNRTSVGKRVKFQPGFPV SHEIVDDVKGGFSLTADGWCGFRVLAHLIYKDQNKFSLVKRDMLAALPKYKILYTNTF GTDTSQLEKIIQHGSQLDYSNTSNTNTNTNFIPVCSDANMWFNTPDCAQLAADTYTRP VCVYSDNPNSPSTTFLPFALPNNKTKQRQSLIFNHVNSNHWTTVDLSHNISRKWPTVP ELFFLGCARNKIDDNFDTYWNKFKEFNKHDRQNAMLSLHSDLDQPIDLTPK PHYBLDRAFT_176667 MADRYSFSLTTFSPSGKLVQIEYALNAVSSGVTSVGIKATNGIV IATEKKSASSLVDDSSLEKVATICANIGMIYSGMGPDFRVLVSKARKAAQQYKRVYME EPPVRILVQEVASVVQEYTQSGGVRPFGVSVLIAGYDEVNGPSLYQVDPTGSYWAWKA SAIGKNMINAKTFLEKRYNEEMELEDAVHTAILTLKEGFEGQMTENSLEIGIIGTSTV GLYGGERKEIPLFRKLSLNEVKDYLANIA PHYBLDRAFT_75530 MESNYVLFLSIHNLVPKAMWLTRKLAAFTQSENNNKSAQKSFSK KKPVPPKPVHPPPDIPTTFKTHDKTLVIVVAGACNVGKSTLIELGLQDSNKKQQNPNV APGSPISSGAPTQIDKPRSAWQRHQSTMTMDRVRYLVDIMEIDDSLIDATEDPPEFPE SLTTVHGGLICYDITNRDSMDCLPDLLTCLIGLKADLSGLRQVDLQLGCKLASLFGVQ LFEVDACSDQGIARMKDVYATLLYQITAPAPPTPTPAQPPTLRERRMASESSIRIKID HNNILSTFQNTIKPPITTTASQPDTSYANYELHSDGPPIADRVQAPISAGTRAPTGFV YAPTAQSDLPRGKNASFRRSNTQTIASLTEQSLTAIEDEPFDTPDEVELTSPSPTLPP LLPLLPLLPPPPPPPQSSKPCNIQQKHRKNSLPSTSSSNHHINRDLHTQRRGSAPHPI ILSSRRGSKDSSYSDSCSTGLTAEDIVDRLIASEPCRGVLTTTLADENIVPVFITFFR KFMKPGELVKMLIERFQGDIAEGSSPTLLQERIHSIFLLWLSHYWGDFHSPQTRKALI AFIEDISQHESMRPICDDLAPLVIREPPLDDPDAQWGLMDSDTDTDDFSDAPSTPKQH EKNKKKDSGYVSGSFKLSNFMDSEQNRSNATIQHCPIDATSISSPSPVHSTPSTPKIP QSSAPLKRTSSAGSFSSLFGRSRESSISSSIQFLASLASLKPMTPKQQTPPDDLDITT NEYTTPISPQERTPDITDDFSMTHRRLSVDPSQKVGEPCRPEFSGGLINLETYNGISA STSWASFGAQTLISSAASITSAFGAVYPSGAGRSEKDQAAYNLKVFLDMTDKNVAEQL TWIESEAREFVRSIWTSSQSRRPTSVSSDDQVKTKYERWTYDKSTRKKTLLASPQQQI APSAVVASISHFNFISAWVATSIVAQPKLNKRAAVLEKFMSIAVELRNHNNYNSLMAI LAGINNAAVLRLRLTRESISSKKIFKQYQSLEKLMSTDRSFSSYRMALKASETPGIPY LGIHNQDLVSLSEANKDFKADGTIHWEKFRLMGESIMGMMKFQSPSYQKISPDEKILN FIADSVVMTEDEQYKRSILIEPRLKSSSTNKLRDLWSRV PHYBLDRAFT_162567 MFCKLLAAAYVAVTLFFNKQYKKCIVTSTKEEFDTILNMEVFQV SLDKTCRINCVDERKNIAYGTKQLIALKATVVHTDMTKPPNKNIREITSIPDKVHLCM ISETELSVRLFFALNFELIVVPLVGDFCTIFRGLRVVLRLNFD PHYBLDRAFT_184787 MLSATDNSKTSSKYVRGVSFDTMTHKDLHNYSFTLRGKTPGYKR SRRTRTFMIATDLANYSEFALNWASDEVMDDGDELIVLRVVTLETAEIRTSLLLHEEE QARQNAERVMEKIMASSNDEKRVSVIIEFVIGKVQETIQHMIAMYQPSLLIVGTRGLS DFKNMLLGSVSKYCLQHSPVPVVVVRPDDKIKKNKTKKNRLSGLMRLSSHSSVATISS FKSSTSESSSDEDESEPTKTKGVDKVEKIDKIDKSDKTERGEKTDKKSNRLSLFENFG RRSRSPSPSPAKTR PHYBLDRAFT_139540 MAAMDSNINQITEVSEPEVKQFKTDEEILASFGYKQEMSKSIST ISNFAIAFGCCSILSGLTPMWGDAMQSGGSVAVVWGWIIVSIFTFGVGLSLAEICSAY PVTGGLYIWVSRLAPPEWVPIACWLTGWCNWLVAITSSDLGLAQFLASVIAISNPEYN ASIYWQYGIFLVIAFIHGVINSASIKYNGFFNQTSLYWHLAGTLMIIIVALVLTPNKP DAKWVFTYFENDTGFSSGGYAFLIGLLQSQYTLSGFDSAAHMSDETHDAARNAPRGIL YAIGTASIVGLAFMISVNFCVQDFQAQVVSELALQPQMTQVFLDGVGYKWTIVFTVIV MGAMFFSGSALTLGSSRMVYAFARDGAMPFSKWLSHVNERTQTPIYAVWGNVVFAVIV GLLFIVNETAFNAIVSINTIASSLAYLIPIALRLTVARKTFVRGPFHLGPFSDIINFS SCCWILLTSVLFICPTEYPVTADNMNYACVPLVAVLGGSTAYYHFRARKWFHGPGKAT DGGSSTESMSDFNVDGSHNTTDVKHERFTEKKHEKVLLSNISSLHDS PHYBLDRAFT_35101 MHLKERDPSDDPSGLPPSNGAAAGGQGENGDGWDDGKPPSGSPG ATVENQLQKPTVPDVYPQVLALPITRRPLFPGFYKAVVIKDPHVTAAIKELLKRGQPY IGAFLLKDEKLDVDTITSIDQIHPVGVFAQITSVFPASAGKDGKEDGGLTAVLYPHRR IKMTELLPMMNKHSTATVKPSPTEQIAGVEHPAVEPAADTQYATAFLAEDYAVSVGNV DNLVDPPYSKKSPIVRAITSEIVSVFKEIASLNPLFRDQIASFSMSQSAGNVFEEPAK LADFAAAVSAGEQAELQDVLETLPVEDRLQKALVVLKKELMNAQLQNKISKEVETKIA KRQREYYLMEQMKGIKKELGLESDGKDKLVEGFKEKATKLAMPAGVKKVFDEEINKLA HLEPAASEFNVTRNYLDWLTQVPWGQRSRENYNIVHAKKVLDEDHYGLQDVKDRILEF IAVGKLTGSVEGKIICLSGPPGVGKTSIGKSIARALDREFYRFSVGGLTDVAEIKGHR RTYVGAMPGKVIQALKKVQTENPLVLIDEIDKIGRGHQGDPSSALLELLDPEQNSSFL DHYMDVPVDLSKVLFVCTANVLDTIPGPLLDRMEVIQLSGYIAEEKAAIASKYLAPAA KLSAGLEHVDVSLTEEAVDTLIKSYCRESGVRNLKKHIDKVFRKAAFKVVQDIGEDKF EQQKGDSPKVLEDDVRSNDPEKVEHAIEHGAEKEVGQEKTQEERKRLEVPDSVHVEVT AGNLKDYVGPPIFHSDRLYEQTPPGVIMGLAWTSMGGSSLYIESVLESSLSSKSSPHL STTGQLGDVMKESTTIAYTFAKSLMASRFPKNKFFEKARLHLHCPAGAVPKDGPSAGI TMATSFISLALNKPISHNIAMTGELTVTGKVLQIGGLKEKTIAAKRSNVTTIMFPKDN QADWEELPAHVKKGITGIPVDYYSDVFKVCFGDVEKEEVERLWQKELAEDEKKDEKKD PHYBLDRAFT_184789 MSEHTCPTHGGLVEDGFNPDFDLKQLILDPDSNMHIWGWLVSGF LTLGSLTIAAHSITRHLTHYYTPKIQRHKVRVLAYPPAYAILAWLTYLKYDYATVIMF FAKIVESFAVYNLFVCLQSYLEGYRKENDHVKVAVDTKVLGIYKFHLNSKWGLHFRAI IDILVFQFPIWNIIASFISIFAQIKGVYCDGQFSPHGAYLYLATISFISLSIILMALF TYLAVFNDEWKRGKVRAHGMFWCVKGPIMVIFYFGDILLSIMTYFGVIHDKVPTNGGT VWTAAAIKNGYYVLLICAVMVFVAALMERYFGLDFKEDIFDDSDKTRYGYMHAFADSF LGFIPHFFIDLFSCGGETVELAKKRIKLHKQRRLSDDQLDLLGAEPDAHEVTHMDDPS SLSQPARAHQSKVYVDPDSARLSQFNSIPLEPLNPAFADHSYTTTSVDRNKNETKIEM TRIKMDQGSSIDNFPIPPLQKSSSEQRPALAEPQPSQATSLSISDQVDPNRPKDHFSP HEGRNIPPGYF PHYBLDRAFT_106719 MGFTEAPRRQARRYKTVRKVKLTGGNLVLENPVPTRYLNNLPRK DNKEFTHMRYTAATCDPSDFASENYRLRQNLMNRQTELFIVLTMYNEDEILFCRTMHG VMKNIANLCSRKRSKKWNENGWEKIVVCIVSDGRAKIHPRTLSVLAAMGVYQDGMAKN VVEGKPVTAHIYEHTTQLSVDSDMNFRGSEKGIVPCQILFCLKEKNQKKINSHRWFFQ AFGSLLKPNVCVLLDVGTKPGGNSIYNLWRAFDINKNVAGACGEIKAMLGRGGSALLN PLVASQNFEYKMSNILDKPLESVFGHISVLPGAFSAYRYEALQNDSKGHGPLEKYFLG EKMHGSDADIFTANMYLAEDRILCYELVAKRKAAWVLHYVSSAYGETDVPDSVAEFIS QRRRWLNGSFFAGIYSMVHWRKVWSSDHNIIRKFFFMIEDLYQLFNLFFSWFALGNFY LIFYIMTTSMYSDSLDPKPFSPYVASILHIVLNYVYVLLLVVQFIIAMGNRPQGFRWA YTLIMVFFALLMGYILFCTVWITFVGVRTAVDAAKGADNIILALLNEGSFKQVVISLC STYVMYFVASVLFFDPWHMFTSFLQYIFMSPSYTNVLNIYAFCNTHDVSWGTKGDNAV STDLGVVKAKLDKDGNNTVEVELPTEQKDLNEQYEEACLDLKKIVVVAKEHRDAKTKQ EDYYKSFRTRLVVTWIITNMALVAGITNSEILQLLGTYQQRSVAYLGFILWSVAGLSA FRFIGAILYLFMKIFTG PHYBLDRAFT_14704 KTGVIYLGRIPHGFFEKEMKEYFSQFGEVTRLRLSRNKKTGNSK HYAFIEFASEDVAAIVADTMNNYMLFERVLMCHVVPKEKIHENLFVGANKKFKKVPWL KVFAKKHNKPKTPEELAKQVAGLTRQQNKRQKRLEALGIDYALPSYA PHYBLDRAFT_162574 MRVDIEENCKVRLAFKLGPVSDRTTELGMEQYWIAPMPSQERRS DAAKYIREEWKMPSSYFYGTNDVGFSDDPVTGNTTEPALDILYRNGSFTPSGSMQEGG QEGGCIFYSEPFGDHAFERALLSYDIAFQENFDWVKGGKLPGLFGGEGNNQCSGGSDA NGENCFSLRPMWRAKGAGEIYAYVQTSPDFCNSKDTIQCANNYGVSMSRGSIKFTANK WSKLEIYSQMNSPVNETNGVLKVWQDGKVVMNYENINYRSVDSLALTRIYFNTFFGGN SEKFAATSDSHIYFKNLEYSVGKRAVAYEPTVESKSSVVSISWIVFGVSIALGLFLI PHYBLDRAFT_58561 MPPKRKTRAAAAAAAAAAEDTTTTTTLDKKETETPVVSQKSIPT KTETITETIVEETTETSKGKRKRTTTQSKVTVTVDNSAQDASEESEQNVGSDDTKMIE SNDKTNENEKSQNLESGSTTSVNNNNDENSTDNSNDDNNDDEAQPATPKGALAERMEK LKALKRRRVTEVEQGNRRDRNLEFQRSKENPRLDARNERKKQESLKLKEKQDAKDNGE DYERKQFWKYSAESVDSWEKKMAKKAKMANNGFTERKKKKNKKKPLDPPYTQTFTLLL FVDHTQAAHKKYIKLMSDFKPDMNSYAEKKLESIERAIRNGEDPSDFASAANNLEYAS VTDKTSKEAIERLAQDTKKQIIQRETRSRDRKESSDDISWINEKNRVFNQKISRFYDK YTREIRENLERGTAL PHYBLDRAFT_179120 MAQIQATAQVMDDGMNSTASEIPAFLGLTQQQSSLLVALYNETD PEFAQKLPTAMPIAAQQLSQEYEKRNSVAKKLERANVKYVDECTLFETVQQEAVQALS ETDMDIDKAAKGLDLDEKNKDCSVHDLLLGSLLYGGLRREPSGPEDRASLSPVASPNF AGAKRLLERAFEKGYTMAAVQIGSLYTQEEKLASGKNLPGQDPKKLALEWYTKAANKC NPMACHKLGFFYENGIGCKQDIKRAIEYYEQAYEQGYPDSAHNLAIIYQGNSGATVNI KDLEKSISYFERAKQWGYAPSSNALGRLYLLMSKDSKVAADAGNPGNDPEEYVITGME LMENAANSGDPDAMLMLGLIFGSKEYGLYDMAKAQNYMELALIRGELEAYNYLVRILR AKMAAKVALEKENADTFEKLSQSDKEKLIRQLAKEAATSTSTATATSESGKHKKCGNS GCQKVEEEENSFKHCGQCQRVAYCSRECQKEHWKSGHKTVCSSTSNGGQ PHYBLDRAFT_75537 MNYISPLRRTHSRRQNRFNHTSLTTSDRSFVEFIRCLSKAKGLV HDLQLFNQLVCPVLGSATLPTTLGSSSIPFQIEPSSRRHLIQHINSYLNSTFTRFSHL CKALLIILTKIEANHPVRKERIDSFRQDVHDQWQTATKIRTSLLLYIQPFRSLPLPIS YSTSSTI PHYBLDRAFT_106968 MVNPQNIAMAEQELESVTDLFNRIAESCHTKCISTKYTQSDLTQ GEAVCIDRCVSKFFEVNVKIGEKMQKMGQN PHYBLDRAFT_139549 MLSSNEPNQKSRPWLLGWLSYFSHRTSPDTPQVFINPSCANEDC TKDFRSYSNPIQTSPILSTNSVASSPTSNEDYIRQHIFNSHNYNHNHSHSHSHNYGYS HGPNQNQNQSQPHQPRQSLTLSLTSERRNSQQTNASSVMSEDQFSSASCHQRDSKERS RLGELWSKARRKHPHYPRFHWPHRLRSSLASTTSLSHEDPHECLQQQQQQQQQMDQYQ QQSPQFLQQQQQQSDSGRLYLLPSIQQYSKRQSIGSLISGDLPPVPSRPSSVFCSQPA SPNFAALMKDGCLFDYEDDDIEPCSLEPPSIDRWTVKQELVQLALDGVFCSPIDAHTA SLDRHVLQVGCGDASWAINVALEYPKSIVVAMDDREGGPPPNRRTVPRNFKFIRCYHT LLDGLRSMPDHAFDLVHVRFLLLSYTGAEYQALINECWRVCKPQGFVEIIEMDMRMYF GTIRVDTSASQRLNSEVIHVIESRSLDPRLARRLQDFFHDLKQLGQSTSAYTSLPLGV WGGRLGVMFREDVETLFNIFQPSVADLNDTTERSEQDMDHQFEKVNREMEQSRAFMNL HYAYAQKL PHYBLDRAFT_58565 MLLKEDMLFRPFKSPSTWCNLRLFLFVLSCLVLSCYVFFLEITS SYNPPEEKAHGQIFIDFAVKFRIKKQILTPRIPLPPREPVPDNPFSQLMTGISAVPHQ SQQFSFVRSCAQLDETKTQIQDFLPLHREKLIRNQTVISNLHSNVRHYMALYGIIWHY MAWHGMARYGTARHCTARHGRVRHDIANLTVSGSIFICFRYKPKIIYLMS PHYBLDRAFT_139551 MQSIKLYDLALNDFEKKCGSPNTLKARISLNIKGIPFDTVWVQL GEIPTVIPKLTKTTETPTVPIIVDTSKNLVIQDSWKIAQYLEATYPDAPSLFHGNEAL YKAAQESIDMLSMPFSCLVIVNIANRIGDEAVKNEFRKTREAMFGTTLENFAGNPEDK IKLANEVLKDIRAKLAKSVYLSGSKVGWTDVVWLSYLVMVDVLNHDVFQARILDGVPG DNTLREWYERMAKYV PHYBLDRAFT_139552 MSTKPIQFYDLRLPALGEKSWSLNTNKTRYTLNIKRLSYETKWV TFQEVHTIIPDITKTGETPTVPVIIDVEKNKIIQDSFEIAKYLEATYPETPSVFHGNE QLHTSIQKTLDIGLNLNLTCIVGLKIFEAYGDEASQAKFKKDCEFKFGMSLEQLTGNP EDRIKAVQDVLKDFRKTLAETPYLTGSEVGWADIVLASQLRIVDAIDYELFVSRILDD ANRGKSLREWYERMSVYA PHYBLDRAFT_139553 MLPSNLPYEILLKIAEHLSKDFSRQCALVCKSWTLPFQEVLWES LSIENTSQLRNICKLSIPAQSIVRTNGHLVRQMSLKFRLEISYQQLFVLQNCFQNLNY LHINCSILPTALSSNKINWKLWERLETLSVLQPKLFVTNGLHGFVSILSFYPKLKQLV VDENLGIQMATYTWKDLEIILQPLQHLQQLKINIAFGLRAIDNTPLSKDINPINSLVH LCVAVSNADHKWLYYFTEKAPRIHTLDLDIDFPDLRTCNQWSNMLGMITTMPSPFENL RTLQLKNCATSEYYVPFLDLLCRLKVPLTTLTYDMRRDFTTVQAVQSIVEKSGRLFSK TMENMNIISRFSASFKCTFPMCFYFFPCLVRLQLRQIKACIVLDDILNQCPALKDLIL DQPCVTVSTRTRTSTAFSSHGLKTASLTNTNVNSKLFNYVSLRCRKLLKMRLENVKVF GHIEEGKENSFECDMSHTQIDSLFLYNVRYYALHNKDVLERNYRQNAHNTYQVKDATI ISIMEFEPNKPEMDANADILQTTTKSPRFFNCSNTIAENELAEDQLVKENDRELLNLD AHLESFLTWKPDSEKNPRVLYKENSYSIILHSKREAAQDPEATPVPAASSRLEEIHAT FELICNKTQPSKKNSSKTSLFEVNKLVTIKNYYRRLLESPGKIKASARAAEIIWKSPS KYRGETARA PHYBLDRAFT_162583 MSEHQQDKYSKWSSTVDDEVLKKKQSFGFMLKKRKDELLRSWKS NVAISTAWKCMRAWGTSTYDGDERKDVVQYRHAWAKRMMAYKEYMSEFTRENEEIEVS PLLSENQKKLVMVTHDESTFYAHGGKVDVWLEENESHTRKKGQGRVLMVGEFQCACHG TMRVKGWVSCKIFNVGAAYEGYWTSEDMFDQLKKHAIPLFESLHEGCTDALVASRMVL KSKLVTENDKFHFKEIAFLRDGCIILQSFYETVTEAGRKGKGPIEKRQFIGVQRILQE RGLWIELDISNLSRKWRIDCNREEAENHCFCARHLLAFQPDFAGQKTAIQEIVEVPGH IFELYPKFHCECNWIERYWGAAKRVARLNCDYSFKSLEKNLPSLLDGASPVTGPPTMI RRFYKKTWRYIEAYSKFLDAKDADAEVKKFTSRISKSHRSIGIHD PHYBLDRAFT_58570 MAQRSKKSSSILFKIEVASVFALVVVLVIIYYEKWSYLQRFVPS VLQFFNCTIRKIWAQTGIYITPKKKLASLLTIGYYPDVKPIDINELVCVRPVMKDKRI INSNNNNNNNNNSIASLTL PHYBLDRAFT_58571 MLASELPYEILACIGRYLPTKGVNDYTTVCKAWTLPFQEALWGT LSISSLTKVEYICNSSSQRQNIFQTHGHLVYELKLKCYFSISHQQLSVLQHHFQNLRH LHMQCKMLQTMRLEDTAYWNLWGSIETLSVQQPKPFITNGLDGLVHILSFFPRLKQLS ISEYTSFRKMTYTWKDIETISVCFPRLDKLEMNIQFDAIPTDEVTHVKNIIPTSNITT LCADTRYTNPQWLYYFAIKAPNINFMELNVEYHHNGRPEDWSRAGSMLSTIRSPFAHL KEISLKKCVFREGSPAVILLKLFHGLKVPLKAIYCNMDCGMGGARGTRNIIDSCAGSF SESLETLIIERDIRLNFQCTTPITLSIYPRLTKLSLLKFDVCLALDVILDQCISLEDL SLDLPCVFANQSGSDTPSHGLITLSLSNSKVNSGLFSYLSSRCRNLSSMTLENVKIFG KARKDTGSLYCEMPYTNLEIFHMHGVQYYSLDDTQGSHGSLQYRKHTNNFDEKKAVLI NLMVIEQTCDASLNSDCVPRGLSKQTWFHTYLNSSTEPKAMIRALGKTEESYAKRYLE SFPHTRQLFLSRSTIKRGYYGWEPRRLWRKDLLRGYTTWRCGYVGRLDAKAFDEKQAL EQQLKPFSCIDMTSLKIPNL PHYBLDRAFT_58572 MPRPKKKNVNEEQVPVEDKEPQEMTVTSDVNFNNLLKWHDIVDK PARRTYTKTSRTTEWRRRKAKEALTAQGNQQIDQHFLIKDQQETADQSTESIDKQPKG PPIDKLAAIKLAHQDIIKEITPYTRIGPASRAKITIYELCKLSSVERFFRHRIAGDKK MKASEKASAEVWLNESTYRPALIRKYADEYIEFRSIKSP PHYBLDRAFT_58573 MKILRQKSKQGRFQDAEFASQAIYAALHLIGLHHNTILRRAARQ HAQETHTQLTEETKFNKYFLYWTNRSEIYKRTSALLSVIQYTQVLTEMAVMKQWGKKA QWRWIASVESLKAILKLIVLHVTSHRMNLSPTHLQRNVDPATLVPTIAPKEPTTWTAK RTGKQVPGIASTVSLDPKTQKKYTDVTDYLLSKVLTPEKLRKPEDMVHTLSPLGRLGE ILAILRPLIYVFSILRFGKRSWTPWILSLLAEIGSQLALYKSFNTDGNPSMMPLEKQE FYRRIRAMWYNLLRGAFYTYITRPRFERFCNSVENKTLMSIPGSILRDYLPLWEKIYF YTSSS PHYBLDRAFT_107019 MKLFKSTHNFGYEWSLVSAANWQKYPNENCPHVRHVDVLNRKLD PETGVLITERLLTVEQNVPRIILKLLGTDETQYVREISTIDPRKKTLTMQSVNITTSH LLTIEEETTYYEHPEDKKQTQMSQQATVSAGSLLSRWSNMVEDFSLNRFKHNAAVGRE GFCKVLERFVVMAEPQPTKESF PHYBLDRAFT_58575 MGSTISKVNHGLKASLLPSEKTRRGRTSPTVGCIDSQKPLNAKP SGRHKPKDKKSISVKRRKPRYKKVTKSMVGRPSNFKHIGHMGAKDTNINPVEANIFSA QMMDISTQLNTPIRPRQIKPKNNNNNNKKSLPRAANDYYQYNIISSSSSSSNNCRGSR KPVNSNILLLEPPSLRTLMA PHYBLDRAFT_87573 PPPPPPPPPPPFDPLKGHTDFETNIPPIQRSFGTPPLHHVEKCE QRRLLVKKAFLHGWTGYKTYAMGADELMPISNKSHNPFGGWGATLVDSLSTLLIMELD SEVELALTNITALNFTINENISVFESTIRYLGGFLSAYELSEQKYPVLLDKAISLANT LLPAFDSPSGLPYHHWNTATKKSPNQNTFFAEAGTVQLEFMMLTRHTGNPVYQEKAQA ITDLLDRMGYEHGLRIPGLYPSEMDTRGRFKKSMVSFGAMGDSAFEYFLKEHLLVEGE NPQYARMYTESIDSMTHHMLRQLSGTPLLYLPPYNSITRTPDSYMDHLTCFVPGMLAM GAKVLDRPQDMILAKGLLETCVYMYRHTNTGLSPEIWSIAGTEPYDRLTYNRTKEELD YARNWWFKPNATIPPPPSIPPAQVPEEELYPENYVLGPMVLASDHLRIIDWRYLLRPE TVESIYILYRITGDPKYQEWGWDIFEAIEKHCKTPSAYAVVRNVLQYTNAEHPYMNHA DSMESFLFAETFKYLYLLFSPPDIISLDHFVFNTEAHPFRR PHYBLDRAFT_184795 MQTPVPELQLSVNDLLYPNKTAEILLDSLTTEQLNAIEQALYKL KEKKLALQNHPVISQPTISYPTLPQEEQNIEQNIEQKCVEIKYEEIKQEEVEEEMEQV DEMEEEDDEEEVEVEVEVELEAEIEQVKVEQTLIPEILSSTNPSIWDSTAAQVAKALA QAIAETHPHLSDNSQTKPPLQHDHINESPTSSTHEPVTEVRDGVEWVSFVYSHRRTLK NYNIRTDLETVDLATMDDKFKRDNCIYPRANLPKDSYRGNRWSYETECNVLGWQLAWL NRNEIASKRGLIQRAVDSYRNRYPSMRSRRVARQEKLLRGTLRKRKHREDTVMENATK HSKPPVRQCLPYTSTSIPRPLDWKDNSRSTTMSILVGPGSPWQLKVNIESVALSIIDL QFRKSNCVFPRALLLASDAPFLSDRKRDEAKCNEIGWQLAWLNPHQLANKKNLLQQVL DTFRNHHTPHLRPRKYSSRVPLTYPSLSLPSLPIEPHADEDNHSCFSGTTATLDFNDC FSPPPEPESPCVHSTKEVVQTNQTIQIKSEFKNVFDSLLSSLPLLQVPLSSSSSSSSS SPSSLEPMQSDDDINDIGASTNASGNANACGSGNGSISGSGQSSASPPVPELALAFDT MYQHALMVHSNDTLFGPTVEMKDYCDAIKYEDVSAGIDNFETNPLLNQLF PHYBLDRAFT_106058 MALPKRSGRRKIKIEYIEDKNRRHITFSKRKAGIMKKAYELSTL TGTQVLLLVVSETGLVYTFTTAKLQPIVTKPEGKNLIQACLNTPDAVPQESTSVNYRI MNEQRH PHYBLDRAFT_179126 MKVPALLDTKDRVGSYLSHRVLSPYRTATMRGSRFPQKKVNVRC SSNIGKAVPSPDSTRLGLQIGNRNMALDYATEKKLRPLYEAIDDCQYKQALQLVTKML KKTPDWPLIKALKALVLVRTGKENEASELCKQVKKTIPADDATLQAVTMVYKELGEHT SIVELYESAANSQPKNEEFANHWFMAMVRSSDYKGQQQAALKLHRTFKSNKYLFWGIM SLALQGEGGSSLSYMLAERMMAKAQEEGRLEEVEHFRLYLLILLDQKKHEEALALFDT PLGEKSLRDPEVRQMKTELLSTNKKWSEVLAASEKALVHENADDWINWLAYFDAVEAL VAEDNKEVVNNAHQLIEKLKKSALEAPMMKRGPFLAELEFEYRLAKKQDQGHDQSNLL QNIVTYFAQFGSKSCCFEDLQNYTSYLKLTPEKAKGFIQSLKDTIKETGEKAGKVKNI YKDTNIRKLERFLGLQSQLSLKEGIELVNGLWKAYEDALPLGKGLEKTEYQYGDEYVI LATHILLDLYHEHNNTSLLIQAVSLLEIALVKSVSNFQIKLALVRLYAILGIYTRIEQ IYLTMEIKQIQFDTMIHYFTDKLFSLGCLDEIEQSLYDALVIYKSNEVETPEMLVKAY QFGTFSKIQEFIEFRNRLDTSLQHSISLVELIRIDAMNATFQIKNGVQFFHDLDVSKI KFDDVFIDSRSDNRDFKVFMNCNSKEIQSAQELFKPTISTNKTWVQMFSYVFNILSAA CDTKGVKDLDSVVKQFTEFLGRESIQANVTCQEYWLAKYVSDLSNALVLSKSSVKNAD NSKKIAGFLKDAAEIMEKHVIETRPYTTETISWVDFHKTNTVLEVFNYGSVLLEMLNR SLGLTSKDAKRKAAENKVDEVLMNLTALQAIAKKSMVTVQTNVKTGKDLFRIQLQKKL IKEITNSEVVLDYFKTKEAQTVLNDHLKIMVTSWALSIERYTDEVNKRILKM PHYBLDRAFT_129953 MPSLEKKPISYQNLLLGATLNLFEVSTLGQPFEVIKTQLAANRG QSLKSALTTIYGRGGVLGFYQGLIPWAWIEASTKGAVLLFTASECEHRARAMGASPFL GGIAGGMGGGIAQAYTTMGICTFMKTVEVTRHKSGKTKMMLKGNITYQYLQSGAKEST FKIAGDIFRKEGFAGINKGVNAVAVRQCTNWASRFGITRFAQDAIIKARYGTGPDAHT HASAADKALASVLGGSLSCWNQPIEVIRVEMQSQVKTVGRPEKMTIATAARWIYTQNG IRGFYRGVLPRIGLGMWQTLCMVALGDHFRALFKTN PHYBLDRAFT_162593 MPLNHFFRYNNTKELSTRPTAFGSLRRPLLCLTRSSFVDQASKK TFQTPPTPPASKTTTTTTTIITTTTTTTTTRPSCHSLPTPLTVHKPDGCGKQDKRRGK TFDNKDKDLQLLQRQLAAYEKLEQAWEDRRKDHHQRERYMQRQLRDTHLGLVELQSQS DHRKWLMCRARS PHYBLDRAFT_129957 MTTLELVHFNDVYHVSPNKDEPVGGASRFSTAIKSCIKEDEDLN PLVMFSGDVFNPSLEGSITRGSHMSDVMSNLPITVACLGNHDFDFGLPQLQRNLAATN FPWLMSNVLFEDTNEPPVQSLRYLVIDHPESGLKLGVLGLVEKEWIETIPSFPPELVY HDFVQTAKDLSAELRDPEGPHKVDFIVALTHMRVPNDIKLGRECSDDVDIILGGHDHF YYVSNHVDIVGEKWTREHNLDDVGFDPEEEPFDKPVWVIKSGTDFREFSVLKLEIDTD EDGRKKIKSMTAERRTVDSSVEPDEEMDVIVEKVAELVSEKTKRAIGYTTVPLDGRSF AVRTEETNLGNLTADLMLLSYRYLGADFALCVGGTIRNDSIIDVGPITIGDIMNIFPF TDPCVLIKVTGRQLWDALENSVSEYPKQEGRFPQLAGLRLEWDPTKPPGQRVKNARYM PENMAPLDLDEEYSLVTRHYLTGGYDGFTSLNVPASKIVVDEENGLLISTLYRKFFLG LKYLNAVRENLVKPVAAKIAREKKKRIDQLVASAASHWRKVTQDIQASRDHEPEDIEG RAEFHVTEEGVLQAFEDSSQGHPNCISPEEDEDKVSEPEEMYKHEEEDTSWVKRWASI GPVIQGRIYRVPADEEDEEVKEPETEEAETEAAETEVEEKEEE PHYBLDRAFT_162595 MTSPSKQAAISAYRNLLKTQRTVFGEDVKAFNAAKKETYTRFMQ SKDETNTDVLEKKLKMADQVATLLKQNVLQGVSKGDNTFKLRITKDTVLGDNDSIKNS KNSKRKTKRGRAAAATDAGDHSACCGHSH PHYBLDRAFT_184800 MTDTAPLDNQSSHSIDAIARCLEEAKIASEQNNETSLPLEPTDP SHPDSLTPPLIHDSAEHTQDTQESSHRQSRIIVPAQEYLVKTIDWYSHDKKQKQIIRI VTQNENGPCPLVAICNVLLLREEITILPPDREMVTFEYLIERLGDYLLNHGPAEQKFQ AKRGDIPTSPSSLSLSNELILSDHESEAKPSKMITRQSTTEHVATYRYNLDSALSILP NLQTGLDVNIGFSSIRDFEPTEELAMFDLFDVDLIHGWVADPQDSQTFDLVTKCGSYN SVVECIVQGDAISSELDNPDNQKVLSPEAERSITEGLVAASFLQDTATQLTYYGLQLL MDSIPKNKLCVLFRNNHFSTLYKHPETEGLYTLVTDSGLVKERSFVWESLADIDQGAS EFFDGLFNKPFLESTQDADRGRISDMDLAIALSLEDQRNTEIALSNNDLVEPLKPTPV KEPSSKRASQLTMASTESTKKKRQSCNIS PHYBLDRAFT_200778 MGICASSEERASKQRSLQIDRELEQDFARQKTELKILLLGSGES GKSTIVKQMKIIHQNGYTPEELQLWKLVVYRNTIDSIKDLLSAAEKLHLEFDDPKAKE AIGIIQSYTFPTKDPRPIIPETIVNAIATIWQDEKTPECLERGTSQFYIMDSASYFLS NVNRVTKTDYLPTQDDVLRARLKTTGISETHFKMGQLNAHMFDVGGQRSERKKWIHCF EAVTSIIFCVALSEYDQVLQEESRQNRMIESLVLFESVINSRWFVRTSVILLLNKIDL FREKLARVPLENYFPDYNGGPEVTKGAKYILRRFSQANRIKLRIYPHLTQATDTKSVN FVFRVVEETLLKTSLTRSGLL PHYBLDRAFT_121204 MAKKTVTVDPDPKIQRQILRELSFLRTCGSPHIVAFYGAFLDDG DTTLAICMEYCEGGSLEDIYKRARDMQGLIGETVLARIAESVCRGLIYLHSQHVIHRD IKPSNILMTRDGQIKICDFGVSGELINSLAQTFTGSQYYMAPERIKGGAYSVRSDIWS LGLTIIEVAQNRPALPPPGQPNLSVFELLDIIVHQPMPTLGPDRSEACRDFIEKCLIK DPEERPGPETMLEHKFIKTWSDPTNSLGSWLKEVWGWP PHYBLDRAFT_129963 MSKGTSSFGKRHTKSHTLCRRCGRRSFHNQKKTCAQCGYPAAKI RSFNWSEKGQRRKTTGTGRMRHLKEVHRRFKNGFREGTQAKKQTVAATA PHYBLDRAFT_58587 MHNHDLYYYSTDHIFTPETTPEPVSSSNPIDKPDVLDIDYIVHL QLSSFTDLHCLLETSTTRLSQSSNQKHYTRNDNTTQSHYDTDQNREHKHLCLKASKMD LLRLKNIEQAIPASIRNTIESSHMLDVLMSRAVSHWCCIGFKVAPIRLELIRDWHNAP LPIVYSIAAISLVTFIDHSQQKNYANKNAKRNASLFAKGAAMVFYQKARQHMEDVLFE DELEPSVLQSYFCLSYISNLLRLPDQQRAWASLASIGLLQSANAIKAGKEIDELTMLC WYRWYYIDAWVSLSRNIVCLLPDDIPLPRLKETKDTSYSGIQCNPSLPTGDAERAFDR LNLYQFSAMSRYMRRFIRAIHSGDLFDISNDGEDRDNAYISPSPLYYELASQLQTWYD LQPHASQLRPPFKEIQNSPSSAFNPRIDIHLYLCYHSMRLVLLFQFLHPRHPPSLHII LDSLETNIILLQALQHLHAVGCDQSTYHVMFFAIHNAAKRVFLYAQANTELRFLLSYA REQLQMNLTILLGTQSFENDIFMLQLYAEKIEHDLQEIGITMEAWEAPKNNSLPGTFV FRLNSQAKKMAQHLNGNSTFGSDINNQKKSLVAKRQSAYGYCFLITNNIITLLKLSII IFQISLNPVLNKEIKFEQDLSTLTIQVKEEEEEESHIGILRKCNTSLVYSQLLSAISL SFRTPKKGLGAGIYACIYLDTLTWLEEV PHYBLDRAFT_179133 MSCVNEGLGLSDSQAERGIARASKLKFLRQDYKSKRAPRKTWNC AFISLSFSPFLYFPPTHYSMKSIIVFVFMLSMALLAAAAPKAKNCHLIKDPHANAVCK SYCGKSGYLLGECGKSGICLCKKSKSHKKN PHYBLDRAFT_184802 MSTDPSKYVFNHTMIRVKDPKVSIKFYVDVLGMKLITKSEMEAG KFTLYFLAYVDKVPESEEEKRKLCFSMPGVLELTHNWGTENDADFAYANGNKEPGRGF GHIAVLVDDIQKACERFEQLSVKFIKRLQDGSMKHIAFIADPDDYWVEIIENPSIVGR API PHYBLDRAFT_75547 MPLLKRKRYPLLQPPTVDPTKKDKERPVWYYKITNEVFEDYATY LQRLSLYKRPVWQCETTGRSNLTYEDALKSEKTERDRVQDKLPEELQQRVLERVQFQT ARLDTVVEDVYSYFLERYIAGEIVNCLWDDGIVYNARILEVLPSDKQDEDESKDKGNS YKVQLIDDHLEGIDDFIKVVTKSELKRDRLSYSKNLLKNFIRRYTIKETYVGAPWLVK PGLAEKYGIESTLPQSLQEAKNNAYLKSRKRKTGTPEELTPKKPEEKPLDAKKLEAMI KYPMEDLDVPIYRRDPSGEGPIMDMSPSTSNSTKTIQNPTGGMPICPTPCRDSTIPTE CFGSFLMVWCFLSIFARPLDLSPFTLDDFENALHHNSTYIKSQILIESNVALLNAIIR QQRKSKLQGVASKNLALYDEHTSRSTTPALDGQSKDSSTRGSQDGSDEEKDELVGWPA QRALSRRASLVERGCGSDEIMRIGTGWDSATIKSVNDREGWEDVLIGCINQLAPSEKV PEFDRILNSLVPRHNSTLEERQTAYVSLCLKDKIMIFELLVQTVNECAFIKEYMEECQ DQLTELRKQKIELSRERKRIYAARLEYEKRTGEHSGKTNEETENDASDDDSESSDTED DVSKAQRQFKNETIHESRQAILKRRQQEREEREAKKLKLHHRQREEARARNLELKARA EERKKIDEEERQLHRKEEQVERDMRKYSTLRIKPLGRDKFFNRYYYLDHIGGAAAHGT GRLCVQSPSETDNMLLMERDQPSMDEKLTLPCGRGGGVGFVCQLMKEQGLPEESKLLE KSLDSQPLCPNLNEWWWFYQEPEELDALLAWLNPKGIREYRLKRELEKHIYSLTAGMK KRNNEHMTAKIVDVPRRSTRSKIAPQIPSGSWLAYVNKYAK PHYBLDRAFT_58590 MSNQNESNLIWRTPAERDAEDSLAILHRDMVAVMKDIADIKAKT LESPISAVLQSQHMAVAPAVAPVNMDMNVAGLSNMASEANSVYKTKAYRLLREQLWDP NFKSTSLATIQVNNDKPKWNTAVHFNQSTNTELTEILLALLERNLVGTGLRKSDVRDC VYTNFTSRKRAANKSEAKKKLTYKSYKAVIDDKMKRYCSGLIVEEAMSAGESDGGTLP PVSGRGLRFHRLGWRSDEYNHFIKLVDKKVAAELGSNSHQLLPHVFGETIKGPVPNAI ASPFSQWALRDWS PHYBLDRAFT_179136 MDTTASGLNYADYPVIVGIDFGTTFSGCCYAFTQNEEVIDIVKW PRQNNHVYPKTPTLSLYRKGSTQLVDWGHGARRQAMKPNSVDFILLSKFKLYLDEYLQ QESLPNGLNVIDVIADYLREFHSHVCTELLKGFAGNYDQSKFRYCLTVPAMWSDRAKA AMREAAIRADLIQRSDHPERLMLISEPEAAALYCERKSEQFNLVHGQRFMICDAGGGT VDLIVFEIDQQSSGKRTLKEVTNGHGGSCGSGFLDLRMREYIKRKFTHRGSINDSAME HIMDTFVNIIKPEFDGYEDHFLDLPASMGLGDLTDEDIGLENGSLCLPAHELRDEVFE PVITQVLDLIDGQLNQSPNLEAIFLVGGFGQSNYLFRRVEEAFANRVGMIGVPPRGEL AVVRGAVYFGLNPQIVTERVSRRTYGVETRMLFQNELDPPEYSVVGVDQKTYCRQRFS VYVQKGQSVKVDERVSKNFMISYPNDTDSDLFAFDGEGPPPRLTTHQLIKKVGHFPIR MPTLENVRPGDKVNMTIDMYFGLTEIKIECTIQNKKFVFTSSFDAMDSFSGPEHGGTP YGAYAQTPQQPQQLQSQSQDPYGVNELTNTASQLSIHNPPGGYPSPYGQPGPALSNAS LSQHSYMQQNQNQPHQPSSTGGYPPSNMMGNIPQGYHRNNSGPYPPVQSQQNSEYYPS YSHSQAGGYPPAQPYYNYPSQSNQPSGYSTSGYSQH PHYBLDRAFT_162604 MESTVKTLHTDMIQEWEIDQAKLLRRLSEFTQQVSSLNNTLEEE RRQFEKTKTSLLRELKIKDVTFDKKYQQTQEDFEQQIQELKHQLKKEQQEHQDDIQEW KSRHDVTLKTERTNNARRIYGFQERLSAKETEYAQLQNHLRQTQSEPSSPVGVGFSEI SKDDRILYLEDTIAHMRKEHAAERKAWEAQLEMQETHSHHSHQSHHRQQQQQQQQRLD STFNDNDTATSIAIAASREISPPQSLESPFLNIFSKKKKTLSLTGISSHETTRLNELT RQLKEAHAKKTDQMMLNFENEINRLKDLFINESRRVSMQHEARIQNLVAEHDQTIKDM VEEHSNAKEILKIEHQNQQEEFVEAQNQRLQRALRETEETWEERLKVANMSMSKDASE IQAHWETKRELMVAAHREEIERCRNELEVIKYRLRMAVEKLKESQSKASLLQDLQNSS TIEYTRLKFASKKAGLRLSKIEQEHSQARRIAKEIVQIADPDSHIGENVSLAEILQKA RFNLSNTPKAEPYPVTYMSYFGF PHYBLDRAFT_162605 MIIRKRQQIGSLYIHNSAVSVAVEGQLYEIPWSSTEDDFFILVL LPPQFPDEPPVITISPPGTRHPLIKEDLISNEGQFQWTPSSNLGVLVKSIRDEFNRQP PVKNKNEPLYAPQIPRPNNNNVNININNNNNNNNSNNSHYSHRPPPAIPTANQSTLPS SSGLTNNEYMAISHTTPEQAHELLTSDYAFDLFFHSLERVQNLKTVQGELRNGNESIA YKNLNRQDGLTLLRSEVEALDAEYKKLKEEFDVKEQQQQEAFSRFSASTVLTRLKSGV YEYDEMSESVAQSFLDGDLNHDVFVKQFREMRKVYHLRASKLERAQKDKLFI PHYBLDRAFT_27390 MGLFKTFDMIVHGGVQQPESHEKKKTYEFGHLLGSGSFGSVKYA KRLSDDKDVAVKVIPKRNVKGHYDMVYAEMKVLQGLEHPNVIGFYDWFESREKFYLVF ELATGGELFDRLFERGKFTEKDATAVIRSVLNGLEFLHANKIVHRDMKPENLLFKTPD ANAELVICDFGIAKMADETSSPLHTVCGSPGYVAPEVLLKKGYGTAIDMWAVGVITYT LLCGYQPFQADDQMELVDEIIHARYEFHERYWRNVSQEAKDFIKKLLTLDADKRPTAV EALKDKWLNSLDMPEVDLLETVRENFNPRRKLKSVVGAVRAMNRLKTNISQKGSE PHYBLDRAFT_100837 AVQRATFATHPTFSTVDAAEVQKFSDKSAEWWDPEGEFSMLQLL NPPRVAYVRDQLNTNPARPSKPFEGLRMLDIGCGGGLLSESLCRLGGTVVGADASGDN IKMAKLHSRKDPMLWKGPGKLDYRHTTAEDLLAAEESFDVVLAMEIIEHVNQPLDFLR TCAQLTRPDGRLFLSTMGRTPIAYGLTVLLAEDILGLVHKGTHDWSKYIKSKELIDAV HSFGQEWVVESVRGIRWDPILRKWHV PHYBLDRAFT_139581 MTAIITSLSFPFPIPEYTGTNIGWLAGWQVDNIDIDRQDSRSAL SGSDSRVGNTEKKDPQPSQQRTIR PHYBLDRAFT_75552 MVRIISIVGFLVAFASTLTVAAPSPVPVANTPELVSNIAEPVYS NTTLEKRATTYKGTATWFIPETEGGVWGACGPKESSSSKIVALNKSQYGNMSKKSKWC GKKIRITGPTGKSVTATINDACPGCKSGDLDLTPVLFKQIIGNMNKGVGKIKWVLI PHYBLDRAFT_106810 MQIQSLDKIVKLDLLQNEDVKSITKIWTEYHSDKDCITAVIPSE VYDTLYKRSREFPMFVLPMPRESGIEFFLLQFNFHQCNFTSLLEYKTKGSEARPFLTI SHFPELSESKGIVLMKGEISDKPRMIDAQNAQFLVFAIQQFYVTGGERKLGLVEKFHK APQEFDYQELLDEVERLV PHYBLDRAFT_14326 MDPSKTNANWNKAAGSVKENVGSAIGNKNMQGEGMTQNSSGQAE EMGAKAQGYVSGLMDQAKGAVNGMVDSITGNDTSRAGSKAQELSGEAQKKWNS PHYBLDRAFT_58598 MVNKKQNYSKQRLQEELSRLGNEIEELRMEREESKKNVLHFVQE ADMARQDLQHAREQIAILSSKLNNSDHSPDLPSQSIDESQEHKQSQGDKILQLETLLS RATASLVEHEKAAEIHSRDAQNVIELTDQVKQLTTQLKDCQDTLGRLNESQKLIQDEF DQAKDENRVLRQTLKVEHERGERLESHWKSSENALEQAEIRVEVLKKEIEGLRQQQTW SPKIVQSDTSKIEALEKLQKALQQKYQMNLEQYSVLEQRLENEVKSHAHWKNAYEEKL KLLTLHQAREDEHNEMLDQSKVREQHLRTINKTLRDEIRKISRNRREVVNVEYLRNVI LTFLEKKQTRSPSSQPYYNAPMWTKRTFTALFETRQHHKQIILS PHYBLDRAFT_139585 MFHSRRLYTRRRLGLIIFFVVFLAYPLYFYSSAPERLNRLNLES PPARKPVVFIAANIYNNEEILDHWITQVNQLIKWLGASNVYLSIYENGSQDRTKSILE KYDQHLNLLQIPHRIVTSTVEKDENARRIVALAGLRNYLLEPLLDQDPKPDKILFLND IIFKASDAIELLETYDGEYDAMCAMDFFGEFYDTFATREADGGWVGSGNYPYFSHKPS RKLLYEEKPIPVYSCWNGMIALNAKPFLENKISFRAIIPKEPGPPVEASECCLVHTDL RSLNYTRIYINPRIKVSYDTFHYWYAHSIIRLLQPFYRLFNTPTNTQTLEEQFAWNKA VDDAYSQGVRSEDYTCLWPKD PHYBLDRAFT_162612 MTTFFYEDGQENLFNEHGEKVYDPMEDVLTQIDDPNIVLETITN RETYLSAKRPEKATGEPSVTKPLKSSNLTKSTKSYSIYSDTTRESFIDRMLEQPQERG LVAKVARELNVKYRTALNWWYIYEKMEGVPYKNSEKNSGPKSSFTAEHNEYITKLLDN DPQIFADDIINSLTEQFEGFTISKSQINNHLRNTMLITVKKPYFEPEVRNSVENLQTR FEWFMKWKDSDLDFTKKLAVTEISGENTFFDGTGSVLLERPNPPAQQVAKLAYEISYN DNTTILGEWAHMGSHCKYCKQMGHDIDACPARSSETRTCHSCNKPGHLQANCPHVSEP ARRSATTNKRSRHLNRVPHQDRIVLPRPLTTNLPTGTSADSIHNPANKASSSLLPIEP QRKAKVVNHAEEETPSDNTAYIVDPEDDTMLDALPEQVNSDKAQLQQEPEKAADEGLS KVGRPETRSLFIRHLRSKGIDLLALQETHAHSIALQDTFTMQFQSSSSLWSPHCGLVC LSKDIMLTDPLFSICGRCITATVSHAQSMFNSFRICVIYAPATYRERHSFLTSLLHNP LLIPASPTNMILLGDLNHSLTTTTAHSTPPRPWLQFLTDRLVDCVTPTGKVPQPTFHR GTSSSTIDYIFASSDLASCATSHSVEYIHSQWSDHCLVTVVLSLPSSRTSGKGLWRAN PRLAQLTSFQDELSVFLHTFVPTLPASNSPQTNWDLVKSEVTRFIKRFSRRISPSLST LEAQLQRLRTAAIFTHLAVSPFGLWHVLRVVSLPMSFFQKIRSIMGSFLQRGTFPPIS LDTFCLPRMQGGLGIIDPKTQQSALQLRWLQPIVRAPRSPPGLVPRWMSGLLHASLPS LSPLFPLLFPSMRPSGWRDLTSPLHLAFTAIDHLPHNFDNVVVNSTTCLALPLSAVTI VPASQARFPPSWQDLLVSHLYTFDPALASLRSISITSSHPRSRVIHKFLGRVQLNTLT LHSIIVRACCSPRELTEQYPSLLVQDGTSIDLFPFFNALVPSQTWARLSTRTFRGLCS HHLVRARYFDPPRGSRHWRKFWSFPLPLVARNIWFRGLHDKISCRARLHSLLPLAFPS PTCSICSLSSDSQDHFFFTCPLKNAVWIGMWLEFFGTIPTPTALHNAFHFFSFPSFLN SSIPPSTVFGCTPLAIWRHHWTFIFDDSPFVPSAVVGTARKTLTRICQELDLNPLF PHYBLDRAFT_139587 MMCDLYSEFDPAKYSCVVGIDFGTTYSGCSCVYVNDGVDEIFDI TAWPRPGGSSYAKVPTACLYAHDSKKLIAWGHDAIRKANDVNNKDILVERFKLLLDSR IPASVKLPHGLKPLDVIADYLTEFNKYIHDCFKRKFGVIYNKEKFRYCLTVPAMWDDY AKSLMREAAIRADIVTRLDNPNRLVLTSEPEAAALYCEKKSEQFKLTDGQRFLVCDAG GGTVDLIVFEVTRTAGKKTIKEVTQGHGSSCGSTFLDQNMREVFKSRFGDLAEINKPT IDIMVNQFITSAKPGFENKDNEHFIVPAKIEMEGREMEEIGIVNGSLCVTVKEMREKV FDPIVKEITELILRQLNQTGGKIDAMFLVGGLGQSIFLYQCLTETFADRINLIAVPQR GELAIVRGAVILGMNPEMITHRVSRRTYGRIMADIFDASKHPAENKYIDPAGYPRCSS CFKVFIYKGDTIRTDEYIESNFSYFYPGIPCLDLYVFDGDGDPPMLVTDPGSRLVTRC TMEKPVVPGAKAGDEVNICSRVYLGLTEICMENTILDKTYTFTSSFKDHELKSTPKSI RASVTHSTAFSTHSTLPSLSRNRR PHYBLDRAFT_105744 MASNPKEETQAPPAVVEDAAAAAAAAAKKAKNEAKNEEKRLAKL AKFQAKQAKKTAAAETEVSEKKKKKAAKAAPAPAFVNTTPKGEKKDMSTPIANAYDPN AVESAWYEWWLKEGFFEPELTEDGKIKPEGLFVVPAPPPNVTGSLHIGHALTVAIQDT LIRWNRMLGKTVLFNPGTDHAGISCQSVVEKKLWKDHKKTRHDLGREAFIDEVWKWKE KFGNRIYEQFYRLGASYDWGRVAFTMDPKLSYAVRENFVRLHRDGTIYRANRLVNWCV HLNTALSNLEVDNKELPGRTLMNVAGYDPKEKFEFGVLNEFAYEVEGSNERIVVATTR IETMLGDTAIAVHPTDSRYTHLHGKFVKHPFIDRRIPIITDEIAVDMSFGTGAVKMTP AHDFNDYEVGKRHNLEFINLLNDDGTFNDNAGPYAGMKRFHVRTKIIEDLKAKGLFVG VKENPMAVPVCSKSGDVIEPLMKPQWWVNCKPMAAAAMKAVTDGELKISPKVSEADWF RWLGNIQDWCISRQLWWGHRVPVYFVNIEGEENDASDNHFWVSGQDEAAAKVEAEKRF PGKKFSLEQDPDVLDTWFSSGLWPFSIMGWPENTDDMQKYYPSSLLETGWDILFFWVA RMVMLGIKLTGKVPFKEVFCHAMIRDAHGRKMSKTLGNVIDPVDVIEGISLDGLYQKL LDGNLDPKEVATAKAGQKADFPKGIPECGTDALRFALCAYTTGGRDINLDILRVEGYR KFCNKLWNATRFALMKLGDDFVPTADTTLTGYESLTDKWILHKLNNAAIETNKALAER SFKNATDAVYQFWLYDLCDVYIEVIKPICDADTTGNPAAANAKLAAQNTLYTCLEAGL KLMHPFTPFVTEELYQRLVRRSGDKVKSITKASYPVENKDYFNEEAEKSFDNVFEVIK VIRSLAVSQNKKKDGEVYIQTKDDKLASLLEKENLAVLTLCKGIKEVKVLKDSKVPEG CVSADVPNKATVWLRI PHYBLDRAFT_58603 MSAPNPKAFPLADSALTSTILDLVQQASHYKQLKKGANEATKTL NRGISEFIIMAADAEPLEILLHLPLLCEDKNVPYVFVPSKTALGRACGVSRSVISASV TTNEASDLKPQILSIKNQIEKLLI PHYBLDRAFT_129981 MFGNLVSTEELASNLGKVKVLDGSWHMPNTNRDPYEEYLAKHIK TAGFFPIDTIKDTSVDLPHMLPAPEVFAKAVGNLGISNDDQVVVYDTAGIFSACRVYW TFKAFGHNRVSVLNGGLPAWIKENRPTESGNVDIKPVEFKVKPLDESLVRDYKTVLAN AKLAETNPQQQIQVLDARPKARFTGEAPEPRAGLSSGHMPNSISVPFNEVIDPAKGEL LDDESLRKLFASKNVDLTKPMITSCGSGITASILYLALERAGAKDIAVYDGSWTEYAD KKDSLIIKSG PHYBLDRAFT_35140 MLFSRPLFQTVTKVSTNITGIAVHPTPRPHLIKTYNNTLQALSS FPSTAVYRQATEAFTQQRLAVVESTENLEEIEAKLGAGQIEEVIIQAEEELKLVGKMA EWKPWEALETPAPEGQWDYISNYKN PHYBLDRAFT_129986 MSTFGPSPSNSNQTSTLSFLTRNHTLSSRQPRKPKTSITKTNSS FVQRIITNDQLAKILMARTSEDTNLFYNCGSNFVWMDAFGHPKEPLSRIIFTRAYPTA HDVNLLTRGSDHLDIIIGFSSGDIIWFDPLCNKYGRINKNGIMNSSSITMIRWLQGSE SAFMAAFQDGSIMLFDKEKEDEHFDPTDTDSYHTYTTTPSGPSTLFDKQTFSVTKLPH KSAHKCNPSSYWKLSQQPITAFAFSPDCQHVAVVGLDGLLRVINFVCERLYDIYQSYY GGLTCVAWSPDGRYILTGGQDDLVTLWAFREQRIIARCQGHHSWVTGVAFDPWRCDEK VYRFASVGEDARLILWDFSVQALHLPKTKPNTRHRGASVSSVNPAPSIYGSSQDRPVL VHPPLSKTEVALLQPTMVKIVHADPCTGVYFREDVIVTTDKRGRVGLWQRPPTPPPPH PSSSSSNSS PHYBLDRAFT_129989 MITAIPGSHLVIVYLKNAYQNDPFRIALELFLVFFAIKYMLAKK YKPHDNTVKLTVKEVDDLIEEWQPETLVPTLNTLDKLNLDSTPVILGPQSAKTRVSGH AKPLLNLATTNYLNLAASEQICESAIKTLKEYGVGSCGPPGFYGTIDVHMDLEKDIAQ FLGTEEAIIYAQGFSTISSVIPAFSKRGDLLVVDDGVSFAVQKGVQISRSNVRYFKHN NMDDLERVLRDVQADQDKNNKRLTRRFIVTEGLFANFGDIAPLKKLVELKKKYKYRLI LDESHSIGVVGNNGRGLTDLFSVDATEVDMIVGSLANAFCASGGFCAASTEVVDHQRL SGSAYCFSASMPAMLAVSASEALKVIRQQPSILKELSERAQVFRQVLSHKSLESLIRV SDSDLQSPAPFFHIYPKNSFLRSRAFDHEIDIPRVDQERLLQDVVDECAVQGVLITRA KYVHDQEKSCPRPSIKLHVTIGLTKKENEKAAGVVKSAITKVFGKWRK PHYBLDRAFT_162619 MTTNPAAYIKAMFNRLLRYQGLAIRKLYLAKIRVFSKLKAIELL YGKMIADMAIYYKRCIVTNCYNTERSLFIIIGYQCFHKSTSQYQTCYSIVSIVRFSYS STG PHYBLDRAFT_58609 MFFYGGLTARLDFPIINSLVNYSKFTNLNYLEDLAFYSLSIVCG IDICAATNCRHILNKLRYDETIPVRFTFFLQAGNIVRPREDVSEDFYAKKNLPSSRRI TGLKNSKKKTESINLGTWLLLSIFGFPFVLQSKKLKYHPKPILKTTKNLAKLSYKLSF FVIVYYLQNHN PHYBLDRAFT_162621 MKESGLRPPSASLPQTQPSTSASVAPPFPHHLSAATMTSKSTPS QQPSPLLALPSRVSDMSYQSPSISSSSPNYSTVSQPPHTQNIHGGMLNLSPSGSIASN AEGHTSKSKSHPPKPMLPPMLARNRAPSPFADHAKSAIPRVHSPPVGTPPKHSATTGY RPLNVRDALTYLDQVKVQFSDQPDVYNRFLDIMKDFKSQSIDTPGVIERVSTLFKGHP TLISGFNTFLPPGYRIECSYDPREPDLIRVTTPSGTTTTTGGSSSANFGGRMMESDNI PATQPYYPSYGHMPAPPPQQMSMHSNPQSSMQGSYPPIGESSRPIIPQYQSNVAGQTQ QANGKRGPVEFNHAINYVNKIKNRFTGRPETYKQFLEILQTYQKEQKPIQVVYTHVQS LFDGAPDLLDEFKQFLPEITGQPASVLFGDIGSPYYVTAHGGPKHNMHDSSPHSTSMI PPGKKKRVTGGERSTMNVPPKTSKRSKIYHKQALDGESGQADPYAYPVSPFDPVSPTV TVEEVELFERIRKHIGNKPSYEEFLKTLNLYTQQIITLETLIEQISTFLGNNKELFDW FKSVVSYEPSEKEIEPPNPTIPKPDLMHCKTVEGSPSYRLVPKSWQNQPCSGRDQLAW EVLNDEYVSHPIWASEDDGFVASKKSQYEEAMHRCEEERYDYNLNIEANLNTIALLEP IAKKIETMNAEEKAAMRLKPGLGGQSVTIYERIIKKVYDKERGLEIIELLYTNPAHVV PILLKRLKQKDEEWKKAQREWNKIWRELDAKNFYKALDYQGINFKSNDRKAMAPKSLI NEIEVKYHRQNLPQKSILGNSKSKSKSIYQYTYPCKDPEVFKDVTRIIFSYIDRQTGF TGNDREKIRTFIRTFIPLWFHVEDVVPEGMSHYIDDVDEEDIIDEDEDAHSTNTDDSE SEFGRSPGKRSMSPSRQRHARGNRSQEDDATMDLLRDVLTKNKTAFEQLTGMEIDESA PKSPSAPEEPTQTEMKPIKEEEKDSSKDKFGSPAQVPGAESTSVAIEVPAVPVSESVS GTVSEPVSEEPAEAVVVSKETSPTESTSTLPKASPKGDNPESDTVTCNTQRTNYDFFC NNTFYCFFRLYETLYARLIKMKALDADMRANPRKGKRANKVAAGLGLYSNRFDELDLS NGYYHAILELIDKLFDGELEQPVFEESTRYLFCTEAYVFFTVDKLALAIIKQIQAITV DTKSVELIRLLRSDQSLEMSTPKILSVYRSRAEDIVGSDENLYRINFNTESKIMSIQL LGRGDSV PHYBLDRAFT_139594 MEANSFHHFYTYQPRSFATSPSLSLNLNRNRNPNPYPYPSRIYS SPDSGGTKVVHIMHLNEESQEHIIEKISCRARLHSLLPLAFPSPTCSICSLSSDSQDH FFFTCPLKNAVWIGMWLEFFGTIPTPTALHNAFHFFFFPSSLNSSIPPSTVFGCTLLA IWRHHWTFIFDDSPFVPSAVVGTARKTLTRICQELDLDPLF PHYBLDRAFT_162622 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEMLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTGYSKLVCALLVQEHNRKCFILLIQVGTKGAQKLLGNKPIYIVKQYSAIINLLTIFV NCRKVFTINVVLYYIKDRVLCIDRKNMVISKSVQAVYKSSQFGKAY PHYBLDRAFT_129992 MNRLATKTLQTSSRFGVRSSGVLNRLYSTHKEIKFGVDGRASLL RGVDILAKSVAVTLGPKGRNVLIEQPYGSPKITKDGVTVAKSIVLEDKFENLGAKLVQ DVANKTNEAAGDGTTTATVLARAIFTEGVKNVAAGCNPLDLRRGAQLAVEAVVDFLKT NSRVITTSAEISQVATISANGDKHVGGMIAQAMERVGKEGVITVKAGKTLEDELDVTE GMRFDRGYISPYFITDTKTQKVEFEKPLILLSEKKISMLHDILPALEASATQRRPLLI IAEDLDGEALAACILNKLRGQIQVAAVKAPGFGDNRKSILGDIGILTHSTVFSDELDV KLEKATPDLFGTTGSVTITKEDTIILNGAGSKDMINHRCEQIRGAIADASTSEYEKEK LQERLAKLSGGVAVIKVGGSSEVEVGEKKDRFDDALCATRAAVEEGIVPGGGVALLKA TKSLDSLKAANFDQQLGINIIRQAIQRPCRTIVDNAGGEGSVVAGKLLEDHPENVNWG YDASCNEYVDMIERGVVDPTKVVRTALIDASGVASLLTTTECMIVDAPEKDKPAGGMP GMGGMGGMGGMGGMM PHYBLDRAFT_162624 MFVSLSNFAASKQSSIQWSCTILQDKLYIPLFFAYITVIISILC LFLRKYQNSNQPKILFRKFCLSFYINQPKAKECLGSNISSEFGLPNQNCFEDMAPLQF EHNLWNRDLAAVLKFWHILNRLKHGGVIIL PHYBLDRAFT_162625 MKTCRFIISREEPSNLNIFRVDEDTNETIESWAYLQDLEIAYCR DFICCNNYFFNLHDLMYHCEECHAVKDNIPETSENMQIYSSQSAYTTHSISNKDLTVL ENAQAYPNLMHETTQTMNNMPDLLSNSSSYTLSDDSSVASEKSGGPDSPQKRPVYETQ KYTNIYWWKDYLQNKEEVTRAWIQGFDETCCGSDQCKPQVEKPYKCNASACGKAYKNA NGLKYHKLHGHCIEKLENRLDHIAERPYGCTVGRCTKRYKNMNGLKYHILHTHIPSSV IHNRKNL PHYBLDRAFT_129993 MANLPAVLNPTEEDIQLLLSAQCHIGAKNVNTRMTPYVYKRRAD GINLINIGKTWEKLIFAARVIAAIENPQDIVVVSARPYGHRAALKFAKYIGAEAIVGR FTPGTFTNYNTRSFREPRLIICTDPRSDFQAIKEASYVNIPVISLADTDAFLQYVDIV IPTNNKGKHALGLIYYLLARAVLRLRGTLDYGVAWEVMVDMFFFRDPEEAEKEQAAAA LAAELENTDFSATAEAATGEWAVEGEAAEGVAGIAAAADWSASRTTDWADETPAAATS SWEA PHYBLDRAFT_129998 MVKFIKAGKVVVILQGRFAGKKAVVVRNHDEGTKDRPYGYAVVA GVERSPLKVTKGMGKKKVAKRSKVKPFVKIVNYNHMLPTRYALELEQIKGTVSADSFK EPTQREESKKVIKKLFEERYQTGKNKWFFSKLRF PHYBLDRAFT_139600 MKLMHVRDQVDLLPLQHPLLTMSIQSISSSLKLPKKRPINIQPT KNSQKKLKKASYDDSSIISLEETNFNCPQCQIDLTYLQSSYFRQKHITDCFSTTTISD STQSVIDEPLTINAYHDKSSFAMDLLCCTFCAKDISWMTNTEISLHLGTCFDSITLDN NSRCNGSKAESSTDVYKSNRIAVLNGCPCCHGKNVYSAEATFKEKAIHIQKCASSRSM SLIQLEKACKDYIIGDAYNRTSDEIDSIDLTRVVKKGIDWSDYEYYRLALALSTPGST YDKEKLNSPYSKKLLFVFERNAKELANNETMKQKILDELRQKLSHDIQSMCEEVFDWT TKNNTWQEDDIAFACSFFEKIKKGASYTIQKLLGLDNRFSRLFTLQFMRYNSWVSGEV SRRNSIIDLSSVTCEENEDRQIELWDDWSDIHGNTSATSSVVDLTECPNHKSPSINTI DFHNSIVTQYHPSTPTEANQDTSSVNPNTPNAGSVQSDMPDYTILTLPELKNAVKKYG LKPTKRHDMILHLEQIWKSSRETVRLNSVSSSTLLASGENKVNKEILDHLKKQPLLWE RILRYENVTVEECHRGLKCKKKLVQEFLDENALSYKSRTLARQ PHYBLDRAFT_75561 MPKEVVAEKIVKKKEIVDEKSSDKNLDDSSEKSSRKQDRVVVKL PKPSYVHPDDHIHTKRKALFAPFMSPKDIKVEIRQGKLYSGTLRINKRNRQESYVTTD SLDGDVFICGQHDRNRALDGDIVAVRLIDPEKAMEKKREHDMRRRDEKNRAQDKNGDP SVGKRNNTADDTKPKSKFFGVVVGIISRVENPAFSGTIDIERSQQKSKKDSDNKSRPN EKDKHKAHPFWFKPTDLRVPFIMIATRNVPPGLLKNEAKFKDHIVVVELVEWPIDSAF PFGKIIQDIGQVGELKTEAKAVMLDNRIKDSPFSPKVLNSLPSTPWTIPVKEYKKRRD LRDTRVFSIDPATAKDLDDALHVQPLEDGNIEVGVHIADVSFFLKTHTALDAEAYDRA TSTYLVDSVIPMLPSLLCEELCSLNAGVERLSFSVIWKMDLKGNILDTWFGRTIIKSC AKLSYDDAQSVIDGNKLPSTVKLAGHTTDNVESDIIHLYKLSKHMRSRRFENGALSMN SIRLSFNLDDNGDPVGVWIYEMKESNRLIEEFMLRANMSVAEKISEAYPEQALLRRHI PPIERRMEEFLGLARELGYTLDASTAGTLQASFDAIEAKDIQTVLKILAIKSMQRAKY FCTGSFEPEKYSHYALNVPLYTHFTSPIRRYADVIVHRQLECALQKKAGCGYQKEDVE RIALRCNQKKDGAKNAQDQSIQLYLARYLDIMEKKGSIISPAIVLQVTSEGFDVLVPE YGLEKRINVKSLPLEKFKHNPEELCIDMYWKKNSIPCREKPEKPSDDDSETDSKSSIE QIPDTDIVDTRPLDNIPGIPVVKLSQESVMEVAVYSSENLQKVKPFTRLDVLIQAEMN RSPPIINVYPVNPFATYPKTFPKI PHYBLDRAFT_162631 MKGFQQKVYQYLIHDQMMATTEARCVQELIGYHRLGGRTTFKLQ DRYEGIRLDTFYGRSYREPYYLLLRRDPMDQRKLTIERHTIPQFIQLDRLATMFLLKD RETFLRILQDFLLAFVSRREQINEFLKWAEDQPHIVNIQSEFVAKSRLEFDIETDAGT LRVQLYYNDISTDYPTQARIRQLSGPEIHDFTHEENTFCSHKILDAFHILFG PHYBLDRAFT_139605 MVDINELIRKQTFVSELERIIREGDPEVLTPVNIRRTLEKKFGL EENILDEKPSKKRLKTMIDKIFMDTYHDDDSVDKTQVDASKEDTMQEIQNEESEMSEL ESVEPKEKAKKANINTKTGSKTGSRKNGVKSSKSKEKGVKTSSEDESEMSELDISPPK KKQKGTKKASTVVTTKEDSDDETETSQLKKAAGTKEKSTAAVSPNEEKIKRLKQYVSK CGVRKQWAKEFSNCTSPKQQIKRLQEILVELGVEGRPTIEKCEKIKAQREIQDELASL DTQNILNDDKGVHTRGRRVRKPRKIVGEDDEENEDGEEDEESKKSTGFDISFLGDQSS DSE PHYBLDRAFT_179151 MRFLEWKSKGTGVLLMLTAVQAFDRSVFQQPMYANKSISNDSYR IRAQSPLPDATQLTQKEKQDFIKGAFMFAWEGYRAFSWGYDENRPVSNRPVNTRNGWG ATIVDALDTLYLMGLTEQFNEAKDFVRTIDWKAVKPGNEEVQVFETVIRYVGGLISAY DLSGDSVFITKAVELVDLLMPAFDTPTGIPYQFVNFKTGKGVKSGFTDGASCLAELGT VQLEFTRLSQITDNWVYHRAGQRVYEAFENMRTSPHGLFTHLINPDTGKQLGNYITWG GMADSFYEYLIKQYVMSKGRDERMKDMSIAAIRSLEKHLLTSPKDHSERVLLSVLDNG VQVPVMDELACFAPGSLLLASKTIPGLKNIEDFAADLMDGCYIAWSSTRTGLAPETFG WEDSKDGHTVVGELTGRRKQLAEEAGVFPVTSSYILRPETLESLYYFYKYTGDKSYEI KAWNIFNALHVYSHSSSGFSGVQDIDSSYPTWDDRQESFFFAETFKYLYLFYDDDAAE SVSFDQWVFNTEAHPFRIVDKEPPKPVEVPWFDEISDFFDKCLSFILGILFGWIGIIY KSIFG PHYBLDRAFT_162634 MVNSNRHGILKDKGESIKVQKSLGIPKSTIDVIKCFNTKGLYKS SRLQRKLKNTKRTQAAILILSDWTICVNCDPTSEIIKPDFPKRYRMRELELYLGRVIW MVD PHYBLDRAFT_184820 MNNDNSALVPTHFENPLLSPYDTDPDDDTSSIEFRLTEDEDSDQ DIKDSFIYDEGEVDSITNEMYAQGMDMQGMVWNDTSNTREAYRRERMKDFVNYLSVQD QDHKSLVNKIESQPVKKVSKDETFYRFKHSKTNVRCTFGHFQLRNLLCAPNKNHVYYI CDDAVRQWSTHSRTSKEILNVNQADTPQSLAFTISSISCGHDMLVIGGAGGEYACRRI DDKDSKVYYGATTSNTQTGIANHIEIISTRTGVLQSIMSNNDHRIRFMNLETLKFDTV LDFKFPINCSTSSPDKRLLCVVGDSTESCIVDISSGRVVMEMNEHHDYSFACAWHPDG RTVATGNQDKTTRIYDIRKSTEAIHVLGGMIGSIRSLHYSHDGKYLAAAEHIDFVHIY DTSTFETSQVVDMFGDIAGIGFTPEDNSIYIANAHEQHGGIFEFEKLQDTYSPYL PHYBLDRAFT_58625 MYFSINLVILACGFLGSFVFAANSVDVCSSCTYKSVTSALDSLP ADSTVWTISIAPGTYTEQVKISRSNVILKPSASGKVQIQYNGMRDTQTSTGTNEQSAT ISVYGSNVKIYDMIIANIYPQTTNIANLALNLQATQASFYNVKFYGFQDTVLIATGAT SYFKSCYIEGSVDYIWGYGVAYFEATTLGTNKRGGYITAHKRKDATSVGGFYFNGCTV IPTIPSGPLTSTADPSQSFTSASQFPNSCYLGRPWNQYARVVYMNSELYSHINSGGWA KWSTSSPNTDGVLFGEYNNSGPGKWNATTRVSFATLLTETEASSYSLGSIFGSINWID TIA PHYBLDRAFT_75565 MHLSLNFLLLASGFLGSVVSAANSINVCSSCTYKTVSAALASLP NNSATWTIYVAPGTYNEQIKIRRSNVILKPSTSGTVQIQHNGMRDTQSSSGTNEQSAT LSVYGSNVKVYNMIIANIYPQTRNIANLALNLQATQASFYNVKFYGFQDTVLIALGTT SYFKNCYIEGSVDYIWGYGTAYFDHTTVATNQRGGFITAHNRQTANAVGGFYFNSCTA IATLPSGPLKATANSALSFTSTSQFPQSTYLGRPWSQYARVIFIYSELGAHINPVGWT QWSSSSPNTDGVLFGEYSNTGDGKWNDSSRASFATLLTASQAAYYSIGNVLGSTSWID TSV PHYBLDRAFT_139610 MRLSFNLTILAISFFISIASAIESVTVCSICKYKTVSSALASLP SDNSTWTIRVTPGIYTEQVKIYRSNVILRPTILGHVLIRYNGWRDTQTPTGTNDGSAT LSIYGTNVKIYNMIIANIYPQTRNIANLALNVQAKKASFYNVKFYGFQDTVYIGLNTT SYFKNCYIEGSVDYVYGEGTATNRPSSFITAQKRATASSEGGFYFNKCHIIPTLPSGP LVTTYNSTISFTSSSQFSQSTYLGRPWSKYARVIYMYSNFGINVKSAGWSQWSDASPN TSDVLFGEYKNSGPGLWNATARASFATLLTDSEAELYSLTNIFKSTSWIDKLHN PHYBLDRAFT_139611 MFDQTSLTANFTSTIPAKRFRSYSQDSTTATTATDSTHFADSTK SSIGEFEIPYCDNDGEDDGIIILLDPSQLDVERQFVFEMCNISTV PHYBLDRAFT_162639 MKLVPTGSIFYAPSHLLKKFKQIPNPSLYRRSQTPKCTNPDCLE DMASFSCWGFNIRHTLHQMRLDDTIPERLTHVLQVGSTAISRENVSDNHYKNAMMRKE WDTDKISTSKPKCCVDLATIFAWDGIVRPNTFREKGRKRLLIEYTV PHYBLDRAFT_130006 MVSKKRKTASDVEATTAVASTSAAAASEEVNFPRGGASTLTPVE YREISNKVASDLFTNVSNSREISQNLKILLQTPSKTTEEPVQKKRKAEKKKKVPAATQ PKSEKKRVVINELSFKKLTVGTLLLGCISHINDLELIVALPHQLVGVIPITEISTPLT SIVEKVAAQGDDEEEDENITLPNLHTLFHVGQWVRCRLTLLQDRTNKVVKKRIELSMK PEVVNSDLAKADVVPGMVLGACVESVEDHGYIMSLGVKDLTAFCKTKDAAEYIESNEG KPLVPGQIVDCVISSKAGSKRSVDVVLSHNKISHSVPFSRITSVTPGQLVNGMIEDVA STGLVVKFMGLYEATIDASHLPLTANLEEAYRIGTKINFRIMYCILNTEQKTIGGSLL PHVLELKTPELTVEDKTKYVGDVFPIGSFLDDVEVVRITHSGLNVSIPSLEGVTGFVH ISRLADEHIKSVSASSGKFKIGTTHRARVLGYNPTDSVLQLTLQPSVLAEKYLSISDV KVGSMVTGIILKLMPSGLLVKLSKNIFGLVPTQHLADVQLTHPELKFKTGAKVKGRVL LAEDGDRRRIILTLKNSLIKSDLPIITSKDDIKVGTTTHGVIVSVKRAGCIIGFYNNI TAFAPASEMTEASIKDITEAFRVGQTLKVTFISVDEEKKNLVVSCINSENKFSNKKAS KTVPGTEDEMLGTHVVAKVKEAKRLQINVTLPNGMEGRVHLTEMFKSIDEIKNRKHPL RHFRTNKELEVKVLGVRDVKLHTFLPISNTSRTKQTVECSLLEEPVAPRAISDVKVGQ KYLGFVTEIARNQLRVSIGSHVSGIVRKQHTSSDLEIANNFNKNFAIGEAIEVAVLTS DPSKNTLDLINVDKPNTPTSITYESVTIGQILQGVVRKVDPVHGLLVQLTGQMAGKVI LTDISDKYVENPTESIKEDTIIRCAVVAIDASKKRVDLSLRESRVSPDTAGLAENREI ESVDDVSQGDVLYGYVENISDSGVFIQYGRHVNARVKIANLSDSFVKEWKTIFHTGQL VKSKIVNVEKNMKRIEATLKESDVNGTSPVKKAKKVKVVKEDVDMEEADDDTKMEDAS DADSDEDEEEDEEEEDEEEESEEEIEDEDEEMEEDSEDDETPALAVGGFDWTGQAAAK VEEESDSEDEEEEEKTKKKSKKQISEDKTAELSTLAPQVSADYERILIGSPNSSYLWI NYMAYQLQLSEVAKAREIGERALKTISFREEQEKLNVWVAMLNLENNFGTDESLNEVF KRAIVFCEPKKIYLQLAKIYERSEKFDKAEALWTEATKKFSQSSKVWTLFGLYCLQNK NFEKARELLQKSLKSLPKHKHIKTITKFAQMEFKHGEPERGRTIFEGVLGNYPKRVDL WNIYLDMEIKEGDKELIRRLFERVTSLKFSSKKMKSLFKKWLQYESANGSEADIEHVK EKALAYVENKSAPAL PHYBLDRAFT_162641 MVKTYFPWSFVKDSWYKQYHPYGEEIIQRIRPVLEATQLFEPEL PENAFYTGQNSNGVVSVYITAEALEKVRQELENVTREILLPFPDPISEQPEPVDLEVQ ELTSTVALLRLSKRVKKINKRNERMKQAEEELKMSKELIEKKKNVFCSIDIEAWERDQ SLLLEIGWSMYDSKTDLYMDQHYLINTYKHLKNGNFVEDNKLRFQFGTSVWSTLPQAL NELKKDLDWAVERDGEVILVGHGFESDLKYLSKHKFRWPGTRPGENDSEDVHKSAVTW ILNTDTLYAASIHDLHNPPSLGKTLKLFDIDTWCLHNAGNDAHYTLQLFLALVSKENE ERLRKLKEEKEQGTPTG PHYBLDRAFT_162642 MKLAYIFTSIAAFLTVEAIPFGLYSYSPSIYKIKPRTEPSKAVN GNFLHVTDIHLDSYYLPGSDPATLCHRNGTDSTNNVAGIFGTPGSQCDSPATLIVDSF GFMKKELQNVDFILYTGDTARHDRDPDLLRTIEDVMTAHRVTALFFSQTYNLTKTRFI PTIGNNDVFKKDNVGPDNTIFQQLQVIWSGLNLNLTNDFLTGGYFVQDIIPEKLQAIS VNTIFFFEPNLEIEECKVEGSPGYLHISWIQRVLRDARKSERSIYIVGHVPPKDNTGN ILYKSTCYDMYINLLGSYSDVIVGQFYGHTNSDALSVIVEDDNSDFSLISATNDKSQL RSTEMVKRKVVGVLFNAPSIIPVYNPAIRVFSYTIKSANHRTGTILDWIQYYADISSK ANEPIKYVVEYVASELFGSVYKVGQKEKYYGRLH PHYBLDRAFT_58631 MINFYILPLISLLFIYAESLPLSANTHPSILESNRFNQRALAGT RGRFLHVTDIHLDTLYLAHSDPKQLCHRMSKKKKDNIAGIFGALGTKCDSPMTLVEAS FDFIKKSLSDVDFVFYTGDTARHERDVLIPRSEKEILEEHTTVIKYFKDICDIHNIPF IPVVGNNDMVVHNDMPANDPIFGMLKTIWSPFNLNLGPSFDKGGYFVQDIIPGKLQAM VVNSMYFFNKNIQKEDCDVPNSPGEVHIAWMKQVLKNARTQHKMTYVLGHIPTNDDDG SIIFKPACHKMYIDVLGTYSDLIAGHFTGHTNSDALTAIVKKGSEYSAVAAFDKNNST ELTAKSSQIVSVLFNAPSIIPVHNPAMRVYMYDNAGIKNPIGTILDWTQYYADIKTAN RKGKLEYKVEYQASHLFGVKTFNSAGFSKVFLKLESDKSIRKDYKNYMLVEP PHYBLDRAFT_162644 MFVWCASFECVTNLVLPWHPQQAKLVWKFVWSLTAENEKQFSGE RCVSSAFLGIWCLSTVYNAFKLLPDLSPPKKYFLTIFSLPLRIFNIQLILSVKARYSD IFVLTFSSLAIPTFAIGLLKSIDISMNMGLLLVRQVPAIMDLLAIQLYLNVLINICAI NFKQNLIGGYESDILFGFFTIITVKPVVYFSQCNWLNEYWYISRAQNLM PHYBLDRAFT_27423 MLDSEPTPRQCEAHHDKTTLFISIFLCIGLVISYLPQHARIIIN KTSDGFSAWFLLLGVISSTSSLLNIILLQWDAIVCCHSLSTGACVEGLMGVNQIFLQW AMFCTIFVLFLLYFPENKKHGAHMPSSLHLDLPSKIKPPISAEWKVSLIVAAVTTGHF VISVIISVLLLVLVGGPENWQTDLWAGFLGVFSMILATFQYLPQIWKTWKRKSVGALS IPMMCLQTPGSALFVYSLATRPGTNWTAWITYLITGLLQGSLLILCIVWHFRNKRLGL SDLQTQEPEPESTETTRLLRDNRI PHYBLDRAFT_162646 MATLVEIVFIGTGTSAGVPNINCLTHPKTICTVCRSAITAEGRK NMRKNTAMVVRFKRNGDKPTDRVRNVLIDCGKTFYGSAIEIFSRYGIRELDGVILTHG HADAMNGIDDLRQWTLHGAIQKNIDIYLSPETMETVASTFPYLVDSIHATGGGDVATF KYNIFDPKKTFSISGLEFTPLKVHHGIYFTTGQPYLCYGFHFGGVSYISDTNFIPPET MDQIKGKTRVFIVDCLREKVTHASHFALHDSIDAAREVKALKTYLVGFAHRLDHYKMV EDLSKLAIIEKMHIGPAFDGLRVDLQDKATITESSYIDQHPTVIYPLVTEKQ PHYBLDRAFT_139620 MDEINALLEETNLASLRDNGLIIVSIVGNGDFRPYSVHGSGTMT NTTVVLANRMVGQEVFVPRNKPLKDGTIEVVKACQLFVDKKAKIAYLYLDSVVTTETM AEMAQNSDTKNMQDQLKDEKLTTMKGLLIMLLMSHLVISILPPSVLPAEFLSTLSALS QVKQGIYTHLSQLQSACWKCWDINVPNALFERKEGEYRRERERFYPNLMGWWGPAKGV PMMVFVAAEAAIPNLDTTPRAGLPMAIKRHQETLQVKLKTIFRAMHLIPAAPENNNSH PPVEVRSLFALPATQSFIHIVPAIPSPAKVPESDDFSFDRPMDLSEWLEKSAVISEEK IQEKSKDNKNIQSSQSMLEECGDRLLRNFVSHWVKASTTRHPMHAQTNHNSSRKGHDS KSNHVPLPTALQFVSALMPLRTLTYNLPPGPDGQDFKKAVIAQSPGAKGMIQQIEVIL RKKTRDVVDIETLFSKRRVLAQWK PHYBLDRAFT_75569 MINITTTTTTPTNNALEIANEILELMSKVRKELNLLPPLNGIKR KLPDEDEDVHKRVKLSPSDVNRIYDRNEFLGRLKTYPGIYIYSRRPVSAVACAKNGWY YEKTVESLDNDVGLLSCKDCKGTLAVIDLSPHDPSNPQINKIITIYEEGLKAYHKEGC FWEFSQCNDYVNSFPISTYKEALELVCTQGKLLYSQQHILPNIVDPLDKYQRRAVNQV AQHYEHNKDEAMAIVTDSSLITAYTLPLYGWKLKSTEVPYLQCDSCFQRYSLSQENKS DQFDVIKEHRPNCPWVSSTDALIYRPGSQQGLMNGYGWMLGFVNKEHLLLLEALESNR TALQHLSDKKDALRKKLEEDTKRILLAASKPFTPSPE PHYBLDRAFT_162649 MTTKAIPSDLPTLDDVLNRRTLPPVCLYNFYIVMRDRLHMEEIL DFYLDVKHHELLWKRYIKSLQRSGFVTEEDLAEGYQSNRLLSRLSHTSSMDEKRPGLS HLSSPTTRPHHLQPPRSMDLGERSGNSIRISQSSGLSNIPVIRPPIIDPSITVTPRQP VDEEAAGVLTTESMVSGNPSVLPPNRQDLANSAQRILLKYIVPSAQKELVQLPPPIRQ AIRDALQGPEPRDDPLVYADAKQYAFEAMQRQAYPKFLRLKVWGNVTLWQQLGRLAIG LLGLLAGFATSLSLIFLGYPQWHSRFGALVPFWVGIYNVFVFLTGLDPLWRRSLSRKS LFFIYSETVTFRFNKIAQPRVKEILWSRSIWLLIASIVVSMVITIIFSAIPPRRL PHYBLDRAFT_154161 MHQLKMVADQRRDRPKLKLDDFHLLRTLGTGSFGRVHLAQSRHN GRFYAIKVLKKTEVVRLKQVEHTNNEKHILESVANPFLVNLWGTFQDDKCLYMVMDYV PGGELFSVLRKSKRFPDHVARFYAAEVVLAIEYLHSKDIAYRDLKPENLLLDAAGHIK ITDFGFAKKVTEITWTLCGTPDYLAPEVIQSKGYSKSVDWWSLGVLIFEMLAGYPPFY DDDHLKLYEKIIQGKIHWPSYFDPHAKDLTKRLLTSDLSRRYGNLKNGAQDIKNHAWF LGVDFDRVALRQIRPPFVPNIRSDGDASHFDKYPENTEEYGVAGPDPYRDMFPDF PHYBLDRAFT_139624 MAPRSTYPISGAFYFLAHPQLWSKMFCPFLLTLAFGIVSIVLAF VYLLPLQAHALINANCPTWLAWLCSVIFVLLESAIMDLLFFAIVMPFFQDALFDATLM ARGMSRMFATRVPVDGFLLCCRGISSGLALVWFLLISQVVIMIVTAPLHLIPVFGTII ACYINGWVISWGHRIHYDLEFRGFTVSESRRYAWANKNDYCHFGAVAGALELIPFFNL IFMWTNVCSMALWVADEYEKNEAAIGRDQQRFAQEQGIGYPSGGPSSYYPQVDAYPSQ ERFEQSRLVEEYPGSSNKKGYGSHV PHYBLDRAFT_184826 MPQSANHLAAYHQQPSTSNGHSTEPNTPWDSVEDLPEPVLPWMK SESRQSLGGASRNSVDTASLHVVKRYAPDPNIKRKQRWTPHKWWLLFSNTALFCYGLG ILVLALLTFFKFFLRADVLIVGERIILNLITATGVICLFTSLLGYVGIMLNNRAVLTF YNLLLWVCFGLIAAVGYTAYRKNKWNIEGKLSYQWHYTLDSDGRARIQTNLHCCGYKM FSDFHERSNKCFPRTLLPGCKFKYQSFTKDALTICYIVAFAMVPVHLFVLFSGLLCSN HINRKFGKGLPPKIYRLDYQGIVAGTPTGSSLNVHTEGLQQRRA PHYBLDRAFT_75571 MAATVPPQQPQPTSTAQKLALVNEQTWLTMGNLAEMMTDYDKAI NCYESALRHNPYSVAALSQIASLCRGREQFGRAVDFFKRILDIQESNGETWAALGHCY LMMDNLQEAYQAYQQALYHLSNPKDPKLWYGIGILYDRYGSLEHAEEAFSAVMKMDGK FEKANEIYFRLGIIYKQQQKFDLSLQCFRYILHSPPRPLTESDIWFQTGHVYEQQKEY ESAKNAYEHVLSENPDHAKVLQQLGWLYHQQNTSFCNQSLAIQYLTRSLKADSNDAQS WYLLGRCYMAEQNYNKAYEAYQQAVYRDARNPTFWCSIGVLYYQINQYRDALDAYSRA IRLNPYISEVWYDLGTLYESCNNQIQDALDAYQRAAELDPSNPHIKQRLELLRKSQSV QSTQGAGSAPVPQDVSNPSQYQNGPGAQPLNGPSSYGQSAPSGPPSSMSAYHTAASNS QSRVDDRAPIPQLPIHAHSSHEPISGPPRDLPMPGGGGGGGGGSSGRHSPRSYPYTPT RDELRIPDIGGGGRAPTPTERSQMNPIMHEDNRHGSVGPSPSQPNLPPMSMRQSPFVK SATLDPSTRSPNPEGGRAAQLVSQSPNPRPPSRGPLHLQGSHYDAPQHASPRSGHHET YGSAHYDHTLRPANYDHENAPYRPQRPSESGPMYGSKQQQAQQHAHQQAQQQQAQQQA QAQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAQQQAHQ QAQQQAQQQAQQQAQQQAQQQAQQQAQQQAHQQAQQQAQQQAQQQAQQQAQQQAQQQA QQQQGSYHSESQRHPPVVKNEESSIYRSVPRKMPIDEERRMEDHSRMESRARTTEYRP YGAPIMADPKVEKMEFKKEDKSQESDGGLARQGMDEDFDESAADALLSIGGSRPIVAG EKRSHEKEGPEEESKKTKQAHEEEEPENMDKDQQGSSSALVPAADKTETPSVQPQDQQ QMERDDKPSQIEPQLSEERPSSPADHTAGSA PHYBLDRAFT_106356 MPILSNASTLSKYMKLNQNGLVQAEFIWVDGFNQLRSKTKTLAS KPFGPKDIADWHCSGASTGQAYVHDSDITLHPVAIYPDPFRQGDNILVLCETYDSDEA PLETNYRHRCNKTMQNYAKEDPWFGIEQEYTLVEPESKRPYGWPTFGYPEPQGMYYCG IGTGRIFGRDLIEAHYRACLYAGIKIAGVNVEVAPSQFEYQIGPCGGISMGDELWVAR FLLERLAEDFGVAVSLHPKPVVEGDWNGAGCHTNYSTAAMRQDGGMCVMEEAIDKMGD RHYEHLQEYGEFNELRLIGSHETGHIDAFSYGIENRGASIRIPRQVAKDGKGYMEDRR PASNIDPYRVTRIIVESTLNPSPFF PHYBLDRAFT_75572 MHFPHFGRHNKDSKSSKDEKTDSKESSREGSPAVDSPKDKELKP HSSPNSRPNSRPNSRPNSRPSSPYPKGFMNDAMRNTHHPDVLYQFDDPMARRLGPVPS TDPTNTGA PHYBLDRAFT_157277 MSPKLPTRQNVQSVLNHLNSSEGVIYLKGQVMTERDDTDVEQAF RQESNFFYVTGVAEPGFHVIIDIASQHIQLIAPVVNADDVMWMGMPDSISALIEKYDV DTVIYEDQLDQRLQSSSVVYTLPTTKVTGNYNLANLEQVAALKVAFGEARAVKKDWEI EIIRQANKISSDAHVKLMESVEVGSNEAQLHALFLYESARRGAFFQAYYPIMGVGMNA ATLHYNKNNAPLTNPSDLVLVDAGAELDCYASDITRVFPVGGKFTPEAAAIYNLVLDM QKACLAACKAGSAWEYIHQIAVEVACQGLLDLGILQGEKQEVLDSDVVAAFYPHGIGH MLGLDVHDVAGYPTGVERIDRPGYRYLRMRRNLAPGNVVTVEPGVYFCDFLIAPVLED EKTSKYVNQEALNKYKSTGGVRIEDNIVITEDGYINLTTAPKEIEEIEALMTASKA PHYBLDRAFT_154166 MPAKVEIPSFDTYKLETRLETLTDIERPEDAKSTAQLVRKLDSR LLPVLCVLYLLSYIDRSNIGNAKLGGLEEDLGLSKSEYQWALSIFYFAYVIFDLPSNI VMRRWRPSHWLGILMGLWGIVATAMAACTNFGGLAASRFMLGVFEAGFFPGVIYFMSL WYTRQEYGRRIGFFWSFGSLAGAFGGLLAYAISQIPNDNLRSWQWLFIIEGVPSIVLS IFAAWYLPNNPETARFLTGEERGLAISRLAKVSSVFHDWKVYAYMIIYVSGTVSLQGV TLFLPSIVAEMGTWPKSTAQALTTPPYFLAFLVTIGIGYSSDKLFERAYHMIAVNVFA MAGFLVLMFVPHEDVAANYFGACIVTASVYANVSIKVAWFNNNFAGLTRRAVASASIV SVGTIGGAIGGQIYYDPPLYFAGNTIAFSCVAFQTLLTIVVRLALLRENKRRDAMTAD MKEQEIIRHGGMELVGDRHPDFRYVL PHYBLDRAFT_184829 MSIPTEILAIIADILLNKEKFGCITVCKQWYNVFWHSLWKRIEI NSQGKLETICTQANIQQSIYQQHGHRSQELYINFNGQVTDSHLKTLRLCFPNLKRLCI KTGLSQPTILEEIHDQSLWESLTHLSICSSRDDLGSMAEAHLDILKFVPHLIQLEFTG YNGGEGKCTWQDIETVHARLPKLENLKICMEGYGIELDEMEHLKNIVPATKITALATG IFEWDITWAYYFACKYPNIQKMDCSFEPIPNDSNDFKKTLSMIMNLHRPFQYLNTIDI YESMLPDCVHGSIISILSKLSVNIKSIKYKVDSFSDDATKDTANDSIKRMVNGSSASL ERFSLDCQVYLSLTDLFANIGICTRLVDLELTIQLEEIFLDSLLGYCKNLKKLTIRSH ICMHLRILSNTIQPHGLEIFEVYDSAIHVESFKKLSDGCRKLSRMVINNASIYGTISE KNGELRIDMQNTEFKFLKFDKLHFDHDSEVLGKGTSINFFVLHPLNFSQRREVNLCGD VLPSGADFPLTLWTHLYHSFIDDNMYECMRILEKDEIEYVEDYFNSFQKNLKNAVAPD YSLFAHDNEANRNMWKFDLVRGFATFHCGKADQYEIRGYILNGDASSQITT PHYBLDRAFT_139632 MNSDTPPFNNILRLDPYLPFEGCISNALKALSIQKDSLMTCTCS QCLKHTTAVTLPSQRTKHVSPLDQKIQRDNLIRKELDIKTRSLPKISQGHSFIYSRNN SQNKIHIPSSKRRYKHHPNVQDNIPPQKPSYVWRYVDPINLASQPEKCTGSRKHQCIY CPKTFPKKNNLIRHLRVHDPNPLTWNCETCNKPFGSKSNLTRHTKCNKLL PHYBLDRAFT_58648 MPSELPFEILSIIASFISITDRFHCTTVCKSWTAAFKDSLRGVL IINPKNQQIVHHLLETEQEIRENDKVSIWALQMIGSLNISTKDFQRIHKRFPRLTYLK IREESLDPKSYRVHWSAWKSLKHLELDLPNLESRTQFSRILEILSCLPSLENLTLEDC TLNQAYHCTWFDLEKLCDYLPLLEFLRLRIKFDEISTATVDRFRSLVPEIRLRTLEIC CEGMDLIWLFYFSIKFPNIHTYFWEIEQVTSSRIGQDSRFTSSMDGYDSLKVSHHEIM SVASKIPCFFPYLQTLTINERAIPTWRHISFWTLVHNYRIPLKHLYYRMNLSNVKPEV SEKIIVRCMDACVTKVTTLSMILDYLLKITNNASGSSEKIPVMEILSRG PHYBLDRAFT_58649 MSIPDEYSICVVSIGASKLEYCHMRGRRCWCIRPFLLNKSFQPR VSVHCNPVCSSVVILNLVYLNTNDDRLIMTTNHPFQNSNQPQYYPKPCYTLNGADSTT RNKSQHNKSFSTTMVDQSRNTFDFNSPDIFVESQEVSFAPEYPDIALDFPDIYTIMNT ANSGTSKDFNSSTSSLAGNLTPQSELFYEEPFGDIYLGNSQCYGSQRASNSISEYGST HSSQCIDQYQTIPLTFDEQPHDYKVLDTPQFQNIPPFYLPVPDYRQSSVPMSLPVSSY HHTHQSMEFVVPSQAALMSSAVPIIHNRHQKVYHKYAQTKTVDPLVQSNELSGNRRSD GDTQPIQQDKKYACNQCDYQSNRNSNLSRHKETHAADRPKLSCGSCKKHFANKHNLGR HNCKKA PHYBLDRAFT_75576 MDNRYSYSTYSQYSPYPTELPESPPPPCPPSPPASVLRELAIDI PIGIARALYDYTAQSPLEISFRKGDLIQVTKHVNPYEWCGTANSVNGIFPKNYVEPMG TFVPHNTSNPAPETPPRPAAVPAPTTLPMFPNFGTGHGTQFYFNPDMYSSPLSGVENL SGTQNQFDRIQYMNEIQKMAQQNLALMPSYISTMNSINQITQVQQQNQFEEQQQMVQQ KIAEILNSSNSGATNPIYSQVPDPTTSSTKSKILGTVVNAAVSGLVGNLTGGATYGLF PHYBLDRAFT_104193 CPNPNCFKRLGRWYQLDVHLKSHFGIGHFSCSYEDCKKIFASQE IMKRHLKSVHEKKLYQCDGCYKSYNRKDELTRH PHYBLDRAFT_179164 MATSTAESPSLGADQVTNGTEEASRPVVGIIYPPPDIRKFVDKA AFILANKGPELIDRIRDKEMHDSRFSFFSPTDPYHAYYQFKMKEAREGKTPQVENMKE EEMEEEPEIRPAPKEPEPFEFSAPMPAMSAQDLDIMKLTAQFAARNGRQFISQLAQRE SRNYQFDFLRPSHSLFPYFTDLVKQYTKVLVPPKDLKDKLKQNTENKYRILDRVKERV EWVAWMEAEKKKKEDEDERERVAYASIDWHDFVIVETVEFTDSDEQADLPPPMSLSEL ENMSLAQKRLAAMPEPIQEDKTGDMEMDIDDVDMEEDDDDDDDEAPPQRPYIQNVRVP ASNTGIKIRTDYKPRILGSGPAKGNEATQLCPRCGEAIPISEMDEHVRIELLDPKWKE QKLAMEAKTKDSNLLQEGTDVAKILRNFSGYRSDIFGAEETEIGKKIVAAEEEAKKKQ KVVWDGHSASISLATQRATQHKSIDDQIAAIHRSKGFTSEAYGPQVPTGEPMPTDQYA GQPDQQQYYYEEQGFNGGWPAGQENVKNPNLFANQLPGMYNHPNTAQPPPPGGVYPYP VDPSVAAGNRKAEEEADGQADSKRQRRDNEQQ PHYBLDRAFT_58654 MSSPVALVTGCTQGGIGYSLCLELVKQGCQVFATARNVSSMGDL ESCSLIALDVTDQDSIKQAIEKVIADAGRIDILINNAGCPAVGTLMDIDYKVINRCIN TNVIGVLSVCRAVVPHMVNQKSGKIVNVGSIVGYTATPLAGIYSLSKAAVHSMTDVLR LELKPFGIDVTLVAPGAIKSNFGTAGLSDSLVPEDSLYRSISDDIYARANLSQMGATQ TEDFAEHVVSRVLNKTPRYITYGSNSFISLVLYYLPAFVKDYALFKRFGLDKIKINN PHYBLDRAFT_139640 MSSQHSTEYTRRNSLPSFVRQLLLLMSPSEQNTQSHIHTEQSSH EEKQTPSSPFEDLYFSFPAYEELDPDNNANNGCELGSSSTSSSTSSCAQHHHHHQHHR ESSSAMKSSRGILNDRSYHKHATITATATATATITITINTSNSNSTNVTITATITIPT TTTTIADH PHYBLDRAFT_162668 MDTCIYICTVTQYIVLVEIKGKFCLLKSALENLMMYLDTPQGSS IKNRWKKEKTQGLNDDEGNIIINSVGPIPGLFVRVFLCLCRDVSSHGRFDLIRFDLIF FFSFFLALVLLTVGQENKLICHGQGTFLLGHKTKTKSNIKDRNSSFRVQDKCFVKMSI KYFRILIILRCQYCHLPLLLPFCPSILSVYFTVLFLFARADPELSSGCHY PHYBLDRAFT_139641 MHENSTDNLHNPVSAAINDHTSSPSSMHSLELSQQTQNSLPVET TKKSIPKSSSLARLSAVAQKRVSLRPPVNNDPRLYSKGKKRWILACLALGSSLNGLCS TIYFPALPHITKDLHTNSVGMSLTTSLFILFGGIGPILWASMSDFYHIRRTLYLSSLI VFIVASVGCGLSGNVWVLVVLRCIQSVGTSVTNSVGAGTVSDCWEVTERGSAFSILFL GQFFGPLIGPIIGGGITTVLGWRATFWFCAAYGAFLFCFLFVFLPETYRVEQIWDAHL FHTPEPKNPQTEAKTSLTAVSGLSGISGQPDQSRLSRLSRLSISDESNEHTQVDSTRD LEAADNVDQTQNEINLPSSLRDHQSTAEKQSVQRLNPFQSITMLKHVFVLLVAIQTGV CFGTMFTVETIIPDLYESTYGLKSWQTGLSFVGAGVGNLIGSFVSGRLSDYLLKRARR QRGGKSKAEDRLTLNAWPGGFVLVPLGVLIFGWCIHAHLTIWASMIGFAIVCFGMSQV YASGSAYLVDALPGKGASVTAAANLLRMVMACILSLIAHPVVESIGPGYLATILASLN IIGMFCFVFVKLNGQKMRQKLTFGEVY PHYBLDRAFT_130023 MSAAVHNTTDSDKPAQANEPGKWRSFSTQDENAVAAVFPDRVTG PSVWDGKYLENHPEEWIYYLTEDDIKDIDSGLKHFNSLNLPLIEIKETNFPLGNFTKI IKKHQEDFFKGTGFGLLRGFPITKYDRKDQAAIFMGIGSYIGTLKPQNAKGHVLGHIK DLTTGSTTKSVYNVDDPTTRIYATRKAQPFHADGTDIVALLCLSEGESGGLSSVISSH TLYNRLSDLRPDIVELLKQAWLWDKKGEHGPNEAPYLAAPPIVFHKDHLFTFWGPHFY ETVTRFPGVTVEAEKFEAMEYVQKLCEREALNMRLEVGDIQLVQNYQLLHARTAYTDS PEKTRHLLRLWLMVNDRDVRWSMPYAKDDVNYDYAYLGKQTVPLEAE PHYBLDRAFT_179167 MSYWIQQLRTAVFGRDPEPIIQEAPVSEPEPEPEPETKTEQKQD PLLETAQSNPNSREHEHEHGHEHGHEHGHERGRGRGRGRGRGRGRGRGGKHGHGHGHG HGHEATEVDIDQIPPIDLLLTDPCNTCSLPCDDHKEYPSYLKFDHISPLLGSMKPYSR HVLISTGESDWAERIEEDWGSFAANLSMVTNDRPPQNRAIITNTSMLATHSTLPNSHD IVLLPDNIIISNVTPDDAEAFYEAFLATPLPVAPVVLDEDYYKSKNLGNMVVHKNLYS SMILICSHRKRDKLCGVTAEILGREFDNVLREKDISEGEGGTAILMVSHVGGHKFAGN VICITHNGTRCVWYGRVKACHCERIVDDTILRGRVLKELYRGAMSHSYDESKCERIRW PHYBLDRAFT_162672 MIVLMIMIIEGCFFFCYTVSVRQKSTTSPDERDIPATEFPVLCF NQAMPTSEETAVYLNDYTDTTEVLPLELQRNFTLIRQLDEGAQDLMEKVAEKSLRLAE AKTVLLPEERQEQLVVIGRLLNEALKKGEEKFALAKSIYDTVDRHCTRLDNDLQKFEN GKYGGSGRSIEQQTPTRAQTEEISIEPEKGKRRKRTEKKNEEQEVNMSKLISMMNYDD TYNGGGGSGVEYEDNYESNLQGTNYYSRKKGKEREKTKDCGEGSRTSSRATGELSFYR RCKKSCRSIFYCDFDSVISMSHLPIDPNEPLYCYCQQVSFGEMVACDNEECDIEWFHI ECVGLKVPPKGKWYCKNCGSDTKKPRRLL PHYBLDRAFT_58661 MASTTMESQPKEEKHLTPVYEESSQFRHWRFSSQQLLDIRTSCN AAAVDRVRRNFQEEQKAAEAQGDRPQDEPQYLSVQDEMALCRFYEKQLQGICKHLKFT DMVMATAVIYMKRFFLHNTVMDYHPKDILLTCLFLATKSESERVSIDDFGRNLKLPST TSVLDLEFTVSQGLKFEYYAHHPYRPAYGFFLDIQTTGVDIKILKETYNKLGPVIGEI LLTDLPFLYMPSQLALAAFVVAGKNNGFDAQIHKQRFGDQSDPLKDIVKAITKVLSQV TPVTKEQATQVDYRLRICMNPAKNPNSALYKKRAAQKQLDNEERRRKKAKIDQDEESE EEEE PHYBLDRAFT_14652 MKLKELEGILQDCAVFSDPKIQYEQYPTTAHLAARMLYTADTVY DDIEDKVIGDFGCGCGVLSIAANILGAGYSIGFDIDPDAVAIAQENCDQFDISMDFVL TDLTQARLDRFKGQIDTIIMNPPFGTKTKGVDMVLLKKAIEIAGTSVYSLHKTSTRDH IMKKAKEWGVGCEFDVPMMYKFHKKKSVDIAVDFLRFSK PHYBLDRAFT_162675 MSSLKLAFSNHKKNVILGININISISISTSIRISIRISSNKKCF KLLRLTPCQTRMSLEEITLQLRLLYIIIYMGLCICDSKKEKTRFYMKRKENEGKGEAQ ISPSVGTSKAF PHYBLDRAFT_162676 MFCIRCRKQSMKDKITGFDLAQRPHCEFASSRARWQVGQLGRHS GHSQPGERSLPHPQTARVSEGGIESEENTLDSVVFVLGALFRPVDRRCNPNTRAEERN KDTTTSFNVRIFGTFGTFDEKKGNKNNKSLWTKKLKDVKEVRVFCAKFFWFYYLEYLL VAEQFYNI PHYBLDRAFT_157282 MPKAVGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTD TERLIGDAAKNQVAMNPYNTVFDAKRLIGRRFTDSEVQSDMKHFSYKIINKDDKPAIQ VEFKGETKIFTPEEISSMVLIKMRETAEGYLGTKVDSAVITVPAYFNDSQRQATKDSG AIAGLNVLRIINEPTAAAIAYGLDKQTKGEHNVLIFDLGGGTFDVSLLTIEDGIFEVK ATAGDTHLGGEDFDNRLVNHFVQEFKRKYKKDISENARAVRRLRTACERAKRTLSSSA QTTIEIDSLFEGVDFYTSLTRARFEELNQDLFRTTMEPVEKVLRDAKIDKGSVNEIVL VGGSTRIPKIQKMVSDFFNGKEPCKSINPDEAVAYGAAVQAAILTGDTSEKTDSLLLL DVAPLSLGIETAGGVMTPLIKRNTTVPTKKSEIFSTYADNQPGVLIQVYEGERARTKD NNLLGKFELTGIPPAPRGVPQVEVTFDVDANGILNVTALDKTTGKSNKITITNDKGRL TKEDIERMVNDAEKYKAEDEAAAARIAARNGLESYAYNLRNTLAEEKVSSKLEPADKE TLTKAIDEAISWMDNSAEASKEEYESRQKELEEVANPIMTKLYASTGGAPGGGMPGGA PGGFPGGAPGGGAPGADGPTVEEVD PHYBLDRAFT_106612 MDRFFSTAATTGDGADFKFGKLDENYKRNTNDSSEPEDDSVTNS SSSLQSWISWFCSLSGHEFYAEVPEDFIEDDFNLTGLSALVPYYNEALEMILDVEPEE YTDDDGQSKDDATLKDDEDENDGLVEPYAVMLYGLIHQRYLLTRNGQRLMAERYASGQ FGFCPRVYCGRCPILPCGRFEEAGQESIRMYCPRCMDLYSAPSSVHQCIDGAHFGSTY HHLLFLAYPELVPAPKRHIYQPRIFGFRVNEKSQAGPRMQWLRMRPSNYVDDEDSEDM EEERENPDDDSLEEEAVGKVNRHDVTAR PHYBLDRAFT_58666 MNPTPATTTESHKATPDLSPIVIVLIVFAILAVIALFALAWLFC LRQNFKHKRRSTSPPSPTCHDLVFSSILQHPPKPFVPTATKKYNRTDQTTMFEDGYSY SSHQSNSRKPVATYDSTDPILMSLARQREEDRAGYYRSPSRFTAPYINSANSSNTSSF VPPSPGELLRDEEAATMHRMSISSYHVCCGSSSASFSKIYIEREKARKREREIKKHRQ RSMGLNNTTQSVVNARSSADGQCFNMMKYVLAIVRT PHYBLDRAFT_58667 MPPISMAPSAAVVITQGDIVLSDPALPENQGRLLRDSTVYNYYV PAILTFISIVYLSVYIYKTIHWYRMKRRKRKQRLNRYRIHQYWKKRMIALQINQSNLP PPIKSSFALPRSQSSPCKSFYTSDPVSCSTLGGLQRPHSMLGTITSANSLPSHMTSYQ LVTRADSIHIPAPSACSFDEKGTTATELSPTFTAHTNFDCKSKKLAHNDRSQYRHTPK IFKGKDERQMELWKWGVSMGYCHYAHGKLLNDLIAELQKRDQETVLTGSIDEMTPKNE MK PHYBLDRAFT_95926 ILILHLLNSQGSELFPANKFFGKWRNLKGQISALYQMVTSSPDV ISLVALSKRKVIELEDFSASSTHVRAAAAQLVNDQLNSLDLIERVLDLVDTPVNEDAN KLLEAMARNSPELIFLGLVQVQPMKSLIHKDMLQQLLAIHLKGHPGSTLALTKLWQLN PSFFIKSVLEFYAEDSTSLMRILDFTHELKIIPQMLEVQPFFFAIDLAALASRREYLN LEKWLQDKISEHKDAFVRVCLDFLSQKISAELSRKDVNQVPSTVPLQVDVLGLFLKIL HSSFSPMTPDNAELLKEVQSVCLQTYPKLMNIRTQAEPGSTGAEVSFKPEVEKESNSN YERIYSGELSLEEFIGRLKSYSTSKDSHEQDLYACMIHNLFDEYSFFPKYPDKELAIT SVLFGSLIQHHLVSYAPLRSALRCVLDSLRKPLGSKMFNFGLQALTQFQSRLPEFPQF CACLLQIQQLQQANPELIRFINASLQTAQLQEQQQQQEELLMPEMTAQADMMPPPETK APNQAVFFTTLRVPSVPISDENINYEIPPELTQDKILFIINNLALNNLETKTSDLLNL LDQSAYQWFSNYLVVKRASIEPNYHELYLLLLDAVESRLLYQHVLRETYANIQILLNS ENTVSSSSERGLLKNLGAWLGGMTLARNKPIKHKNIAFKELLLEGYDNNRLIVVIPFV CKVLEQCNKSRVFKPPNPWLMAILKLLVELYQFAELKLNLKFEIEVLCKSLSLGLNDI EATSVLKNRQPKDNRTVLAEMLARGDDSSLSAVPDGGFIPEMEESLMITLPNLAPYIT FNPQIVMYATQPGAKRLVLQAINQSIREIIDAVVERSVSIATVSTRELVTKDFAMESD EIKMRKAAHLMAQNLAGSLAMVTCREPLRLSLISNLRNIFLASGLTEAVAEQTAHITV FDNLELVCTVIEKAAMEKATIDVDEAMMNAYTARKKHREQRPGQPYFDMEIFSVSRYP ATLPEPLRSKPNGLQSSQLRVYEDFARISRSAPSGGNVGFDGPPGQLSAHQTLERFSQ YMTELEKLANQTNVSSFGALPNHHDIRAIIHQIPILALSSFDKEEAARTFAQKVVQLL YKSETQLSRETFVVLLENLCEVAPNVGTLVTSWLTHADDERKYNVPVTVALIKSGLIH LPEQDLELGHLIDIGRTTAIDFTARLIRACVFGETPLATRQEFMSSLEALGRLRGNVP ESVLMLMEDIRRYSQSQVKDAQDIRRLAQNQVKDAQEDEATLRGQLEYLFTEWVHLYQ HPATTEKAHNAFIAQFAQQSIFKVEDVSMLFYRVCIEASVEHAIKFKEIPGQSPSLAY QPIDAFSKLAIGIVKLQTDPTNCNHNTAKVNEFNKVTSVILLILAQHHEQRQQQFNQR PFMRLFTCLLSDLHAAEHQLQSVYIPLLTALSNSFHMLQPSYFPGFTFAWLQLISHRL FMPKLLLTENQKGWPTFQRLLICLFQFLVPFLRNVELRDTTRMLYRGTLRVLLVLLHD FPEFLCDYHYSFCDVIPSSCIQLRNLILSAFPRNMRLPDPFTPNLKVDLLPEINQSPR ILSDYIEPLKANDFKQQVDEFIVSETPEDFLSGLQNKLLLSPEQKEASNVVTKYNLPV INALVFYVGVTGITQGIPVNQGAPMEIFQHLLNEVDSEGRYLFLSAIANQLRYPNSHT HYFSCVLLYLFAESNKEIVKEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWN HSFTKCATDIERLFESVS PHYBLDRAFT_176686 MFLPSLDATDVAQASLKNSLTYLGSVLLKNTTVDSVKELIQQTG STLFWTHASTVEEAVKLWDVGVWKVVFDISVYANVVQELAGIPEERIAVTSALENPLL DFNAVNLDTLVPASILTTDPSVKNKLNVGEAFLAAATTDRADGLYTTMVVDERNTALG LVYSSQESVKESIRLGQGVYQSRRHGLWHKGLTSGATQTLVRIDYDCDGDALRFIVKQ HGAGFCHLNTRTCFGAETGLASLQQTLQSRKNSAPVGSYTARLFNDAKLLKSKIMEEA EELCDATEKDDIAWEAADLIYFALTKCVSAGVSIEDIEKNLDKKARKVTRRPGNAKPK WIEPTVAAPKPAPTPAPKPAPKKDGRIEMVTYSSNDISAEKRAKLLLRPIIDSSEISA RVAPIMKDVRERGDAALKDLTAKFDRVNLEHATIKAPFNPKDMELDPLTKAAIDQAYD NIYKFHDAQMDRETLVVETMPGVVCSRFARPIEKVGLYVPGGTAILPSTTLMLGIPAK VAGCSEIVIATPPRADGTVVPEVLYVAHKVGASHVVLAGGAQAVAAMAYGTQTVPKVD KICGPGNQYVTAAKMVAQNDTSCLVSIDMPAGPSEVLVIADRTCKPSYVAADLLSQAE HGVDSQVVLVAVDLEDSILAAIEDAIHEQAIRLPRVDIIRESIPKSYTLRVNTIDEAV RFSNEYAPEHLILHIDNAARLIPDIQHAGSVFVGHYSPESMGDYASGTNHTLPTYGYS RMYSGVNTLTFVKHITSQELTAEGLDRLGDTVMRLAEIEGLDAHRNAVAIRVADIRK PHYBLDRAFT_106472 DFLHLSQELAVPGELEKNISFEFAFINVAKSFESYYGNQVTLRY LIRVALARKHLSNITQEADILVYHYAQSKEDLIKKLKMEVGLEDSLHIEFEYNKSKYD LRDVVVGKIFFRLVRIKIKLMELWIVKRETIESTPNAFNESEALIKYEIMDGEPFRGE FIPIRLFLGGLRLTPTFRDINKKFSVIYYLSLVLYDERNMGYYKQQEIVLYRRQGTIY IFLPNEWILINPNFLVDDELVDTIY PHYBLDRAFT_139656 MTAIHFRLPYGYQEAPAWPALYWPFGEGFDPLNLVADIPHSLYY LNDIWRFTMLWSIIFSLVVYLPAGIWAFTTFAKSRTFKWNTLITIPLIFVFAGSLSSF VIGSIMGVALAFVYNSGFFVMSSWIPFLWGLIHVLVVLVGSYSTITAIL PHYBLDRAFT_139657 MYTCQYGIENNEGSNEPSSLQVFYSNVRHFNLILYTNTKCYVEA ITGYSAYTVVDHSSRGAHEY PHYBLDRAFT_130035 MEDGWFKALKPEMTKPSFLALKKFLKAEKEAKKTVYPADDQIYS WSNFTPLSNVKVVIVGQDPYHNVNQAHGLCFSVLKGITPPPSLVNIYKAISIDYPDFK KPNHGYLEEWAKQGVLMLNTSLTVRAHEPASHSNKGWEQFTEAIINHLNEKKKGIVFL LWGAHAQKKGSKIDNKKHLVLKAVHPSPLSAHRGFFECHHFKQTNEYLSQHGKEPINW NCLADA PHYBLDRAFT_130038 MAYIKKYTFAPLPQTNRGEAIKLGSDPKGKTFLYTNGKSVFIRD IENPTLATEYVGHSAQTTVARYSPSGYYIASGDINGNVRIWDTVNEDHLLKNEIKPIS GKISDIAWDSESKRLIAVGEGKERFGHAFSFDTLSSTGEITGHSKVINSVSIRQQRPF RAVTASDDLTVTFYTGVPFKYSKSIKAHTRFVHAVQFSPDGSHFVSAGADGKIFLYEG QNGDLVHEFTEENSHTGGIFSVSWSPDSKQLISSSADSTVKLWDISSNKVVSTFDINT ASNAVDNQQVGNLWQNDWLLSTSLSGEINYLDKSSGKISRTVDGHSKAITALSVSKDD TLFTGSYDGRVYSWDYGTEGGSTLAAPFLGQGHANQVTALVVKDTTLLSVGMDDIIRA GSTESKSFSDKAISTGALPGSIAVSNDKTTVVTTSENIQIYDGDLKKINQKDDLGYSP TAAAIDASGKYLIVGGKDNKARVYELSDLSLNKELSNNLGNISALAAHPTLPLVAVGD SVGKIFLYDLETGKPVVQSWVFHTARITSLDWSSCGLFLVSGGLDTHVYIWNREKPFK KVAIKNAHVDAVNSVRFLHNSEGLGVASVGQDAALRLWQVTKPE PHYBLDRAFT_130041 MTGITVKDVNAHEFIKAYSAYLKRTGKLEVPKFVDIVKTGSYKE LAPYDPDWYYVRAASVARHIYIRKSVGVGALNKVHGGRINRGFRPSHHVEASGSVNRK VLQSLEKIGVLEQDKKGGRRITQDGQRDLDRIAMTLVASEEDDE PHYBLDRAFT_58676 MSINTHKGSTFYQSQDVHDIASLFVDSRINSEDIHATGTDDNRI SFNSQSKPNLQHLIRPSSDDVNSRTPREEYLSIHRAQMRAPESYSHDSKSSQFYGDIN DHSVQRNPNLTTVSRAPVDIPPLAQSHRTSSKLLVTTTLPPPVRIFRGTQQLSAPGPM RVFGGPINKIPQTPYLHSTFAYQNPSASGSVTSRHISEGIQSFYLHPEDPGNEQVYPK PQISNFADNIIHHSTGLKSSATPLILRTDHSHPPRYAPPVLPLPPENLYRKAPRRATR TPKKQTCFKDNSRNIHLARQPAYTNPFKNPSYLDDQDRVPPIENVNSFNDNNIYLRAP MLDGESLYDGLYDNFDPVPNQASKDMLLRITENCRIEQSNTLHKIDTPAVFNPELPPL HPHDHLTQANLDYPPHGLNLPLVRNNLTIQELHKRLFETSVNNTQARYDLQRAIENHQ DYRQTLERVSSQFHAYNPRGSVLSNRGIYSLDPNQLIAPTVPSAHTEEVYDANHQYWE CRFANICPIENPGRKPRVVDLGDGNFQLPRSSAGSLGGGDNNAGDSFPTNSFLQEIQR AQALLPFKPRNQTISNANMTQESQNTNNRECLNVSGFGANTLPPPIPDKTQIGTLPPV HCTRTMLENFNRSIPNAHTVIDPNEHRISNAHNEVDDYLNFLIPLERPKKEISCVPEK KTRHKPSLRIDPLHKAKIAFGGKEVSPGAKRIENFIDPPKPQKYVLSKNPVIYLKYKN RYNHCYHENPWVNAGLSPSITESGTENQPRTALEELKKVMPLGKVQGKQRFDTYMMQR DLGFGANGVVKESLIPLKKPGTYLAVAIKTCSFDTAYTDENEYILREIAIMNRMRHKR IIQIYEVCLLGKVAKLAIELMACSIGRLASAMEEPMQENMAMRITRDALEGLAYLHSR KYIHRDIKCDNMMIDWGGVVKIGDFGLAIPFNKIKRGVAGTFRWMAPEVISKERYDSR ADIWSLGISLIEMMDRLPPYGNSFENAKELENHIICGPTPFYMTFDPTIHMRNITKSM LTRDPHKRPRAKDLLSIINGMFDRGDYAYVTSQVLADTVKTYILPSQTYLAKKAPVQQ G PHYBLDRAFT_162689 MSVSYCQPLDKTSGRHSSSTFIAHLMHQEYPPKVQPRSSAARDN GLILDMASQPSKNHKAPAIDYSLLDKVDAEAGCILIALANHDPTKAPFSIPVADAAAA LLQSAKSTGDRTQPKGSAVPRNTTDSIISTSSSSSSSTSGSTNSMSISSLLSNDAPPS PALTSQQEEPLEQKTIEPLSTDKVPRSLFHNTQSGDEPWNSHVQSDPIMLLVAAAEAV TSNDKALAEKDRRRSGGKLYERDEDGDHHVGQPKRKWHDHSMSDTQDINDSRQRLSPE KRARQTNDAWQDEFYEEKNPRSSDSSNSFSWQYQSMKHDPKIKRNAMHAYITYMIYTD MVNEQSHSGTKPGRDNFKEPSQRQDQIDLHRTRGQSIEDEYGSSLRPRNDYNDHKGLP IDTSQNSPSSASLYTTNQRSTDVPRTQHLSAPQSNESLWYSSSYHSPLQESPILARPL TAFLWDDVSVKTTERKHENVMLPPLTSRPMTHPSSPANGSFQ PHYBLDRAFT_75588 MSTNPVVLDEDTYTEAISYIIERDFFPNLAKLKARQNYFQAQES GSLVSLQQAGKALSDLSQTPLQRTAGAPESLPQNFYNQDEPELATRVNLNLSLDQFQT LYTSEDNASFTDLLEKANAKRKDKYKLIFDETANRLLIEGVPNNGASLKRVKADEAPP GTWKYMPRNALMYFADGTGGTLLNEADSRAPPRAIAHGNTQLEIEEEKEKSETSLKPS DIAAQQGKMTPWKEINGLDNSHTPEFRGFSLVDATPSPTPSRMGTPIMTWGSIEGTPV LIKGSETPGPQFSLPKASRREALGMRLSERASRAYRKKTKERANAVRGTPRSGQGYTT KKKGGSWSYVSGCSTFDANTHSIFFGRIRCCTPVILRLAFDRKTRTNSWSAMADRRPG ATPTPLFRAGATPGTATFKTPFGDTPVKKS PHYBLDRAFT_75589 MAQYTYDEEGFNFYYFLLSVISLCLVPATATSIYSAYKATQQKR KTDTCKCSSCEDQRTKLKATNTTSTLKLVNPKLIFIILGWAAFALLAYQVSYAEAPKA TWDPYEILGIKEGITLPEIKKIYKRLSLIYHPDKAEIGTEKESEERFIQISKAYQVLT DEEVRKNYEQYGHPDGKQSFSMGVALPSKLIEGGNSRFVLLFYGLAFGLGLPYYIATW WYNSRRHTKDKILNTTMAVFFKGLKENADFKNLIKVLAGAHEFKENSDVREGEESILK KLDETIANELEKRFTEKYEPLPNAAPSYQRKARTLLYAYFLRIDINTIASAAQTIIIL KDQRFIVEKAIHLLQGLLQIAIVKNWLNVATTIMDLQQHLMQASYPGEPTIKQLPHVD TALLRKYYHNKKKNVGSIQQFISLPEAERKSLLKPLSDEQYLDVVEVANRIPRLNVRK AAFKVVGDKIVTTGAIITFVLKLKNGQIESVEQEVEDEEEIDDEEEQTENDDSEGLGL AHIPYYPGEKKPYWWIFLGDPKVNRILVPPRKVTDIVDEQTIRIPFAGPPKAGTYTFS LFVKSDTYTGTDILQDIQLTVQEPGDLPEEEEVDDSISEPEDDSIAGQMKLMREQGLA SALAGGSQQPAKKANAGEDSDSDSDSDSDEE PHYBLDRAFT_139666 MNRGPIVLTIDEAEFLLDQVPPPDKDEDPLVTKLRLKLRQLLSQ LRDGAEGVSKKD PHYBLDRAFT_157286 MWNWTLQKAEHEEATLATSERPFTTKGLQPWLNHNNCRHKIHER HQILLENICDYLVDWHQTNRSLALIGACKLTTIPFFPPSWFVDKQRQNHGDYQGLAPS YIARFVPPYRLASVPERWDEVFLKGLTVDPDHQLDMDPELITNTLSIYGLTVHYDSRL GYSRLMMVMKKATYGNLETSLEKEIPVDYNKPRMLALSVTKALKDLHWEYVHGNVHPR NILLNFADYVGELIDITFMQRSRSLQRRHSANANEAQTDRTSSVGVGGRWPYVAPEMA MPSSRLTTAADIYALGIILWQLVSRVTFPDNTLVDPFVYRIEPIPGVMKEWEDLYTDC LNTDPSKRPNAYMVYRRLEKLSADVPFSLHTLEYVHQRRGEIRQFLSERLLFDYRSNG IQPTSMLVEDGGHVLTASVTRLVNKGLESYPRLVQKFTF PHYBLDRAFT_121290 MNYQEQAYREITSVDVPEEKHKAEWTHQIIAGAAAFEAMRLYNK KQAEEGPIDDHHLAKEIIAGLAGASVDHFAETKGIDWVDRQKAKHAAKEDAEKLYTQQ TGYEF PHYBLDRAFT_105670 VSPRIGLLNNMPFVIPFEDRVKIFKAFVDNDRKRNSIDDFMGRA PSVTIHRNNVLEDGFTQLYPLGANLKKRIAISFVDEFGLLEAGIDGGGVFKEFLTCLG HEAFDTNYGLFLATPDQLLYPNPSALISNESLAEKLVFYEFLGLIIGKALYEGILLDV AFAEFFLKRCLGKVNYLDDLPSLDPELYKGLIEVKNFQGNVEDLCLDFSLAETADGKS KVIELIPGGSDIAVTEKNRIRYVHLVANYRLNVQIAKQCKAFFRGLSTIVDIKWLRMF NERELQILLGGASVPIDIEDLRRHTVYAGYRENDPTVKDLWKALESFDNTQRMKFVKF VTSCSRPPLLGFKELRPQLCIREAGSDSGRLPTSSTCVNLLKLPKFASYNILRQKLLY AINADAGFDLS PHYBLDRAFT_105705 RNQKIRDDWVKAMEARIIKEKLDECYRTEGVNHYQNCRELANMY FTALKENKVEGFRKKPSSA PHYBLDRAFT_35188 MGATDSKLAFRKGVFRLFEERHIPPSDDYWSLFWTIPETADDVF SLIGASDVRRARDNARENLETLIDKTIDRMEAITNAPNFPSAQYSINHLLNCCRIMTR IMPYIYESPECSEWEDSFFWTPRLVEKKAPENSEDETIKGTFDEQQQQHQHQQQQEPV IDTKPQPQYDTLPPRGEVFLSLVIRCLFLAGFTLPISMTTKDSRVVYAIWEAGVGSSK EIGTYKDNEMNRTEVLRLLTVLLSKSMYISSSQLLSKEDLWLRFVAAKTERKIVLVVL CSLMNTACKYDPIGWGVPYNHVLIANPREKLVTMCLRVLLILLDYRSPRISHWMRHID QQQTKNSLNGRNVFRHYLSKLHRSQDFEFLMDGMYRILLNPLQASNTYLPGSTKRVEC NIEVMMLCWRVMEINLKFRTYLMETERALDLMVVLIYYTMENRSNVAQVGLVRMCTFI LQTLSSDRTFGVKLNKQFVSQSYLPALIRIPASHGTYGDFLIISIFSLIATTRGTLST LYPALVLTISNVSPYLKNLSVTSSSKLVGLFSSISAPGFLLADESNYRLLSYLIEAFN NIIQYQFTDNPNFVYAIVRNHAKFERLRDLSFTNALAEIERLRQLKESKIQTDQGPEE NKSVVETNNGPDVNKSQESLAENPSSPRNTGSNSEGNSEESQAIQNTKLFAQGLSESP EGQDFSRTPQRQGSISSTISTPSVLPGAKHGFVPTEEWWSRWHSQLPLQPILLLLEHL VPQVEAKCAAESLTTDAQVLEFLRQITLVGILPHPQPIFIRKFQWGEALFIWFRSMLW GQAYVSSLSEYGAWNGTQVKLFQIKQQVAPTHQQRQSSENPTPSPRISLASNSQQPST SQ PHYBLDRAFT_139673 MGLSDYNVNLFKHITPPLIKSITPSSLSPHYAAQSPSGRKPDLV AKEVLEWWQTNGKHPFIQSHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFS QVSSEEPAHLTTETMPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_139674 MSAYNYVITAKPATAVHDAVKGYFLSSYVQNLIISKGTCIEIYS LDGLHLKHKHDFSLYGRIRAMEICSLPEKPQGILFVLTESHEYVILYYDAEHNSVDTY AKGVIQHKFVRKTNFGPMTSIDQSGKIVITSIFGGVISVLSLMDARISVLNSVKGKAK DTGRPNTPVFFNLRIPENEIISLTTVCANDALRFVVLYSDENERRHIKTYDINIATKA LVTKSQFHASVEDHDQIVVSVPEPYKGVLVIGELTISYYDLEGSSKTISVPAMDVKGY GMVNTHGPNVQFLIGDSTGMLHMLTLVPVSKVIPELIFENIGQTHVPSSIVSLGGGIV YLGSPEGDSCLIKLSKNAYNVSSFDVIEEYPSLAPITDFCLFDLDKQGMKTMVCCSGV ENDGSLRMVRGGIGFSKEFAVPMKGVTKIWPLLSRNNKWQDGLVISLLNSSRLVQYNY ETMTTAEVSNFPNFCYDERTLSTAITKQGSLIQVTPSWVRLMPYSHGCKQFSKWSPPS IGSRIDVAYTTSSVCILSYEIGVLALLSANDLTLSIKRERKFDDEISCIYVSPEVPNN SGPVFVAIGLWGGQDVKLLNISDFSTVWENKIEADAVPRDVRYTKLGEHDYFFVGLDD GRMVYFCSKDGMKTQREVSIGIGKIQFHSFEINGENAIFVASDRPTIITSSHGRLVYS SVNAEEIFGFCTYYSPDKEKYNLLATSTELMFGSIDTKRSLHAIKFPLDKRMSRRIAY HEDSKTIAVATVQTKLRNEVNFSKGWLQIFDAYTLKCVDTIDLLENELIESLLSTKVE GYDMSFLFVGTAILEEDDSDPENGRILVYKVCDRHTYSLVDAVKVPGVVYDIQPIKGS IVASVVGTIYYMSHFNPDANLGERFTMVPKLDVSNTILAIDTDDDRILTGDLMHSMAL LKMEDSSETTQLEKVARDFNSSWMTTVKILRDDSYIGADALLNLFTLKPSKQTEDCAL ERMEVIGEYQLGDLVNRFRSGTLSEKSREKQDVVIDRNTFLYATVNGAIGSISSISVN EYELLLKIQQQLETLPSIGSLEHRRWRSFNNGTRTGEPRGFIDGDLIETFLHLPKEKR NRVYKSIDNLNTPLSDIIQLIESLSDVR PHYBLDRAFT_162699 MSSASPLNETPVSPQLDNSTGSNDPTDFADQSEQADQIPSSPSS PSASTSAARKRTRASAEQLAVLEDTFAVNVSPNNKLRKQLAEQLQMSERSIQIWFQNR RAKVKHMQKRAQMQMQQASIRAQLYHYQQQQQQQQSGYSTTSGASAATTPNTTTTNNS HHNHNHHHQNHHHQQQQQHVYQQQQVHQYSLQNNPHPHHLHHPQQHQQHVYHQQQLQL QLQHQHRMLSRAQSVDAIQPFTPPYGSQWQAYNISRQSMPPNAQDIMIDLPDYLHGPP SPSPSPQRDFHSAAPLQYPLDPTLLPTLVPVPDAGPTAMLATHDFRSKGPNTPANTPP TDPSLWPTNNDIPQRPQLPSSQLVNSSIHPSRTLTNPTISTVNPAALSIPSSNPPLSS TTTTSSSAANLPLSLPQVQGIKESYFNASTLIIGSWHRLKLRATDLLCVYKPELNHFS WHITDSNCHFKMVTSIDSVSSISHVSSVDNNNPNNNSQMIYFDITEPPLFYMEANQDG ASVWIQCSDFTEGKQASRFFRHTLKGIGHTLKEDLMVMMNRYEKLRRLVRFLNHTQVA PEPMVIQTNYTMPVSVPVPVPQPQPQTQSQSQQAIPYWPAPQPQPLPLHTNNSNSIIG SNNMFMNQSPTIAYGP PHYBLDRAFT_157288 MYLPITIFLLVLGTELLLFIGYEYVASAAYDLYSQWTNDSKVIK HNKLKQDVLRMKAELSKTSSQDEFAKWAKMRRKLDKGVADQEKMSSEIAYSRTAFELK VKSAIWFFIHGSQLLMVMWFYKRAVLYLPQGWFGPAQWILSFPFAPTGSISVAVWFAA CRKTVKAVASTANGLIALKNMKVE PHYBLDRAFT_99552 MAFRFNWPEFDSDFYTDAKAQLETALNKGEKPKEIVDHITVKDL YLGTVPPELEILEIGELTTDTFRGIFKLTYKGDAHIVLQTKVQANPMHVQRSESLPRH TRPTILAAAQPLVVPMLLKISDLQLRGIVVLVVSKTKGITLVFKNDPLEGILVSSTFD SVTALRDFLQREIEAQIRKLLQEDMPVMIHNLSLKHMQARQE PHYBLDRAFT_121302 MSIQLIVATKASPISYGVLAAAEQVNAIKPGAITIEYPDTKTLE AEGGATAVAKFNGQTYSSTNAIVRLFGRLHPETGLYDPKDPISSTLVDQWLDFAESKL SISDFKALDATYKELNKHLTLRSFIVGYQLTLADFVVWGALRGSPVFARIVKTKKESL GVYLVRWYEYINTLEVTKNAVEKMQKQDKLKVKSNEQGKFEIGLTEAEMGRVVTRFPP EPSGYLHIGHAKAALMNQYFADTYKGKLIIRFDDTNPSKEKTEFEDSIKEDLALIGVT SNHVSHTSDFFDQMYELALKMIKEGKAYCDNTDQETMRDERTRGIPSKCRDTPVEKNL EIFEEMKKGTDTGRSFCLRAKISPDDPNGTMRDPVIYRCNLTPHHHTGDKWKVYPTYD FACPIVDSLEGVTHALRTNEYRDRNAQYMWMLENLGLRKVVIWDFSRMNFVYTLLSKR KLQWFVDNKIVSGWDDPRFPTVRGIRRRGMTIEALKEYILMQGASQNVLMLEWDKLWA MNKKVIDPVAPRHTAIVKDKMVQCTIQGAPTTPEFKELPKHKKNPAIGLKKTAYFNKI VLEQEDAKSLEVGEELTLMDWGNAFVRNVEKNAEGDVTSVDLELHLEGDFKKTKKKIS WLAFGANLAVDALLVDYDYLITKKKVEEGDELKDLITPVSEFKTPVVADANIKDLKKG DAMQFERKGYYILDSVATATTPATFIQIPDGKAASIASKADEKKTEKPKKEKAEKSKK PHYBLDRAFT_130060 MGKGQPRGLNAARKLRNHRREQRWADKQYKKRALGTAFRSNPFG GASHAKGIVLEKIGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LIAGFGRKGRAIGDIPGVRFKVVKVAGVSLLALYKEKKEKPRS PHYBLDRAFT_130062 MGKDYYSILGVPKTATEDEIKKAYRKQALKWHPDRNKDKIDVAK AKFQEIGEAFEVLSDKDKRTIYDQYGEEGLKAGPPPPGGAGGAGGFPGGAGFGFPGGM GDDDYDSFGGAPGGFSFGSGGGGQRFKQRQSSAKPPAIKRILPISLEDLYKGTTKRLK VTRKLRDGASGKYVTAEKILTVNVKPGWKAGTKIRFPNEGDELPNGQQQDIEFVVEEK PHPIYTREGDSLRMTIQLTLAEALAGFSKHIKTLDGRTLGVNNSNSVVHPGQESRVRG EGMPNSKTGAKGDLIIKYDVKFPARLTDEQKEGIKKLLA PHYBLDRAFT_75597 MVQFLSLTSSALILLVACASASKSKSGVDLLTWDAAYVKANKLV SQMSLKQKVDVSTGVGWGVGACVGNTYPVTNPDFPALCLQDAPLGVRGVANTTSGVSG INAAASFDKKAIRERGEYMGKEFRGKGVHVQLGPAMNMMRNPKGGRGWESFGEDPFLS GVAASETILGIQSQGVTATAKHYIANDQEVNRNYASSNLDDRTLHEVYLWPFARSVEA GVGSVMCSYNKVNEVYACENDVTLNKVLKEELGFRGFVQSDWAGTHSTESTVKGLDMT MPGDITFNSNDSYFGKNLTDAVEAGTVSEERVTDMTLRIVATWYKHRQDENYPEVSFD YFRPELTKFVDVQEDHHILVREMGGASTVLLRNKDNTLPLKSSTKSIALIGSDAGPNP WGFDSCVDQGCSNGTLAQGWGSGTAIYPYLITPLDGISSRAGKGVKVVSSLDDFDLTK AAETAKGADIAFVFSNADSGEEYIDVDGNIGDRKNMTLWHNGDNLITAVADANKNTVV VIHSVGAVLMPWINHPNIKAVVWPGLPGQESGNSLADVLFGDVNPSGRLPYTIAKEES DYPVPIDSDLEVDYTEKLEIGHRWFDQNNIEPLFEFGFGLSYTNFKYSGLSVKVSNKK TLKVEASLSVRNTGGVDGAEVVQAYIEFPESANEPPKILRGFEKVSIKKNKTSKVSFE FTKTELSIWDVDSQTWVVPSGKFTLHIGASSRDIRQTATFVL PHYBLDRAFT_58695 MGAINKLRNTACKSFRELALRIYPEEVKPVSNKSQNEFYVWSSS SFPFFAIRFYVNKFSCTNEVFTEHYRKPLRIIHSVVGWTENLSIEVHFFYDTNSCSTI FHPVAGLSEYFFLH PHYBLDRAFT_162706 MSFWKNIRLDKVGLGSLFQAQRPKIGTHDGFFHCDEALAVYLLR QTKAFKNANLVRSRDPAVLATCNVIVDVGQIYDPQRNMFDHHQKGFEEIFDSNSEIKL SSAGLIYKHFGKEILATELNLPNQDHPTVTEIYNKIYYKFVKPLDAKDNGITAYATEA SPRYFDSTDLGTRISRLYPAWNEPNDTALVNERFEEASSLAGKEFIQSVRSLINSWLP ARVIVQKSYENRNKYHPSGRVVILEEACPWRDHLKTCEKDSTTEVLYVISESKNGKWM VQTVGKPGAQFRNKWPLPESWRGLSKEALREVSGIPGAEFVHAAGFIGGADSYQDALS MASKAIEEFEASKSITDIKQ PHYBLDRAFT_179186 MIDYNHEVRLWEGNDGKFQVMASYAGLFKNTKVKLQKVNGSMIA IPLDFLCHDDISYISATLALPSAQNPHLPIFKPDEFYSSQSSDQLSSSSSSSKVPENK SQDSSSRFHEKAVSVAVTTKCLDNNSLNAEYYMSQHVQEKYSSRPYIRTKPLISSLIG RKSLPVVYSRPFSLSNLPDRVLVMIGFSLDVRSRIRLASTCQKLFKVLFYPEVWSSLW FLYEDLYTVNDSRIIAIAETLVKHKVQNLIESVNLDGSVITALTVINLLKYFPCLEYL SIRTCWQVFSLDLATRLDQLANDSLYGISLSHLRLGKVLLRGPVKDIRNAIVLQDILS ILTATSVNSVIWEQLSVPLIVLHVEEFRSQNVMSARLNAIAACRQVLAKLQTFRCARC ENPLSLCNTRRECIEAQKSCSKCDGVYHTQCRMNNDIYVSNKCSKCGVVCCPRCELVG CSGGCLGQWCHTCANKEDIKHCKCFIVQKSVGNSVLKRNVCRRCRRSCKNCGGGSFCV RCLGLHVAKCKS PHYBLDRAFT_162708 MNQLKISLTSQNPVTDLEEDSIDCVPGNVSPFVEIDLGSPAKES RLDSLSNSIEIDTDDFKHDCQCGDRKCTIFDQRFLYEPRTQVQELQECQEDDISEHLS EAERGYEEEFSPNFIYTSGLTSSLQVESSAMHTRDQESEGDMVPSLGVSDCFPSLTDI SSSPGIEEPPPPYFRDNSPSSTPAPPYRAQHIHRRETQDRELLLLRRAREDYIQSKIE EYYNHILFTNWRWFKIVIKQYHKCPYIGFEAKLFDIGACRLSKAFVSNQFHSWSAAFW ITFFVFIGLSSPFIGLEQEPYWLSVPFCIYIMLSIPISMINNEREKRSKLYEEVCTAF ARLQPEAPTERFY PHYBLDRAFT_58699 MCALQADSIMLFKLPLELLLRVSQQLAFDDIFYFATCSRQAQSV AHQLMWHKYGIDLTKPRLNSYSHLVHSAVAFLHRHATTSSNIQTNSPTLQGVANRLAV EIYDRSPSRNWEPCLDYYLDKTFFILLDHVLRDPALDPLPEDIVPKPPKTEYCPTHMG RLMTNFLATLYPTLTAMFETNPVDAIHHRLLITHLNRHLDSLTQRLNSYHRNSFQIKL PISTARLRSLRILNQSLRRGFRVLVRFIGTLAQTDLLSPADLHVLTQQRILTFFLVHR PSSTTNECPWHQPIEEIEFKMTVMTDLIQAMSCRQSSRCDSGKELQRFISLLTESISS LVDPKTITLPSTPFI PHYBLDRAFT_179187 MLDAPQNSTLNIAKNSCFRCRGFRHSCNRQKPSCLRCEKRGIQC IYPEAAPTLRTLAITIEELDVRMKSLNRNLGLGEKIMKEWKFLNCLQKTLHLKENVEE DLDVLLQAKLRLFTNSFSIHPCKKCTKDLQSCDLTLPRCNRCENNNYLCQFEKSEPRV KTISKSIALMNRVIDQWQDLIDGWAHAVSQKTYQLTTPSKASLPFVWKIVSGPKGLSI QGNVQSFNELSNFVDQLKKSITVAPSLPLGEAANVQNMPFKDYAKPYAIWNLWCRSTH SLPQSYPIDISQDLTDSLIRLYCRTPCCSGIRIPLFNPTEFLRRYEDKDESKRPALVL VYAVCAMSARNAFQVHVWETRPSHHTPNYNMGKPLSIAYCLRGRDLISECFDQPTMDH CNAAILLSYCNYQNGYTDKMYIYEWISFTMAQELGLYNPERELTFYENLTVWCLYYFD AWYRVLRGESHLSSRPSQLYPCHPLPPLPYENQLDDLSVDEKIDQILHQAWHYFFRLQ IMRDDVASMLTNKTTPDPAILQVLLEMQDKLETFYQSLPKDWQNPLVKCTNSLCTPRP EPGLTVNVHSFIQYSALFVHIHYNINIIIIHQPFLPSKSLNSTSTQALRSLDIAFRAA NAISDILEAMTRRNDCHVPLIGFVFSNIIFSEMAGYNEPHKSLGRKCLERSIRVARAS KTHKYDFELSHILVKMLEADVYLASQGKTPLQGGSFI PHYBLDRAFT_139687 MHNFPAEVDPTSPLRSSHNGYTQSISAHEQFSLTMVTTLGLSGS RLDPPLSLSLKGSLLLSGNLVILVSVSQPKPQRYTLIHVRTTPHLARQITAAKFEAGL QSISESDEGVWLAESTFVIAYFKAHMNKLCHTRAPFHNNDLPDILVNYALRLRVTQSS DKKTFLLDADLPEFKTNEALKHAILSHRAEVYNYASYCDGIVCSRALLSRPINKPIKK KIGLQFEAMQNNDPYKSSVYGATKSHLPFSKDNLISVSTPLRAYHIEAERQRRKLENK LHREYFWECQISSYGLTGHPDHIEEPEDPESSSDDTDPFQKDFYILLVHRPCQFD PHYBLDRAFT_139688 MLACLQLPHSPSLRVKHSPDPLLSYATLNVDTLIECSKKKTYFQ HRLLPDLACFIRHIYFKCHLTPTVLVIGLIYLERLKASLPSRSRGEYDTPYKMFLAAV VLASKFADDSNSVTHSVYRFVSPLYSRKELNEMERSFLGVIKFNLYVDLAQVSCFVKK HQDAIELELDLVS PHYBLDRAFT_121313 MDKKRKKKKPRRERPFDMTRYCRRRVAFKVAYLGWNYLGFAAQA DNEKTPTVEGHLFRAMKECKLINDPEEANFSRCGRTDKGVSGLGQVISLDVRSLLTQE ELDNPELEKAARNRELPYIDTINRLLPPDIRILAWSPVKPDFDARFNCLSRTYKYFFN KGNLNIQAMQKAAEYIKGTHDFRNFCKIDPTKDITNYQRTVIRLDIQPVHTLDTQKLG TNLMFYEVVLTGSAFLWHQVRCIMAILFLVGQELEEPEVVHDLMDIEKCDARPDYPMA SDLPLMLYDSEYKDLEWVHATEGNFPSPLRVYGHLQEQWCVSMTRGLMCQTMLGCVNE FMVSDHDGNPMCVQDRVQLKEKPSNGISTVILGGGQEMRMTKYRKLIERKRGDSDKVK KDKYRARKKAKLSN PHYBLDRAFT_139691 MACHKGTQTTDVTICRRERDTNISLRYMTPPQGMFNCSFYPELK CTQVLDYQTHSQDTFTLDKLDCVLEGGNLAIEISFFFGTISHIDDIQQIGIHPWLREA LVNSMQLYGFKCKEMCFSESCQTSCSMRPTKEIDDSEVLEKLLKSHSMKMMNYGAFSI NHLLSNTLCSTYPLIFSPSYAERLGVGIKNIPDIAHALQRIRETARQSDRTKFYDATS SCLKKIGSKYDSLKPHSDDIPYSETSWIDTTIGLYFSQKRASHLRTFTNTRPKYSIST MLKNLDSPNYPESI PHYBLDRAFT_96684 GRLDKYYHLAKEQGYRARSAFKLIQLNKKYSFLEKSRVLIDLCA APGGWYVLNKKSRNCNQCLIIMLILGVDLAPIKPIPGVITFQDDITTERCRQNLRQEM KTWKADVVLHDGAPNVGAAWSHDAFSQSELVLVSLKLATEFLAKGGTFVTKVFRSKDY NKLLWVFQQLFRKVEATKPPSSRNVSAEIFVVCRDFLAPKKIDPRFLDPKAVFSEIDT DEAAKMTDIFKPEKKRRHRDGYEDGNYTQHKNVDVMEFITAKDAIAVLGSYNELVFES DESKELLKKSATTEDVKINCKDLKVLGKRDFKTLLQWRTAIRAEYKLDKKEERIESIV VEEEPLDEDEQIQAELDNLTKEEAAKRKREKRKSNEKKTKLIQRMQLNMTAPTDIGLE QQGPEDEQMFNISKITKEELEGADASLSKKMKGRIAEMDADMDMMSDEGSDYELELEK QMDADYDRYIARKVEKDAKFRAKQAREEEDEWKGFDGKRTPKRKKADLAKRTASGLTK GASMFFDQDIFKGVDLEGDDEEEDEQLEAMEIDMKSRKRKAEEELMEESEEDARDDAD SESDFEIAPTEDNVPSDEEIWDGNEDENSKAMRAAQENGLITAEAITLARQLANKEKT AADLIDQGFTKEAFRDKDGAPVWFLDDEKKHNRPHLPVTKEAVSALKEKLKALDARPI KKIAEAKARKKFKTMKRIQKAQKKANDVADNPDMSEKEKLTSINKLLSRSTKSKPKKE VKLVVAKGSNRGLKGRPTGVKGRYKMVDARMKKEMRAMKRKAKSQK PHYBLDRAFT_154184 MDAEKLSKLQVQVRIGGKGTPRRKTKKFIKVSGSGDDRKLSAAL TKLGVQPIAGVEEVNMFRDDGMVIHFGAPKVQAAVNCNTFAIHGRATEKNLAQLVPGI LNQMDPESLAILRKLAEAYQTNQAAGTSGDEDDEIPDLVESFDKVDIKEERAFI PHYBLDRAFT_58709 MTCNTLLHFHDVIIREDDFCTLDEHNWLNDTILEFHMEYLERTF VTSETSIQLLRPAIVQLITHTHDPRQIISALPPRLNEQNAIFIPVNDGRPSAANSGSH WSLLVFLRPSCAFYYYDSMRYSNIQEARHTARQMALLLGLTKKPEFIPTSTPQQNNGA DCGVYVIGITDSLVNRFMELKGKPIEADKLMMLPKSHVVEPTKIRNKLKCLIRSLGHT D PHYBLDRAFT_121316 MGIKGFLPLFKQVQEEVHIREYGGVKVAIDGNVWLHKGAFSCAY DLATNRPTRRYVEYFIRQVDMLLYHKVIPIIVFDGKPLPIKSHTNDQRSKDRINAREA GYELKRQGNTRAATEQFQKAISITPEMIAQVSKALAIRKVQTIVAPYEADSQLAYLIK HNIVEAIITEDSDLLVFGCKTVLFKMDRSGTAMRLRQKDLPLTTEINISGWDIDKIRR MCILSGCDYLESLPGIGIKRAHNYLVNFKTYEAVLARLRIEGKMKDTKNYEELFEKAE KAFLYQHVYDPITKEFVTLNPLPDNIPIETLDFLG PHYBLDRAFT_121319 MDWLTSIALDPQYHEILTILKGARNGIVYGAKVRFPHALVMTFL FKSGPLKGKIKSIFTATKQHATNLGKFATIYKTMMLIQKKLNEGKESSHHSFLAGAIG GYIVFKNNTSINQQIILYLFSRIFMALVKLPVKRQVVDAPQHSFAIFATLIWGTVMWL FKHEADTLQPSLRASMVYIYKDSDTWNSLKTLLWHNK PHYBLDRAFT_58712 MIMMTTYATSAKGKKPYKSWLKDGVNGGPSSMDILVHWLSVKAN YAKWRGDDSERTPKKLLLEGIIDKMREVGIYHRLAKDVASKISTLQSNYRSAREWSET EGEKLRGAGAKEESIHEEILKRFPYWDALREVFGSTRTTSWPMSISSIMHRVEEDELL KDQLKYEETSNEEDEDGDTDSLSPPKRRRQLSEDSPHSLATTTVSTSGSPVLIRPLPR VVPIITPRTQSHLEKRDALLAESLVQVTREKEAGRMKRSHDMLEFLREKRLEREQLLI EKEKTRRIKAKSDLVKHLIEAGFSKAEITEQLKEVS PHYBLDRAFT_27479 MSASPKQLATLRDQLKAEIGSPSTNLQKCNQLLAQSKIVMAELG AFTPTPGKPDASVLLVARDILETGVYYSVRVKDIASFERYIAQLNTYYHDLASVLPPS PQMYPLIGLNLLRLLSQNRLSEFHTSLEAIDPEQLQSSTFIKQAVDLEQFLMEGSYNK VWSTRSTVKGDEFMFFYDILINTIRNEIAGCSEKAYEFLPLQDAGTLLFLKNTEEILN FANQRGWKVNPVEQKIYFGTEDSNAVEIPQEQIITQTLAYARELERIV PHYBLDRAFT_27482 MVGEAMLFLFAVIMAALLLFSMVFFVIMFSDLECDYVNPIDLCN KLNQFVLPEMGAHAFLFFMFLVNGSWTAMILNLPLVAYNIRKVTNNRHMYDATEIFRT LSTHKKECFFKLAFYLICFFYFLYRMIVALIAADA PHYBLDRAFT_162725 MSHFPGVLFFWKDPKSPIDIILLQSDQSESFVYLVYFIFVPNNL LFLYCGISEMYRNTLRLLWGENKAKYNIDERIRENLCRLFISKENVCLSNLYHDESSS RSIVLLERSEKTN PHYBLDRAFT_58716 MTFLNHTLDNLSSPTLDSDNNVQTIDFRNDHLVSTSSASPLNSE QVRTYEPANTADYAQLEIDDSETTLLGSQQRLLDHDDTDEEGDPEELKSYRLPSEGGS IFSSFLNMANSIIGAGIIGLPFAFKEAGFWTGIGLLILLTVVVDWTVRLLMYNGKLAG RTTYQDLMEFSFGRPGLVAISIFQFAFAFGGMCAYCVILGDTIPHVIRSIFPNIHNVP LLWIFGNRKLCITFFTLFVSYPLSLYRDISKLAKTSALALVAIIVIIVSVAIEGPKMP ATIRGSSDNMFIVINNEVFQAIAVISFAFVCHHNSFLIFGSLKQPSLNRFAAVTHWSM FIAFATCFILAVSGYLVFTDKTTGNILNNFPQDNLLINIARLAFGLNMFTTIPLEAFV CREVMETFFWASEPYNRVRHCAITTILVLISLIISLVTCNLGTVLELTGAFSATALAF ILPPLCYLKLANGPVWQINKIPHWLCMIFGIIVMAVSTFYSLQKAFIGSSAGVHKSQS ELVCN PHYBLDRAFT_139698 MQRIQDQIDAHYWIITNGQSWIKPVTAITLGIVLYKLFSPAPDP RKTTTIDPKLIPELVAYPLPIIGHVPTLSKGRNNFLKECVDRYGPVFQLRIPGQNPYV VTGDIIPDIMKSSTKIVSFLEGIKTLIPATHVMELSYDHKYKAAPFNSRDKNPAIYPI KQNFKSDKIHVFSERIQTGLHIVLKRDLDLKPGESKSVNMWDFLTNTVSQMSCPCFAG SVVGYDSELISSMAVLTQKIIRAGIFLAVLPSWLGDAIVRRVFSVEKEIDITMRLLVP ELTRTREEILNGTSEVTFSSMLLSLPLHDGSLRSIEETAFWFKSIALSSIHTTSHISS FCLHELSCRPELVETLRNEINRLDSLNPETTATIPLLDSFLREVLRCNIDYLGHHSLA LQDVQLRNGQIIPKGSLIMSALLLAHEQGISGQATQDNHTKTCLPLDQFDAYRFVDAG EDTNATDVNLANLAFGLGAHACPGRYFAANEIKYMLSELIMRFNLSTPSGKRGENSVL LGTSVFPPKTPIVFTAI PHYBLDRAFT_58718 MSDRVSMTELGSLASLISSVKANTATNSMLQNEEKELLAKKKKK NAEIAALAAKVSGPTVVVFDGSSLRKKATGESKAEKRAFMSSKISKPEPSLSAIPKPL SEKDQEEEEENDRHDKDLKELLATTKLLEEYQLEEMSGKERRKHMMAKMEALGVKASA NGKVPLAMHLGMEAKKSERQQQKLQNAKDLGLYDKSTRHLYVDKKEKERSKDTGITNG IGKMKGAMLTISKNELKRVSRQGVKKAKGPKQTKKRK PHYBLDRAFT_139700 MDGPVTEFFSSIYLYCYTQLLQLNIVLPAPDQAFNVMSSASTYI YEYSPENLRHFGIWLTSVPVQANIIPVFLAILVLYTLFSLVVWAVRGVFRLIYNFLRF SIIVAMTFILVYVVQQYVSNGAPFMEYLIDMLSQIQWSQTTIIEQQSNHNHQVVF PHYBLDRAFT_179191 MAILSLITQVVPSITSVFLYGTLSLVVVFSIHILSQLVVPRDPN QPKLVFSWVPFLGNGVEFGMNPIGFIQKCQKLYGDVFTFKLVGKSVTVCLGADGNQFV FNNKQNLSSAAEAYNDMTKHVFGFDVVYDAPHSVFMEQKRFIKAGLTLESFRAHVPLI VKETTEFFKDFKKPTGYMDAYSTFSKLIICTASRCLMGKEIRSALHEGVADLYYDLDQ GFQPINFMFPNLPLPSYRRRDVAREKMAELYSKIIRRRKEENDTSNNDLLQALIESTY KDGTPIPDHHIAGMMIAVLFGGQHTSATTSAWTTLELANRPDLVQALREEQIAKLGSL KADLTFENLKELTLMEACIKETLRLHPPIFQMMRKVIADKVVFEKTGHEIPKNDFLCA VPGATQVDEQYFNEPLTYNPYRWIEKSDPVHQMEVGEDANADYGFGIVGISSRSPFLP FGAGRHRCIGEQFGYLQLKAVMATVIRLYDLSLEEGKGVPESDYTSMVVVPKHPANVV YTCRE PHYBLDRAFT_106408 PQCTTCQDPMSRLHVCLHCVYMGCWRKGHMLDHLKEKKHMLAMD FTHRTLYCYGCADYVYDLELEDVIVRQEMIRYESSKKRSRVMYTSWEPTEQEASELVQ KSLLISCQGIRGLCNMGNTCFMNVILQSLVHNPLLKAYFLSDRHNPKYCDNKYCMCCE MNNLFKQASNYLFCFIYSSEKGPYGPCSFLMSMWMSLKELAGYAQQDAHEFFISALNN IHAGCEGNTMVSCNCIIHKTFAGVLQSNVTCLGCGNVTTTYDPMLDISLGLRPVERKK KQGNTLMDCLDRYTQPEKLGNNEYSCSKCGNTFQEATKQLSVKRMPPVLSFQLKRFEH GGAATKIETKVRFPVELDMTPYTTDGKKDQSSINTANMYTLFAVVNHQGKLDTGHYTM FAKHRGEWFKFDDHSVTLAYQKDVLDSKAYMCFYIKESLEYEDSHRNND PHYBLDRAFT_58722 MCLKLSFLFVLKYKDIKAKSKPKIGLILVEIRRLSKHSLVLLNH CVNHVRVTSIFTSKCINHESKTKHMREAIQNLSNTPRECKGRIDEFAAISLAQPDRRV TIVLHSGFFFSEPEETGITIAVI PHYBLDRAFT_139703 MNSVVSDVPLSVPIKDLQTRTVPSHSSFEYNGEIWCVKGVGYYL PECQRSRSNMSATAYESTIPTHYAQTHAQTHAQTPSTAVTCGEAVGPGFLDESLNLNP YQQFEKFSFDDLVVSNSLEQQMRTIEHTNTNININLSTNTQPQPQPQPQPQPQPQSQS QPQPQLQSQLQSQPQSQSQFMDQMFSHPENVPTHHMSKCSYQIPQFTDPVFDDNQPQN ASLVYSADSIGAHTPESHVFQRRVEYTSPHCTVESQTMVDYPFYNPCAAIQDYPVTQP FSALTSLDMGRSPSVTGHMYSPYMMQNSPHTAHSPSPYPTPRSPSHVIDTSHDPPRTK RAYKRKTEDGTTSVDPKVKPKKPRVKRKPKPKDPEDANAPPKPKRKTGLNKPLIPSPA LSAVLGGDTELSRPEIVKKLWFYIKSNELQDPVDRRFILCDHKLRSLFGQDRINSFRM NRDLSAHLTNTTIDTHSQFDFKSKDEFCLGVLFDQKNDLVPVVCVSPTGTADTPDVMT PMEGYHV PHYBLDRAFT_162734 MSAMNTDISHQTNNPDHQNRNIPKGYSSETAAHTEPQSDGSNSD TDSVQSSTDNATVFGNGKSLPLEPEYTPLPKMQMLILTIILISEPMTSAILFPFIYFM IKDFHLSDDEMVIGSYAGWITSIFFIGQLFSAIPWGRCSDQHGRRPVLLTGLIGNAVS TFAFGLSKNLWWAIASRAICGIMNGNTAVARSMLAEITDETNRPFAFSIFGFCWGIGL IVGGFLCNPVEQFPSLFGDSEFFKYYPYLLPCIVSALFTLSGWIIGYFYLKESNPSVL ARKYNDQKLKDDQKTPNVADEQTKLLGTSKQTFYDGGSPIKSNSLSIASEEEMVTAKA QGSSLSRITRQSYAAIVCYTHIRLWGFQCMAFEEVIPLFYSTPLSAGGLGMDPQEIAK IFAICGIQQTFSQFIVYPMLNRAYSTLTCARLALIVFVPIYMIFPELSPLKVWMFSHT ENENMWQWAFYISFMVLLFIRYTGSTTSHVSYMVLVSNSADPEIIGTVNGVCQTALSL VRALAPALGGTLWAISLRPTNVFPFDQHLVYYLLALVSLIGLYQTYNVDNSISIGGKK PSLQHI PHYBLDRAFT_121334 MFCAISGESPEHPVVSVKSGNLFEKRLIVKYIGDHGKDPVTGED LTVDDLIELKTNPETIKPRPPKLSSVPSILSALQNEWDSVMLESFTLKQQYQQVRQEL SHALYQNDAAARVIARLKKERNAAREALANVQAHLGTTSAPAPVEEKTETMEVDGALP EEVVAKINETSETLSQGRRKRKPPTDYTSLETIKTFTQTSTIPSLHSSRTPGITAVDV DVTGKLILTGGNDKKVQVYNRDEEKVVVDLPGHTKKVTSVKFRGQDVEKDVFVSASAD KHVRVWTEGGAKGYHTAHNISAHTADVTALAIHPSKDYFVSAGLDNKWAFYDFENGKS FIEAIDTETEAGYTALDFHPDGMILGAGTGDSVVRIWDVKSQTVAASFSGHTGKISAL AFSENGYILATASEDNLVKIWDLRKLANTKTFTLDEGYKVQSLSFDNYGQYLAIGGTD VRILKAKDGSPITTFTDNTAEVTGLKWAPGADSLLAAGLDRTIRYFGA PHYBLDRAFT_139707 MGESEDIKLLYEPNAPDYRTVAQQSLFDKLAPDPSLSTFLDILT RVDDIFDIFNDTQSAPFTVFCPVNSAFGDHADADIRANLKSFLRNHIVPTGKLDSKSL QHTQKLNTMLEDQTIQVHHHVFLRKTVLNDRATVDTVDPIEAANGIAYRIDEVLRPVK PHYBLDRAFT_157295 MATTTFREVYPNLPNEQDIDTRLEQIDQLKDFLANAPVDFVTIE GQPPIKRHPLPNGDSISCVQWNSTHFITGTDIVRCLIFRFHAFGRPVSNLKKFEEGIF SDLRNLKPGTDATLEEPKSSFLDLLYRHNCIRTQKKQKVFFWQSVPHDRLFLDAL PHYBLDRAFT_95458 LRSYFCPFPSCGKDFRRLEHLKRHLRTHTMERPYLCNVCGKRFS RSDNLAQHKKTHER PHYBLDRAFT_179195 MSKKDEKSPQVDEEELARLTAKVEKLVKKSQTKELQNLVKGKQP ASNKPGSSKDEALMREKIQTLMQNLALMEQSGQLKNSNKKEMGDHKFWNTQPVPKHDE LVTAIGPIEPSIPVDQVPKNSPTLPKEFEWCEIDMNSEKELKELYELLTMNYVEDDDA QFRFDYSASFLKWALQPPKWRKSWHIGVRVATNKKLVAFISGIPADMRTYNVTQPLVE VNFLCIHKKLRSKRLAPVLIKEITRKSHLEGIFQAVYTAGVVLPKPVGTCRYFHRSLN PKKLVECNFSRIPAKSTLTRMIKHYKVPDVTSTVGLREMKVSDAPEVRVLLNKYLERF DFAVVFETDEDVEHWICPHKDVVWSYVVEDPETHKITDMFSFYSLPSSVINNPKHSTL NAAYMFYYAIDVPESAKKTPADEIKYIRKRLNALIADALTLAKKANFDVVNTLDLMDN SLYVDEQKFGPGDGHLNYYLYNWKCPDVKRQKVGLVML PHYBLDRAFT_139710 MSNNQSEMQYRTPSPLPHQMGSPKCPPTPTTIRTRPLCNRELLH KCVPLVEKKEWIETISNTSPRKQSEGSVTADSMETQFPNTLDPHMDAYGILDTQEHPP RHLFSPLFKDISHPDHPSPIQSSLILPSCVHLAQSSTATFSEWKLINQDENPFLNTDT AFNRECSSIHTRSSLAAYSTENQPLKPINQSRPKQKGASRSNIAIRRLLGRHVDSDTS WSTRLRPRVNRHILS PHYBLDRAFT_139711 MADHSQLIEQFTNMTGVEATQAQFYLEIAGWDLNSAVSDFYDNS GSSEPQRTATTSSSSAPEPFTAPTKSAAPSTFQPGPSKKKAQPSRNNTSKIRTLNDIG AGNHDEDSEDEERESFFAGGEKSGMVVQGPNKKSGGSSLIDDILKKAAAGGVGPEEDN EAESSRPAPFSGSGYTLGSDDTPSQQIGTANPASTEVPQGPITRYLTFWRNGFSVDDG PLFRYDDPANEAMLSAINSGRAPLSLLNVQHGQAVDVRVAKRQDEDFVPPPKSPPKPF ESAGHRLGSPASYIPSTPGAFPTSSSVEAPRVDASQPTTSIQIRLADGTRLVAKLNHT HTVGDIRQYIEASHPDQRPYVLQTTFPVKVLENSNQTIKDAGLLNSVVVQRYQ PHYBLDRAFT_104196 CKARFSRRYNLTTHVKTHDKQRIKEHGCTFCDKAFDRKHDRDRH VATVHHNKRTFTCKICPTSFTRRDALSRH PHYBLDRAFT_179197 MPFIKARSMQRTHQVEQIITALSRNDISSLRSLARQPGGYVHDT LRKLVWPILLHAHYGCYVDEKGSEKDLADPVQITKDVERSLYYYPQDISPMLKAHKQQ ELHRMIVEILWRNPRLKYYQASPIEYAMLDSFDPVSKQLRLMSSIIEYEDPELTSFLE RSNIMPYYALSWILTWYSHDFEDFSKITRLFDLFVASSPLMPVYVASAITLLRRSTIL RAEPDLAHSIISHIPHDINIDTVIQKAVSLEERYSALELQKRSGIWLHDESVVNTWYR DWSHMGWNDVPDSIKADRYLSHGIPKEEWEDEMLTEWMERRRKWFGAIDL PHYBLDRAFT_121342 MDILHLLNHYPDDTHQSCRHKGFQCLWSDCGKWFSRRSDLARHR RIHTGERPYECEWPDCKKHFIQQSALTVHLRTHTGERPHVCEYLMCGKSFSDSSSLAR HRRTHTGKRPYVCPCGKSFTRKTTLSRHSQARHSRTPADCFKPLCLASPPVSCSSPES DVSTPPSKHQTLFPQHSPLLPFTLHFHSNPQTLIRLPLPHVHILDSHK PHYBLDRAFT_139715 MDSSVFESRDLPVKVRISPTKGREFLAKTRLEAQQTLFRVFPYA TAIFDSHKKRVCAHCLCVHPTRAFSTRCTSCDQIYFCSLTCSEAYLSSSTVDHSVICG LLRKLATFKSDPHTKSVAKLVILCLWERRREAHNLGWDRSDNWWNPELAAYSEDALTS SFEQVQALESHYNDWPEDDKKDWRRMQQFLYNQLSTAQLLTPHETLQDIMHLVSRIES NGFGIFLENKTAKGAVLIALFPLASLFNHDCGNNCEVEQCTEDKLEEEGTEVTVEVEP PKQNKKKTLPKTSVVKIIYPAVFSQPRGTFRVMKISTVRAIEIDEALTISYIDATLPV SARRQLLLDEYYFKCQCERCIKESSGNKK PHYBLDRAFT_139716 MNQELFSKRKNPYGSDEYEDPANNLKYQRMDYRTESKASYLGGK TGVELQLDGNQPPPKFPHKYIYGNYKNYYEDRRNKYKHHDPRLDLLDVSLFKNKDVLD IGCNSGNITIFISRRYQPKSILGVDIDKDLIRKAKMQTKIDYALQDPSEEKQQGPIDL CMRFSYFPKSMSHMFGYSFMTLPPTADYTGFPVNTTFEDGDWVDMDVEPESYDTIMAL SVTKWIQLHRGDEGIKMFFKKVYKSLKPGGSFVVEPQPFDTYYRRAKQTEVGYAEETR FIFEGLSFTPDQYEPYLLKLGFSSVKHLGKSLNDNKGFKRPLELYTK PHYBLDRAFT_58736 MILSRVTHLCHKEALVHGFLPMLIMVHSFKEHTFTHFTYCGHCR RFLWGVANQGLKCSECEFVCHFSCQKSAPPCTSVQNKAARVLRSYSTSTGSSSTPTSS SSKSGSRSPIITALSRSPTNGSRAKSPTNGSREISPTLAPQAEETQPIESFGEAVEKI KSIVTSPEFEDVLVTAALHASDDSQPSNEYLATLPSLNPQTATKNSTRFVSRCGPMFA VRDSIILLLSWENPLQTMVAMVLYCIACFYPKIILFGPHFALMHWMTNAHQKRFRSSS LSPPLPSPPVARSTVAAPTPTASHSVPLRTASPAGKRTGSFTFNIGGSSNNYEESSPE YLKNLQNLQNMMGEMSDLYDTVCENLHYINWGSRQYSIWIFQGLVLSMILLSILISFV SMRDIMLGGGIFMFVSNTRFVKHVMKQITPKAMAIGKKSFESIMDLYDEYERVLDRQT IIQEISVYENQRRGPSGEFSADELLPLERGSWTDSTGIIPREAKKEEAPEGYCWTENA WEVDTSGSWTDDMLGIEMLVIPEDDGWVYTDDLWRPCKADILKYRVTRRRRWIRHYEE NRKRGR PHYBLDRAFT_139718 MSDDQCESTSFSPPLWRQRRLFILETLRKHRVSSVLDFGCGEAS VLAFLIPSPPSSDDTPFTKLAGIDIDQECVEEAVRRCQPWSSDHTELRENPLTIDIFH GSIAKVDSRLSGYEAIVCSEVIEHVYPDVLATFFNTTLGNYMPKIMIVTTPNAEYNIH FPSLHYGQPNAEFRHDDHKFEWTRQEFEDWCKAGAATYGYTYSFEGIGLLESKRDDMR VGHCTQACIFTRISQTPPTELPAQLQHRLATHIEFPFYNKPALSKESQKETIDYYIGK MCLSEEEYMKRQEEYARQEEVIADEALEWCVDWSEQIIAVPEIYKPPVIRQWTRIPLN ISLSRLWDILEIRQAFKKYEVMVKLLQDNPIEYGINGDILSIKKAFEIIRDDSEEEQE EEEIWT PHYBLDRAFT_130099 MLSNWAIKKLQHLTLDYMKLDCAEGRCYGIIAVHRVCFALVLFH AILGLLLLGVNDSRSKRAAIQNGWWGPKILGWIALLVVSFFIPSGFFMAWGNYFALIG AALFILFGLVLLVDFAHSWTERCMENYEMTDSNKWKYILIGGTLLMFAGAITLTGIMY GFFATNGCSLNQFFVTLNLILCALVTLLCISPAIQEANPRSGLSQASIVVIYCTYVVL SAVANEPNDKECNPLRRSHGTQTTSIVLGAVFTFLAVAYSTSRAATQDGAFINNSRRT NNNYEPLDTESAVPLMPNQVELGAQRMSVQGSTRQHLVAAVESGALPRSVLDDEEDDD DDGIDDRDDERFGAVYSYSFFHFVFAIAAMYIAMVLTNWNTITMEDATLPSQDQGDLV RIGQSYTAVWVKIVSGWICHIIYLWSLVAPVVMPDRFTI PHYBLDRAFT_130103 MEVVQVQPRDTYFHYVYVSTEGTTIHWSFTTQKNNISFGLYRIN NQAQVSFGANNKEQYGLYSPDHDDRARSQEHTSINENYLASTAASSQNSPSSHQNGHG RTRAKSVASVKLKERGLEEIIPINDMQSSRAKIEGSYKVADPGNYVLVFDNTFSRTTP KILTFSVNLMEDTSPILPAPQQPHISGWLLKKKRRRMQGWAKRWFSLSSSGVLSYSID KDSIIRGSIQVMASIVSNNESQRLIHIDSGTALYHLKTSSTEEHSSWVNALKVYDITA NESKKSILNRSGITAVKASVPTKSIDDWIECGLKDSIRLSNEAYKMTSILQELKKQLD GKTNKADYSEELKMSIEKLMSQHKLVTNGIREQEEQWQSTQNTLHSLPATNPRNPLNL LNSSKASTVRSLESSKQSQLSLYSEEFFDAEDFVLSTEEDYLEAGEENDNISEGDSSD DEDGHDIVSLEQSFNLDNSGSCQRRSKLPSPSIADIGSALSVFRKNVGKDLSTIAMPV SMNEPINFLQKACEDMEYSDLLDKASTLSDSMERLMYVALFAISGYSSSQYRTERKPF NPMMTETYESIRPDKGFRFMSEKVSHNPLIIATHTESRNYTHWQCSKIKSKFWGRSME FITEGTFHVTLTGHYDHFTYSKPSSWLRNMMAGEKYLEHAGEMKIVNQTTKEYAVISF KEGTGGGIFGAPTNRNDVIATFFDADNIKCRRVVGKWSEKLAEELEMNKRKLSVLWAA SPQTIEDFEKYYGFNKFTVELNEITSIEDGRIPKTDTRLRPDQCLYEQGFVEQADIEK QRIEQKQRDRRKNMEANKIPWESRWFKLQQDAFVDPAFVDSPGTQDSIGHSWQFTGDY WAIRESGKWPSDIPDLW PHYBLDRAFT_157299 MYNNPQSAGGPPQHRSKGQPQVPPPGADLQLWGWFKAVDTDSSG VLTVDELQRALINGDWSPFNIETVRTMVNMFDSDNTGTIDFQEFTGLWKYIEDWKRCF QAFDLDGSGSIDRNEMTNALRSFGYNVSGQFVNTLIQKFDRFGHGNVTFDNFVQACVT VKTLTDSFRTFDTDNDGWIQINYGQFLDLVMRQHA PHYBLDRAFT_184868 MTPTQLFEAAAEGNLDFIRKHKEHYRDKNERGWTVLHFAARYGQ LEVATFLSSQAGCDILAVNNEGKTAADVAEFWGHDKVSKVLKPPTPSVSNTQILEKDT SDSNNTLVSNFSQNITNFFAGSFLNRLSRYRNDYSILQRLAHSPQSKFILFSKLNPLF DKSNDNSVYLASYSEVASLVDMIYGENKNIEKAVSKDEPILVFLGVDERNAKGEEGVA YWALDVTPRGKCQESLEDLTKEFESRGLDFAPTLPKAFKIDMRLASVLAQARAMVDWN KRNAFCPGCGRVTASAEGGHKRVCPVPKEDESCISHNGGVHNFTYPRTDPVIIVCIVH PTEDKILLGRQKSWPGKMFSCIAGFVEAGESIEEAVRREAIEETGIVVDRVSYHSSQP WPFPNSLMFGFIAEAVTTDIKLEDKELESAVWFTRSEVLASLKGNKDSPFAMPAANAI AYKLVKTWAFEWSRVISAKI PHYBLDRAFT_27506 MNSTDELRKEAVQISPHLEQKVLNILKVENPLDSPDFDPTEYIN RLFPNEQSLASIDTVVEKLQRKISGTGRDAEQLTNAQSELGSTGAEELGKAKESIKEL FQKTQDIKMKAAQSEAMVQDITQDVKSLDYAKRHLIHSVTALKRLQMLVTAVDQLEIM SRNKQYKESAQLLEAVIQLMQHFKSYKSVPQISELSDRITKLQKHLEACVLHEFDEGF NNDGVVVGQPWVLHDACLVATTLGNDTKENILKKYISLQLANYRQIFRPSEEVSQLDN ISRRYAFLKRILKVCDETHGEIFPVSWCASGRICEKFCAYTRSDLELVLSSSSQIDVK ELLKALQLTIEFEGQLSKRYEKHASEGLLENYGKKEPVLRFEKTISSAFIPYLWVYIN AEDRTLSNMIDSYIQSDKAAEDDSNMVVLPSSTDLFYFYRETLVQCAKFSTGKAFWDL CQLFSKHLHSYCSKVILENYSLNNKKAVTLEHFRFVSLALNTADYCCMTTAQAKEKLK ETIDPEYIDDVNLKDVQDAFMSAVSASIDNITRSLEISCDVQLQQMARLQWGTMDTVG DQSDYVSQLQDTIKRYATIVGKTIANKRYFRTFSDRFVEAFMAKYISNIFKCKPISEI GAEQMLLDTHTIKTVLMDIPFMRPEGSMTAPTSYVKLVNRGISKAETILKTIMTPVDP PEGYVDNYIFLIGDGHTGNFARLLDLKGLKKVEQGPLIDVFHKKAAKNKELKDNSGIL PPIELNTQQSTSNLPNAITTSFSTIATSASNFSPNPLQQFSRSTLTSPTTNSQLFSDG TSSNPSSKTGRLNENFRKLVMTGMAFRKDLQDRREQHHSKENNTGQ PHYBLDRAFT_157303 MSEFHSLAHLIKRLEVATTRLEDLALSASSASAVSSTSPTNTPP PPSAPAVVQPTGVSEVPVVIEKQDEAINAALQKYLALSAEIGEPISKQATLVASAIQA ERDILRISTLAQKPVMSTTIFGKLIDPLQTLLTEVVNIKEKNRGSAMFNHLSTVAEGI PALGWFTCEPAPSPFIRDMKDAAQFYANRVAKEWKEKDPKHVEWSQAFLTVLDNLGRF VKENYPTGLPWNPKGENLETYMSQNNTSTAVLEEYEAGKPTGAAAVFAEINKGTSVTA GLRKVDKSQMTHKNPSLRAGSSIDAGSNKKQAPPTPSKPNKYILKKPARTTLEANKWV VENHENNNTIVIEDTAINQAVYIFGCKNSTIRIKGKVNAVTMDSCVKCGIAIDSTVST VDLVNCKSFALQVFHVTPTIAVDKCDSGEIYLSKECLGVEILSAKSSSLNVLVPEDIE AEDSEMKELFVPEQLKTTIVDGKLVTTLIEHA PHYBLDRAFT_75617 MVASIEKFPDIVLQQLAYLLDPRDIFSLSLASKELYYIFSNNTL WKAKTVHDFGNLFHVYTIFTTSTGLDLGDDLKQKFGKEPENWHTYYITKNASIDETNN DELMDQADKEYTEAQAYLKTFQNDGDIQVLSQVASKMIRILDIFPGHSGCYYILGFIL FVLNQLEEAMILLQMGRAADPDFEPIDDLEEEIENILSGYKGDEYEPPLLDKDSLSPA LTEVLLEIFDTFDKDNDSALNPKELDSFIFTTNGSHPPAAFLRQMGQMFESNAKGWLN KDGFLSFYLRQTLDDPSETRNDLGVHGYDPHSLKKRMEE PHYBLDRAFT_176702 METAITHYNRAEYIETDTGNKVSRKSVICGSQNIILGGKTIIQT DCVIRGDLRRTGGGHAVVIAIGRYCLLAQGSIIRPPYKTYKGVFSYYPMKIGDHVTIG EGSIIEAATIGSYVTIGKNCIIGRFAMIKDCCCITDNTVIPPNTVVPSFSVYSGSPGS YQDELPECTQELYENKTKDYYSKFTAKD PHYBLDRAFT_162756 MLVGVIDKVFAFTGNRIIKNSYVIEFSEPKNADSRRELFQERRL NFYEDLKTYNISHIVRQEYMLMNAVSVKFDSEKDAMRYFTNGENIKRIWPVSSIPIPD IYPPTYGNPTTDLFDTYGTTGVTRVREIFGFEGEGIKIGVIDSGIDFLHPALGGCYGK GCKVAYGYDFVGNNYNGENNLSPNNYPRDVCNGHGTHVAGIIGANDVAKNFTGIAPKV TLGAYKIFGCTGSTTDDVIMKALEKAFTDGMDIINLSIGDMGWPESPVAIMADMIALQ GVTVLASAGNEGDQGFFKVNVPALEYVTPMADSFNLLHSELVFASKRFLDNRDGCSQL FNLDKKVVVIAAGGCNLDIKILNAQRAGAAAVLIYTTSIDAIIPRTANKQISIPFGGV AIDDAEYLWDFLNVNPGYTTDFPKGNIVIPVKRANTISPFSSWGLGPDLSIKPDISAP GGDIFSTYPLTMGGYTTMSGTSMACPYASGIVALLHESYKGLGEINTDSIRTIVINNG SPYNMFKTNTPETIARQGGGLINIEKMLGATTLIFPEQIRLNDKNKRGTDNLYTITIK NYDTKPVIYRFSHMPAGAAQGYGNNYSPGNPFPLANPILLKNHEVEAFVNFPVEYLEI PAKEKADLIIHISLPPLPAYLPPTIYSGFIVIQSDNKNLNQYIPYAGLTTDIYTLPVL NINQTSPYISSQTAKADQPVAIVAKMIEASPLVAVMVVSTSDPNIVYGLVPDGINMFT ARNDPSNSADNYIIYWNGIIQRRIPGETFNPSVNPRNPRYGRLKAGYYRLRIMALRVF GNPEIAEDYDTWTSPEIYYE PHYBLDRAFT_121363 MENPPKKRRGRPPKSETDNLLALRPIEEIDLDDFDPIAYMKDLF NSIRTHTDNNGRLYSEIFETLPERKEYPDYYRVIKDPRSLAMIDERMHRRVYSNLSEW MQDMELVFQNALDYNEPGSRVYRDAKLLLRLLNRLKDRILAREGVPVSQEQDVMTMPL SDRPFDTSGSVDERRRIKRASLKVRASVEGSTEPADLMPTGSHIVQRPLT PHYBLDRAFT_157305 MQVPHIPSANPRFFQVFNTDMNKVRLLSQTMIISTERDFRVSVR GDYVGHSITLPSKVQNIKIMPKLLQDLLTEPTRVTITVIQNNTKLNLSEGGFLEDNDP PCWNSTLSKGVNIIKINVTANITQPDNMVSDYRSQTYVLFVTLPW PHYBLDRAFT_121364 MQIKGNTFVVTGGASGLGEQAVRDLVAQGANVIIMDINHARAEL LIKELGSQVYSHGITDTTSESLVNEALDGGIANFPGSALVGAILCGGILSPKKDQEGY GPDGKLTSYSQFKHIVTVNLFGTYNVAQQVSQRMLNNEEMGADKERGVIITVSSICGL DGIIVAYGTSKAAIAGLTLPLARELADHGIRVMNIAPGPFNTPILKTTDIVAPHCLFP RRNGESSEFSKLVLNIIDTPMLNGSIIRLDGALRT PHYBLDRAFT_139728 MSLKGGPSIMSESNSFSSLASQDTLTEGSPAQACPPNNCPQQGP SLSGWESQLSDILEPIESTSTHLHSNYSAPTEHNSSIEPPSTSYFASLKGSLTRKFSA KSPAQWRDSQKIPARDSSIGTMTSLRRNYNNPLERKQRIRGNTHQRRHHQNPGIIEQL PKEEPEDCVVIKLADDSPTYAQDQPIEVTMYEKKKQVIILLGQSLLKTGCPSHRVEDV LLNTARLMEIDATFSFLPDSIIFTFKHSTNGTTESVMAKSPTGFDTNKIFQINAIMNN FQNNRCEIEECLSALQVVAAAPSTWGVIGTLFCFGASSFTASVVMFGGSWIDASLSCG LGCLVALLSVIAGYFPAYSRVFDISASILVAIITRAMHNYVCFTSVAVSSILILLPGY AMTMSILEVSARHITTGTTRLVYAVVYAFMLAYGLQIGSSVYTAIDPNASDEGICINP ISHWFYIPLFPIMSVSIAMSFGSSYRQWPTQTFCAALGFCISYFVGKVVPDGQIVGSL AAFCVGLYSNLALKITGDAPLVPLCVGVTLLVPGSIGVKGAYALLHQNDITKSLFPLH MMTIALGLSVGLFAAAMIVYPTGKNRSMYISL PHYBLDRAFT_176703 MSHSNEIIDQSSSHDTEKVIEDSPDERYARLNTLLGKGAYKIVY KAIDRVEGYEVAWNTMTSSHSPDSKEMQQEISILKSVRHPNIIAFHDAWHMKNEFVFV TELMTSGTLREYIRKLSLPNIKIVKRWSRQILKGLAYLHDYSPSIIHRDIKCDNIFIN GAHGEVKIGDMGTAEMKMGKKYTIIGTPEFMAPEMYEEEGYSEKVDIYAFGMCLLEMA TGEYPYGECKNAAQIYKKVSSGVKPVCLQKVQNNEVLALIANCLGPEEDRMTAQEILE HSFLAVEPDVVLLAADPTNVHLTLQVVFKGMDKLSVKFDFNVETDTAEQVVKEMIEEQ VLPDRYQHHITKEINRILRDIEKPSESEQAEQVRQSVWRRESDIRSELDKIRLELEAT TKHARELETKCEMYENRARSVEVQYREALKQLREVQEQHTNTTTRSRESSLSTPAPSR SSSVSSRIGSDLKVDVFPESDKEEKLKDDKTNDKKDEDPVKDDEKTTNGKNPPTYLSE KELAIRLDREHPEAAMSSISPTARDALMSRILEEYSDDTDISDFVQDCATAAHRSAEK AKEWADKLKDQDIMTVGDLRDLHDEDWTGIGLTVFAFRALKNMLKGKRLCASEVFNRS SILRSSSTVSSSLSNDICTRSSTSRTSPPAAVTQYGTLSLM PHYBLDRAFT_58751 MSTVLLDLFLDNNSIDLWGQYSAFHFKGTVELTLDRPVNIREIT VGIKGVLQTIVSTDFPDTMFKEDVGALESSHFKKHPFQKSSLVAIGYAESRQIVLKTK QVVLRHEIPVLYTPNIYRWPFILTLEDPHILPPSILLPRHNIHYQITAHVTLGSLGDH LKVGYWSIYQNARKHALIAYRRLSNPPPLPDQDDSLPDYPSPTYTLTPHQARHSLLST RIPLQVNQYSTSINGVSPEARRYRGVRQGTIRYETCLPNNTWIGRTRYEFLCEFYPLR QDVTIDEIVCCMEQSETYPVRGGKVQSGQQLSAKDMTTHLHRYCETHYQVNVSDDLSS ISLFVPLDSPLLSQDVSTTALQIEHRLRLVVHFGERAHERKMTLSFPLLIGAMDQAGY SQWTSNNDIHITQDLFFLPSYSSVLCEESPPACNFLS PHYBLDRAFT_58752 MGVVQSKRKWREPKSSSSHTGNHNGNNYSTGDTRSTSSSTLQSF VSMKHSTSLSSSITRTKCVRSNMHDKNSLAKPDPVSGISLSQRTPSRQSSTQTSTPEP PKAQNVFAAFQSNSYFLPKDWEVNDRHQALHFALKALYGDTVTSAVRQKFVKGARILD IECGNGFWIMDLATQYPECEFVGTAESTDNVPTNTLLPNVQFEIYTQRGPMPFEDNSV DVVHMRALGLSYTQENWSNVLREAYRILKPSGVVHIEEMLVTPTGTALIESFIDTVRN IIRSSGMNYDIALTQPLLLQNEGFQLVQKIKKKIYLASSPGKLGTEFTGVILRGFELS RAFLAPRMGLTEEDYVHRVEMVCAQCVRNDSHIYWFTNIGMKPSDSSSSSSYSS PHYBLDRAFT_162764 MENCDYSTVFVSFLKALYKNVSLCSRTGNSNLFLGVDCSDNSRK GYFGGENNYISSPVDSVNSADQKSGTSSISTHTHICPYTHSLCSQIGDEVYCDRYITN PVIVTIVLTYFLRQIYNIGSWD PHYBLDRAFT_184875 MNSPSYGATPTKLTQAEQDLLQSDRPGYGTRSRLEVAFNLVNAT VGAGIIGLPFAIQNAGFYSGIVLSIIVAFLSQLGLHMLVVSGQRTGIYKFAGLVEHVM GRFGYNFLNIMIVVQAGGACVSYYILIGDTIPVLFGLYLPDYPLLADRRFVILLIGVT CVFPLLLSRSIGALARWSIVSVLCLPLIILTLLVRAPVYAPQHHAPLTLAGGDIFGAL GIMAFAFACSQVAFNNYLSQADQTERGWAISTTLATVMSWITSMAFAIVGYLSFGTAV QPNLFLNFPADDMVVNVGRFALGFSMILTIPMGFYPTREAVQKMLGFETEDRQPTQVQ HYLVTGVLFGLITTLGVLVQSLGKVYALIGGFAATTLAYILPATAYLVTRHYPQLASL EGTIAAKTPLLHGPSPSPSSSTLEIPIKLTWLDIAAGLLIVWGFMVMVFATAGVFTHP PHYBLDRAFT_130118 MSVKLFVGGLAWATTDDSLYSAFSVYGNVETAVVIKDRETGRSR GFGFVTFSDNGEAQAAVDALNEQDLDGRRIKVDRAAERGDRTERPDRGDRGDRSDRTH STPYQSRYRGGNGGSMREGGNQSYGGESGGSYQRQGNSYQSGGGGGYGGGNGYSNSNN EYSQQGGY PHYBLDRAFT_130121 MKKDTKTTLFVGGLDQDVTEAILHAAFIPFGDIVSVQLTPDGGS HNQHKGFGFVEYELTEDAAAAVDNMHLAELNGRVIKVNIAKPQKMTTASNRAVWSDDA WLQQNTLADAPKADKEADSTKKEDDEDEDEDDEETGENTHVTRGPRGKSTVFMDISIG GSPVGRILIELRGDIVPKTAENFRTLCTGEAGFGYRGSTFHRIIPQFMCQGGDFTNND GTGGKSIYGAKFPDENFTLRHTGPGVLSMANSGPNTNGSQFFICTAKTEWLDDKHVVF GRVIAGMDVVRRMEKIGSSAGKLSSRVTITDCGEVREVDEE PHYBLDRAFT_105865 MSNDVNFDQTTCILNNPYLSIRRYRRKDEVKSSSKDPVPEKEAE PIVNDDDDEETKMMKLMGFGGFDTTKNKHVAGTDVSAANIRKPIKYRQYMNRRGGFNR PHYBLDRAFT_130125 MPLEHALQLRGRNPDLGPWKTAPARQMTLNREFGMDALPRQLYN KAKKTPFPLNIMVVGETGLGKSTFMNTLFQADLKDTTELKVPQDTKTVEITPVSYELE EDGVTLKLCVVDTPGFGDRVNRAADLEPILAYIDAQYNAYHEAEKSKAFRAAICDTRI HVCIYFLAPTGHRLKELDIAALRVLSSKVNVIPVIAKADGLTAQEKATFKKAISEDLE INEITTYPTSFENDIEGLEELKQHIPFTVIGSTDLVQVKDKMVRGREYRWGSVEVENP LHCDFIYLRELLMAHCLHDLVQLTHHHHYHTHRSGLLCGPDRPASLLICDEEYDTFME DAKTNVFQDMTSREEAMRQQFVQRVRDTEQTLKKREEALQQKREVLMKELEDQRRQIE IAEREFQSMQLQTSVR PHYBLDRAFT_179214 MSLKIIPGDQLEFVRPLTRVVSSTLRLYNPGTSDVAFKVKTTAP RQYCVRPNAGLIKPQATMEVQVMFQPLPEEPPIGFKCKDKFLVQSVQVSDHSEDIALP DLWANVEATNKQSIEQKKLRCAFVDEVVQAPPQVEEEKKVGSPAPDAAPVTVEPEVVN NQTQEEMSDARETIKMMQQKLESYEQEMNLLRRRKEESAQEVFAPVQPAVVVVPAKSS YFYSLLTLAVLVNILALYYIMSR PHYBLDRAFT_130126 MTERVGEGKVYQTLQYMSRIAVWTYFRNLKVICHAPIPAEGPLL VAGNHMNMVLDPAMLIATFPHSRPCHFWALARFFKIPVIGQIFSSAGVLPVDTKTHSN AKLFESTMNCLEKGGVIAIFPEGTSYTAPHHLPFKDGLSWATYEYLSQQTDKGIPDPS ISIIPVGITYSTKNKWRSDVIVEYGVPILVTGDDYREFQKDAKNSVKQLTERIAQGVE RSTINSPDWDTANAAFEAKAVLFGDARGVRLEEYVRVSQSFINIFHPDRCNDDQDTYH EARNDLKQKLLIFHDNLRRLRLSSLDIRMYENKEITFFRALLRWVSTWSALVIQLPLF LPGIVVNLPIYLLSRMVNSFEKYKESVAQDKLAVSLILAMPLYSTIVYYIWKALASGF VGFIVALLLIPLFAWYHIALIDKRYDMLKQVIASWRVLMAVGSSVLSDGKHAPQRQEL EDAAHLRQWCLDNIKSLLLDLAHSGDPNASYLVEYGKPLFEPVTPAATS PHYBLDRAFT_139740 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVCSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_58761 MREVDADSSEEEWVISSTETPTLKKRSMQKPTKTPTVPPVHRPQ RQTPQKSHRIIPIERRHTPLDLKTSCFDYIKDDELHEMCMTMDSKQLVTRLISVYKNS ETYVNIRNIETPVFAFDFRLSDHLHECSDPCSFIQHCFFFCKRLITVVTYQDVLFQLA AHFDENAGDKDMTSKFRRQLAHATCSGLERFLDTPPTKRKEIREEKDEKDEKDEKDEK DENDIDLISFVDDADKIKKEEPNLISFDDDESDTEDEKDRANADADDEEEEDEGPVKT ITLAQLLDVPPEIASRYTLTREHQIRCIRMIDTIRAPIMVYYAIDIFRLVQFLSKGGE FEEYGSKLCRLLMIHAHYNEAVACIRKLDLFDAFPIETLADHFFTIGQGLALPAYVKG NEVLQRKLLHIIDVQLRYNFAGSLGVVPKELLETVEEDTKLLPPMARLKERRFQKDLM TCGTKIVHELQLPDHEYHFIWLSQRYACLRWIITQRALQQTEEGDYSISASSNYNGLL LLVVQDNPALAKLVIKELVDIGDALAPAYFASLFGQEQFFCAYSGLPLVDRVVGRVKG EQISRHRSSLAPKKPRIGSPHPTEYYKLPPNSECVVVDNEEKLKRMGKLIEGTKLCGL DTEWVPQFAKTGPSRTALMQIALDNNIVFLLDLKVMFQPSNAHLLDLTEKILGDMFSN SKIIKLGTDGDINRSIHLAYDFGGDMILLRESIPAANSWVVHSMLDFKNIRLSYDSQT GGNIPGGLAGVVSMFLGVGMNKKQQLSNWELRPLTEEQLIYAAGDSFCLIDIYRILEQ RKHPFLSTLNKGSGSEARAPSPSTLNIEVPVTPQSEQPFITL PHYBLDRAFT_162774 MSYYHQQRELEPCLARLGMMPEDKPSFVREVHEVEDMDTLRHMV IRKERERQSIANDLDVAARLGLVISETNEAIQIKLAQLERENQMLHDELRIRKTSTGG NSSENGHQYNEDLERIDGNGFISSQAMEEEQIYLTQELEQARRELTKFRREMDGLSAQ LNDMASEMVDSRARVGMYAKRLAEVEHKLATTREVNANLQLLLERALTNQKQSSSTTS HLVKSIQMDLTRVVAENDKLRARIAELEHQQLECEERITTMVTQAQEYASLLEQAQDT IHSLSEPRLSDDDTLSNAMSTVSVSSQGDGGAKETEITKGPVFSAEFRQEMQKEIERN LALRNELRHRIITHDSVSSDKKKTQEGLKYLLSERDSGLTSLSPSSSSHTTSSFLSNM PKLRDQTEEDMYASQNSSVASTPGSVTATMNALRPANFLTGFNSFGSESSIGMGNMGT SSFITRTMPPRIFTASRNGQEPSISTRFFQRLATRLDQYDKKPDRS PHYBLDRAFT_157312 MNWRTLVMLITGFSVVYIVSFAQDAPYPHPFLVSRFSIAPLSSL QYDKEITFNTQETLYFVRFIYSEESYDSEGVSSRTGIFIHC PHYBLDRAFT_184883 MISTGLGLTMASLGIIGLALALLSLIPVNVYNIVVAVSALKDLV KYEYDQNRPEWWLLPKESALYLAFLTGSVIYSLITMAMVMLTLAGGKRQAQKGRTQVS DTPHPGLVSQDNLYKRHGRTDIESSQVNQPTQGQTSVWLGRIGCLVFIGQIGWALFGT HLLFFTDLDNKLFPATVRTLTTISVFILWLNYIIIAIFSFILFCASFFIILGGARRRS QHQNDGTGEMQAHHQETTPLLR PHYBLDRAFT_75629 MFRLVASKAPLANIAARNAAVKTIRAPVASQFYRNYSAAADTEY DVVVIGGGPGGYPAAIKAAQQGLKVACIEKRGALGGTCLNVGCIPSKAMLNNSYIYHQ TKDNLKSRGIEVSDVKLNLDVMHKARVKAITGLTKGVEFLFKKYGVTYVKGTGKFNTA NEIAVEGLDGTQSTLKAKNVIIATGSEVTPIPGIEIDEKRIVSSTGALELGKVPKKMV VIGAGVIGLELGSVWSRLGAEVTVVEYLGAIGAGMDSELAKSFHKLLSKQGLKFKMST KVNGAKIEGDKVLVQVEASQGGKEETLEADAVLVSIGRRPYTKGLGLENVGVELDNRG RVVIDSEFKTNIPNIRCIGDVTFGPMLAHKAEDEGFAVSEMLATGHGHVNYDVIPSVI YTHPEVAWVGKNEEQLKGEGVKYKTGSYPFVANSRARTNDDTDGLVKVITDAETDRIL GVHIIGPNAGEMIAEAGLAMEYGASAEDVGRTCHAHPTLSEAFREACLLASFGNAINF PHYBLDRAFT_154197 MSEQPVFFFDCDNCLYATRTLRERYFLDYGLSLRGLIKHHQIDA VEYDKQVDGTLPLEDVLKPDPELRDMIHGLKIRKWVFTNAYLPHAERCLKIMGLSDEF EGITYANYKDPDFDCKPELASFKRAMKEAGISDPSLCYLVDDSGANIDAAKALGWTTV HVADDPATSNHGDYQIDDIHSLHKVLPDLWK PHYBLDRAFT_121375 MASDAGGKFSRGKPHVNIGTIGHVDHGKTTLTAAITKTLALEGG AQFMDYNQIDKAPEEKARGITISTAHVEYETNNRHYAHVDCPGHADYIKNMITGAAQM DGAIIVVSATDGQMPQTREHLLLARQVGVQRLVVFINKVDAVDDPEMLELVEMEMRDL LAEYGYDGEETPIVKGSALAALEGRDAEIGENAIKELMAAVDAYIPTPVRDLDKPFLM PIEDVFSISGRGTVATGRVERGTILKGNEVEIVGMGPTIKTTLTGVEMFRKELDRGQA GDNMGALLRGIKREQIRRGQVLCAPGTVKSHKKFMAQLYILTKDEGGRHTPFVNNYRP QMFIRTTDVTVSLTHPEGTEDPDEKLIMPGDNVEMACELVHDIALEEGQRFTVREGGK TVGTGVVTKVIE PHYBLDRAFT_162780 MAFFFPSFFPFLSFLYFILSFIVSIHIMLVSSPTTTPTYTPSTN PALALAPAPAPVTAPVTAPVTAPAPIPSLLAVAVSTIQQSIDLLDRLDDDSLIISSQS MPCGTLGKHIRHVYDHFSLLLIQLPLTSNGWVVDYDERSRNTTLETSQKEAIRHLKAI QERLTATTSIDYNSPLTLHANIDPESSLKSHFVSSFGRELWFCCLHAIHHYATIKIIC VESGIDVPEDFGMAPSTLQSKK PHYBLDRAFT_179221 MTSLEREVANYMVATGDQSAEAIQAADQVVKTINATSIQDNLLR LIQSMGEYLTNEDDFVRAKATGLLSYTLRHCHQASITAPAVSVLVDFYCERLSENTNV SNLMDGLVALTEFDKFSAANAVVVTKKLFEHVEVQRFPQVTRNTTFRVFENLLDRHVA ALKTINDEFVSGFTHAVDGEKDPRNLMCAFKLIRTIIEKFDISTYVEDLFEVTFCYFP ITFKPPPDDPYGITSDDLKSSLRQCIAATSHFAKFAVPLIMEKLSSTSGSAKKDSMET LEACAPVYGATSLIPHIDDIFDALKVEVFHATDQTLEDTSLATIKSVVAALATGVSNA SGDPTEKALKPLITECVANLKDPELKNSKPSSLILRAIASASDPAFHYAAEPVIPMML RFHRETDLATRKKAILDVILEYLEASRTLYGSAENDLDAMEQDFISPLVDYKDRFFAM FESALLASNEYNGLRLAGLNGLRLMVLVKNYLSPNEVGIAIQSFNKVLMNEQDEELRA AALNALCTISKFNGKFIVEQTIPALIKQLPDTATQQSTIGYHQTLYTLRMLSPEPLIF KSTVSVLIKKFDDVCEKDTDVAYPHAILATLLEILKSKAESGHKDIGSYIDIFNSTLV TKAIEASLDSKRSQTILSERILETIALILINVFHELDSTSQKTHLDRAFNLFIHGDLS ALKISSSTPFVPLETSSSDAQKSTTYLFSAIVNTCRKEVTYPVESLENFIDRLVNLAL GTNHHGQLTSLVRIVGSLINKWKDNASMTAYVQKASGQLEALITKRDTNSASALCIYL WITKALIMRAHPKGYAFTDNIIGWCNDPSFNGQAPQGFDILISDDSLALNKLSYCTMT ILYKQRFFSHCLPKLVNGFRTSNTDVKHNYLIALSYLLKNVPKQILLNELPPLVPLLI QSLSLTDTSLKVSTLATFQLAIVEAPDVVGPHTRAIIAALLDLLNSQEPNPLPVRVAA LKCLAQFPAGLTNDLLAPHVSYVTKQLGQSVGDKKRMVRKEAVDCRAKWYAIMA PHYBLDRAFT_179222 MAPTQCSDRDYLLSLRSVRERCFKVQEAAVKQRLHHFDVDQSKL EDVIHFVISLIKRDFPNPSHIPPHGRWRHFDVGGRPRIQTLINSWASLGEPPIEQTRR VLDLFVVSCLLDFEACPTWSYRERTTGRVHKRTEGLAVAVLDLFMAGGFSSDLSKPHR VDSEGLINLTLPTLLQAFQVESQNYLAGIEDRLCLLNHLGHVLQRNKDYFGSGTVPRP GNLMDYLLEHPTTIKTKKGPLIHLETIWPVVQEMGEFWAAEDNGKGGTLGLGDVWPCS AIKTSSNPQSTDHMVSFHRLSQWLVYSLIEPMQKLLGATIEGTDLLTPLPDYCNGGLL IDTGFISLKKADYERGITNYRINSLLPGQPKIEVTPMFDMCDPVVVEWRALTTAYLDL VAERVRDTLRLSRKSLSLGQLIEGGTWSAGRELAEISRPNTHEPPIVVKMEFRVIF PHYBLDRAFT_75633 MQNENTLNVQTSWNLNDGALDLLNDAIVSHNRTQQKINDNGFSA AQSTLATESDKDKEESDTLSGSNKAGLSKRVFDTTDQADELSNSAAKRPGRKPLDETM VKDDLDPKQKRKAQNRAAQRAFRERKEQRVNELLLKIRELEEAYNSKDIQLAKENLIL KEQLRQLEQENLALKDAQQNENTSTTSTTSTTNISTNTSMTSETKPKSEIYPISYSES SAEDCMNSSSSDSYSPQSQHNQDEEDISSSTNTPVTFPLASTEIRFNNIQPFQDFDFL SLPNNQAVDSTLSELLHGKGDLFSTYREPVDDNWIYEDSLKDLFGPESDLFGFTAPAP HPAPEYSSADYNALVDKYIITPEYQELKVEDKRTYLAHKIGEANRSGKPRGEIHNELI ECPDFNLDLLCKEMKEKARCDVLKKVPMTEYEVKAYVDSFQPFH PHYBLDRAFT_105726 VTFAFWLRYPNPFASHVLAVDVLDRHVDERGVLKTTRLVLKKGK VPKWFPESFMKNSEAFIIEESEVNPKTKTMVTRTKNLNHVRIMQVEETQTFTQHGQNP EWTSCKTEARIISRFGWGMTSRIEGFGQTNFAANAIKVMINNENGHL PHYBLDRAFT_92893 VPGPLLEFFLSLGVNANSSQMFKLETDRAIICAYNFPAVLLTAG ADYWDSHLKDTYLNLSKDYQIKVRRTFAYSLHEISRIIGPERTERDLVQIFALYLMDL DDVKQGILEHLAEFLSTLAVSSRNEYIPILAEVWDGVMTNWRLRDILAAQLRDIAMLF DAARVVEHVLPLAIRACHDEFAAVRETGVEAVSHI PHYBLDRAFT_139755 MSGINTAKRSFWKIWYKPEIIPIYITVGGACGLAGWYLTRLARG PEVVWNRKKNPYPWQNIDQDTQVKLMTVNQKFDKIYSRDRL PHYBLDRAFT_75635 MMLVFELPFEVLVRIASLLSLEDKLSCTAVCKAWKPLFQESLWD TLYIQNERKLIDICYSTASHNCIYPENGSRVKEIYMSKGLLPSIEEIMVIQRMFHNTD LLYAPLRVLGSPDIQKFTESYSWKSLTCLEIIIDGFDSPVERIPSFIKATAYLPCLTR LEFVKNYTESSLIYTINDIEDLHSNLPHLKYLSLNGGVSDITTNNMIIFSHIIPAKCL TVAKLDLESMDIVWLYYSMCKYSNIKSLHWNISKSLIAQDDFIDYINIQIKKIPNPLK YMNTLVIKDTWCNEETYEYLYKLISYHKPPISHIKYSKCITYHTENELYLLSDNIRNL VGAFSQSLQKIEITTNANFSDINTIMKELGSCPDLVELHLSLSNTLIDIDVLLNHCVG LKKLKLSGKRVFISSDVPENTTPHGLCLLEVSRTIANTNLFYYISFRCRHLKYMHLNY MNVFGTFTKSTRGIVINMPHTHLQLLLLNCVSFCTEHGSEYSNEGAVNLMTSMKPRII VRQTNLMTRLQHSDASYQKSDQEKKVQLQIYWTPIKQRDALKEVLSRRREPLYSHEYS KLLDIQPTNSRRKSSCFFYRAQMIFKFLLCGALFFMNQDKRSVSSKMKLEIIEHSLNF AHFDYKRIQSFINQSFKKNLKSNISYSYRYFCFSGKTACRNESLTFL PHYBLDRAFT_179226 MSTENVDKFLDHIEKHQDSLVERLRQAVAIPSVSGDPAHRPDVF RMGEFLVKELKNLGASVETRDPGEQDFHGTTLKLPPVVLATIGTDPAKKTLLVYGHYD VQPALKEDGWNTDPFTLVEDEKNRLIGRGSTDDKGPILGWINVVEAHNKLGLELPVNL KFCLEGMEESGSEGLEAIIHQEADKYFKTVDVVCISDNYWLGTSKPCLTYGLRGVSYF HLTVEGPKADLHSGVFGATIHEPMTDLFAIMSQLVEPNGRLLIPGIYDLVRPLTDVEE KTYENLDFSIQDLHDAVGNEINLHNTVRDTLQHRWRFPSLSLHGIQGAFYNPGDKTVI PAKVTGKFSIRTVPDMEIPIVESLTAKYVQSLFDKLGSKNTMQLKCTHSGDYWLASPD HWNYVAASKAVKKVFGVMPDLTREGCSIPITLTFQEALGKNVLLLPMGRGDDGAHSIN EKLDRSNYVSGTKLLGTYLYEVAASKGE PHYBLDRAFT_89552 LNSPLPTNLEGECKKAAKILNSFIDPGQGLDKVIPTNIIDNAQG LAIYTVLKAGFLFSGRAGSGLVVARLFVTRWSAPSAIGTGGMGAGGQIGAELTDFVLV LNTKEAVKTFSQFGNVTLGGNVSVAAGPIGRNAEASGSASFKHIAAIFSYSKTKGLFA GVSIEGSVVVTRGDANEKFYGKRVTAKELLGGKVPPPPEADILYRALNARFHSLGNTG AMYNRSENQSNTFRSSSISAPGHLRQPPSGQSNIGRYGAPPPPPSTQNYGASNPPAPS SYNPTHTSTPPGNYSAPPPSYSQHNQLPGGLSASTTAKRAPPPPPPMSRKPREVTAKA MYDFTGEQQGDLSFKTGDIITIVEKSDSQDDWWTGRIGTRQG PHYBLDRAFT_139760 MTPMIVPQTSEKQKCGAKCPILAETLSSNDCQTRIFRFQPVAPL PLTLRHTEIHFSPKILTFCH PHYBLDRAFT_162792 MKFSSFIHQLKADKHLGKDIRKRFENPAILILGNWPPKQNKYHE LIKGIGTRRMLAKEGFEVYLIDKFKTSSLWPACQNGEIKSFKLAFIKIAEQLQSRAML LLRKYCCGNIHFHYISHNVTIILQLPDGISDFVNRKQ PHYBLDRAFT_162794 MPEKTPLNSTPVGPPNDPPPYTSTSASTNARVPPAPNTSNTMYG SAAAPCLLSPTIYPPETLRTKPANTICPHCHQSVLTVTKNEGGTATILGVVALYLCGW HHGGCLIPCCLPCVQDVNHLCPNCNTRIAKFDRFEQSTVVK PHYBLDRAFT_14390 MAEHVVAVSPNLRYGRLNTLLGKGAFKVVHKAIDREEGYEVAWN VLQVREREKNNKDIAHEIAMLKSVRHPNIIAFHDAWLGESKTEFVFVTELMTSGTLRE YIRKLNSPNTKIVKRWSRQILKGLAYLHAHNPPIIHRDIKCDNIFINGAHGEIKIGDM GTAENMWLGNKKYTLIGTPEFMAPEMYDERGYSEKVDIYAFGMCLLEMVTGEYPYAEC TNAAQVYKKVSQHIKPESLGMVQNQQVLEIICGCLSDENERQSAQELLEGSFLAVEPD VVLLATDPSHKILTLQVVFKGSDKLSVKFEFNVEKDTAEEVVAEMIEEQVLLDRYQHS ITGEINRILRDMEKDASNPDKAKDRHDAVWRRENDIRTELERERRDLARMSEFAAEAE RKVELIHQQMLQAEERLREVVQERDQYAKLSSRRPSAATSPPVLEKEDERTLSDTDLS ADETPEAIMEVFTDYADDTPIDIFVQETAAAAKRDKSKASEWASKLKDQDIMTAGDLR GLLDEDWNGLGLTVFARRALKNMLNHNRRAQKSTDASLED PHYBLDRAFT_139764 MANLEEITSDAQFNGIISKKDAVIVLNFWASWAEPCQQMNEVFA ELAGKFPALKFLKIEAENFPDISESFEIAAVPTFILLKAGKMVERIEGAKAAELSNAV TKHAKGVLNKFSALPQENAKPVKDLTARLKALVNSAPVMIFIKGTPQQPRCGFSRQLV DLLAEQKVKYSSFNILADEDVRQGLKAYSDWPTYPQVYIDGELIGGLDIVKEMVASGE FADAIPKEKDLDSRLQELIEKQSVMIFIKGSPQEPKCGFSRQLISLLNDRHVKYGYFD ILSDDEVRQGLKTYVNWPTFPMLFYKGELLGGLDIIKEMIESGEFDQVLTA PHYBLDRAFT_58785 MHTLLTFSIALFLTGLVRADDDTSPLTFPVKPPPEGPYVAPLEP KYNYAEVMHKSYLFYHAQKSGNLPYQRMAWRGDSCKVCVGDYGEDLSHGWYEAANTMK WGLPFGWTVTQLAFNIMMFEDSMNSIDELAEGLELLKWGADYLVNAHHNDTHIVGQLG TSALGPISKQIELDVDFNYWGPPEEYEQWVPTGLPHKAYYITPDNPSSEIAGEYSAAL AAISIPWRKHNATFADELVDRAKRMYNFATENPGSYVSSRQNAYQWAAFWYPSTRFED ELAWSAAWIYAATKEPEWLERAKKWYNASSDSWQEYSWDEKGGALHTLLYAVTKEDIF YKNAMDYFNQFLPSPKQIVKFTPRGLAYIEHWGSVGYSGNVAFLMMAFAKSIGYDKPE SEALTTFSIQQINYALGDYGYSWVVGFGDNYPSKPYHKSSYNSYIDYPMRGQFQDKVE EDFSESKTGQRFILYGAVEGGPNVDDSWHDDRTNYEYSEVTQDYNAAWTGAIAGLIDY YGADKFEPYTDCDLDLGWSHPNASDPPAWPDDDCYHTCNTGCPRGEMKSSYSWRLLME PETIKGNMDTLYPGEGDVRAATFEGSKVDDHPTHMANAPPDVNRPGNSSRGKHGINTQ SSVASTSFVRNMSIASAGLLALTTAFLFF PHYBLDRAFT_162799 MNVNANVFVPGGSARPQPSPPTQSTSNVKKPRRPDSAQKTRNPR PLQRAQHEPRSSHIIENVTQDLEAVDLSGSKEKKGKVSLNHLLGFSFPERQTPSSPSP RRQKTTYQPFNKEKFVNANFRFVVKASSDYQIYLADPDMILDWQSIEQVVITDTTPSC PICLSSPVTAARITKCGHVFCLPCVLHYFASRENTRKQWHKCPICWDAMYLKDLKNVK SLIPRAVLSKTISGIQQGDTVQLSLLLRPGPSPLALPVSDTWPISESLQRQATVLPWD TTPQALAFSRLILASPDYLLAMYEQDKHELEVAKQDTIGWGDDVKYIEEAIEEVVARQ REARAYGTNGVRLLEQTSALVWNNNSYSSDNNNYNNYNNNNNNNGQYRQAKDKGVSTS SLTDYYFFQADDGQHVYLHPLDVRVLKHSYREYEHFPKHIEVVATGIEETTMTEDLRK RCKHLSHLPLGCDVTFLEVDLKRLVPKESLDAFEHELTTRAKKRKDRVRREEKDLKIA EAKQKKQAQSSRQENQQLIDNDPFFKINQPMSVEENEAMLAQAIAQSAQESSRGPKTV WGTNAVAQEEEQASNEWSEHIKVTVKSKKKRGKK PHYBLDRAFT_75637 MWYIKINKYRAADLSGIEGTADQEQTNLRKRTFRKFTYRGIDLE QLLDLSSEQFMALVHSRARRRFQRGLKRKHMGLIKKLRNAKKEATPGEKPATVKTHLR DMIIVPEMIGSVVGVYNGKTFNQVEIKPEMTAHYLAEFSISYKPVKHGRPGIGATHSS RFVPLK PHYBLDRAFT_179228 MHTRSVLGFVWCLFICTTQAFWSPSQRLHRRIQSLTVKSEPIEE RWGPFYFDQPIDHFDFESKTFKHRYWTNTDWYEPGGPVILYNAGEAPADERAIYVSNS SMATLARRLHGIVVVMEHRSYGESQPGLDYSVKNLRTLTTAQALEDMASMIKHLKFSS IDLDPNTKWIVYGGSYSGNLAAWMRLKYPELVFAAVPSSAPVQMSYNFYEYFNAVQRY APPNCTHAIRSVVRHVDLALLSPFPGPRRRIKALFGLEDLEHDDDFAEVLTFPLGLWQ AMQPDINPFGEFCELFELTEPKDHLAVYGAYIKAIIDLACDGLSVNECLDTHDPRSIM YTDLKSEARPWMWQVCTEYAYWQTAAPLWESSIVSRHLSTSWYQHQCPLMFGEHQVPP TPIWRQINEEYEGWNIRLSRTFWIDGEWDPWRTLSVQSDDAPNRSTWQDDAYFSVLPQ AVHHWDFHVSETVDQSIKDTQDALYDVLNQWLEEDKEKESFRMIRQD PHYBLDRAFT_162803 MNQWLFTREELMQVPSIVNDGMTVADELRKREFGSELIQTIGCR IELPQITVATACVYFHRFYARESFRTYDATNMAATCIYLACKVEESSRKLTDVVAALT HGRFNQTNHAQEKTKYQTRCRDMILVYETILLETLCFDLTVDNPHQLMIELAEEIRAP DNAVQAGWTFANDRYSRVFIGNTRFLPLICLDSLRRPICLLYDPQMIAVACLLMGYHI TEEDFPIDKDTTWGQTLHQDPELLHGKYWVYRAYKISSNVNFLL PHYBLDRAFT_162804 MLSTLISTRYSSIITRALQNQSKEGNLSRYLESDIFIHLRLLTD NVFPIYPRCPAYTPTGDHANKCNCPIEYTIKRERTFWIDRVFPLLQTLGDKTGFIGFE WCETIADEWMEFNTVPECWVHGNVRYLDGFCYYWKGRSKTVMKYSSSPTAEKMGHTLN DTIKNMNSSIAC PHYBLDRAFT_121388 MSNFIVEATTSKGYKINLNTGLFINNEFVAGANTIDTINPSTGE LTAKVQAAEAKQVNDAVKAATDAFKNGWKQTKGSDRGALMYKLAELIDRDNEEISQIE TLDNGKGITFSRFFDVKQLAVTLRYYAGWADKVHGKVIDTEGALSYTRHEPLGVCAAI IPWNFPLLMLGWKLGPALATGNTVVVKTSELTPLSALRVAALVKEAGFPPGVVNIITG YGNIAGDALSRHPDVAKVAFTGSTAVGRMVMKAAAESNLKKVTLELGGKSPNIIFNDA NIDQAVKWAHKGIFFNHGQTCCAGSRVYVQEGIYEEFIEKFKAYTRLTKLGDPHEDDT YQGPQVSQVQFDRIMGYIEAGQKEGATLALGGKRWGSKGYFIEPTVFTDVKEDMTIMR EEIFGPVVAVSKFVDVDDVVAKAHNTTYGLAAAVFTSDVARAIEVSNRLEAGTVWVNC YNELDYNTPFGGYHESGMGRENGVYALDNYTQIKTVKININRQA PHYBLDRAFT_75640 MIAQPLKPVYIRLRREKTNLFLCIDPKDTMTDVKVKLCGALKLD KTPDNIRLLMPTQWDNKYDLLEDAWTMSKANLSNDALVYFVFFDSNRGNWEDVQLIEP EPLDDPEDDDVDMRDNLAAIRKEKGKEKSREKGKGRA PHYBLDRAFT_179230 MVKPGKQPRVKGNMKPASSSRAAELSGSTSLSFDNLGGFAQFAN AGIPNASNNTLHSSTPTTEDHLDPGLSVILKKVAKRDMVTKLKALEELEAYLKAHQES IPAILSTWVTMYGKLTMEVDRRVRLAANSVHALVTSQAGKKLAPHLKDFVGPWMMTQF DQSRDVARAAKTSFETVFSEEKRAGVLLFCQKDILEFIIEMLLYKTPETLSDPRYVTK EDMDAKFARVISSNIYCISYLIGQLPIEDRKKSSDVYDKLFDDPVFWKYVSHQSPMIR NAVYSLIKTLLLLWPDILKTRLELVCPVFFAAVFNEKDGSTHSSMWDALLLITKKFPE SWIVIAKKKSAIPKLCNFLRSGLNGSVGISYPSILALLANLPKELKQAPNFYKDVFDS LWKSLSTDFIDSSNSHIFLNSYVECAVYFIVMESKNEDENTKPIVDYLINTVLWRPYE LFFIDVRGVSGHEKLDIKNYLILAKHLSVLVSSDSVKGVSCPLNESSLTIILLDLMEP FWTLLDNLLSQTITDCSTKLSKSIDLENLCYKAGGFMMELCNELNKIEKSGSKDAMAH ASKLARRLLLASIKSSITHKDQSHALLILADQLLSSYSNELLDTDEHSKEILVSSKEL LTLITQGPQQSIGSLVAFYTKLVFSLSEKPSKELWNSVISMLKELNGKTKDSQAVLCQ SQVMLLLLEQIKKEGASMDYKSEELDSLVQSYASQLLDSENTNEFLEVPVIRETLERI LASTISLNSVHPVVSEDTLKKLIASLQLTLKNFNGFQYIIKSNSSEPSTKLTQITLSS LKVLYDTITASPVDTLPAEVFECLPGEVFDAIFSKNSVIDPEAAPIQTVADMASLVWD IMVAKSNGKTLIQPILKRVKSSINNISFFASPSDSAKRVQKLLSSVNDNIRQESMEYL FGTREEWKSLARPFEQHTRVFSTLAIQDPLAGLVNCSLVDDDDELLPVSYDLYGLSAY GRTALFAAEYIFSNSTNLSNTTDWIMIELMVARLACQSGLEVPGLCRVWDNKIPESAL GIQAFVHQMDKLFVKWIDDLTTNASFNALSLLNDLKQKVKSEYDSRLKSVLMELLSTP ALSVATDFSPKDVYLANILEMVLRLSLQNLEWSTDDVAPWTSVLKAESTELSLLGKVA TMMAFKETMGESPMFKNLQSDLVSKLSSISNLEDFQDSHKKPWSLLVLLNISSLKFKY ISIPTQRLMHLMLAMRKWFTSKSSPDTEQAMMHVQIAQLFSHLAESLQDVSGGQWSLF LGQCYEWVAFSDPTVPEEITLLYYALLFYKQLEEMVSDGNSELENIIQEYAPRFSKTC LKLLTLEKGVNMSHPRQKYQELLADLHVQIPDNILFDALSFTDMCHLLKAPTEQLQKC SYDLLRRSISHTVEELSVRMEFTETSEGDTDFSINRDIYKSLVGAPDMSTWHSANLKD QPLHEILGFLLSWLLMFDHFNEITFKLKQEYTSQLKDADLISTLLPVLFKILGTGYTQ DIKPFDLAPWDIDSYDLEGFESTSEISYLILASHLYFRALKQVPSLVRAWWVGCKNRQ LILGVESYTEKYFSPRLINNELDMLNRPDIMSDLADNGDNTFTVKALKSANEVMANYL VDDQTLSVCIKLPKTYPLRQINVEGVAKIGVNEKQWRGWMFAVSAVIGFQNGNIADAL TVFKRNANLHFEGVEDCTICYSIINVIDRSIPNKQCRTCKNKFHSNCLYKWFKSSNSA SCPLCRTVF PHYBLDRAFT_179231 MSTNVSNEQTPLLPNSKPVKQCKKKACCSSNSGCCSSKPDQGCK SSTTADDDDYCHLVDQPWEYKMLALLCALFLAVGSHFAAHTLGAMKGTIKEKFDITNS QYGVLQSSVAIVNTILPVVGGIFVDAFGTIPGSILTTLLITSGNTLVALSTSSSNLTM MITGRILYGIGSGTVVIVQETILSQWFTGRSLAAVVALMLTVSRLASFLAQAIVVPIA RWTGWYGYAFWFSALLCVLSMFVNLGYIILLRSISDPAATCKKQIEVIKRKKSFRWSK LLYLPHSFWLVAAIEFLLGGTWGCFLHINSEYVKFRFSYDEGDAAFTASVAQILPVIL MPFLGVVVDRFGKRTWMMIGSGASMLLSLVLLQYTYIPPVIGMLIFSISLSLGPVGLV SSVPVILPLSLVGTGMGLVKSGTNIGAALFDIATGLLQDADPHKGYDGVIVFFIGIAS LAILAGIVLSILDKTIYHSVLDQSAQSVWKLRETKLGNPRPKAQEKILANWLYIGTYA ILATISWVLFFHFVLFSKPKK PHYBLDRAFT_121390 MSKESYYVGIDVGTGSARAGVIDSQGTLVSISVEPITTWNPKHD IYEQSTANIWANIAKTVREAVRSANLTSDDIKGVGFDATCSLVALDNKGQPRSVDIQS GFQDDSLNVILWADHRAIDQARRINATKHNVLRYVGNTISPEMEIPKTLWLKENMPPA KWDSLAYIMDLPDFLTFKATGHTARSTCSLTCKCSYLPPKVGQGWDASYFEAIGLGCL VKEDWKRLGGETNGGVLHAGDKVGKGLTAQAAAELGLKEGTPVGSAVIDAYAGAIATL GATASKTERDSMLHESTSLVSQGSHRLAIICGTSSCHIAMSPDPIFVPGVWGPYRSVL VPDMWCAEGGQSSTGQLIDHTLSTHPAIKEARDLAAKEDLSIYAFLNRHLEKLQSERQ LSRVEDLTRHLHIYPDYHGNRSPLADPTLRGTIVGVSLDKSIDDLASRYLATLQAIAC QTRHIIESMNQKGYTIDTLCLSGGLCKNPLFVQTHSNITQCRVILPESIEGAVVVGAA FLGAKAATGQDLWDVMVSLGKAGRVIEPCKNQESIEQDNRRYKVFLAMLEDQKKYRQI MDGLV PHYBLDRAFT_162810 MCIKLLPFYKRLYPYSLLSHAYNVIFFLIISRKSNHEFVAAHLE ESTGTDVHMNETTPKHILRSVEKGDRLCILNDMHKKVIPEYSDKKLIICHWAADVTK PHYBLDRAFT_162811 MTMTTNTLPSPTLPPTYHIIVRQEPQKARLCSFKEKVDRRPIDP PPILQLVFSSPTDDPTLLYNPYLFVYATLTDQKTETDLDFMNGNRTTAGQMVQSLHKL KDVDNKDGGFFVFADISVRLEGLYKLKFTLFEMKGSFVHRLSSVMSKTFQVYSPKAFP GMSESTFLTRSFSDQGVRVRIRKEARGADSSKRRYSTIDEKPQDRMSSLQDPEVRETS FGGDSARPESGPPEKRLLTILSQSGSALGPASSSSSSSSSLHPDSSSVVLPPPESLLP RDFRADGRMSMQNLLLDPTPTKPPPGSPAIPTYSTARVLPPPQSPMDSFNYYSLSHEM ASHESTSHTMSNLGGGDSTVWTRPTPPTLPPLHSKDWPGGDGFRTSPDRPYTIHSP PHYBLDRAFT_104139 RRKIKIEYIEDKNRRHITFSKRKAGIMKKAYELSTLTGTQVLLL VVSETGLVYTFTTVKLQPMVTKPEGKNLIQACLNAP PHYBLDRAFT_74277 MVQLKEIGKTGVKVPAIGFGCMSLSGPYGSADDEESIKTLERSI ELGSTFWDTANVYGIKSHNERLLSRVLKTQRDKIFLATKFGFIRDESGAISRFDGSPE HVREAFDKSQKNLGVDVVDLYYMHRVDPKVPIEDSVRAMAELVKEGRVRFLGLSECSA ETLRRAHKVHPITAVQMEYSPWTLNIENNGILATARELGISIVAYSPLGRGFMTGSIR SLDDFDKDDFRRGLPRFSPENFDKNLKLVDDITALATKKGVTPGQYVLAWILAQGPEF FVIPGTRRVKNLEENVKAGDVVLTSEEVAEMRELIDKANIIGERYAPAQLATVNN PHYBLDRAFT_106564 MTSARKSNLLASLRTTIPQIFNDAQKPNANHRKYSIALRKLQVQ LCLNSPIDKDKSHDIDYEGEEAFDKEFFRNVNKILTVKKREPHADRIVRFIAAFLQYT QQQVNDGDDMEGEETVSSRFVEHLMRHLIKGLMAKNKTVRLRSCQVVALSVNSLGEID EDIYQDLKRALFERIRDKEASVRSQAATALSRLQGADSEVDEVDGKTITQKLVWALRH DPSAEVRRVVLFNLDQTYETIPYIVERARDADATNRRVVYLKPMAEIQDFRLIPCQER HLLLKCGLNDRDPLVKKAASKMISMHWIRHADHNLLEFLERMDILEGDAAEDILTAFL SSRIDIVNSIKFDDQFWNNLSPESSFLAKVLIQFLQAKEYDEKLDAVLPEVTRLSFIT QDYAQLWREAEKGTKGDYEFIVTQLLDIAKCLDYADEVGRRKMFDLLRELIMVPDISD NHLASVVELFKIISFDERDFTRTMVEIISDIQEVSGLGEQESSYSVSNHQPEPVTDTT PLKKIKLSNRVSPKLEEDLDREPDILRKKLAQLKCLTICKHILERSEETLQNNSTLYG LLNELIVPSVKSNETVLLEEGLHCLGLFCCLDKTLGQHNLPLLTHLLKKGHLELQQKS LMILFDMLTCYGLDWMVSGLVGLDEVKDIFERSLDHDDPDMQAIAAQGLAKLMLSRIY QNRDTLKLLILLYFFPSTIDNITIQQCLSYFFPAFCYSSTVNQRMMAEVAVEALEDLC STFDDLDENEKMTSPGQIADMLADWTEPRKVAK PHYBLDRAFT_157326 MIEPQDNGSLEPMVRNMLLRFIRSVEKRLPEEQENQETQKAVDD ENDENEENREDGTIVIPQRLWILQML PHYBLDRAFT_184901 MPFRPKDTFKSNADSESTKERKRIHHNVQASSYWLPNDDIEQDR LNEMYFALKHLYSGQLLPKVKEILDFNSNLKVLDLGCATGAWILETSVEYPNCDYYGV DISSNFPALSPNNTHFMLHNVIDGLPFVDSYFDYVRLGHWTSNLKEVEWPPFLKEVYR VMKPGALMLNLEIDTMNRMIESHP PHYBLDRAFT_179237 MSNFTLINQGTLRQGLVKGIECNGWTITSKRAPICNSAEMESIQ KNFGIPPPEMVFGNNHVTIKHGETEFTWGAYDALKLVDTSSTSSEKIKVAYSEEWTKK SAANHTDIKDVIKPYDWTYSTPYKGTLISSLDNRSFEKADTPVDFQRLMKPDPILFYD ENILYEDELADNGTAMLSIRLRVMPTCFLILQRFFMRVDDVLFRINDTRVYHEFGSKY LVREYTSKEDHYNNIRRKLPIGNMDISLLNDPNWVSRMLPDEPAVFSRESLMVDH PHYBLDRAFT_106954 LSICILIHLVYIILTGLTLGLMSLDETNLAILAVAGSEKQRACA SRILPIRKNKHMLLTALVLTNTVVNETLPVVLNGIFGEGYIAVIASTLLLVIFSEIIP QAICTRHGLAVGALFAIPVRALMMLWYILAWPISKCLDLMLGEHESPIYRVQGKYIKL NELIRMHDSSLANAGSLSHRTATIIQGTLSLQTKTTGDLAIPLDNVFMLSHDTLLSKD VMLKIFESGYSRIPVYETQAASTGDSDIKALQNNLAMINPEDAVSLSDITLEPVLHVP TSYSATDLLAALRKSSGTAETWSCY PHYBLDRAFT_139785 MSKKNGFSLVSLVGSNQGDCGYCHQKDTSHTFGIWAHVLTCEDY QTLIDHGWRRSGHYLYKPNLERSCCPQYTIRLNAVEYKQTKSQRKVVNKLNRFLEGDW APKDTNSMDTTSESDQKKASKNHAKEPSQKHTLEVLLEPSSFTKEKYDLYCKYQTEIH KDEPSELKPESFKRFLVESPLREEPFEDSKPGQGFGSFHQKYILDGKLIAVAVLDILP KCVSSVYFFYDPQYAFLALGKYSALKEISLVQDFQKTVSSELKWYYMGFYIHTCPKMN YKGQFQPSDLLDPKLYTWFPIQECQKRLDKHRFVVFSDPDEHTPGDTPPGWLDVKSLK DSDLKNVHVLSDKGQLAPVTASILWKRSLKFRQNIKEYVAAVGHTMAKRLLIC PHYBLDRAFT_58805 MMLKLLAVFLALSTASQAATITASPAPAATVSPTRDAAAPERFP YAVNAPRSTVLPYCVGFNITYPAVAGEVYKRGELQTIEWTVDRSIPNPPDLITRIRIF DKDFHNEQVLGENITIYTHKTGGSLTYFVDANDIETEYHYRIMVNYPGQSVHCIFMSK PFTITPYPYIRYLANDTPAPAFAVPQAQ PHYBLDRAFT_162820 MNFTKGLQTSWDKITHDVKSQIARSNPLQHPDTKTLSMWIYEER DTLASIKATAYHHAEANKGLAEWLKSEQEKYPREDVRDLEDLGSKLVILLEKQTEVEE YYASKSKYQQYRQVIKSIRKREEQLTELHEKKLSLESRMNQIAKTNPGSPKVTEMQTE LGNLEKEYELSKVGLQDFKRFGLREAFYLRFNAMNEYAEKTAMLAGYGKYLVDLLDVE PTPVGQEHRREYVCQDQAGTILSDALRTLDKWNPPKGDERFTLANEGLESTFRFGFEE DASMLTDEDIERHQDVVVPSLLVNGTNSSTATTISGNNDSNKNTTGVDLASIKKHTQD DQFMGTWALDEKSGQTKPLDTTPAAAAAAAAATTVSGASGGVINQMNETAGRPIVFED DYHTARYDQLYEKMVQHENNSSVPRPYSEFKDQFGCFQGSANPFSLPSIKSDSVAKIV CPPGLEENEQYKLYYGAPPVEKFQHSYGEQNVWASEDK PHYBLDRAFT_58807 MFSRIITSIRDQISKPHPDTTKGPSAQQKNSDVEDENGVHLTRS HSLQPTALEARRPSVTEAIGIQNVDSRGRRRSSTVFGISKVSVDDFMQKDLVSSSWS PHYBLDRAFT_157329 MTAMGRRFYNFECHEDICTEIDRKLFDKMRNIYKFPMIPSEEEI QGCLAWENGVLVRDCYRARLKERHIYREDDEARLVEGISSYLKDTPRKVNVARLMPFL ERTLREMSEFEHENADYRMICDAKKCCGDACEWALETVVYGSQLEGRMKAWPDKIGEP QGNWIE PHYBLDRAFT_130148 MVKAYLRYEALASFGVIASSLANILYDSTGKLVIAPALEEVLIW DLKKGTQVGKWREPGNKAEVTCIAKSPNKKDYAVGYSDGAIRLWNIESKTSIVTLSGH RAAVTALAFDSDGTRLASGSKDTDLIVWDVIAESGLYRLRGHKDQITCLTFLTKPEND EMDVDNVGVSNSGYIVSSSKDTLIKLWDLSAQFCRETVVGHRSEVWSFAVSKDQTLII SGGSEPTLKAWKINWKVLGLSLEALGENTTNEDLTTPATMERAITLYGQLPRKTRDRI ITIQFHPSTKFLGVQAADKCVEIYRVRDQEQLQKKIHRRKKRAKEKGKEGEVSEEIQV EDQITSQTLIRTPAKVRSFDFSPVVDVEKAGSLQLAVSLTNNAIESYNVPLAQDKSSE EPEDPERQFSVDLPGHRSDIRTLALSSDDELLASVSNNLLKVWNVKTRSCIRSIECGY GLCSAFLPGNRHILIGTKTGELELYDIGSSSLVESVKAHDGAVYSMQIRPDKRGFVTG SADKDVKFWDLDMVEDKSSATNTKRLTFTHMRTLKMSDEVLCVRYSPNQNLLAVSLLD ATVKVFYHDTLKFFLSLYGHKLPVLSMDISSDNTLITTCSADKNVKIWGLDFGDCHKS IFAHQESVMSVQFVFGTHYFFTVSKDKTIKYWDGDKFENIMKLEGHQGEIWALAVSKQ GSFIVTGSHDRSIRIWERSDEQLFLEEEREKELEELYESTLISQMEKADMNDSMEIDS AGKQTMETLKAGERIMEALDLADEEKQGWEAYESAKSRGLPAQIPQRNPMLLAMGDIA PEKHVLNTIEKIKANDLDEALLVLPFSKVTSLLYYVECWAKKNWNIILISRILFFLIK THHNQIAANRLMRPMLDSIRSHLRAQLQRQKDIMGYNRAALSYLQRDWKAKNVSDFID DAPPVEEEKKRKFVQL PHYBLDRAFT_56499 MSLVLSSFTDTIKTRLQSQAGFIASGGFRGVYSGLLSAVIGSAP NASLFFVTYESMKRLLSASIDNPQYAPFVHMTAASFGEISACTVRVPTEVIKQRMQTK QFQVTSSAVSHVIRTEGILGFYRGFLSTVAREIPFTCIQFPLYEYFKSAYGTYKGRPT EPYEAAMWGSLAGGIAAAITTPLDVCKTRIMLSHKNQAVKQYTGIISTMKRIVAEEGP RALLSGIGPRVMWISIGGSIFLGVYEKAVKTFAQSQMLEDRR PHYBLDRAFT_93858 PVRCIEFFCGIGGLHYALNIAKIRANVVEAFDVNEIANKVYLHN FKKNPSKKTIDRLTEKDIEKLDAGCWLVSPPCQPYTRGGKMQDIEDPRAKALVHLIDL LPKLSTPPKYIFVENVKNFEISQSREKLVRQLDIMDYEINECLLTPEQLGVPNHRMRY Y PHYBLDRAFT_58813 MTSCENTIESKIYVNRGTFILVSVYRFYNHLQEAQISLLPIKIK SITYVEELIVVARFKKQNVSYSVTFDTRMVCSSINIKVLKSFQFYLKKTPINIEMYLL L PHYBLDRAFT_154208 MAELRRKLVIVGDGACGKTCLLIVFSKGTFPEFYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICYAVDSPDSLDNVQEKWISE VLHFCQNLPVLLVGCKKDLRNDPATIEELRKNSQKPVSSEEGMAVARQIRAEKYLECS AKTNEGVREVFEHATRAALKIVKRSSGKPCVVL PHYBLDRAFT_157333 MKSIRIVGDSPHEQPALQNHFAYWDRTNKGYITPLDAVRGFSNL GYNIFLSIIIGAILSMLFSYATQDSWIPDPLFRVNTANLISSESCGPYDQNGQFDLEK FEALFTAYAKADPSGKTISVIELLQMVNETNSLGTSLSKWTKTFLEWSTAYLFVGHRG SLKKRDVVATYDGSLFYRLRNTKRPIKANTKKPRLAGVIRAKTKINYLEDKVQTIINK LPPSSVKAVEGRIEKLRLMVENHTGQLTFPIPIMDLNKPVTTMRAITLTGVPDKQESA ETAVSLNSLQLYGHSLTGTQEIQEYQGTQEYDNINITGLSLDSLSKDDTESIIVKDWL QTGSLTGVQKPTDLQNKHDSQENDTPVQLTTGLTGVQKQDNDQETLTAQNTDAMLTSG LTGVQKSEEEPPVDEVHVQLTSGLTGVQISSEDEYSIDEVHVQLTSGLTGVKVSSEEF ETTEILSKSGIIGVQEPSETAPIEEIDVELTSDLTGVKYGTSDHDLISAGAYEDDQQN TSQFGVQLPEDLEGTLTVDEGEPVQLTFGLTGIKSQFDTEDKENTVDDHALENTGLTG VLHSSDEDTHETFVRFTHNDTSSDESMEVPELTLVDHPLTGTCRDHSDVENEDELSQY DMHSDIHYSSTEATESDREPFQLVANLTGLSKEDPSSAIFVDLTHELSGDMNATHFGE IFLVDSLTGLSKEPPIVDSFKLSEDIQVKPKEPKIPVSIQDVALTTALTGLSNKPTEP STFDLSNGTFDFPRNAKETVSVEDVMLSESLTGVKDIPEDTADPTDADDQEGDDSSNI ATTAHHDDKNVSGMTSQDINVHDYHYENSSVCSSHASVDNGAHQDSENSHSEDESCES RAGVPMSLSDSQQSAKARKNRRKKDKKRQKQQMATVNDKASEGAWPPLTEVAGKEE PHYBLDRAFT_58816 MNEIYSVEPVLGDIYILKGMSLSDIFTEMLKHFTYFNNKTVSAL LRKKLSEVFIIKSRFLRMMVDRLRSRPFIDKGLVAQSSFANEPFDKCDINDDVLEGTD GPTRDNTQYKLKMNLNQYDILLFRSFGLFELFRNANNSGYFGLHWSLNKCRGPKGIFK VNSKDHKNVKKIVVDRFSINAEIEVSARETLVLDQVIINKLEYRRRPVQIFL PHYBLDRAFT_162831 MSQNSVLKSKISLISVVDSLFCKVFLDKLFKKKAYSISVTVASR CPLAQTVVVDLFYDVLVSGVNELNEFSQEKFPTLSMDYNSPGGEGSKRHTKVLMNKDV YTHIRIHAFRYFGSSDAYITVFGRELALYIVEPLTSAPVTFTTAHFLFEPSENMLKIN VEGYFDYEHAIVKLSSI PHYBLDRAFT_121405 MGQTLSEPITEKESEEGGSQRVIYAASAMQGWRISMEDAHITIA EDGDTQASLFAVFDGHGGEKTAKYSKDHLPNLLLKSEAFKQGHFREALKQSFLGIDEA LREDPLYVNEPSGCTAVVALLTKQNILFVSNAGDSRAVLCTGGRAIALSQDHKPTNKK ETERIEKAGGFVRLARVNGKLALSRALGDFEYKMGNLPPEQQIVTAFPDVVEHTLNEK DEFLILACDGIWDCMTNPEVVNFVQHHLGNKESLKNICELLMGKCLAKTKGQVLGQDN MTVILVAFLNETPERWYELMAEKYKDTKLPEASYPTATLNKRSIHEKNVSSESNNNGD SNKVVLYNDGGTPQEVDNEDISLN PHYBLDRAFT_130161 MLRTSLWTAFRQPSVATLRRYSALPKPLLTRKLAIQPIPRTPLG RTSFSSTPHKHTPIEKTTKKATEETKKKKDISDVKRLFTLAKPEAKSITAAIGLLVIS SSITMSVPFSMGKIIDIVSHPGTENYMGLTMPQFFGALSVVFVIGAAANTGRVLLFRL SGERIIQRLRGNLYKSILKQDMAFFDKNRTGELISRLSVDTAIVGKSLTNNVSDGLRA LATAAVGSSMMFYVSPQLTGIMMLIVPPVAIFAIVYGRYVKSLSRKTQTALSEITKVA EERISAIRTVQAFAKEKQEEKRYGLRVADVYNLATKEAMASGAFFGGAGLSGNLAVLA VLWYGGHMVLENAITIGELASFMLYTAYVGTSLAGLTSFYSEIMKGVGASDRLFDLLE RKSPIKVTGGQILPSLHGKIRFDDIHFTYPTRPQSTIFKKFSLTVEPGTVVAVVGPSG SGKSTIGSLLLRYYDPNSGSIYVDDTNIKDINLHWWRENVGVVSQEPVLFAGTIAENI SYGREDATHEEIQEAASKANCASFINTFQDGYNTMVGERGISLSGGQKQRIAIARALL KNPSILVLDEATSALDSESEVLVQDALNNLMQGRTVFTIAHRLSTIRSADLVACLSNG GVAELGTYQELLHKEGGVFRRLVELQSLGGNTHSDEEKN PHYBLDRAFT_58820 MSGPFMNQSQSGPRSVLLQVEDQYNKRIDDDIAKLVDCFADIVK VGENKDKDKFKVAQEGYQIESQTAQIVRSSESLLGLITELKQHLLLNDTHTLSRLYND RSEILKTQKQDIQATLIDMKRGLSSAIYDMESVYYRSLTQESSATNSL PHYBLDRAFT_97534 RTMSQVVGQAIYSYGTYRPDVAQPFPFESINLSIKILPLKRIVE IEEETLSSTSLVWPNFHMGVCAGLRIKPDSDINKTWIKQLDPVETGPEHGGLFLALGL NGSLSQLTSTSWYGYIAETSGLVTAGFLLGLAIAYRGGGNIAVVKLLSMHIPSLVKDD LPYSSEPIITRAVCTIGLGLVHMGSRDRRMVAALMNELGVHAPNSNTVSSKNLDCVGL AAGFAIGFITLGKQGTSIVLPDMDLEDKLYQLMEGEPLTNRNRTQSDIGGLSHSNLDA TGPGAIMALALMFLKTGDKRVAKRIYGRQLEYHINSIRPDILLLRVLAKNLILWDDIR PTDIWLYQQLPEFILHDFDENRKASWEVQVRKQAKYHIVGGGCLAIGLKYAGSRNTNA FECLLKQLDIFIELSEKIAVGFQERITKCAISTCINIIATASAMVMAGTGNLELFTRL SKLRNLPENIENYGNQMAIHMAIGLLYAGLGGYTLKTDNESIAGLLCAFYPFYPTSST DNHQHLQSFRHFWTFALDSRWLTPFDVDEQKPCHVPIVLTVTGDNLIDEKTDILPKEI QMVAPVVLPPRKYISNIKLNSPEYWPLCINVD PHYBLDRAFT_179248 MIPQHSKPVSEPPGSPALEALYQDLDSFINELAGELPDSLDHCN KKMTLNFDESSELDSIAKYVKDSEQSDSWCSDHDDTVYTRSSITDSMQESIEPKPKPL KSTSEETSWRKPSIQLERESSETDDDGYESEEYRWTPHLKNDNSSTFRSDNSLSPPIS PSLLGITQPRSFTLASRETEQSGTSSQSLSQVRKFTRKIGTPQTGIFTTRSSSLNRQA SESSVRSGGFLPSLRSNHKGFTTPTSPLAMNVRMGTYEPLLSGNETSIQMTFPHYAFF SELGENFVSKVISLTETRRIFSSTEYPNSFTGAEAVFIIRILLPTGLPEGLYSKVARA LMHIRPPLIIPLAYSEKSQRRNTLYNSSSEVYDLVEETIQQGIPQGIYTPLTPCYTNT CLPGQGGCYSYSCPNRDIDMSDTSDKSEKSGGLKRRDSAASSKASSYDATLSRAWSAT VAKEVLQSTPSAEIGRQEAIHEIIYTEEDYLYAQPLRIAPCIAEDYREEFCDNVFNNY LELLDLHTKLYQDLRDHQSLCQSQDNSGFVDRVGDIFLRHLPGFMALYSRYGPHVVLA EYAIKKETQDNILFQNFIQNTERKEECRKLPFRHFIILPVTRLQRYPLLVGAILKKTP EDHPDKKDLLECSNMLKAIANKMDSETANTKMTLRIYQINDHIRYKTGEPHDLQLTKK GRKLLYEGILTRRSHMVVESTELRVFLFDHMLLMTRERKGNDGEDEYQISKRPIPMEL LHVQEATEGFSIGLRSMASTYPNATSPGLNLGTSFGSHFPILIHHLGRLGGDHLMYAE SAEARMKWKHKIVEAKIAMEKANSDREVFTIRSLRDASFIGSTGIGSGANHGKVTCSV PFLGATGFQMIAVGTVSGIWMGMEGDTNDMQQVLSLQDVCQIAVLEEEHILMVLADKT LIAYSLGALDPKSPHKTNEKPNQKVAQHISYFNAGVCNNRTLVIAMKKRGTDSHFKAF EPTCGDLRNPSNAKYLTTKTGFFSKAPSWFKIYKEFYIGTDSFAVHFLKARVVVVCSR GFEIIDLEKLNLNRNLPDLNNPAFEFVQIRGEDVRPLAMFRCKDHYLLCYNEFAFRVD NHGSFIEENYSCLTWEGDPQAVAFCYPYVVGFDSRFVEVRDVITGELVQTLAGEHMRC LQFSSDALTPIIHGCMVHPFKPDYQYIFQLQAKFAPTL PHYBLDRAFT_105691 NDDEIPTGVFATLGQCYSPRCTAKNQCYSPICSRLPGQVSLEKH PRIFLSQANKKRRREIRPIYRKERLWVVSVTKEIFDNTSTEDRKRQENIFELIYTEND FVDDLNYLQTYWITKILDIDYGTEESRKNLVNDLFSNIMEIYDINSKLAADLSKRQGE SPVVNRIGDVMLKHVTNFEPFVKYGSQQMISKYKLETEKAISPIFSKFVEDTERLPES RKLELNGYLTKPTTRLGRYNLLLREILKHTPKDHPDQVDIPQAMTIIAGFLSKVNEEA GKKENEFNLEMLNEKFSLKYADDLQELELRSEERRMIMKGSLKRKGTGPESSDMQVYL LDNCLFITKQKYVQNIEQYKIYKKPIPLALLVIFLPDQAKKIPSILPYGRSSTGGSVT TNNIEVPAPTNNKSGYPIVFVHLGRQGFGQITLYANTLLARRQWVHKIEHQRLALTEK QKVFDIIPISERFFNSLNRVNCATTYGSSTLIGSDQGVYLKKEKKEDEPVRVLEMDKV SQIEILDGYNLVLVLADKILYTYSLDALLSHDTGVKRGRKISNHVSFFKFGEVIEKTL VCLVRYNAMTSTIRALEPHANPEPKKKMKPHLGIFLRGSTEGLKAYKDLYIPGEATSL QYFKNIICVGSAKGFQMVNIGSARVQSVLDPSDESHDFILQRESLRPISMFRHPDGTI LLCYNEIAFYIDKKGRRVRSGWMINWEGAPTAFAFRFPYVIGFDPKFIEVRHMDTGKL VQVIPGTNIRCLNPDPIDIIHCVTEDRLLGCELVFELKFVGT PHYBLDRAFT_162838 MIMFYNILRIVWISYLSKLNMWGTMVDLKSNVFQIKIPTRHRLL FSKPDISTYISEYLVNECMSNDLLLNFDPKYGLVIEAWALTCNKYHESIKGLEIGQLL HKHGFNACLIDEILFV PHYBLDRAFT_157335 MQNRPLQHIVSPYINDDYIIPKTEYCQDINSNGFTPLRGVSSKA SSTPPRRYKCSLCIKRFTRPSSLATHMHSHTGEKPYKCSVDGCGRRFSVVSNLRRHAK IHFGNVQ PHYBLDRAFT_179250 MSSSNNGKRPLEDNDSLTGKEKRRAKNRDARQIGPNKWNGFRKT NDDGPLLIGSPINEKQLASAHINEINSLQSSIRKASFAITTLAFQSLPRGLRRRAASH NINRLPLRLRVKATQEMALSAPNPTKMRRRMRKPRPKSLVEEYLRRQGNKKWLETHMW HTKRMKMTDIWGYRLALYPNIKSERTAYKASKHLSMLHDASYMGCIEMSGLMSDIVRV LNSITDSGLASVGSARYTKGQRIGHTNIYKHLGYPTKLVCPVTFLWRPTQAESDDATI WLWVHPSVFDEVYTSIRHVVQTYQSGLMQELQVVDQRGDLVRFDLFGPRSTALLQSIL DPVCEASPLTEEREQKSAQLWRDISQLRSSSSLPPGCVLGMTVQDPRIKFPQKVPPRT SQIPKETDLRIDQIVRQWPTDVAYSDIWDKQLRRDIQKNKIKDNDLNKRREDQKSNGV KFEFTEKDSKIPILLIQRGGAEFSGGTSTGKNSANLIEVTEGWSIILPRSWGVAFWKS FVFAGARTCGLHNVRAMHFESGHGVFPFDVPGTLAYEAQSIASKNTERSIWAKKPPSK RVNFEKLGVSHPFEAPFEWLVNNGKTDNSKNKILDVDKVKDENTNENEHQIQKTQDPK CWLLQGDRLISLLLSCRTQEEATQKLLKEMSTQMSKRDLPCPLSLDLDHALVKVRVKY TGRRKPHPNAMIFLIEDQKEYEKHAQKCKEPNSTNKSKVGHQDANTNANEDADSDEEM DTSDHPNQHIGYLTNGGFSLSVGGGLGIGACTALGIQEIRNLDNRYNRKTKMLVLVRN TNTSNFQPAQLQLLA PHYBLDRAFT_130167 MVNLSLDSIKAVILLDAEGNRVLAKYYGADRLSLKEQKAFEKGL FDKTKRAQGEVILYDNKVVLYRSNIDIFFYVVGSLEENELILLSMLNAFYDAVSTLLR FQVEKRSVMDNLDLVVLCLDETVDGGIILETDANAIVNRVSKPRLDVVDIPFSEQTLM QAYQSARDKFASQMLR PHYBLDRAFT_58828 MVITIFFYHFFDSIWHTRHKSMEKSWIKQFLFPKNSGLKILAFR THHMCSIGFRSGLCEGQYPETTPHHHRAFSVTLYCSVKIWPETNSQTANVPTYPQIMT VNT PHYBLDRAFT_184916 MFVMNTLDDKTLLTAGAIGLGIAASFVFSLYNNTLQKQKKDSLL RGGLREVPVPSEGYPYIGHAASLGSLPAITIKNWHEKLGPLICLHMGIQRWVFISDPN IAHQIFVRNGIKTSERQKHRFAQDIYGKGGRGIVFNQTSKKWKTTRSMALTILSPKYT EQFEGSIENVAKKTLRALIEITNTEGSVAPKEHIRRATYGTMFKAIFGESIDPFKNNI ITQTLEMTDKGVTYIRPEADIGSFFPIFAWISTFMHPMKVVEEVTLWRDTYYKNLIDE AIAADCNSLVKHAYSLKEESGLDDTDILVMISDLMSAGGDTTSVSLHWLLAILSRYPD VQKKMGEEIDTFISKNNRTPLYSDRDELPYTVATLRENLRFRSPTTFGIPHYTSTDVE ACGYFIPADTVLISSMHAMHMNPDVYDEPEKFKPERFLGDSRTWSASNNGSIEERDNY SFGWGRRVCPGIHFAEVEIFDTAVNILAACTIEPAIDSKGQPEYVNLDSGLEKGVVFA PEDYKVRFIQKTKNS PHYBLDRAFT_157337 MDREWLKLFFEENYPTQASGVVAAAVTVILAYSIHSLVSLRKKN VAVWHRKGYMEIPTPKDSYPYFGHMLSLGKKPFLQLEKWHQELGPVVHIDMGIQPWVL ISDPYIAHELFVKNEVKSSDRMRHAFTYDVYSKGGKGIVFIPPNQEWKNCRTVADTIL STENIKNFVNIIEAVTDNTINRLKEVSEKDGSVSPVKYIQKAIFGIMISLLFGKTPGS IEDSLLDSIICMAENELRLAGPSGDIGSFLRYSSWVNTVTRKNKEIKSVVDTRDKVYG ELISEAIAGETDCLAKQANLLKEKYNLDEMDLIIIMDELIGAGGDTTAASASWLFAIL PRYPDIQKKMGEEIDKFIAKNGRIPTFSDRKELPYVIAVLRENLRYRSVTCLGLPHLA AEDVELLGYYIPKGTVLISSMPALNMNQDVFDDPKEFNPERFLGDTSTWSESTQGDIQ SRNVFAFGWGKRSCPGVNLAEMNVFNICVRTFAHYTIEPTLGTDGKPSYADLNDILSL GTLYGPNEFSVKIVKRAV PHYBLDRAFT_58831 MPPHPYSNAECVTVSKNGPASKSKRHIVQHCSPVCFSESGKSNI QNIIWTHYWEVEGIEVDIEDDAQEIQKETWNKDMKDGSGGKGVTQKTITNVTGGNKLG HIPKGIPLGRW PHYBLDRAFT_106795 MTKLEAIGILGIRSFSPDQPTYLKFLPGCTIFVGPNGSGKTTII EALKYVCTGEMPPGSKNGALIHDLKVMKQLKIIIIIMKAIII PHYBLDRAFT_121424 MERENFAGSNNTLQHEIQHLEKTRSNLSEERASISGAIAQIEEQ KENYREELEGTYKNAESEYLRMTFEVRMREGQLSDVKKYKTGYEMAIVEKQSDKIKEI NQVIKELWEKTYTGSDIEYMAIRTDLDDISPGDTTAHTFNYKVVMGKDGEEVNARGRL SAGQKAMASIIIRLALADVFYTDCSAIALDEPTTNLDHDNIQSLASGIANLVKDRLQH RGFQLIIITHDEKFVNTLATQGLANNFYRVCKDDNKYSTIELQNSTVIE PHYBLDRAFT_162845 MEHKTNNNSCNEPSLDSQVAKLPKAEDVEALRESSGNMDISKET TPGDAIEESGRNPTQTSIQITKELLRRLHEELCEISMSGLDPDSKKDLIIKNINKLNR RMTLLTRQSDNQGEVDQNSAGVEETIASEPKIVANFTYSLRDVPRFQLTTMYKVYFPN EPMYDNVWQFTTAYEIFMNIIGLDCEKDWKRFIPVAFHPSFMYWVKEKLIAAPTWPEA RELIEKRLDINFSRSKARMNVLGMRIGSNESVEDYSMRFLEAAYMARMDTKDYALGEI FMCGLPSSWYNILYDNLDSNPETSSFLKTVEDVTEAAKDITIDRFDSYGKRKFSGEPE PHYBLDRAFT_162846 MTAVNEEEQQYLALIKHIMDNGEHRADRTGTGTMAVFAPPQLRF SLVNDIFPLLTTKRVFFRAVAEELLWFIKGDTNAKHLHDRDVKIWDGNGSRQYLDSIG LSHRRENDLGPVYGFQWRHFGAEYIDCDTDYTGQGVDQLQQVIDKIKNNPTDRRIILS AWNPADIPKMALPPCHAFCQFYVSNKPANGRARLSCVLYQRSCDMGLGVPFNIASYAL LTRMIAHITDLEPGEFIHTMGDTHVYVDHMTALRSQLEREPRPFPTLSFARKIQSIDD FTYEDFVLENYKPHGKLEMKMSV PHYBLDRAFT_58835 MSSNVGMAVANGALPIIAYGLLIYTWYIYVFRVCQPLLLNQDQA AMGGIFIFITTALWWFSLISYLRILLSHPGKPAQISPTIYSPSLFDISTMTTQPLSLR DEIPLPLLSLSRADGGLRYCTICCCYKPDRTHHCKECNSCVLKMDHGKNKRGSARSLI ASYPVVIVHGLYGVWCLCSALPLVVNAVQYKDFLLDPQWIALLILAFIFGFTIFGFAA SHCYYIMRNETTIEHINDRPYEVRVDFDPSGTNYEIVSVQCTDMLWDNGISLNWRATM GSNPLGWFVPLWGGMGDGSVHPYNPTVYYTVVERAKCQRMMLNPHSLCEQDALVMLED PHYBLDRAFT_121427 MFINIGLNFMWMDGKNSKVSECLTNIVFSNAYPTCHDLNRHTSS TNEHMDIIIGFSSGDFIWYDPISHKYSRFNKNGILNNSAVTTVKWIPESEDLFLAGFE DGTILIIDKERDDQPLSIPVVPNTWADEQFKVSKPYRHSKYNPVSHWRVSKQAIAAFC FSPDGSHVAVAGQDGLMKIIQYNQEKLCDIYMGYFGKINCVAWSPDGKYILTGGQDDL VAIWSFPEQRIVARCQGHKSWVNGVAFDPWRFDGKVYRFASIGEDCNLIMWDFSVNAL HRPKQKVKHAPVKTALSPRLSKSTNQFSQDKEDKDKEDKEDKRTSRLFHFNHSKNHLE NSNQMSGKAGKRTSVGITFNGSMWSLEAEETLHTQLPVFHRFLNKAQVPFLQPVSIQT IHADPCVDIVFRKDSIHTTDRRGRVRKWDRPK PHYBLDRAFT_139812 MQNTQDKYSSCHALLSPPLTPAESKKQLNPFCTSTRATLLPPLL PSRKQFVQDYGRMIYFLRPCSDPSIHKRGRERVFSLDLNLLPKPSLKPIRLQSASQTP TRLGKRNGNRCTRLDKTGASKKRPSVPAQPTEPKRVSRTPAVVNHTPTSPSGILTLKK EKADAAIAFDTIDIDTQDSVHFEPQWIPNPAALDQIPVKVVWKGGPLQINDQPYFDRL HPVEVSMASTLRLSPVQYLRCKRVLIRAAQEYGESEMPFRKSDAQKLCRVDVNKTSAL WTVFGQLGWMAILHVTLGRKMPYYR PHYBLDRAFT_121428 MASWFSQITSTVTNIAGLSGTAEPIKTVADTVQNGQYYGKLAEH DLDWTLATGSSTENQVFYVSTNTGGFAFVQLIHSNIGLWNPTVSFTCRFYEPATKTNV FKNINMSAKFELSADRRSVKTDNFNITLDPTLTTYKIQITHPDLIVSLDFKRVDRGFK VGEGKTYLGGDQGSAAGFVSHKFWPRAEAKGTFIVDKAIHDVEGQGMFIHAIQGMQPQ LIASNWNFVNFQSKDASLSMMQFTTTKQYGAVEINQGSVVLNDKLICVSVDNHVELQD LEKDPETEYDIPKKIKLTWRGKTIAEEGSTEEPKDVSVVMVVDVKVLVDKIDILSEIP WFLKKLVQTFVVKPYIYQWLDQATAEITVGDEKVQVQGSCFQELVFVSGF PHYBLDRAFT_4444 KVADDKTFGMKNKNKSVKVQKYIQQVQGQAMANVTNASNKEKIA EKKALEQKRKEELAELFKPVQTPQKVPFGTDPKSVICQYFKAGHCEKGSKCKFSHDLN SERKVQKKDFYTDSRATDEDTMESWDQKKLEEVIKSKSAKQPPTDIVCKHFLEAIENS KYGWFWECPNGGVECKYRHALPPGFVLKSKKDKKDDKKEISLEEFLDTERHKLGPNQT PVTLESFMQWKKNRQVAKDAEEAAIRKQKETRFKAGRSQGMSGRDLFDFNPAMAADAD E PHYBLDRAFT_92843 VDKRLILGALPTPSYIKQLHARDNLCTIINMCAEFPGYLSLYDE LSIQQIRLPTTDFSIPTVNAITKGVNSIIDTMENKDGVVYLHCKAGRGRSAVIALCYL LRVYRLTPVEAQEVLLVCRPQVR PHYBLDRAFT_157338 MEENDRKQQAATKCNFYKKKNHCPRSQSCSAQQQQQHTRPFTEA IGKNFCS PHYBLDRAFT_157339 MDFPKLFDVKGKVVLVTGGSRGIGLMIATGFVQSGANVYITSRS AHVCTKVAKELNTLGPGRCFSIPANLQDLEEVKRLVKELEKREKHLDVLVNNAGATWG ETIEDYPDSAFEKVINLNLKRVFSLTQACLPLLKANAVPSRPSSVINIGSIDGLKVPT QETYAYSASKAGLHHLTSHMAVKLGPDSITVNAIAPGAFPSKMMQATLDAFSDIIIAS IPVGRLGSPEDIAGTCIYLSSRAGQYTTGAIIKVDGGVVVGGKL PHYBLDRAFT_58843 MVPQRFVERFRDIRTIKTQTHNLIHINYTDNNKPLYTYYARISQ HLVTKNYNRIVCSKGAAVYNTRNKVSENFLYSNFLFAKLKAEILHTTPKAKILLLKIQ EFIDPIPVALVLASTAASFPVDESCKKYHKSSSGENCDDVAKHYGVSVSSLRDWNKDL SKDKDDECHGWQEGKSYCVEGPRRINWWHQKRDNPPTDTSNSPGDTSDPPTSDKPGET PEDPENEFDPTDFEASRNYDPYYPYDPWRPPYGHGNGHYKREDAPVDSPPGEDSDPIE PPKNRPYVRRDDSEASDYGDKHPHEPWRSHFGKHRHDKRTPQPPWYPPPPPSPPWYSP PIYGPPRYEPPRYPFDPRYPYRPFDSEENGGDKAKEAGTVAGDDLKGSSKLAQPPGFG NHRGPYDYPWEPPRRGNDRDRDRDRDRKNNNNNNNNDHNRDRDSNRDNNRGN PHYBLDRAFT_154215 MVPTNTSKNPLPTKGAGKDNSHPDYLRLIMTARVYDIVQQTPLQ EAVNLNAKLNGTQIYMKREDLQPVFSFKIRGAYNRMAHLTDEEKKIGVIACSAGNHAQ GVAMAAKHLGIKAKIVMPLPSPAIKWRNVKRLGAEVVLYGNDFDEAKVECARLMKEEG LINIPPYDDPYVIAGQGTIGLEILRQMDASKISAIYICVGGGGLVAGIGSYIKRIAPH IKIIGVEASDADAMTQSLNAKKRKELGEVGLFADGAAVRMVGEENFRLAQELIDEMVI VTTDEICAAIKDVFEDTRAICEPTGALSLAGAKKYLQSHPEEKNKGAHIVIVSGANVN FDRLRFISDRAELGERNEAFCNVIIPERPGSFIKMIEEVQPRAVTEFTYRYSDPVNAH IYISFKVHDLDNEVKKVLAGWEKNGMKGFDVSHNELAKSHARYMVGGQGQPANERVFR FIFPERPGALMKFLVGLDSTWNVSRFHYRNHGDDMGKVFLGVQVPPQDTKLFDEYLVR LGYHFVEETDNVVYKTFLC PHYBLDRAFT_179262 MTGAFASLSHKRHRSPSTAKTPPTAPIGKLSKLKSGLSLFGRLA NPFGSGTTTTNQDNSENDNEEDDNDDEWDQPKKYSLSALMHCFKSADPAASANPAASA TTPHPLAGDALYEHTHYRQRSVCPAPPIYDPSPQAPLRKKSLPSILKKDPQDDHPTTP FIRHHRHTSSLQSSGLSVPSINNGRHKQSRHVRHYSHVSSSITVNSEDLTAKEFADYT GIRILSETDDDNEHTNSEDEDETKRCGCEDEGIVPVLVRGTHGRHKSCDTTTLHVNED SQISIKSYCSMHSHDTRSLYRKPQIWDSDFWRKPGQPQPLPQQPNLGHKRSGSSIPTT PPLLSLTQETEPPIIHTMRKLNTLSTETLNQRNNNTNISQLVPPSRNCIIRKGRFEIH LESSSEPDRVELPTDECVVEWKRKQKSPLSQSTIH PHYBLDRAFT_184926 MNRIQSYGVCMTLSIPEGWRYWCNQVSEHEHEHEHEYYYEYKYY AAHCILTSSTARNSQLYTAPAFQSISSDVCYVLGISDNGGSTSELLRVLGGPSIGDIR SRLIRLINVNQSDSVELRAIKDLLNYRLPSDGQEHAILNEWSLIVEGRHRLWNNISTE KKEAIRGFLVLFNFEILKRAYKHFNYRNGSIGNFFLTGARLFFGSLEAAIFLFSSITA IREPTHVVPVINTNHTAAIAARLENGEVLHGQCDISHPGENGAPNVRLMNPIDAFSRL ALPGSPDPYEDDCTQNNGNLVFSKTTEERLPAVIDRVYYINEYGQEIYPIPNPKVIVQ LATSTTLVYSIGSLYTSILPCLILRGVGNAIARSPTLRHKVLLLNGHNDRETGDFCAI DFIQKITEALNESQLIDARRQYYDRNQPYNFGTNTSPKEAGGAGSLEFEYLGHQANSG QHEGYPLANSSVPRPQKVTDQNTDLFSVPPFPSDLIPYSPPRTFITHLIYLSNSKICV DVEEIEKLGIKCISIEPEAGLEPGYSSAKLEILLPKCRPIRNQISLSQEQNTASKFFD YNIYSSYAKDYKGLLSLKCDAILFDILLFIGLLTCIMSLTFFYI PHYBLDRAFT_139822 MSSFVFVANPGKKISLEHATHPCPRCKHQSSVQLTRSEKQIIVL NKRISDNTSVRYECSQCRWKNEELPYDTEAEVRRYLNDAEYQDVFSRPMTGQTY PHYBLDRAFT_179264 MDPLVELFVKIGLSEQKAKDTAKNKKLSPTLESVIDDANVRANG CDKDLGILLYQLATTITKDAAQHRAYIVNKIVKGDLKTTDQVTAAIKYADNVQTINEA DFNEASGVGIIVTPNDIQKAVQTYLDANKETILKDRYKTLGPTLANVRKISALRWADG GKVKQAIDEGYLALIGPKDERDVPQKKKKEPKAAKAPAAASNAKQESKQESNKTDVAN MFFEGELARLHKPGGNKQIKPELMAEHLKATGGKVVTRFPPEPNGYLHIGHAKAINVN FGYAKAHNGITYLRYDDTNPEAEEEKYFLSILETVRWLGFEPFKITYSSDYFQQLYEL ALKLIRKGLAYTCQCTGPQIHEHRGGDNGGPRTACEHRDRPIEETLEQFQKMKEGRYK EGETTLRMKMNLEDGNPQFWDLIAYRVLYTPHHRTGSDWCIYPTYDYTHCLVDSFENI THSLCTTEFRQSRESYYWLVDAVEVYKPVQWEYGRLNVTGTIMSKRKILKMVTNNYVT GWDDPRLYTLVGLRRRGVPPEAINTFVLELGVTTSVSNIDALRFDAKTREYLDRSTPR LMAILNPIKVVLENLPEDYLEEIVVANKPKDPSFGEHSVPFTRVVYIDGSDFREQDSK DYYRMAPGKSVGLLNVKYPVRCVSVQKNAADGSITELVCRYENDIPFKKPKTYIHWIA ESPRHNSPVRLDEVRLYEPLFKHANPDSAEGGFLNDINSHSLTTVTGAIASVGIYDQI GSWAAKTGGADKESMRWQFVRTGYFCLDKDTEFDLSKITNGQVREGLGRLVVNRTVTL KEDVGKA PHYBLDRAFT_58849 MINNIFDRVLAGIAMIGTVVIAACLGSRAVLVLVFLIECKVFQE LTSLPLMGYRSSSLDYSAHGRRFFEWLLYAIASYWCLGCVFVQPGSRLAECESDLVCM MLYTAWFCGYVYQLNPKFLSEQLTRLCWIHCSILLSVLQMRFMVYNMQKGLIWFILPA SLVVINDISAYAFGLILGRHQLLFLSPRKTWEGFGGGLLIQYTKTLVGLEYLACENKS QSLSANLKLNPLEDTMQYHGVVLALYASLAAPFSGFFASALKRACKIKDFDQCIPGHG GITDRMDCQLLMPAFTYFYYKFAVEYLESSS PHYBLDRAFT_105799 MDLETVAKLLKSDIEDGVSESQVEERRQQYGYNEMEGEGGVSPI KLLIKQFFNVMILILAIAMAVSFAFKDWIEGGVIAAIAIINAVVGFLQEYKAEKTMDS LRQMASPTSRVIRDGQGKTIPTRELIPGDIIVLNSGDVVGADARVVEAFNMDIDEALL TGESVPVNKVNDIIEGAEISLGDRINMTYSSTIITKGRGKSIVTAIGMQTEIGKIAKR LLDSNDNSKTPLQKSLDRMAL PHYBLDRAFT_14776 MLGAVTNVCSDKTGTLTQSKMVLTRFWMPKKGFYSISGLGFDIK GEVKSESDESTIPSNDIPPYLKQLAYNAALCNMSEIRKDKEGDGYHGIGDPTEVALQV FAYKLDMGKPKLLSTKGGPGWTLISEYPFDSSIKRMSVLCKNSEGEHIGFLKGATERV LERCVGIKTGDSVEYISQEQLNSMVLPQVDELARGGLRVLSLASRSVKVNGKVTAETF AREDIEQDMFFLGLVGIYDPPRVESKDAVIECHQAGITVHMLTGDHIATATAIAREVA ILPSDYGLNLPPGKSDPRALVMSAQNFDRLTDAQVDALENLPLVVARCSPDTKVKMIE ALHRRKKIAGMTGDGVNDSPSLKEADIGIAMGQAGSDVAKQAADIVLV PHYBLDRAFT_5889 NISRFVVHMVSGNVAELTPLILGLAFRDLSGDPVFPMTPIQILF NNILTSSPPAMSLGLEPVHPDQMLQPPRTSKQALFARNNVIDILFYGVMIGGICLLNF VVVVWGWGTGNLGEGCNHRYNDSCEEVFRARGALFATLTLIILLHGFTCRDLYQPTWT WNAMKNMNNKYLIWSTICGICLMLICLYVPVLNTDVFKQHPITWEWGMVAASILVYIA FAEGWKWLKRRFW PHYBLDRAFT_98693 VLKYITTAINSPFEVSKTLLQVQYMPHEDVEVLATTSHQQDDNH DHSDSTDEEIEEDEEDDFYGTGGKRRHSTEGLDFSTASLDADNPAFKKKVSLDESGYV VRTSVYDDSTRPTHQIKPIEGGVWQGIRLLIKQPHEGWRSLFKGQYTSWLCEVLHLFL QPTLEGTLNDMFDLYDDTIPLVHLDRVGPNLATLVASNLIVGFILSPLELIKTRMMVQ SASPLQRKYKGPFHALRTILNEEGGLWGLYLSHNLVPTLLYHSITPLLQNTIPLIIDR VFRISANDSPFLYGLAELGLNTLELIITLPLDTIRKRLQCQIRSRTPGNKRFESVVAL RPVPYTGIMDAFYRIVKEE PHYBLDRAFT_139827 MASKQDLQLESTRRNKRLKVVSACGECRRKKIKCNGEKPCTSCA KTNMECDYSRSLKPKPPTGTPLLERKKSVSPSTSTTGTTPIHAIESRLTMIEDVLRAL LASSSTSPSLSLSEKDTPLSPSMSENSDPSADRTLPTFGSRERDPASHKYLHSGPPSC STVYNTRPVHPTRDKRQRHECDLSYTHFAQDDHFVRLPPLHRPQPPLSASSSSSSSSS FFPSPKLSAIRTLLNDTDQKQQLPSVNAPPLASLF PHYBLDRAFT_179266 MANIEWEKRWQNGQTGWDKGTVSPALKKLIEQDTDGAIVPCKGR GLVPGCGSGYDVQFLASKNLHMTGIDLSPTCIKLCRKNHPDAAKDNYAFETGNFFDFE VPDGGYDLAYDYTFLCALEPKMRPQWASRYAEIIRPGGVLIALQYPLDGHEGGPPFSL TPEIYEQLLTPAFELIYLVDADGHESRIGNEKMSVWKRKSN PHYBLDRAFT_176722 MSIFFPPAHHGMKVLNRAAFKKSYDVIGVRVPAKRVGIVVKAFG NNLLNQPRLRNVAEDSGSKETKLVLLKADLRREDLENLPQEAKKVMETDVLGVVDHHI DLDYDYWTSEQIMHAVMPKDAKEIPSSFTQTGHIAHMNLREEYYPWKTIVGEIILDKN KNITCVVNKTNSIDTTYRYFKMELLAGDGNMMTMVKESGCRFKFDFSKVYWNSRLHTE HERLVRMFKPSENVCDVFAGVGPFALPAAKGGAIVYANDLNPSSYEWLNENVQLNKID SKKIHTYNMDGREFIKKAVQDLQETSTEWKTFDHFVMNLPATAIEFLDTFRGLYNDKK ELFEASKDAKLPIIHCHCFTKSADPRQDIFDRVAHMIGAPVDSELTQLHLVRNVAPKK DMYCVSFPLTPDVAFAPLR PHYBLDRAFT_139831 MDVYDLTRKKRPTHLTLGQSASSESATTLGMLNATPMQPNSTIR AHRIPDVVSGPPNRDHWKLIQQLPYNQPDADSLQCASPDCQTAFGLFERRHHCRKCGD IFCSAHCSNYFRLDHASQFHLRGLLSRGCDACAGDYKQWQDAMVRTKSRQVKKEEPKT EERVKRRTLIMTQQPQAMEGVTELGRDDIVQSDQSSSSSPTTPRPRGIAIRHTANNEH APLHPILSVPADWQWSTF PHYBLDRAFT_106324 QNCSDMLIRINILGRGSSATVYSGYHLPTRATIAIKRIDLERLD INGTGTRLDALHKEIQIMSLCNHPHLLPIYQSFVSNSYLYIVMPLMSAGKFRETFKAK TAFINLYSRLIILKQVALGLDYLHTNHLIHRDVKAANLLLDWKTGYVSLADFGVRLSD PISHPKVSLRRSFVGTPCWMAPEILGCQAYGTSVDIWSLGITALELACGRAPFSEFDI RTIFACILHRPSPTLQSVDSKASFGDSFHDFVDQCLHKNPGQRTTAQEALSHKFLCHA EPPTHLADYLACYPKLNKNTSQTLFLHKQPLCDTLHTSMHENDDQSKKLQLYFCLWIN WKTSNCISSYCLGLYAY PHYBLDRAFT_184933 MSFQAGSIFNQKSRPSIQSSREYESYTQSPIEDPGLSNRHSITE SLKHPASIIDAARESEWMCDEDIKTDVLYPQYNSSNQKPDLTAFDAMVKEQARKLSCG TLRLTRTLSKQNQLYGDFAKNNNLNVDPDAFRFEMYSTKAIPQPTQRIQCLLTEEGRS LLDYTLKSTGWWFDALSPTDEEMRVLSKTLHIHPLTTEDIQAEEPREKVELFPNYTFV CFRSFDIDPYSELIRPYNFYILIFKEGLLTFHYKTSPHPEKVRQRIDNLKEHMLITPD WNSYALIDAITDSFAPTINQVEVEAISIDELSLVLRRSEQSDMLKRISRCRRRSTQLS RLLSSKLDVLKSLMKRYEDKSRDNLFFQSNMLTSDQEPSNPANDIAEKRSFTEVLLYL GDIQDHIVTMAQNVNHYDRSLYRAHTNYLAQVNLELTETYAKTNGVMNRLTFLATVFV PLTLVGGLWGMNVKVPGGDHVDLNYFYWILGGMALYCVFSMYLGKQAHIL PHYBLDRAFT_75680 MSNDHTGDSSGSSTPQNQPRLLPPRRNVSLHRQEFEKSKRAMTL GWDKVRDNLQPAISEDTIAALPPSTSISSASTSITLPSSTSRSPLVNQRIGQRGPIFN PFSRGQTTSDPIMNLQNDHRQYNMASTTLAAAQAAGMTNFGRNFRTSRFMHNNGIYAT TTAVQQDIYRLERALDKMLMQFNTRGQHASFTNSSSEPLDSNISVPSEPTSHKLHLFP RHPRVETSAQTSSTGDLADKLSKESRSDITRVSAIMTSLVEILRKHKQATRLPLTGEI LAILAVPFDQFLFNSDKLDDCRQALDIFDYIRGRFTQLTPDENFEQIIFCCRLMETNQ LQLKIRIISTLKEIMSPLIGNTLLLPSTPGAFNSLVYTLVNALVSISPIPITGQEPLC FDEESDTARDNIIEMLDILASGDMIPIAGDQWDSYYTASLTSTAPVSIARFCVVESLC KSLMTGKYAYENPYKGNVSFHGTTSQENPRDKLIISNLLPRYWSEPTLDVLPAYLKVV FVLSEAGKFSITKLPVSILEVNLRDKDKDYKQKNVALNLVTMLLSLLSVSSLEEAIIN NSRFSPNHSPQPSVQSSTYDHGFSYPTDEHNSGLPVDTPHEINIPQNILSDIKTYFEE FWHGGYSESIVFGTEAMLEDSLSERSVRVYHNLAFNVDHNISNEIVKRTIPTIFTTIT STYPENIPSLSDLLSKIAKSYRPIFYKPVVSCVASNDQEKVVSLLTLMSCLRRYLSGV QYWMQDAEMINVLLLSDVGNKRTRRSEREYNPTQLLSAGNSDNASDTKWGSTTLGQCV VAAEFSEAIKELRDKQRDRDRNMEEDEIAKKFLIDLERRISVFMTAKEKLVLIPIPLR VIICNIFLDIRFFCNTTHRPGWLTRSIEWATQPVATAELYLDSEKMTSPWEAASSDGE NGPRMPRTSVMLHNTFLDDVTLMFEKIRIVHALTVDELAKEAASTGSYNDRPVSRVPP RSESAESGHSTVSNDYGTSDEQPNPLIQRNRRLKSIITEYSINLETEISLSTHPPLRI SYNESLQDGPATTLAKRRLERLPKINQDPFGSVFSLLVAVFTTLSTQEFSRLVTPLWE RFTDDRNPQVFIPAAFLLMQCGEKVPKTVIEVTTRDFYSTNPTCRISAIRKHAAINAY RFNVLAQEYIPISSRRRPFRGDGGAFSTPFVPTDLGSNQYTMDEPRWMSKLKNASNFP IELKRQIQELGWDDDDQGEEHEALKKVLTPLALLPSLFLEEEDERMNESVEGGRVHKS DTKQVNISQMITRRKRASTVHAFTVSFLSMVDLLKDEDSGVASSLRELLETLTRDDPS LFLRAFLSDLGKYKADRHHDILSRLRYLVSIQAKLPPGFAYILFNYLSGMLKWLTREN KKDGLVLMALIHPILAELTLSTNGLSTRDLRKNKIEHLLASTGRFWFTTEQPVAMFPR GLTDMKSPFSVLDIPWDVFSVAMLRISHIQFLTNFLTRYPREVYAVKKTLQDYEPITL SEVDISWAGVETEDMYFPDAKLRKRLDTDVVFESVENAIHQSHEIEPDRRSSQQHDDI STLSALRARVWLRFIDVLLNGLNKNYNDRMELERILKGVNVIILEHKNDFGIIGQTLV LYTRVVTRFKRLFVSNRGYTTFTPSLFKVFCDVERFPHIRSAITFAWCRFYAVHEEAF VFQMLGALVPLILKAYIKSASLGSWMTDNLFTLMQAMHNPPRLGATSDVLGLQLQVEL DDHERSIQERIDSVSNPMAIPLSTTILKPLGRSVTAPILPLIDNDFSERKFKLDNFIR LFLTVIAYDPGSLRAEQFVKMFRHLLPRFWRLTNLKELVAEGVSALVEVFVKFSKNAK PLAGLGTTNTSQSGGGTSKVPFAEEQNKGQGPSRSESAQHAYGKQWQQNDRLTIKKEF VLLVREYLKNGGKLSEMNHEKMATVIKIVMRDYGTIRDSTCSTDWIRDYLVDALNALN ANDLTKNYTKPFKKILIQIHAQYRTQWKNTDAADLYEGLAIVLERGQGQPVNMMDIAG VIKERFVPFGITVAMRSEWEKDDIGHTRFCNSLVRLIIAILETTTQDVLYEIEQLPPS AVLIGKILIPICLQYDLRWDGNIASEIGRYRPNPSANWMRLLAYTTKSCSQASLLKAK TSGFSLTSLTSGIGHPGAQDTADAAETPETKETLHSISSVALLFSLSFVALKIILIRG AKSFDGIKGAWVQVAYFLKEALAFGQALKTLRPKSGSGRSTPSQVQSPGTSPNYWSVM PGSPDFRISLQSGPVSMSVVYDFAIWRFLEFVVCYKSPLILFLRDFIHERLRDVGSSH NRSTIHGPVTPRTTNSGMTTDSSGRRSRWKSWSGGLSPKEKDPIPTVNINTPSVHIEH DTSPVNLSPDTSTCGLGLHIPGMSRPISSLNSPSFSARASYDWSDTEQDTHAHPGLSP RSSTTPRSSPGSPGRPSILAQSSEYHSTSTLLHAIHAESLTALVAVQVFMGYKPALPW MTDRPDTQLRPWSYHEAVKKMVSEWQLMLQLRSETAESMNKQLPLSAHITGSPLQ PHYBLDRAFT_130202 MSHHTIFILLLSILISLVAFPEITNATALSYNVAAHETACFYVW SDAPSKKLGFYFAVQSGGNFDIDFDVKSPRGEIVLDGERKRQGDYVFTAQDVGEYSFC FSNSMSTFADKLIDFEITVESEIRDNIAKSDSSSQTPPVVSTMEDILNRIAQSLTDIS RTQKYFRTRENRNSSTVLSTESRIYWCAIFESLAIVGMSGFQVFVIRNFFKAKKGGV PHYBLDRAFT_139835 MRDRLLNVQTLLPGIITNAVQLTKCDRSNALTYGAPPWAEYGDE WKKFVSQNFDKIAEAGVDLVVKVSAKDYTSATQPNKKPYDGIRTCAVQINTFFRADLP SNIKKVPGFNLSELLPFIASTEPKYTIQPESKRVSTTTTRSPPEKKDMRDHTRYVCRA EDKKPKNAKERLTKLRHIFKKKISQMMNDRQEAFAKYRLVVQDLNSAEGQQVFLEEEG TLNDDSPETSTPGQSVCSLICNTLKKLFPPKKQYYFTRALCPSTSFSSSSALHLDSVA LYQLLTQNLDQEKSEEQPSNATFNAVFDVGEIQKAYIPPYSRPPIEKSGKGVTKVGGK TIVNPGYFNYAGTDNGLVKMTASIPVSLPRMKAHLKVLNYYISYEYEG PHYBLDRAFT_58862 MRAVFRSLPVIIYMSQEKQAVYNTVLLDEVLTVFENQPVGNFLS KSKVILPSYLYSRYYLVAIVFYKMCILGYYDLTSWLSLSLLFRVFYKIPVTSHQFTFG FTEALPSTPSQRRLMGSYSYLRNTFMASTYSIFVFFLD PHYBLDRAFT_157346 MPLFVGNALNITADDVIVITLTSAAKKTTNKKRDNEEYNTNSNG VVVTLSVPKDSVIPLQNLVSDPTSVLYSTSNGQLASLVDNSYPVSGSSSSSSSSSSAS SSIVDPNSTEDQSSSSSNGGSSGNGGLSKASIIGICVSVGVVVYAAATVVSVRAYRRH RVRKDTEAQQQHQVFAQSISAPIMQENSLGWQSQRPTYHGNQW PHYBLDRAFT_154221 MVLIDNPAYNFDFKLVIVGDSAVGKTHLLRRFVHDKFDHSSMST IGVDLLVKEMVVNHTWGRIQLWDTAGQERFRSATKRYYRGCVGALVVYDITKHASFEN IPKWLEELQFNDTHPDLRVIMIGNKSDLDDQREVTIKEGLEFAKKHKLMFMETSALDC SNVDNAFYTLISNVHETSASQIFALSDKDVLLPQGEVIKLNSSRTRKRSFTEKRCSC PHYBLDRAFT_139838 MSSADDINERITAARREAEMLKDRIRQRRDALADSTLTEMAKSV EPLPRLVMKVRRNLRGHLAKIYAMHWAGDAQHLVSASQDGKLIVWNAYTTNKLHAVSL RSAWVMTCAYAPSGNFVACGGLDNVCSIYNLTARDGPVRPARELAAHTGYLSCCRFLD DRRILTSSGDMSCFLWDIDAGAKIQEFTDHAADVMSVSPSPTDPNVFVSGGCDSVAKV WDMRAQKCVQTFAGHESDINSVQFFPNGMAFGTGSDDASCRLFDLRADRELHTYGNDT ILHGITSIAFSSSGRLLFAGYDDYSTQIWDTLKGERVGALSGHENRVSCLGVSSDGMA LCTGSWDSMLKVWA PHYBLDRAFT_58866 MAVLSIQSVLERKQCSVHLDMQSRISYVWLQICNFTFENHNVME TYGYPVLRSANLPGSYLMFVIFPSQGIISYTYMKRSHFESDNAENFQINLMQAHEHLS TWCESLLDPEPECAVL PHYBLDRAFT_179274 MPLTKPAPPPPKPTFDEFSTPADFNDKFKKKETTKYMNPCSVEE KQSMKCLDKNNYDKSKCDYFFIQYKECKKKWLEDRRQLRRKGLL PHYBLDRAFT_75684 MSAELAVVYAALILQDDNVEITSDKLQTLVKAAGIEVEPVWFSL YAKALAGQDLKALLLNVGAPGAGGAAAATGAAGAAASTEAAVEEAVEEKEESDDDMGF GLFD PHYBLDRAFT_91853 RLLFALGLSILMVIHTRRKKSLTIDGAVGAFFLGMITFSSTYFF FTVVLLSFFLSSSKLTKFKADRKKLIEIDYEKSSERDLTQVVCNGLLGGIAVGLFHYL GEPTTTCYDQSKLHTVMMWIYIGHYGCCAGDTWASELGVLNKGWPTLITTFKKVPPGT NGGVSPLGLAASIGGGAFIGLMGAICLAFESPCHGFAWDIIVLGSCAGLGGSLIDSVL GATVQQTLYSSKKKMVLNEASKEDGDVISGHDILDNHQVNFLSSLLTSSACGAVAYYL PHYBLDRAFT_75685 MASRFASKTSLLKSSPVSQILKRSYASEHGSAKHATESFPEERF SSNVWRNSLLTIIAGVVFYRVSEHVTGQGEEKHPFTKWIEYRMTPSTEMDRINTENLE AAEKLAEYRLVYQDAQRAPIYRMRYPESFERASARGLTAGSHADLTDLKIRSD PHYBLDRAFT_130211 MSGSANQSGDEGDIDLKEQDRFLPIANVARIMKKALPENAKIAK DAKECVQECVSEFISFITSEASDRCQQEKRKTINGEDILWAMQSLGFESYAGALKIYL TKYRDTTKTDRPTTAKEKEDGPIYVPQPSGEHGTESYYNAIAHQYLDPNKN PHYBLDRAFT_14803 SVTLHTDLGDLKIEVFCESVPKTAENFLALCASGYYDNNLFHRN IKGFMLQVSHSHPNQNISNTFTEICFQTGDPTGTGKGGNSIWGQKFNDEIRSVLKHNA RGIVSMANSGPNTNGSQFFITYGKHPHLDTKYTIFGKVIDGADTTLDAIEKMPVDEKN RPIQEVRIRSVTIHANPIADKHV PHYBLDRAFT_58872 MGERDRGSRDSSYKRDRSRSPTRRRHSSPSNDSRRSRSVKSQSS HRHSEQRSRDLAHARDLLAKITETSDRDHVALIAIIREVIQRALMIAAQVEANLIKVE KKMTKTKTRKKKLCLYRILDERDSKRKSKKSKSKDKSRSKSKNKDKKKFYIKRKSKRR SSLSDDDSNPRSAITGKKLKLKIRKTADDKERDQNRSHLLDFLNSAF PHYBLDRAFT_162882 MDHSSLSMQRFIGLKRQGLMNLFMMSFPLVYNFFALAQLWRLYT TIKSFLTKVPYQKDFKLACNNGQLLLVISSYQPDRRDPDTLYSKPRLFFKCIYFFIQR SLKERLHYGKQNGDRCFKTIRVSEAKGSSNNCGVPSFPDYLKGPSQLPLTIMSNRSSK IKFKTYIRIQQAVAEIQRFHKKPLLRLGLQDLVPRSKKKTALIKSIERKLLQMQTEDL HVYIFDEFLYSSFLQIKSSNCAPCIVCFTVDILLGTHPIDGVFVNKFTASLIRSIFDK LVYLTSTLRDTE PHYBLDRAFT_162883 MDKKWLEVLQKEEHYLPLVGVVTVGLAARLVFALFSNICQNRKE SERLKLEGYKEIPSPSGRYPFFGHMLSLGKAPSFKVQKWHQQLGPIIRLDMGVQPWVL INDPYLAHELFVRNGINSSDRHKHSYAYWMYSKGGKGMIFNQPGKKWKSTRSAALSIL APKNADQITESSVSITDNAIKLLKEDTDKEGAISPVRYMKMATYGTVFKAVFGKDVTS INDPTLNSLIHITERSIVYAGAAGDIGAFFPSLSWINHLSSQKKAMNDIINERDKVLE VLIREAKDGDVKCLTKVAYSIKEELGLDEMDLTILLSRQYPFLDDVFGAGGDTTGMSL TWLFGILPQYPDVQKKICDEVDEFLVKYGSLPSFSSRDEFPYTTAVLRENLRFRSITN FGIPHFTANDVNISGYHIPKDTILISSMHAMHMNSSIYEDPEKFIPERFLGTTKTWSA SSSGSIKERDIYAFGWGRRICPGIHLAEMIVFNMCVRVLAQCTIEAPLDANGKPEFVD LNNIKTQGIIFGPQDYKRTSSLCCCQCAQLNIRLIQPDN PHYBLDRAFT_58875 MRFSFILASIAICLTVDALPLGSIRRENIIEKNTLGPRQTVELK GKFLHVTDIHLDAYYLAKTDPSNLCHRKSSKDSKNVSGKFGALGTDCDSPTSLVQASF DFMKQSLSDVDFVIYTGDTARHDRDDSLKITDKDILEEHTVVTQYFKDTYNIPAIPFI PTIGNNDGFNHNDVVIEDPIFKSLKTIWAPFNLNLTDDFSEGGYFVQDIIPGKLQAMS INTMYFFSKNSEAKNCNTAGTSGALQITWMKKVLDAARTKKTSVYVVGHVPPNDDDAS ELYKSSCHELYIDLLGSYGDVIAGHFTGHTNSDMLTAIVKDGSSYSSLSAVDDSSELT SSSMVDPNVATVLFNAPSIIPVNNPAIRVYNYETQGTENPFGTILDWIQYYADLEEAN DSGELEYKIEYQASELFGIETFDAAGVSKAFTKLATDKTIRKKYISYVSTKAYESMFL DWNYYSNVEISNLETYVAMRSSFCYAIFK PHYBLDRAFT_157352 MQRNLNGSRQEDLKEIHQMLLSKEEEDRASTCQADSVPGKKKYT SSSSNYSPFHRGVYFKGIYTKEQRKTLH PHYBLDRAFT_15321 MVNPRVFFDIDIDGQRIGRVVFELFADEVPNTAENFRALCTGEK GLGKLSNMPLHFRGSIFHRIIKGFMIQGGDFTRRNGTGGESIFGATFADESFARKHTT HGLLSMANRGPNTQSSQFFITTRPTPHLDGKHVVFGRVVSGFPIIEQCENEPVDERDR PMRTVMIANCGELVLKLPPGVKSKKKNTIFGNLIILTIGYCSL PHYBLDRAFT_58877 MATQTCILNEKPLMLPLVIELLCKGRSYPKWATLCKLMLQSEPL PDPQNLLLSSLREQISAKSNPARGEFATGALSRPFSHGMADILDQWRVNQGKLSSKFI SNPLDLLGMTNTSRLKVIEQESIQVFKSPDLESSLHKLIFETMEPQDWRLFLGLRTTT GGKDLDTMPPSLNECIEAFTCLHQPISTGTRPIVNQLTVGARALSKHAHRDQKNQFWG NCTGTEKAKNDHANTVLANILCDSVWINLHMLPHAKVVYEVRQSLGYGARWVLHNDKW LFRGFLEPQMEEGHLLGWVH PHYBLDRAFT_139848 MSLSDLLNLAYGWLKPASNRSRLTGVVQLASYITACVLAVLSTV SLFCAFIALSMCDDLGRISAATRNRLWVTFKERMQQVDWTAVMTLITTHLSKITSEKN PWSALYALYPVFGSINIHTVQGFVLRIPSYFSHYFNISPGTKPSYA PHYBLDRAFT_58879 MKHAGPLLATRQKESVKLRTAKESVEQAPCEHDEVYVKSSKFSA GTLIKKKAINYHDKHIRGLMLDDRKYGCMALLIRKFLLSKKSYVYIVYVQIPYLFNMS LLRSKTLLNISIFSSYVDGSFILVKASEILSDQTGMPPQTY PHYBLDRAFT_58880 MSIYKDAIAKHQRFLTIENVKSRSAMLRKYDRFLPVMQKIIKSY CHYTLCNEILLSVLLLIGLLTRIMSFPFFYLLMFPESDSTSWDQIELFHAGKKVMICG PVESKYHPPTRKCCSPKIRAQAAQAVPPGCSYKMDNIKGNLFNFEYWENTKPKQYDIT KYDIALCKSKVVEKRVAHACL PHYBLDRAFT_121473 MAKRTKKVGITGKYGTRYGASLRKQVKKMEITQHAKYTCTFCGK DAVKRTAVGIWKCKGCKKTMAGGAYTVSTTAAATVRSTVRRLRELAEI PHYBLDRAFT_162891 MNRRPTSPPAQQSPEASVQDEVLLPLPTPAPTPCRILGESLASL VGASLTVVGDSVYVFGGFDRFTDEVFKSLYRLERHAGQSRWTRIIYTKGAAPSELSGH SVTLWNDTKLVVFGGSSEEDNTFFNQIAILDLSTMVWSHPTTTGHIPEGRVNHSATIY QNKLYIAGGNTANPSSFADTLLILDLETLEWQPPVSFVKRQQHATFVYDHRLYLYGGF REDMGRSNHLSFINLDTYAITHLDFESASAPPLTGQRFGQICGDQLVVVVVTAPSTAA TVRATRETHQPQIHATGVWTLDLTSMQWQQREMGSRYGVCNWHCFAMGENDTAFYLFG TEEDEPYDYYAMALRVDLQELGIVVVPPPQLGHDLVNLLASHTGDFSISSSLNDEKGE VRVHRLVLMARWPHFAALLQSGMEETVQSTLMMPYGLESLQALVIYLYSDSIDQIRQR GVIADLLVMAQVYELPRLSTLCIRRLHHPMTIDSVSKVYHCAGLAGQPGLQHSALAYM FQHFGAVSHTHAFRSLPREVLFQIWDAMPDNATIVGYTSRSPSVEQDAGRQSDSEMSG VDS PHYBLDRAFT_157354 MVAVKAHQLRNKNKAELLKVLDEKKQELASLRVQKVAGGSASKL QAIGQARKNVARTLTVINQTQRDQLRLFYQKKKLIPIDLRVKKTRALRRALTPYERSL KTVKYQKKQAHFPMRKYAVKA PHYBLDRAFT_130229 MVETETFSFQAEISQLMSLIINTFYSNKEIFLRELISNSSDALD KIRYQSLTDPSVLDSEKEFFIRITPDKENKVLSIRDSGIGMTKADLVNNLGTIAKSGT KAFMEALSSGADISMIGQFGVGFYSAYLVADKVQVITKHNDDEQYIWESAAGGSFTIT RDEVNPSIGRGTEMRLFMKEDQLEYLEEKKIKDIVKKHSEFISYPIQLVVEKEVEKEV SDDEEMKEADSEEKPKIEEIEDEDDKKEEKKKKTIKETVTETEELNKTKPLWTRNPEE VKLEEYTQFYKALTNDWEDHLAVKHFSVEGQLEFRAILFVPKRAPFDMFETKKKRNNI KLYVRRVFIMDDCDELIPEWLNFVKGVVDSEDLPLNISREMLQQNKILKVIRKNLVKK CLEMFAEIAEDKENFDKFYEAFSKNLKLGIHEDTQNRAKIADLLRYSSTKSGDEMTSL KDYVTRMHEKQKNIYYITGESRASIENSPFLEGFKKKNIEVLLMTDPIDEYSTQQLKE YDGKPLVCITKEGVEIEEDEDEKKAREEEEKKCEGLCKAVKEILGDKVEKVVVSNKLT DSPCVLTTGQFGWSANMERIMKAQALRDSSMSSYMASKKTLELNPHHAIIKSLAAKVE ADSGDRTVKDLTTLLYETSLLTSGFSLDDPSSFAHRIHRMVALGLSIDEEDLPTAETD DAPPAESASASAEESSKMEEVD PHYBLDRAFT_56514 MAIRSIASTSVMQKGAIYDRNYNVIDLARDSDKLSHVLYAFADL NDDGTIVLGDAWADTDKHFEASQTVDGHKDTWKEAPDNNLYGNLKQLHLLKKNKRHLK VSLSIGGWSWSTNFSTIATNTQKRHQFATTAVEHVATLGLDGIDIDWEFPKNANEAEG YVELLSAVRQALDSYQHETKDKDRFLLSVAMPCGPDNYNILKLGAMEPFVDLFYLMAY DFSGDWDKTTGHQSALFDAPLNVDQAVRHFIKAGVPSHKLVLGLPVYGRGFLGAKGPG SAFTSLPKGTWDLGAYDYKDLPPPGSSEYFDEAKVASWSFNPTSKEYVTYDTPEVIEH KCDYIKSKGLGGAMFWELSADYHGDHPRSLLNTVHRKLGSPDQTPNHIEFPNSRYENI K PHYBLDRAFT_139856 MLCNLCNSLVLIHCTSYIAVFAVFAVVSAAKAKDQITYSVISLE GGRQDISVVVDTISYPLLQSAQVPVLYTGSAPIASKDYKYSMSTGRNSMKTENFSRNK TEESTPNETFGRPWNTRVLSKLKSALPPIPSIDRIKSLLHIDGEIATIHLSGNQSAFD NMHNNPMEESLVAANVSYISPHGIHTFSNVELEISGLSSRTFRKLSYNIKLKKKAKNH LYGYRRLKLRAINNDDSYIRESIGYDIVKAAGLAATEFSYVRVIMNDRPLGLFGLIEN YKDPWLSNEFANGNVKYEQGILYQAVYQVDNGNVNITLSDLSYYQNITKYETGAYKIK ADSTNGIASLDPLIEFTKFIKDAPTDTPNAVHIWNKKLDTESFLRCIALEILLGFSDG YIGTGNNYYLYLDPKTQRYIYLPSDLDLIFGAGFISTVSMTTGNYSDFPCFHLRPLIA KMMQIPTFKTRLEELIVEITKRLYNLKELENRIDDVVDMIEEDVAWDCSLPRVSKGLI LGDIDGSEEYSLSLIPPMVDIPTALNLFTKNETIPLRVAVNGPTGHLASPGVKEFIKW QSENTMEFWHNTTGEIF PHYBLDRAFT_58888 MLLIESDTKDMVSLENVSGAYYKSCSHKYECRIYVHPGDFGAAY MSLIVGMSLSTVEYFIKIVDKSKHSELIKGFKRVRYIEGKTESHTINIIVSCPKRLEF GACIAANKPN PHYBLDRAFT_162897 MALLQLEHILWDKAPAVVLNFKHIPNLSRHDIPISDRFTGVIQA ESTITENEYVSEGQHMRQMLQSSRGITLRRRKDGSFDLDIARYFSRMTVKNGHGGTRV ISSLGTIDPVRRFTESYQQSPCLQRGGVLKGLKLANTIGNGRIYNSELVGNIRVLISF TNPYIAYEFRVINSSNSMSAFSKLKLFSPNTIYEISDYYASNPIKYFKHDSVMKSNVA RVQRGLLPNGDLWALGFST PHYBLDRAFT_58890 MKHRSHSNQPLGIILHDEKFYFPGDILKGTIIVHPKSPTRTNHL QLRFTGEITVSIKDKKTIPLFQITKILAVSKSGKAHVLEARQHHFPFEFVVPADLNLP STMEHKKNASVRYRLTVVQDRPLVLESLSPKIGYSVPILELIDVNAPHLSMAQERIVE IPLPRPSLATKFYCKVSMDRLGFTRGAIVCLNITISHSELLVLKNAISVELIRTIEMQ TDKNSQKKEDVLRSRQFDLNIIGPFNFSQSIPCQLTIPTSTPPSTAHLSLKIDYKIRV RFLIDGKKQALDIPMMVGTWPPTAVPIDDEDDEEIYQSKRPTMVSEIKDDEDNIDDEE EEEEDKIQDRYRYKYKDKERIEKREERHDSISDLQYLQLQPQKSSRPILVVETGVGRS GSGASRSSKHSNGSSASSESYLTHLSWDDSSLSRNTSLSTTQSHLDNVFRRSSSSTTP HDLYRPKSIAAPMPLYNQSGPPLPKHGCISDAIVLQPIITPHTHIQSFVPPDLDDDDD DEDEDDDDDPIIIARRNQRKLQRTLYLPINKEKNWLYVIKKVSPSLNSETIPYNTI PHYBLDRAFT_139860 MVNNRQSIAPAPSPEYTELLRRLTAMEESLKTMDSNIGIVIKGD KYSLEILDSVADASGELLAVIAPTTIPASASVPFAASSIGSTLDWYTTPSEAFFDISS AAPSVAPSVAPSVAPSVGPVVLTGANAGELSKQDRIRVLALIRGELKKHNFKSNKPEL VAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLATKVVGTSVRQADVSDCIYTNFCGTR RRVKESYEARKKTNSRSRKAGQETDHFDRRELTYHTSKAEIDVKVGKSCDGLLQKEAM SEGESEDDMPGVSSNRAICTVHPSWRSDYNHFLAVVDDFMCNRMDFNSHQMLKRSFGR DAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_102225 NLPRNERYKPENTLLVGLMPGPKEPKSEEINHYLRPMVDDLIRL YEGLAIPTFECPSGVRVRAALMMVACDIPAARKTSGFTSHNSTCACYKCNRHFPRLEN GVNVDFRGFDFSRWVLRDGVENRLHAEEWESASTPSERHRLEVENGVRWSQLHRLGYL DLVRGTIIDPMHNLFLG PHYBLDRAFT_105809 MNRLQTTPKDTIPLGKTPRRQRSSRFNVHEQVELEKYPHFNEVP PTQRHELFIRKLAQCQVLFDFNDASSDLKGKEIKRQALQEMLEYVATTRNAIVDQIYP DAIRMFSVNLFRTISPQAAVGGVAYDPEEDEPVFETAWPHLQLVYEFFLRFVESPDFN IHVAKKHIDQKFILQLLELFDSEDPRERDFLKTTLHRLYGKFLNLRAFIRRSINNIFF QFVYETEQFNGIAELLEILGSIINGFALPLKEEHKTFLKRVLIPLHKPSSLGMYHPQL AYCVVQFLEKDPTLTPEVVYSLLRYWPKVNSAKEGMYLNEMEEVLDVTEGVEFTKFAV PLFQRLALCVSSPHFQVAERALYYWNNEYIVNLINDNIETIMPIMFPPLYKNSKSHWN RTIHGQVYNALKMFMDSDPILFDQCTQVFKEEEKR PHYBLDRAFT_101207 RKWYKTRGIPYRRGYLLYGPPGTGKTSLIQALASKLSMNVAVVS LLEVHGDSEFTDMLADAPSNSLLVIEDLDHYISSAETGRVTMSGMLNALDGIQGQEGA MIFMTCNDFNKIQPALLRPGRMDVKLKLDYAVREQIVDMFWRFFGHDYDTFDMIVGKR RDYLAGICNTFADCVPVDEVTTAELQSYFI PHYBLDRAFT_162905 MLSAQDHGRTYGSSHTSYDPKNDYPQRHSTDNSLEHNEGETSDD TKTTNDTGYTRFQQIHNAEERHRDAAGHSAQYQQQQSPRVSGFVIRQESPRLSSIDSF HDTFPRRIARRPSNDSLRSNMSTTSSIFSTASRISKLPNVVKSSLVSVKDFVIKSGSQ RARHKDARHIQARLEPTVPTSLYHPTDRESFSTRLRRRTPSAMNLAGMFSRTPEDDML DVDRSLGDLSLNASTSYSVLELKDGRANTSLVNTRQSRTTNTFSKRRNSMASDPAVRL LKKEETSPSRRVSVINEEKGEPHTLGFSDEIVRIMEQDGAGLDPVVGSSSSQSSAVGK LLFGEEIENMAFFDQELGEGSASSSMISFGNLDLVDQYSSDTKSDHIVTSKPSLQDIV WSRQRAKSCQDKVPSLRQLAQGQYPPPCSSNTTTLTHETANTNANATLSLTSTFTSTT AHTSTSSSTSSSTSTSTSTSTTPIPTSALTLSSTNNNNPEIVEAIPSRPRIDFFNPTL LVATTLTTLHSKLIHACDRTLASVVLAPVPSAGSRVSVIFPDEALQSIAVDLRKMSDM VEWDQYGPLSRKSVSSFFTVLDSQLADGRALSSTASTEHLKVRDRLKKEAEINQAVTK TVKEIVSSMKQMVNQCICQYHKIFEKAMVIPCKGYKIEGSNRFGIEPVVMETPYQDYD QSEVEHMDWDVCWFRSHFVGTDYSTFCGYMEDGEPVLITAVCDTQKDSEGADETGTPQ RQYRVIIRTKQSPDTRKILPDSFLLNAPLDAGILETQGETMIHDTTWKGVIEMSFDVP FNRLGKIDAGVMQSSGIEEEVLKLDENSIHKRYKFGVLYVKEGQTKEEDWFSNQHDSD KFDRFLHIIGQRVELQGYTGWAAGLDTKSGDSGEHTYTDVWNDNVLAYHVSTLIPSKP GDKQQIQRKRHIGNDIVCIVFAEGRQPFNPAAIKSQFLHAFIVVHEEEWNGKIGWRVE VATVEDVPDFGPKLPDGGFFFEQSDLRSFILAKLINAEYAALKSPKFAQPMARAREGI LSGIVERGCKVSYEPKMTTTGKHNKSPSTASDKSSRSSKSLKETFDLTPAPSRSSMIK DFSEGIAGLGRRRSTQELAETKPKQQKPKTRKTKGLKTEVMSSKRSRSELDLIHVPPQ PSTSANLNTSANLSTSRSSGAVVQSSLSKKEERGIKLRVENLLTTTFSNLGVRRTPVA TEDNPTS PHYBLDRAFT_58897 MLLLEREYRKYVIFNTRSMNSQLQHLTNGQILQDYHNQEPNYYA FLKQNPSLKHNPTATAAAIATVAAAVATAASNTSKPTRVIQSDLPFYYSHHSTAPVLN DQEQYPSFKEFDNIVQEYLQNLSSKKRDKALVDQHRYSLILQVLKDPRNTAISTAQFR FWVKKMFQLAPTNSMDVVCHDNKPVAMREQIYSILVRAHREAHHGGRDKTSALVRRRY SWIPKELIARFVRQCPFCISRRNGCTSPTMNGVPKSPQTQIDQTSSPALPCLSSIDTK PSINTSMDYSVDTKPFEDVSCSEPLWQHDPAYYYQPPPPATAHPNPRMYLSMAASVAT TAAAAAVASSSTYIGGPPTPSSPFDASFYYSQQQNNHNHHNHNHNHHHNHHNHNNSNS GMEFLSSSFGGNYYLNDSQAEPYSILDRRSSHNASDISYTDPMDDYPSSSESSCSSLV IIPGSSNDHQTLTQLTQHQQIQALSVLSYASSPPSSEPSLSASPQNLSSDQTTHRIKG EELNRIDTRQASLLANPPVGVQTSQSGYNNGTLFLASANSTFYSPPSPECSESSSPPT SPTDGPSPPPSAYSFVPLSHPSIGNGNTLQNQMDLLSHHRLI PHYBLDRAFT_139866 MSSSVNSTVSIPAIIDSPLVDKAFLLLETISEESFWVNLLGERL LKILKRYFGSDVVFLGIIVYMAPIIGVYFNNLLLVCTVWFRERFYVTLQVKDSEDIFE GIQEYVATKAKEIKHLRSMEARDKPEEENIELQGPSPPPKIDLYPLVDTEQVIWYKGY KFWVSFRKDISKSKYAHHYGNNLGDLLNMVSQTPVVHVTMRSSNIKLLRQFLQEWVTL HHEKKHGKLLIYKCVHTRYEGEEWRQVGSKELRSFDSVILKPGQKEVLLADIQRFRRR EKWYSQRGIPYRRGYLLYGPPGTGKTSFVQSIASKINMNVAIISLSGSLTDDSFSYLV SETPRNSILVIEDIDHCHISDVSDGDSSAPDSGGKGKITMSGLLNALDGVTAQEGSMV FMTCNSIEALQPALLRPGRIDMKMELGYANKKQIEDMFWRFMDLDIDADMSEKEKKIR SDKLDKVVVKFVDMIPDEKVTPAELQNFFIMNMDGYEENNGYEYIIEKIPELLESIER DRQQARDHKNRAKNVVQGTEPVEPVKPVEPVKAVEPIESTKPVEAEDSMDTDSLIPPT PPSDPEDAIGST PHYBLDRAFT_99099 STVTLGKFGSFQCNNLIGQPFGLSYEIYDREGNIRPVPNWALSS ETAANNQNIVDDTSVQLLSQEEVERLKEEGMKGNMDAAEIIRKMIESHTEFDKKTEFS KAKYIQRKMMKFLKVFTPVRPTLYSITQYFFNKNPEKIRHLRIDTLSQLLNHANVRAN GKILVVDDTQGLIISAVAERLGGYGTLVGLHDGKDSNYDVLRYMNFSKHIMDTVHTVP FSMVDSEKGYEEISEMTEEALRSYERKTRMYAVRSKALQLLVEGEFDGLIISSCYKPE TVIKKLSKYILGSRPVVVYSFHKEMLLDAAYMMRKSGEFIQSDITESSLREYQVLPGR THPHMMTNGGGGYLLTGLRVIDCTFDHSMVV PHYBLDRAFT_27605 MSRSMMQPQIILLKEGTDTSQGTGQLLSNINACAAVVDTVKTTL GPRGMDKLMVDGRGQVTISNDGATIMKLLDIVHPAAKTLVDIARSQDAEVGDGTTSVV LLAGELLKEIKGYVEEGVSPHVIIKGYRNAARLAINKIKELAITVNKDNKTEFRDLLS KCAATAMSSKLIYSQSDFFTKMVVDAVLSLDQDSLNERLIGIKRVPGGAMQESLLING VAFKKTFSYAGFEQQPKSFKNPKVLCLNVELELKAEKDNAEVRVEEVSEYQAIVDAEW QIIFQKLEAIVASGAKVVLSKLPIGDLATQYFADRDIFCAGRVAKDDIDRVLQALGGS VQSTCSDLRPIHLGQCATFEEKQVGGERFNIFEGCPQAKTCTLILRGGAEQFIAEVER SLHDAIMIVRRAIKNNMVVAGGGACEMEISKYLREHSRTIEGKQQLIIGAFAKALEVI PRQLCDNAGFDATDILNRLRMKHAQGGTWFGVDINNETIADNYLAFVWEPALVKTNAI AAAAEAACLILSVDETVKNAQSEKPGAQQAPRGGMGRGRGMPRR PHYBLDRAFT_184948 MDQNTVYQLFVATYQSDPNIHKQAELNIRSIETHNGFLPIVLQI LASEELELGARQAAAIYFKNRINKAWDKSKNPANPINEDDRNIVKQSILQALVTAPNA VQVQLTSSLNTILCNDFPDLWPNFVNELQNFLTSSDVRLVYVGLLALREVVKVYQWKS MERREPLQHIVKLTFPAIQSISSNLLASDSIEAAEMLKLALKIYHASIQVDLPKCLQD SSSLVPWGTLFLQLIDKNIPHEALPADPEEREKYPWWKTKKWAYHCLNRLFSKYGNPV LLPPSATKYIGFSKAFTANFAPNILQTYLKQVDGWIKKESWMSTKCLALSAAFFNDSI KHKTTWQILKPHVETLVGHFIYPQLCFSIEDEELWAEDPVEYVHKKIDPLDDLDSPQM TVTNLLIDLAQDRKKHTFMGILGFVNHVLNKYIDTPEDQKNGREKDGALCMIGCLSQQ ILEKKSNVSGMMEPFFVTHVFPEFKSRFPFLRARACDISKFFSNLDFTNEQNLSTLYQ SVLDCLHDTELPVKVQAALALQPMIRHESVREAMVPHLPFIMQEFLNLTNEIDIDTLA NVMEEFVEVFAEQLTPFAVQLCTQLRDTFLRIMEDIAQANNINGDDENGSFNGDIDEM SDKTMAAMGVLKTIGTLILSLESTPEVLQQLEDALLPVITYTLENSIVDLYSEIFEII DSCTFSAKRITPTMWGVFELIYKAFKDSGIDFMDEMLAPLDNYISYGNSVFITNEGVQ HMMIDIIDTVMKSDRVGEADRVCACKLMESVLLNCRGHVDGYVAPFLNLAFQYIFTGS MKSTEFKVHCIEVVINCFYYNPVLTLQLLEKNQWTQGFFSLWFSTLHKFSRVHDKKLV IIALCALLEAPSEHIPLSLQAGWPQILSNIVNVFKTLPKAIENREEMEKLYGQGYNED EEEDEFAGEESLSAVDGESADDDDTDNGDDDVDDEGSNYLEYLAQQANVQANEDTYEE EDDMEEEILFESPLDEIDPYIRFEQAFRGLQHNNPPSYALLTKDLDNEQQNLIMSVLS VAEQNRVALNSIMNAL PHYBLDRAFT_75694 MSNRNHADQDNQWIYELEKCQSKILGLPNKVMELICRFLPTRAD QFNACLIHPIWETAARNILWEAPKFYEPASFRAFVNTVMTTKKCALAVRSLSMCADGP DDHAIFKPIDNSDLKLHDTQLTTLSNPSFIRFMASRCEKLKSLKIYGYNLESSHVETL ASILSDLTDLHIIGNKRDPQDPSSPHPPPFVINSNILSRLRSLGLDGVFSITTSFSKT LATRCHNLQSIHLSLAGMSANGLAELCSHDILDLKELVFTHAAHIKDSHMTHVLKAFP NLVRLAVENAGHLTVETIRDAFTYSRFLEDLDIRGNSAYQLPLPNKDSGIDDEETWTV APNMYRLAIHHLEISNTHLTHILRACPSLTVFGISDCDSLTDEPLEKSFGWLNKLEVA HIIQCKKIGSKTINAMIKKQTRKTIREVNFEGSGHIRPSDIYQLCRETHIHHLKTLRL VNYPELMDSTIGHFATVLQEKESIMILDEKAIELLVDTPMEQYSDLDQKPQARFLSGD QIIALATELQLSLPALEHILNKVQIMAPDSSEQESDENSSSSQVLHKYSNSEYDNQTN KSQAEYTDNSTERTTSQLSTQWPGTSLPLKTSEPETGVSSPEIQNRSSTQDYTSESER DTSGSLLSASSFIGSPSDRPEMDSTENINNEKSLGGWTQSDGQDWAKGAEISTLNPKA NHDRKPAQGDSKQKDAMRWNASRGQPMSNGTANKKFNAVFGAEEGWGNVENVVPWEQT KGYVPDVLEAQSNTIYWEQTRDGEWSKLSARKFAELPPAANNQRLSTPISRTPRRRTR AGAGGGSFRSSDDSSDNSDSEVMRTDIVYNPAEGSFQPKTQKPTRTTALPTRPRSQSP KTTNLPKYTATTTTATPTTSTPTTIEGWNKFRASSTMSANLLPNSSSRNFRQPQPRAI SGALRKKQGTVRQAIPPGKMTGSWGSYSAQATAVDDQRPHKLIDTDDIVQPHSNPPST PEYTKPVNADLKMLAGIDLSFPKDDNENKQAHDTPSESNIPAEVIIWSNNSDSTSKQP PANSHQEQKHWGGLTVNKDQVEDVDTSKDSLLLPKDPIQTKEKGAELTPMPTSPPFLE PVSNQLLDSGTATPDKPVETSPTSSSKEKPGKRATFKISTKDHGVQCLLFFEGSNVQD CVEEFCKKYSMEDQVSILLDQVEEPFMKWKTDLVMKRHSKNNKKSKKSKT PHYBLDRAFT_179289 MSGEPVKLYLYDLSQGMARQMSMSLTGKQIDGIWHTSVVVYGQE FYYGQGILVSSPGTTHHGQPLQIFDMGETHLPLEVLVEYIDSQRSVFTADKYHLLDFN CNTFSNDLCQFLTGQSIPSHIIDLPKELLQTPFGKSMLPMIENMFGKSQLVSGPVAPQ VATSSSSSSSAPAMPSAEAMSLLQGVSSAALSAATPRPEPVQIANSLSTLEKWIKSYD AVVVFFTSATCPPCRVIKPDFERLIEEKNPAGGHSLKVVGVIVDTSVAYDAGAVYGIR STPTFMLFHKAQKFFEFKGADYAELKSSIDLLLFTAYPPHPHRKIQLRAAIDNPKKPI LYNQSSNTAIVFKKLDEFMSQSGVQLNSAQNTALQRAKALMDKSSQNTDFVVSDWKST VDTLLDHLPVNQIFPVLDISRCLMVLPNVISFYETDCSQIVRILDLGYQQENVPKATL LMILRLASNLFASHSLVTSQFTSHLPSSHRGSLTQLLIATLLSQDNLVRQTAASLAFN CSTVVATQRLQKEENDGEPTGTADQEDDDWQVEIVSALTDALSKETDEEIVLRSLAAI SNFLFLVPVDASLPHLLSALDIKSILDSKKEIKSAKVLGLSKDIGNMISQSIQS PHYBLDRAFT_121493 MVRYAATPVNPAKAAKSRGSYLRVHFKNTHEVAVAVQGLKLSKA YSYLNNVNEHRQAIPFRRFNGGVGRTAQAKEFGTTQARWPVKSIKFVTDLLKNAESNA ESKGLNVEELYISSVIVNQAPKHRRRTFRAHGRINPYMTSPSHIEVILTEKDEVVPKA NDKKVVRLNARQLARNARTSA PHYBLDRAFT_35352 MTWSDKVNDAVAHSFFGRYFQLEGSGARRERKGTRFLTEIRAGF TVFFAMAYIISVNSSIISESGGPCVCNGTPTDPTCDNDDAYMSCVYEVKLDMIMGTTI AAMIASTLIGVFANLPLGMAPGMGLNAYFTYTVVGYHGSGKVPYETALAAVFIEGFIF LFLSIFGVRQWLARVIPMSIKVAMGCGIGLYLCFIGLQSSAGIGLVGLDYSTLVTLGA CPKEALDEHGVCLWGRMTNPTTYMGIIGLVIMSVLLLYRVRGAILIAIAFIAITSWPR VSQVTYFPYTVQGNNQFDFFKKVVTVHPMKHILGKFDFSFDNKDIWIALITFLYVDIL DTTGTMYSMARYGGFTDKAGDFEHSTWAFICDACCVTIGSCFGSSPCTAFVESGAGIA EGGRTGITAITVAFGFFVSIFFAPIFASFPPWATGPALIVVGSMMTSSIRNINWDYPG DAIPAFITLAVMPLTYSVAYGIIGGILSYIVINGFVYIVDRASGGRIKPDYSAREDWW TAAFSRSFVPLWIRFLIAKVRGREFDWHQDEFSEDEDLGHHSKNEHNNTTTTSVEIVT DADTLGSTNELASIRHHRRHSTEKGVYMDS PHYBLDRAFT_157364 MKLKQLKVRPKKILESSPCVVEMGSLFECWATSGVDDKRCIATA KALSECMTKPVSKAKRQNTINYHLARLSKHL PHYBLDRAFT_139875 MTMELYHYKQESFIVSDSHSGPSPVAAPHLRHQSTNWDVVENCI ANLNQGNSISWISVHQQGKIQGLFATYSNDSSMKRFYYREK PHYBLDRAFT_184953 MKFSLAATSALVFATLFSVVKADEYAAIQKEWCGGLSVPFPSAS TVVVAGSSTKVTVSRVPNSHVKTVTGLDLYSVDKKGKPKYVQNIWAGSYKLNKSASIP DTIPKASAAGLYYYRVWVSNSINGMRGPDCIETSHTFKVTSSSHSNADGSIGYAEALT DASYYHPDFFRGCFGLTVDSPQEGQTYKLGEHLRITANRDTSSQTDNLYKVTLYKNVE NGEAEEIDAVWQGNEIFGDAFTLKDHLVLPDGKLDEAADYFYKLDVGSNKDTELCSFN SKLFKISSA PHYBLDRAFT_130249 MSDTSKSNLQDFFAIKDGEMQSMERKEIKVNENLSFLLTRLDNR YYATSNTCTHYGAKLSESPMTSEGRVICKWHGACFDLKTGDIEDAPALDRLRTFDVSV RDGGVYVKISQEELENPRLLPKCVKHNPESKETVVILGGGASGSGAAQRLREEGFDGR IRIVSRENYYPIDRIKITKQLGIDNVNSILLRPKEFWDNLDIEFLLNTDVVNVDPKAK TVTLAQGQVWSFDHLILATGGWPRKFGWEGENLKNIFTIRTVDDNQKVSKGAFTKTTQ RKPNLVVVGSSFIGMELVAAANEKANTTVIGQDRVPLANVLGPVVGDAMKRRHESKGV KFVLDAKVTGFKHKDSDTSAVGSVAVEGRDSVPADIVILAVGVAPQTSYLEKSGLPLA KDKSLLVDRYFKVQGSDSIYATGDIATFTNRLTGKQMRIEHWAHAENTGRTVATNIVK KKSVEFNFVPFFWTVLFGATLRYCGFAKSFDRVIVQGETSDIEKMSFVAYYTKNNNVL AISSYMKDPIVSHCAELLRLGKMPTASEIDSGVNPLEIPLIEI PHYBLDRAFT_179295 MAELDDTFGKELDAYIEARTNPPLDNTDSPTHSHSILSPPPPPP PPPPRKRQQQQQQQKDQEWEHESSTSTSTELSRYLYNEETGLWYDTVTGEYSVYDTAT QMYIPVKVINDDEDEDDGSREPKSNAVLRLVVIKSTLFTPGNVVLVDANGLTVGRDRS AWDTRLRLAEMPVSKIHCQIYCESNKNEPESFYIIDVGSRHGTFVNGERLSEPKKSSL PRHLKHQDQLKIGSTVLQVHWHDSSEWPCQQCKVDSCQIIDTTEGKRDKEEKEEEQRQ RKKQELFQGNQRRKDLETTRRKELSRLKEIFAVPKKEKETYKDRAEWRRKCEPRVPVS ALRQHTIEAEPSVVETVTNQMTPVQGVGDRMLRKMGWQDGQSLGKTGSGGILEPISAT PQTERAGLGSQTSERIGSAHESPKTRAWRTAKERYDKVV PHYBLDRAFT_157367 MSDSVVRVGIGCFVTCVFEDGMKILVGQRKGSHGAGTWQLPGGH LEFGETFEDCAGREVLEETNLKLSQIDFITAVNSRMPAEKKHYVTLFMHGRVTRSEAA NVKVMEPEKLEGEWHWMTWDELCQKKPLFIPLALFVDFNSLPEVLERK PHYBLDRAFT_184957 MNTQEPASTVASSSTATPAPADPPSTVTSSSPTPSSSAFQPYQQ LHEAMLAAVNSHGVTSLSHEGLLAAAAAAAAHAHAHHTNTQNDHQQGPVDYAKKDSIR VANRERKKKWRLHNEERNKDNDLRCRVNKRANKLFGPLEHDAKTLWVNEEFEKRRQKR MEKERRKNIVDNVLSVPGQSMPIGLPGSTPPTTFYPPVSIDPDSAAKILGFPSDLQRQ LLEQLNNSMMALTNAKLPTPPVEYPSESLQPDTDIKPDLATTEQALSSLAAELSSNTI MPTEKKEETEKEGSSEKKAEYPMDAVLTLMQLNAGWRQ PHYBLDRAFT_184958 MRTTLFVAGFGSRTRARDLAYEFERYGRLVRCDIPAPKSFSSKP YAFVEFEDPRDAEDAFNEMHGRRLDGYTISVQWARNAPSASWRYDRSPRRRRSMSPRR PSERRVSYGRSHSRSRSPFRPPPGPGRSPSPPRGRSPPPAAYDRRYDSRSRSPPPRLP DGRYPPEPIPESRSRSPAHPSRSVSPKIKLSPKSRSRSPEH PHYBLDRAFT_139880 MPERSGLGMISLLIMITGAASSDTPGDLESTALRNRFSGLEDQI HSLMAEFGVIEMLVKHPPATPVIQNASLQSWSIQCNPQGLSIETHMIAVESIYSALIR FALDNAIPSIPPSIRTHGQQPRWLVRNKTIFPTVKLGHFTSLLPSPQSTENVELDGLL PPLLPLSIVKSLLELYSTCLLHGGLQPFQKLYMNRLMEFNPETMPAADQFLYASIICQ MVTHAYTWHPKVFTSMPMTKAGSRRLASQYYAYAKHLLSTLYFEPPTLVACHAACNLV LYHLESGQTAVLYIYSGIAVRMAAALQLYSPKGIERAAKAEAGNLPVVEVEEYGRSVL WLLYFLDTAASHLHSRPYEVRPDEPEISSILSQGPFPFNGDAHQHLFQWLEYQACAIT RTIRKTCFDSSVEQIPYDAIADIEHRLTAFGVSLPPLEATDSVWNLRCQYVHRIKYQG LWILLHQTYLPAPISDKRCTDAALAIVDLFEAWLPHIDCYFRPCVHELRQASDILLYH VEGPLKTIALDGLEKLVKVVLQTPVHAIARTRPFVQRLQQVLALHGRTTD PHYBLDRAFT_184959 MDSDVEDQQIMDKINALWSNHGNDFHGTATLAEWMHFITTQEKE NNLVVLTDEQKIAIQPFCNANPELEMEPKDLGNLLRVINRRHNDAIDTRSADPSADRH SPPPLPVSSTSATPFASRQRSSNLLKNGPRRLRMTSLNHRRTDYDADRHLDELSDDDD DNGSESHPSVSPGSFNASSSLPKDMAVYPNYSSPAAIHRGEPSYIRLDQDDWGLDSAA RQYPHTNLTRTMQRDLTPSMINGPENENRMSRLYLADCERENKDLKRRLQEKVRELEE VTRDCDDRITQLQSDFDNVRQEMAIYKASILESKKLEQYHLDQIATLENKNSHYLTTQ SSQKQLVQQTKSQLDEKCSELAKTKNELVEIQEQLMRLETKWKNGQEEIRARIQEREE LLEIQNRLENSLEEEGLLQNKYDEVQSANQMLKEIIDRLKFDLDEARNNGRNTCSPTH VRTLKSEMGGESHFNDSSHDNDHETSAALDEIMDTEWLATVEQERNKCKMELDAVRTE FVKTERENHDLKQKLAVLEAREIPGVSIDKAIQKDNPVIPHSQQHHETRDPCPQCATY NAKLEDKACKLTDEIDHQTVTLRNIITSVMAHTDIFSRNQAHSGGRIFVTSTVHFAVY TLALYGVVHAAGSLCNVASSNHHTGYYPGGIPPLASRFWFIEWLAGWKQWMLLSLDEP YSRF PHYBLDRAFT_162923 MTEAHVGFILTRTNKTPSATARINVLINHLWKKKQETDINVKLR REVQEKELSVPFYKKTQSALVITVVFQFLKAFFLYYIWLLECIYCLTSVGVTIMTSSH KLALGLPTKLAPYRSDTVYLALKKFLRGRIEDLRLGMKIRENLCRFCLLRKDFVSKFD QAPTLNYYCYLYYFGSGKLYDWD PHYBLDRAFT_184960 MIDTGSSRTFINLKCLNNNLQITKINSSIGSFNFLSKNSSTKRL GKTDPLNFKYINGITFKHAPEVLEFNTGFNFDILLGRDILAKMNIGLINVAYDIEGEY VHSDNAKDYAAIYENLNIDKEKKFEPDNSPAGTAQQRAEFMSSIKASLEENKNIPVES YCPLSESIIRLPTKEGATAYRRQYPIPHALRPTLDKQLCINRHIPQKTLSAPFSLMYA RRVNVPDEYGDKDKYSLPKATVTIDELEKQIDHMKNIIFPAINEPEFTV PHYBLDRAFT_139883 MLDTTGAHVDLSSYRPISLTLVFRKLLERYLAVKLLQTMPELDI AQGGFRASCETLDQALCLHELIRMYFVPDPKQEQVLIPSVVVFLDIKAAYDILKNVVS RDISPRHDVLQGSILSPFLYSLFIDTLSHFLQGVSTCPQLVRIPSPDCKRSLPMSSLQ PDTFDTCGDPDTRMLDL PHYBLDRAFT_139884 MLLPACRDPSGADKVMGERRHSLILLTAPFPCYFELSIGARGPG FDSRRSP PHYBLDRAFT_100632 LTLVLSILSLVKCQNVCNGYSGLCDRSYTNITYLVTHDSYAVGR NIAATQNFPIIDQLNQGVRGLKFSAVAPILDRAAVHLCHTSCSILDAGPASGVLDTIA EWLDEHPREVITIMWNNLYNMKAGRLANVYSASKIMPYIYTHDSSKPWPTLNEMIDSG KRVVNFVDSQADEKNIPWLMDQFQLVFETPYENTDQNSFKCTIDRPSTLKSPDGHMYV MNHFLYGTLKLGNIEAQVPQRDSATVTNSKSLREQIAECTRTFGKKPNFIEVDFYDDG DAMEIVSELNEV PHYBLDRAFT_7189 SHPIAAFFFIAFRLGALLMYLLGSIFTDNFTLVFVVTILLLAFD FWTVKNVSGRLLVGLRWWNEIQEDGSNRWVFESAHPNRKANSGDSRLFWSVLYATPVL WVLLALTALITVKASWLVIVAVALILNVANVYGYTQCDKDAKRKWATGMAAQSAFG PHYBLDRAFT_15309 FFDKSSLNYSGVLTIKADTGITSAQYGWLGSIFYLGYLLFQGPN AILLQRVPISKYMGSLIIIWGVVLTVTSEGKNFSQLAALRFLLGFFEAGIYPCCIMLI SSMYRRTEQSVRIGVVYICNGIAMAVGGLVSYGIGHMENVLGKSSWQWIMIILGSVTI LFGVICFFCLIDNPRSRFLRLTPEQKEVVDARLRDNSVVRTTEIKYSHMLESLREPRF YCFILSSLLINFQNGALSTFSAIITAGFGFSSLNAILLSVPSGVVDCIYIAFAVWFNR RYGHTIYTSCVLLAISVLGLILLIVIPKPQVKLLGLYMCWSYAAAYTLILVSVANNVA GYTKKIFYSTGMMVMYTFGNFIGPQMMVSWQAPLYLGGMIGYMCANAVCIGLLLLARY YMMKSNRERLANPSKDEIDVNDDLTDIQNPNYIYRL PHYBLDRAFT_200799 MSVYPRTSSDIPLDTMEKQSNQSLYGDSESSPYHQYNDYMYGQE DPPSSSEERAFVRKLDFMIMPIICTIDFLQFLDKSTITYASGFGFREKVNLVGNQYAL LGSIFYLGYLVFQIPNNFLLQRVPLGKYIGVMVFLWGTVLALTALGHNFSQIAAMRFL LGFFEAGIYPALTLLVSTFYRRSEQITRLGAFWLCNGLGLIIGGILTYGIGTMKNLRG LEEWQWIMIILGSITATVGVFSFFFLIDNPKSRALELNAEQEILVEERTRDNAVVRTS VMKKSQILEALREPRFWCFSFACLLINLQNGAMTVYSSQITMGFGFTGLQSTLLSVGS GGSVILMIVLSIIIVRKTRETIYTACFMMIIDIIGLILLLVIPETRAKLFGFYLAWSY VGAYVLMITSISNNVSGYTKKIFYNGLIMIFYTIGNFCGPLMMNESHAPRYLGSMVGY IIASFITIILMLVARWRMANVNRRRLENSSAILTNVEDDLSDIQDPNFIYRL PHYBLDRAFT_58919 MATSTSPPPRPEKSKFRNIPSSSSRNLPPPLNIDYDPKEPNKSL VERLESPIYPLYTPVHLSSSRHESVVSLSIPEKESNQFEINIDEIRHSGRFKEEFDQI PTPELLEQLLKSSSIKPLKRPWLPKKRSKSRSHVIQTSSSTSTVDTAGSFGPPFPGTP ILESPQTPTPTTSFQKNTSSYFPLFSTAPAALIAGRKTSLSNEISLTASTSKPETLAV LVDTTSQAVSDNVEGNVEDKLKRSLSVTAAPVTSIPTKHTPLPISSAHKIKQIQQTES KVHKDILPKSDSNPGTPETAVPVPPVRRPTYTNATEKSNGNTSRPAEEFSLNRADVVI TRLENWLFIIKAIGAWLEETARINVQSSRSFQQQSLSLLKEDYMGQKEPTNAIATLYT GLKMLTVTVIQEQRDFSEHVFQDHIPAIMKLKKECKEKIRELGENQDLAMDELLKRAE VTVKTMSHLDRCCRGMDRETGDDQRLQQLQDPWVANLYVLRQLKKEVDEENRLRILMV GVQKDVAAFERRVIQSLKTSIQFCYENIPPGLSDDSSEDRTPFKALLDMSTPEVEWEQ FMEDHKKDLVNEQFPTKDYRNINFKNKHNPYVLTLMKGKIERKTGVRKQFVEKYFVLS QCGFLHQFSLSDKVAPERFIYIPNSVIVPSIDINQFVNESNISNEMTNDESNQHYTFE IRRPSTTVLQRDKTYQFRVSSRQALLDWCKALVEVAGRSYMAQYLVSNRSSIGLNRDS SSISAEDKPPSETDMTTKSTEVLNPSSSDMEAKAGSNNNNDSTLSFVSEVQSGSTECS SKLDESTTQNTRPLETIESETGSLGKLDKDNSRSSDIKLIDDHGNVSDQALVNVLEEG GTNDVIQEYYASHDSGDEDDDADSISSRSICTARQSLSTIADLPELIDIAREPSQVSD PRRYSGESNLIDDAASSLYFSSTSGPNSPSGSTFSIDSYPEIDIAAYSLPALEAQNRQ L PHYBLDRAFT_139889 MDYQHLFEGGSWRTVLPIPIHLLLFATPAVILGHIATTPTSTLS TQTKQILSFPFLIGVIPLSMAFMCDQKILDILTSLFTYNVFIRFLEIIWLGPVLQGRE AYISSRDFHIELWSCLRTFPKPAKEDTKTLKKGETKTYAKDRKFYHIIPLWFANYLIC DVLGAWFVTFSAHDAKRVYEENTPLFFFIFAGVVVVMTSAFNILGYTLQLFYVLYYEG GSYSSEQWRELMENPIISTSITSLWAERWHRLLRSTWLAFPYRTTRNVLQKALGKPTK KTAAICSAVASFAVFIVSATMHEFFLYSNLDWPIYREFYMGGQMCYFLVQAALVAFEK VVGTSLRRRLPKSFTESLFALFLQWLWVVVCAFWLFHMFLLGYTTLGVQFNNPFHFFQ PYILEYVRKTPAIHPFFGSLL PHYBLDRAFT_139890 MAFTSFCRQHDFIDHPTSQNFSTRILVDVSLFESGGFITIHELR SLQRKGPSSLKQSGLFKLKNRVAANHAIFSTSVSFACHLLVERYLRHNRLGCDLKIKA FAAVTESRRLKFLAFNSLDW PHYBLDRAFT_139891 MQTEVIVSRTQSTHTLSLTASSHQDDARKRRMTMSTAKLEYSKP PAAIKKEQTTPKSSIRLPTRKDSLKHASIPSLSPTIPNTTNTANTTNAPAAVIKGKEN GKIASPSMISRRQSVTRATTLGLAKAAPLSNTPNKNPANVGTRGPESQEPTNGKAFRG TNINILTRRRNSAMATVNQKTMQPAATPAAISSLANEVPSPTSPVAKSSKQKKLELIN RQVRRFSMPAVPNDTPNIPVQPHQRGPWSPFGMNRPSLTPVSQTSVQKMNERLPSPVE VKDRSLALTQKKSQQLKASLLSQEPMNVIHEIPPASDTSSVHSDMQEDELDILGTEMA MESSISIYTSSLSGESSTNLSRRTESPVSDAGAVARVESTLDNVAVPTSPISPILPIS PTSPTSPAVQAESTDTPDPLFNRIARTDLKPSVPSQPNVQTLRHRRSVPDAFHNNSGE TETSRESRTPSRKGSQSSLRGSNCTQHPTWLSNAGFMRKTKCVACSSHTAKNISADIA QGVSSEGSSGRCRTLSRSSSMLFDRKSSAGSLVLPPQTAPTVNGKDVSLGRLEHSTGS SLRCLSLLNESNQSKSDDHSINVNLDPSLSSISDEIVYDYEPDSPVGATAHTVSSAAS QKSSRTADDHESSPECPSSSIPTQSVFLHHLTQKHTYLHANLAFLPLAASAAVAAAEA ASSIYPQDPQATTSDAQVSTTVVNADGTISSDSRITTAIATAAAAAAAYVVNTTTRGH AETEIEGDHKEIFMDMLSNCIERSDKLDKLKRDLQESEQRMNEMVINHQVSKKPSAKQ DQQFSQQILEYKNIVKTQRQMMDEMEGLLASFGVHTKRPQLSVSTSSQSSAGSVSTPS QASWSNMWNGTKEEGITKMRWNVSQLVGGGVGTGRLVEKKTDTDGSCVMVIAGSGVTT ESRLLPKRLVSDTQDDAVHHHKYVLQLNEDDRRNKFVLKSTKEWTQDKEVKRCEFVLS PGSESEEASTCSVKFGFLQRRHHCRSSNRLPLFETTDEQPEWGRVCDTCFHDLAGPHL RKFNTAS PHYBLDRAFT_106170 MSQYNDGQEFEESGPLLIAHMEKSGISSMDIKKLKEAGFYTIES IAYAPKKHLLAIKGISETKADKLLAEAAKLVNLGFTTAMEVHTRRQDIVTITTGSKDL DKALGGGIETGSITEIFGEFRTGKSQLCHMLSVTCQLPIDAGGGQGKCMYIDTEGTFR PTRILAVSQRYGMDNESTLDNIAYARAYNTDHQSSLLLQAAAMMSESRFAILIVDSAM ALYRTDYSGRGELAARQTHLAQFLRQLQRLADEFGIAVVITNQVVAQVDGGASMFNPD PKKPAGGNIIAHASCTRLYLRKGRGENRICKIYDSPSLPENECTFSITEDGISDAVE PHYBLDRAFT_58923 MTADTKLILSLINRITTRLPSNSGKKIDHLENDPMIKQTVAALV DLSKYRLPVIASVLTGAIETATKPILTTRPDELISCDVLQSQLYLLRILSVCMQHHWQ FVRDTNCTTESDEVRGPVIQPHAPVAILGREGLLNHKNKINWNPNAMSPEELLDPPPL EDNLTRLIISVMSRIMHQMIVMEERDPVLAHSYAINLVRTEYYTTVKSPDTTSDLMKE IYKTSSRILFYLSASNWTIMFSKIKSRILYLSTTADENPDTSEMRFLECSCLNKNRLV IILTEISSSFIHLKKSAQLVIAMVLRRAIWNWIETYPYEFMQLYHQQRRIEGGADSLF DICCSLADTTRKKAVFWPLQTMLLVLCPDLLFAATLPESRNTSTKKTVFFGTLRKSIK GGMLAELAAVCFVDILKASTYVSKDDMALLKNVLPEVDMELKRRLFDMQKPMPFDSSL INVGTPIDHCYLMADCIVSMFRLNPLETINSLFSMCTLDRAPTIFKQSSIKAALVLAM EHSRVQWIQPIERIYTVLCEPLRKILLDFFGRDPIKPDVSTSRKPILHINDKKIKKDL RLEINERNDLILDILRLYKVIPRIAVLGSSSYCFDQNATVIFTITHCLRDPLRSIREA AADCIYTLHQPVYVVSWGPPDCFMETFWKISSQVLFIIAKQLLDSRFRDSDIRKLLDL LKILLETRNNFLREHQDVASHGYDIRERIQSGIGLEVALLVLMCSSDRDVYNSVIACF DHMCAEARLTGSDVGGNPNSRILGENLPVYAELVAGSSIISGRKSQQKRVCRSLRLMP THSPGNLAAWEEVWKRWKNMSHTILRPHDDVKDDMSEMSRKNILYRHDKVKNNSLQRQ AVSISTSTRLEGMDDDKWIEWQNYAAFLASLGGVCLMASATQTFSSASSGTSSTKGSI SYSDSGHASQRFSAATESSSMVDQYLLDMVELLISDNVLVREWVREILGNDLSPTLYP TMFRHLENTLQTCFGADNDPICEPQYTLFVEQAISVLKLVLNRLEENVNNMFTVDFSN LIHQYAQYLNKLGCTEMSTKIKIKMCQLCEVLMERKDRITLRQEFKLRNKLLEIIVEW TSDFALPDGTAYSATSTNMIKFQRELDLACLKTIVVLLHQLPLQTSEPLHDTDSSQLK SRIFYKYFTFFLKLLNRCRLSEIGSNSSMKRVENTSNFSVQVKENAGYISPLKNFTIL ALSNLLSANIDIGLKYSLSMGYHEDSRTRSAFMQVLTNVLNQGTEFETLSETVMTDRY EKLIDMLVEFSPNIVLSLCEACPASDIDNVVQALLVSFRSRNKTMLLLKALIEREVQT TVSEVDLFRKSSVATRLLSMFAKMNGSEYVRSVLKPVFQVLGERSPSQNTYELDPSKV SSNEDLVKNKENVITATEMFLNAICSSADQAPRSFHEVCHCITTIVRTRFPEASNTAV GSFIFLRFFCPAIVSPESEDLLKHGTVLTREMRRGYLISTKVIQNLANNVLFGSKEAF MIVLNDFLTRNIYKVASFLRTIAKVPDDNDSDIITETPVQMTEKDYALLHRVLFDNME RISRDLAARRTYQSHDQELLSIWRQSLDKFANLLAQLGKPPEVQDQGNNEAKNFTCAA TNQLFAEFMRRNHRRNVDIIVSKSIFYEGGVSKAGRPVFYFIARHVVADSIDFELMVY HILQTLDRSANKSFELVIDMTQFNQTNEIPNQWVSQLLQVLPSGVSDRIENIIIMNPN SHLRKYMKKLLNPVTHKIIKKLVLIVSMVDFHEYISPPEVLGLETVPSAMFFPVNRIM QFRTLVPITLKISAEYIQLTTVRKQEIFIGVSTIMNDVFHISEIENIETGQHGQGLDN MHVFNFRHGKDRLNIVLHSLKKDAIVGTLRHSKQRYEASLPTALSERVVRPNDVPGRL LNMALLNIGSVDPNLRLSAYNLLYSLSRAFNFNVGRQLLDAKDLCLPSNSTEFVVSIS KRLAASEPNLTLEFLNECFVGFQKSSEPLRYLCLDYMRPWLPNLALFCRGSLEDLAKT KDIVRLLIDLTISRTDMYKLIQAKVWKTIGKVDDVLDLVLDTFILFSNEHGVGSVQAE AMADTFVTLSNVVVRGKLVTRLRKVLQKTSFKPTRTLTSHVAWTEIAVLIRFLLMVSF NNHGPVKSYLPEIFYIVSLVVGVGPTLVRASVHGIIINVIQSLCTSMPLSDTNVKKLQ LLLEDMSGSKARLLFGLAKPHTNAFTIATETTTDTTGLIHLTSLESIVNTCLEVLQYS APSTDLGNAWRARWMSLVASTAFQFNPAIQPRAFVILGCLGREEVDDDLLYQILVALR GALAIFNDSDPSLVMSIMMCLKNIVSSLPTESRYLPQLFWVAIALLELNNSSTFGMAV DLLQAVLRSLEVCGFFEEDTIASVLLAAREPVADIARKLDGICGVNFDTHFSFAISGI FIKGLRYNDCKDAIYQGLTTFLNIECKHMANMSLDGDDLIDESQSLGYITVLLPIAAK NEALKDLLRVAGINELDVDNLQAGGICYGLFDKLDIPDNTTALLLFSLLATQLNCSDS ESERLFIYGLLAEAAVSMPDVFHFVYELLLPKMNQIVISSQTQAIMESVKTILLTACS NPVFIKTPGKPTLKALLQELGFSSLCDPTFGVGAANSTQNAKLASELVERVIAILFCY STLELYMFSASTLCYQVHKCHVCGEVVYHNHSRFQ PHYBLDRAFT_85927 LADLAQLDPPSEESITGTLKLRFRGDMVYTRINDSVLIALNPYK DLQLSQDSLQYVAEYKDTTSEHLEPLPPHVFQLTNQAYLHMRRTGIDQSVIISGETGS GKTEAHRLILQHLVCLSSQKKESKLQNQIVNAQIILEAFGNARTMMNANASRFGKYME IQFNERGRMIGAKTLNYLLEKRRVTHCPNGEQNFHVFYYLLAGASEEDKQILRLEDVT QYTYLRRPMLQDLGEAEKHESLKTALRSLGFGKRHQARIMQLLACLLHLGNLQFVDDT TMQEAAYVRNSEILELCADFLGVDPTGLESVMTYRTQMIKKDITTLILNAQQAAEQRN ELVVALYSLLFSWIVEHINTKLCSDTIHNFIGILDMPGPHTYYSEASFDQFCVNLANE RVHNYMLRQIFETDTAEYRFEGLDVPDVPYFNNAACIELLARPKQGLIDIANSHSKSS TKTDANMLDSFAKYNNTHDSFSLKTATTGARQFSIQHFAGQVTYTTEGFSESNKDVLS ADFVSLLRGNGTDVPPSYNSFVIELFADKSINTETHPKHAEAILNAQQVNKPMRLPSM RRSKSKRRNANNTTNGEDDEPKDTTATTTTAGGGAQKDGDRKVSFVLSQLQSSLDDLV ATLDETMPWFVLCMRPNDTSAPNAFDQQRVKSQVRAMGVPQLAQRLQTSYTISFYHEE FCQRYAQTLLAVGVEQDRLPRAQCEAAAAIFGWLGTQAAIGNSKIFLGESAWRHLEDG LRSVEKDDQRRQKEEKRITENLSGGGALLPVGMAREHSTGALSNVSFGSGVDLLPTNS TSDLGQDNRRLLAASSAAAAGLPVPRGFGEGGSVYSDDNRSFVSDDEYYKDQPYHSNY DDSQFGSEGFTSSQSGNMELKKMLPVTTEAAEPAEKEEVSAVRKHWLRFVWFMTWWIP TKFIEWCGRMKRDDVRIAWREKVTLCEIILFMSLFALWFLVFFGKTICPHQDVYSLSE LQAHGTSDNAYVSIRGEVFDLSKFAPRHWASEVIPASSVLSYAGVDASDLFPVPVSAL CEGITGEVSQYVSLDFHINLTDNNAKYHDFRAQNPDYRPDWYYEKMVYLRKNYKLGVM GFDVKDVASQANNAVNLGGINTMRNWAILNEKIYDLTFYMMGGRRSLIPVGEDVPAQV DTNFMDESVLTLFRQKSGTDITDYWNALPLSDEVKKRQEVCLQNLFYVGSVDQRNSPK CLFSEYFLLIVTVFLCLVVVFKFLAALQFGSRRDPENHDKFVICQVPCYTEDEDSLKK TIDSIAALKYDDKRKLLFIICDGMIVGGGNDRPTPRIVLDILGVDPNVDPEPLSFFSV GEGQKQHNMGKIYSGLYECHGHVVPYIVVSKVGKPSERQKPGNRGKRDSQLVVMRFLN KVHFNSAMTPMELEMYHQIKNVIGVNPSFYEFVLMVDADTEVMPDGLNRMVSCLVHDS KIIGLCGETVLSNEKDTWVTMIQVYEYFISHYMIKAFESLFGTVTCLPGCFSIYRVRS PTKNQPLLIANQVIEDYEICKVETLHLKNLLFLGEDRYLTTLILKHFPNYKTKFTPDA RCATNAPDQWSVLVSQRRRWINSTIHNLGELVFLPQLCGFCCFSMRFVVMLDLLSTLL QPAIIGYLVYLIYSLATSTSGVPVMSIITIAGVYVLQAIIFILHRKWEHIVWMIVSIF AIPVFSFYIPLYSYWHFDDFSWGNTRVVLGDKGKKVVMPDEGKFDPSVVPTMTWEEYE QGLYAEDWNDNASLGS PHYBLDRAFT_106496 MSRPEHIAPPEIFYGEVEARKYTDNSRIASIQAEMAYRALELLN LSEGPKFLLDIGCGSGLSGEILEEEGHVWVGMDISPHMIDVAREREVEGDLFVQDAGQ GVGFRPGTFDGCISVSVLQWLCNADKTINRPKARLQRFFSTLYASLKKSARAVFQFYP ENDDQIKLIIDVATRCGFEGGLLVDYPNSKKAKKYYLCLFAGTQTGRRNELPKALGED GEMHPDEVKSISNENRRLVTRKRKTNRTSVKDKKWVQHKKEVARDRGDKVVANDSKYT ARKRKVRF PHYBLDRAFT_184965 MSIEMETPEPIENTIPNPHAEIVGLHYRVGHKIGEGSFGVIHQG IDLNTNEPVAIKFELRDTETPQLRSEYCAYRTLIGLTGIPMTRYFGIEGPHNVMVMDL LGPSLEDLFGMCGRKFTVETVLLLAKQMLERIQSVHERNMLYRDVKPDNFLIGLPDSS EPNSINLVDFGMAKEYRNPKTQNHIPYCERKSLSGTARYMSINTHLGREQSRRDDLEA LGHVLLYFLHGSLPWQGLHASTNKKKYEMIGELKQNTRITDLCEGHPEFALYLNYARS LSFDGTPDYSYMQQLFDLGLARVNKIDRDVYDWNLLNDGKGWQTLERKPVRKPLLLMN PHYBLDRAFT_14928 MLVNGQFPGPPIYVTKGDDVVITVHNRMETNSPSAIHYHGIRQY GSVASDGVPGVTQPLIQPGGSYVHKFRVQNQSGTFFYHAHAGMQDDTIQGPFIVYDDE NSWPSSLGKQLSDGPFTYDDERIIHLSEWWRQHHHQRESYYLGPTFRFDHSAESILIN GRTINNPLQIMNPATCPGYTALNVEPNKTYRFRIIGGNSFRTLALAIKDHPMTIIEVD GEKVVPYETSFLEITPGQRFSVLVHTANAEPGSAFAIATNYRYRHRGKGYTENGFGYM RYVAPGPGGKRPPRDFTYAKDFPVFPEEDGVGWIWDKLQPLQGRDQILDEQPDRTIKL RASIMKHGDNTTRYYMNGRPPIEHALPLYNSLTRGLRKTPRLEELEEDGYSAELKTYP LFYQETIDVVLQNAMGGIDCLLHPWHTHGHSHHLIASGVGEYNHEEHKDIRNFATPLY KDVSVVYPSEPDPVTRGCGWTKIRIKADNPGYWAIHCHITAHMLQGKMAVFEESPDLI EAFSVY PHYBLDRAFT_121519 MEENEENESERASLANLQTVLEEFQATKDAEIRAAVEHIERQLE SAKISLAEYQSRAQVAEASLEQYQHDVAKAQRYEQEIKEKGLLIGKLRHEAIILNEHL VEAMRRLKEESSENNVDRQLVTSLLVGFLIAPRGDRKRYDILTILASVLQLSTEQKEQ IGLIRSTGLPRSSSSSSVTSWQNPRHSIHEDEPKESFTDAWISFLLKESNTHARSRQE SPEITQDI PHYBLDRAFT_121521 MSRTLYDKVWDDHVIDSQEDGTCLIYIDRHLVHEVTSPQAFEGL RNASRRVRRPDCTLATVDHNIPTTTRKKFKSITTFIDEADSRTQCETLETNVKEFELT YFGMEDSRQGIVHVIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSEVEHVLA TQTLLQKKSKNMRVCVEGELTPGVTSKDVALHVIGLIGTAGGTGCVIEFCGSAIASLS MEARMSICNMSIEGGARAGMIAPDEITFEYLRGRPLAPEGAEWDKAVQYWKSLKSDPN AKYDIDVKIAASDIAPTITWGTSPQDVAPITANVPDPSSVSDPARKAAMERALEYIGL VPNTPLEEVKIDKAFIGSCTNSRIEDLRSAASIVKGKHIADWVYAMVVPGSGLVKRQA EREGLDKVFTDAGFDWREAGCSMCLGMNPDQLSPGERCASTSNRNFEGRQGAGGRTHL MSPAMAAAAAIRGYLTDVRKFSSTPMVPRSPPPKFQTIQPKVEDEAAHKQAADQADPV TDCPPAGSPVNKGAPVASAMPAFTTLKGVAAPLAISNVDTDMIIPKQFLKTIKRTGLG SALFYGLRYDPATGAEKPDFVLNQPAYRSSKILVCTGPNFGCGSSREHAPWAFNDFGI RCIIATSFADIFFNNCFKNGMLPIILSQEQVDTLAKYATQKAEIEVDLVHQKIRYPGG EIPFDVEEFRKHCLVNGLDDIGLTMQKDSAIEKFEAKRTSTWPWLDGKAYKGKATKVT AIGSASQPAKKLDW PHYBLDRAFT_35373 MYHSHEADREYPIGTIFDTLFEIETSVPGDRKIYIDYEHPETYL TRETLKRDILLFAQGLKDEYSFKQGDIMAICSPNHVDWAVALQAPPILGAVSACVVAG EGNHNVVEDMLLAKPKLIIAHEETLEAVKRAAKKLGLKDEDILVFGNKTIDGIKPFRT TLMNHSSLAIPIKPSLEYLTTEPAYIYYTSGTSGTKKMVTITHTNIVAAMYSRDYWLK PDARYLSYASNAHSTAMVIAMNVCIKEGTETYLMKNFSLKEYCVAIQKYKIQFTIIQP WVAAALAREPFVEDYDLSSILVAFSAGSPLDTVTISRFMKRHKFPLLSGFGMTEIISG LKLCNEAAKRGSVGRLCPNFTAKIINENGEEIMNGTMGELCLRGPVVTPGYYNNPKAT EAAIDKDGFFHCGDFFRVDEDGFFYFISRIKDIIKYYGYQISPSIIEDILITHPDVSE CCVVGHYSEELFTELPKAFVVLRSAQANKPTEEELISYTNNQLPNQMHIRGGLVFLDS LPRSSLGKVQRNSLRHQIGQETLTMIQSQ PHYBLDRAFT_105709 MDARKPEVETGVSDISYDSLLNPIHLQQDLSGGCGGKTWEAADI MIEYLLWKKSQSDTFFDGKRILDLGSGTGLVGLAVASAFPGVQHMTLTDQIPMMELMK QNILLNGLERKVDAQILDWGTPLPESIMPLSDVILASDCVYLEIAFQPLVDTLVLLST KETVIYLSYMKRRNADKRFFQMLRKKFILKEIEDDPKREVYSRKGLHLYLLTKK PHYBLDRAFT_139901 MPQSSDHFFLAKAYKESSTMRSNRLFGINLRKPRPQNPRPNPNP EPSPTPSPTPSLPETKSDYMLNNHPLLSAFL PHYBLDRAFT_121524 MSTHRSLPKSGSGHFHNGDSDEEMILPPNANETTSLMIPDDSIP RYMTASPIPSARIYNDSIPKDNWILFKVRSKYYLPILQWLPLYNSNLLLGDLIAGLTL SCLLIPQGLSYATALCKIDAVHGLYAIAFPAITYAIFGMSRQMSVGPEATLSLLVGSS IAQQQNSSLPGDYAIDPLAWACLMTLFVGLFTFLLGLFRLGFLDSLMSRALLRGFITG VALVVMLQQSITLLGLVKISEEAGISEASSSIDRFFFLIRHCNEAHVLTAKVSAVSVA FLLGMRVAKSKLPKYKWIQLFPEVLLTVIVSILLTNYYDWDLKGLGILGDIQSDGIPW PSIPLFPARKHMKDLLVTSAMIAVIGFVESVVIAKTYSSRHNYSVSANRELVALGVAN IVSGLFQGIPAYGSVARSKINDRAGARTQMACMIAGIFALLAIFFLLPCFYYLPKSVL SAIIFVAVLSLLAELPEDLHFIFKLGAWRDLALLSITFLATIIISLEFGTMIAVTLSL ILTIKETSYPRISIMGRVKGTNNKFRPIKDDPEEVEHLEDVLIIKVEEPLYFANTGQL KDRLRRLEQFGDMSVHPSESPRLGESSYAIFDVDNMPYIDASAVQILYEIVEAYHARN VEVYFVRLREKPMELFERSGLLDLVGKDHLFRRVPDAIEEIEKDMLNRGVVVQ PHYBLDRAFT_162945 MEKKADFDSNFKVPKLCHSSYITKFQANKNLTENVCIKFGPVRA LVRSMVSWSGPHSPYNDQIKGVIMRRLLRKYRFYVCLIDIFNTSKCWLSCSKISLEKN KQVNDIYL PHYBLDRAFT_58935 MSAEFWLYSVHAKESIKKNCLYSTQLIIMYSILWFLSIISPVTW AVLRKILFNDNNFTQSKLLGPYPFLVTIVTYVILLYLIRRCAISWYLVEQLSARIDTY SWLRQVPFFVILAWKHSYTSQKVVHAR PHYBLDRAFT_35379 MPVPFESFLPFVIIASFYCVTASGLSVARYYSNDKKPTRYGLDT WERQMMERDRRLTGSKRGQSSEAVAPAIFSTNSVWYLEKTRTH PHYBLDRAFT_162947 MGLELPLWDNIKGKRLVLASASPRRKEIMEDMGLAFDVVTTLAP DENNPANYKTSEEYVADTALMKAIEVFGRCKTETSLEEADIVIGADTVVVIQGKILEK PRSEQEALDMIGQLSGQTHTVLTAVQILKKEKEGYSQSGFVENTSVTFSIIDKVVQEA YVRSGEPFDKAGGYGIQGSASLFVESINGDYWNVVGLPKNHLYRKLKLL PHYBLDRAFT_58937 MNTTDFAPMTGSGGGRVNNTSPKASYGNQMMPGQQDIFGSEFEG SHMALSHPSVPSQQFNHYVLPLHNPSLTIDHSSPYLKTAIDQANSSTSSTASSSTSHY PKPPAPQHTPMFGLEHGFQQEPAMHITPAPIVKSQASGYEGYPVHLSMDHHHNPNHNH RATGMHPKLGGGGYSPMASFPNLTPMTTGSSEMFDKTNPMYPYMQSEDLILGRSTPTV HFPMDLDYFQSFDGQPMMDMQGNQTIYPSTFAMVPNLIDTSLPPSPHGLGYPDTQALF GSTQAHLIHKDNSIPTVPTHEISQLSLCPSISHQTPSGNSTNNNPNPNPNNNDQSEPQ DVSRKSAGRRPLPRRHTVSTPYNAKSKDMPNDIKDINLEIPQAKFRKMLKAKRHRSLG RLELPPSPNLSEFEKRLIECSSPSINSPLSAELELLSHEQLLERVMELEQEKRAVDAM KSAAEDSNEASRMMTDIHTADTDDQADQEEEEEEEEEKRQCLWADCSLELNNLEALIN HVKEEHIRSGKALYFCGWKDCSRRQKAFTKRHKMHNHLRTHTGERPFVCVEPDCGKRF SRPDSLTTHAKIHSNIRPYLCGFKECGKAYYHMRSLRKHERSHDITDDRAGGLSGLET SPPRALFGEAMDTTTNSSTTTTSTTTAITATNGVTGVTSNISDGHLHSSSDNISRSES MLLAWPNERETF PHYBLDRAFT_139906 MPKTPPLLMPTVRDGYLSRDYQYNDTGLWQRASQEDNPYDPSWT PDPLCETEQEATQEYRTIFNQNGIYGSHSETSTLDHLEPSQPQHINESKHLPNSTIPS VLSEEAAEIREMINILLVNTLDIIRQTEEGQNGLLDRLRNHSRFLESRGQSITIIWQN IRSHAISITEVPHRDLEFTRQETNLGGQQRPE PHYBLDRAFT_162950 MSSITIPSQYGYVLATALTSVIYLASLSIKVGSARKAAGVPYPY AYAEKAEAEKDPKKNIFNCTQRAHQNTLEFFPIYITLLLMGGISHPIIATSSGAAWLI GRFIYVSGYTTGQPAKRIPGAAGQLSLLANLAASFHTVYRLLA PHYBLDRAFT_179308 MYYSSQPTVVSPEITLFEALFEQNTITPEDNKLFIDCDQPEHYF TRKSLKQEILIFAQGLKDVYNIGFGDVVAICAPNHLDWPVALHAPHVIGAITASIRNG EGDENVVKDSATVNPKLIIAHKDTLCAVRMAAKHVGLQDTHILVFGDQSIDGIRPFRS VLMNHSTPAVPVKLTPQELRTMPIFLYYTSGTTGTKKSVILTNLLMVSMLNSKDPCAS PTTRYLSYAKNAHASSLMLTMTLFVKEGQEIYLMKDFSFQALCEAVQKHKIQILVIQP WVAAALAREPLVDNYDISSLTLAYCAGSALDPMTMARFKERHKIALYNVYGMTEVLSA LKATPESIERNAVGVLCYGYSAKLVDENGNEVQDGEVGEICLKGHTITPGYYNNPAAT AAAFDEEGFFHCGDLFKVDKDGYFYYMSRIKDVIKYYSYHITPPEIEDVLITHPEVSE CCAVGHYVEDMATEVPKAFVVLSYSEKKSVTEEELVEYANSRLPEQMRLRGGVVILSE LPRSPLGKVQRNALRHQMGQPMKVDPLHSSLGLLTPLPSPTPVIK PHYBLDRAFT_139909 MYYSNEPTKDYPAISIFEALFEQETNVSDDQKLYVDCDNTEEYL TRASLKRDILLFAQGLKNKYNFGPGDIMAICAPNHASATTACVRALEGPERVVMDITL VRPKLIISHKDTIKAVELAAQNIGLDDTHILLFGNESIKGFKPFRSVLMNHSVLATPV KLTPKELSTEPAYLYYTSGTSGVKKATIITHSNVVAMMYANDPWVPPGTPYLAYSNNA HISGLASAMTLSIMHGIRTYFLRNFSIQRMCEAVQKYKIRFIMSQAWVGAALTKESFV SNYDLSSLLLILSAGSFLDQTTMARFRERHNFGLSSVFGMTEVMSCIKISREAHIRGS VGRLYPGMIAKIIDDNGEEATNGSPGELCLKGPTVTPGYYSDPKATAATIDKDNFFHS GDLFRVDEEGYFYYMSRMKDRITYYFYEFSPPEIEDVVAKVSECCVVGVYSEELGTEL PKAFVVLSNRQSPKVTEEEIRAYAEDRLPEYLRLKGGVVIIDALPLTFMGKVDRSILR QQTGSLVPLKHQKVNSILNLPSIISIE PHYBLDRAFT_58942 MYCTIDSTKTYPSTTVFEALFEQETDVPGDYKLFIDTDKPENNL TRDSLKRDILLFAQGLKDKYSFGPGDIMAVCAPNHLDWAIAVHAPPVIGAITASVRAI EGPENVIKDIMLVRPKIIIAHKETLETVKVAANAINLEYSRILIFGDETVDGFAPFRS VLMNHSTLATQVKLTLDELSTKPAYLYFTSGTTGTRKAVIITHDNVVITFCAKQIWLT YETRYLSYTNNAHASALIVAMSNCVKNGVQTYLLKDFTLQRLCEAIQQYKIQVFATQP WVSAALTRERFVSNYDLSSLEYAISAGSPLDPMTMIRFKNRHDATLCSFFGMTESLLI FQVSQEGARRGIPGSIGTLYPEFTVKLVGDNGKEVPHGSIGELCIKGRFVTTGYYKNA EATAAAIDKDGFYHCGDLVRVDDEGYFYYISRIKDIIKYYAYHISPPEIEYILLSHPG VSECCVVGDYSEELSTELPKAFVVLSMKSKYLSIDNLQEYANDRLPDYMRLRGGLVIL NELPKSSLGKILRSTLRHQMGQPIKAIETKTNCVSKKPSILTMGQSTIA PHYBLDRAFT_58943 MYYSLEPNREYPHQSVFEALFEGETTVPGDKKLLIDSDNPENYL TRDALKRDILLFAQGLKDKFDFRSGDILAICAPNHLDCPIALHGPTVIGAITACIQSE EGSKNILKDMSIAKPKLVIAHKETLQDIKFAANSLGLEDTRILLFGNEAINGIKPFRD VLMNHSTLATPIRFTPSELKTLPAYLYYTSGTTGPKKAVVITNAIVNAIFYTRAPWIT PGVRFLSYGSNAHCSSLIISLSGCIRDGTEVYIMKSFTLKRMCEAVQRYKIHSLVIHP WVASALAKELFVENYDLSSLHASFSVGSALDPMTVVQFKKRFGITLCTLYGMTECISS FVGSSVAMERGSLGTLSPGFEAKIIDEDNKEFALLEVTEGSVGELCIKSHSITPGYYN NHEATAALIDKDGFLHSGDIFRVDPQGYFYYVGRQKDMIKYYSYQISPSDIEDILISH PYISECCVVGFYSSKISTEVPKAFVVVAPSQRDFITANELRAYADDRLPDQMHLRGGV ILIDSLPRSAVGKVLRNSLRHQMGQRTVNAN PHYBLDRAFT_58944 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGSGILSSRL SFIEMRYICRFLIL PHYBLDRAFT_58945 MKFSAITLISGALMSLSGLSMGVFACPIKHDSSIVHGKTFDRVV IIVLENTDFETAAADSYYASLAEKHNGVLLTNYLAITHPSQPNYIAMITGSVEGVYRD TMANLDRRSLVDLMEAKGISWKSYQQAYPGSCNLDMRISTYARKHNPFMSMVNVQKDP KLCAKIVNADQLDIDIANNDVPQLVFYTPDMNNDAHDTSMSYAGNWLKSFIEPRINQT AFNKNTMFVTTFDENHTKEIPNRVMTFLFGPDFKRSSTGSSDDTAYNHYSLLRTIEDN WELGNLGQNDATAVPFDI PHYBLDRAFT_105731 MLAMTLHHLEYTRNRTTSGTLVFYWILVLVSDTIKLRSLVLSRS TDTTEDYPGQFGLYLIGNIFSLCMFLLEFTLRPKRLSWYKDINSDERECPETTTSMFG RLVFSWISPLMTWGSDQLLLIDDLWFLGHDIRSSIISTRFQDAWDKEKSKKNPSLSRI LFPLLEGSFYFAGLLKAMHDILQFMQPILLKQLMNWVASYTTDEPAPSYNGVLLAVGM LIVALGQSLFYNQFLQLCHTNDMRLSAALITSMSKKTLELSTPNRQQSAMDEIHNIKG VCAHLHIWSGIFQLVIGLLLLYSTLGLSFCAGALVLVLVIPLYAILDVLMRNHKKMQK QNNQGRMDLVSEVLKGIRAIKINCWEDTFIDKINHVRNTTELPAIRKQSMLRLFHAFT FNAIPFFAAVSSFALYTKLSTSALTSQVAFVSILLFNILFSLLSLFPTNLASAWTATR ALRKIRLFLTLEERDPDVLHKQDFRLLPEWTSDTPLIDISKGSFGWSKAGPSVLNEID FQAKKGDLVGITGCQGAGKSTLLSTLLGDTFKTSGNVTIRGSIAYVSPEPWLTQTTLR DAIVFGHRWDKLFYQLVLSVCRLQTDLENLPEGDNTLITGDYFPLTSSQQSRVALARA LYARADIYLLDDPLKNIDPITRTMIMEDVLGPRGLLKNKARILVTEAISDLQRADRVL LLKNGKIEMDGSFAAWMHRRSGLHTLSRSSASITQNQPTHRQRRSRQESVESIETTKS LKRASMAMSLFENSPPRHTRTRKTVDEPLEALKESVDGKVVQSYMKSCSLFGIFAMLL FLIFAQASQVLANLWLKYWLDSNIQLNFSSYSSPWLYIELYAGLGSTSTVAYMLYTLI LWGYCAHGSARKSHSSMLRNVVRSKIEFFNAPYKTILSRFSTDQYAIDQILPESFEVF FRVLVSIIATIITITLSTPFFLVLVIPLSLILVNIQHTYRPISLRLNQLCEKYKADLT SRLQELHSGMVTICGFNQQRRFLVQNEEFLDDYQNIYHLHFSCKRWMSVRIELLGSVA IFGAAILAVIGVLYGASSYRDPGLVGLGLLYALTVTKSLHEMASSLSDIETHFISVSH VQEYIEQVPEKYEAVRRVHPMWPIEGSIEFCNYSANYHAGVYHNMHGLSFKIEAGSRI GVVNRSDSGTVPLSLCLLRMIEQTHGAILIDGVDISTIRLSDIRSRLAIIPCNPILFS GTIREHLDQSGIYEDVQIWRALQAVGIDGVVTNLEGQLSSVVTEENMPFSLVYLARAI LRRATILVWEESNEVVSKIRVKSSKRRKKKKKFQSCTVLTIAHNVGSVMDSDKVLVFS QGTVIEYNSPCELLSDKDSIFYSMSTEANICD PHYBLDRAFT_139915 MAGQQKAFLPFTQTSTFSLQGLCQHTEGWGCYGDPIGVSIDRCN GPNTDSSQTHPTCT PHYBLDRAFT_106131 QETMPEELMLGKVFSDAMGPSKVIPYYFKAEETNIDSEEVTIAT LVTQNRFKVLGRLASHYKGPVSVAIHVNDDDSKQEILSELHKLYEENEDMRRYVDLHL VVDKFDRQFNMWRNVAKFFARTDYIMMLDVDFHLCTDFRKALKREPKLMEELRSGRGA IVVPAFEFIEEKDGEDWRKFPTTKEELVDIVATDKIDMFHRSWKRGHGSTDYPRWYES TTPYKVTDYNYSYEPYVIFKKEGTPWCDERFVGYGANKAACLYEIYLSGIDYWVLPGD FLIHQTHHYMEETRAKERKYNKKLYDYYREEVCLRYSRIMIAAGQWDMPAAENVRREC AKIKGFNDVISKVHN PHYBLDRAFT_139917 MSSNFQSNTPGVIVLGPVSPVQAEILTPEALKFVATLQRTFNAT RKALLDKRSSRQNELDRGVLPDFLPDTASVRNDDTWRAAKPAPGLQDRRVEITGPVDR KMVINALNSGASTFMADFEDSSAPTWDVMLSGQVNLRDAIRGTITFTNPNGKKYELRN DGKVCTLIVRPRGWHMVEKHLLIDNEPASASIFDFGLYFFHNAKELIKNGKGPYFYLP KMESHLEARLWNDIFFQAQDSLNIPRGTIRATVLIETIMAAFEMDEIIYELRDHSSGL NCGRWDYIFSFIKKLRQHPQYVLPERSAVTMTVPFMDAYVRLLIKTCHKRGVHAMGGM AAQIPIKNDPKANDVAMAKVRADKLREVKAGHDGTWVAHPDLVTIAFEIFNEHMPQPN QLHVRREDVSVNQSDLLNINFEGNVSDKGIRDNIQIGLAYMESWLRGVGCVPIHNLME DAATAEISRSQLWQWARHNTKTIEGKIVTGDYLLKVLDEETDKIRESLGEKYSKSKYE AAKKAFATNITGERYDDFLTTLLYDDIVLSEAKHRL PHYBLDRAFT_74178 MLWDRTPARKRLNLFIPRRFPSSSCIFCQDATEDQYHFFFGCSI KRQVWNVILSRFCPAWNLAEICLLLTRGSFPPRSSHQGLWIILSAVTAKAIWSAHWKF VFDDQPFLSGVVAQKASTVIEKHIEFIIRITLVSRIPKKGQFKMSYLRLLEEKPTLYL V PHYBLDRAFT_162960 MDSYGPDRVILYQQKGHDLWFNRIKMSPTPGKVVYLKSAYRLSS QTVCKVSALSIKTTSSQPKNQTNDENNIKIYDYKTSVLLAFNVLQQPEKEQEKKLLIT ELGDLEPVVYVDREGEEHYLLAAPKGNIPQSSLPHTDTRYQNIGLCLIDNENSKKLVL GAKPVNVLLASSTRLKSNQLPIVGASSSNGFMPSRVTRVFIEKRKLKEIEIMMKANTQ LDEIKSSNQHVLYPFDEHSQFRQLRSKLDRLSTYTCYRFSFKFTDDARKRPWTIWTIE YRSHT PHYBLDRAFT_162961 MATNSFALSKNPSFSSEHDIKSDPVNKPSTHDLQIWIYKLFPDP LNYPEERLISIDTKELDIKTRIIKDNTIIPPKWLWDFSVIINIKNKTIFRCITRSTNG DKRNGFDRNIYLPFYIDFNF PHYBLDRAFT_157378 MASKFLHDNLRTIIHTNSQHTASQPKPTAPTADSKKPLHLITPP TSPKAPIKESTVPTSDIPCVIHYPTDDRAVRIMRMEIEFLEFINYDLSVNDPIHLVLW AQSFDKPEDLDFKSDDLLILHLRLLPALPPSCPTLSSKYFGFIFEM PHYBLDRAFT_75715 MKLYAAILSLASAVVAFESTVPCLMWSPKNYLQPSLTNTEQLYL GTELAVSSIFASLSPNVCNAKVVAVINQPELHRSDLSRSDYTESFEHLKEHVSSAGSR VQYEYISGPLDLNKMAMDIASQCDSTVSILDSETATSGDIYAEKSHSVALMMLPAGKD AEVLRENDFKIDRFIKAIEEKVADDYIVIYTSSTPKTPETSSLKKRALADVLSRRAPK ASSVNLPVFAKYQLFSPAIFMGLAISLIFVLVVATGVSWLTGIQTPTRFESKPKKQ PHYBLDRAFT_184973 MIVLLSRISVSVVFKSTNKYSLKPDSTAIVDDRAVSGGVYATEE QNDILIPFNDPNIMYFGRWRTSTNSIQSGWPGAYFKTTIYGPRIRLILKGPTHVYVQI DTEKPKDYRTQSENGEAIELDITPQIDLGQGPHQLTVAACTNASIELESLLLSDERGT GPVDVLSSTVEFVGHDLTLGKYTSQTILTSYAWAVSEILGTEHAQIALPGARLMDGKD GRGMESQYFEWSPSHTKEARAKWDFSSYKPAAIVILLGRNDYGTEAYEQSLERFLLQV RTHTGPASILVLSEPLGEMVRSSQLAVSRRNDLGDENVHFVDTTNWVRYGPSAFVDPG HLNDVGHQTVARKLAPLLQAKLARPRQPFPGPLPNPTLPNGWQTMDVGHELSIGLPGS VSFDSGNTFTLWGSGADISGTRDAFRFVYQALSGDGTLEATVESHSTFASCAKAGIII REHLSLGSPHVMLGISPADGLFLQTRSQNMNDTRLLKKSRASPPYRLRLIRKDNVFVA QMMRTSVAPPIWDTLGNVSTTFLARDVYAGLAVTSCDTAVVSVAKFVDVSLQGGVGSG SGLRFIDQH PHYBLDRAFT_58954 MKKTTLDSADAPRAGRLSKLTVCPFGRPRWQSRFFVLLNSELRY YKNEHAETPSSILNLNDVDQLVLAPTPSHPFCFRLEPILNTVSPVGSDSKKDKSTKQQ RPWSLECQSEIEMDLWVSAIHCRLAKLSSKTPPLLHYEQQPSPSACFFSSLLRKITPP SNSTYSDYPSSDPSRPSSFWPAMKNKPVVATQTSFSQRRGITLAPIYVPVPVHSLQVY ESSDLTFESSPSDSGIQSPESTLGASSDIDYEEFKSKRSLSLPALTDFETAETESSPT FLLYKERFRL PHYBLDRAFT_176740 MKSTYIASIALYCTWALGSDAHPDHSSYETYDGYVPPFAGISTF AHLPYKQCWDEGVDEDYDIAVIGVPYDIGVTFRTGARFGPSAIREGSKRLKGYNDELK INPFRSWARSVDCGDIPFEPFDASHAIGQIESYTNYILQRPPTADGTPSRPPKLITLG GDHTILLPVLRSMRTAYKKPMTVIHFDSHLDTWAPEVYNVKAKQSRFNHGNPLYHAAI EGLTTNGSSLHVGIRSSLYDKQDVERDEALGFNIIKANAIFTLGVEGIVKRIHEILGP KEDTLVYLSIDIDVIDPSMAPATGTPETGGFLTREMRYILRGLDGYNIVGMDLVEVAP AYDTQAQLTSFLAADLIYEVLCMMVKRGLN PHYBLDRAFT_130282 MLQELVTSSIYGYADSENWGSESSQSSQRLKVATQLLKKAGEEY EHYDALYVLANMHFFAQYTQKRDIKTAYRYYQALADTGNATAQQMVGFMYSTGIGGAV KRDQAKALLHHTFAAHGGDTAAEMTLGYRSLLGIGADQKCDDAVYYYKRVAEKAVKYY LSGPPGGRSPLLPKVRLSDEDGGVYGYGASVMTDRRYRVNGGSSEKSVSIEEVLQYWR YLAQTKADFEAQLTLGQVYYQGTRSITQNFKDAFGFFRQVTDKIPAGKLNEAFITSSR GKAIGQAAGFLGRMYWRGEGVEPNVKTAYQWFELGAQFGDANSQNGLGMMYMEGIVVS RNREKAIEYFKKAANQENPDAQVNLAVEYMRHESTLPMAIRLFTMAADAKHILAYWNL AQLNYAGVGLKASCQMAVSFYKSIAERGDWLNPTVETAYKLYTKGDREGALIYYMLAA EKGYEVAQSNVAYLLDTDKQLLKLSMLGIMEAPPRNKEEEELALIYWSRSANQNNVDS RVKMGDYYYRGIGTHVDYEKAAACYRVAAEIEFSPLAMWNLGWMYENGIGVSKDFHLA KRSYDNALNANPDAYLPVKLSLVKLYVRYYWEWLRGGEVGQGLQDSTPKDNLNLGKPD TVEILNQEARRRKEIEAHDNERGHWDIGAEEELRRKYSKHMKQMEREEGEDYMDSSSG FGDDYRDEEYSDEDELMESLMILGLCLLVGWLVYVRQFRFGNQRGNEPARGLDNPLAQ QAEAREDEHP PHYBLDRAFT_58957 MEYDLSDTKALPVLAVSPLPGLSLKLEQSNDTQSHGTTVWHSAI QLAFYLADILKPPTFNLEEGILHPKENKRCLELGSGCGLSGLTMAAFGFDTTLTDLPV VVDKVLTANATQNLASIKDYWCDLQQAHQHSAHMSDPKIRAKPLDWLDLDASSNWLEN HYHYILSADCIYSIDLVQPLLECIFKLSSPTTIVLVAMERRDALVVDGFVEKARSKGF DARIIPKKMVRTALTNNEDVEIWKLKKKRTKNES PHYBLDRAFT_58958 MDRKRTRQTYDESSSKIGKDNFQIDSDAYGIKKKAIRKRLKKLE ENESADEFGDDLPIISDATAAADYLIKKASYSTNRNLNLIKTRLPICFIHQIYDLLPN YTDVDRDLEVDINNGLWCSFRGMDTSEDETILMPTSDYLAIIEKAKITFEKEVADGIN TSGKDRFKRLIETRQPKEKCISRDALIKGCCTQEEELIQLVKAGVLLPHLRLDLYWVS IPGQGMFFSDVRKGREEFLQMLKKRPTKDILEKVVFLLALQPLHR PHYBLDRAFT_4123 FCIGALKTLMKQRSAGAFLEPVDPIAYNIPDYFEIVKNPMDLGT IMKKLRNGEYGTILEFSEDIQLMFDNCYLYNNAGDPVCCEAKKLEEVFRKCMKKAPAS AVNLNIVSPEPFVSTTPKERSASISSVPETMSETEFKHCETVMKEMKKHKYHDYSWPF VQPVDAEAWGAIDYHDVVKEPMDMSTIEKKLYEHQYHNEDEFRSDFTLMFKNCFAYNP PDHLVHKNGKKFEE PHYBLDRAFT_162971 MPQKVESDQRLPQNKHNEGRPQIERQISLGTDDEQAYSGEETER QNEFDVRTITEDFDWGGRDDEDEEDRREDKRIKDKKSLVSTHLLFCISRNASNIQWIC LILVAAIFLAICVAVWEVYGHRGQVTTTSYGLQLWFTWMAFMWSIGIFTQIMTEAVPW FIRKIGTSLRPQSTEALRTRLSYYMALRLFTRFVINAAFAWGSWALISECAPLPEGTE KPGYVHIFYSIWECLFFAAILLFVEKLILQMIVVNFHKKAYGDRIAKNSRELKILDNL RKPKRKPHQEFLLKRIRRKKPENETNSNGVTSNGKSLAENYRSEQMKKSKKSIMTSKP TGSSPEGHGPKQNVRFPSQNMDTLVAIPCVDQLQEDEQDADRSRSSPGDISRDRTLTE LTPDIEAQRPQEKSDEHFMKKLAKKLAHKENSPKIQQRPVDGDDNEIPLSRSSSWSGG RSSYEKSMTSGRDFNISSTLPGKLFRGGYKKLVGQSVGVNQNTSQQAKSTAKRIFYNV AGHSPTRDHIVESDLLPLFETRQQATEAFQMFDKDGNGDISKRELRSGCIRIYRERKN LARSVRDLSQATGKLNIILLVIFIAIWVVIVLASFGVNVGTELMPLWSAFIAGSFIFG NSAKDIFESIIFVFVTHPFDAGDRVMVGEENWVVDNVGLLSSTFLKWDGSVVYVKNSV LVPLYIINCRRTGCTGETADIHVGFETPSWKIHKLRDHMVEWSDTLPHLFTPGTTSAN VLSFENQNKITISFYYQHTQNWQDPGGRWLRHNTFMMELKEASERLGVNYIMPLQPVD TKPKINPLDDSTQTDKESLADSIKARNIQIENERQAEKEIREEEILSQRKPYNYNEDG SFSPHNNQNNDNDNSNGAAATILFSSMM PHYBLDRAFT_106531 MKYTPEQVATHNSLNDCWIIVQGKVFDITNFLSEHPGGKKVLVK VAGKDATKQFKQFHNDAIMERMGLPMQIGVVESYNDKKVPKKVVDVSATSSTAATPFT ELARNTGAVPYGDPSWCQEWNSPYYKESHIKLRNYVRQFVDKEITPFCHEWSEAKKIP SHIIKRCAEVGLLNALSGAGTIPAMSSLMPYPLPTDIQPKDFDIFHEAVCIDELSRCG SGGLIWAVEGGLSIALPPVMNFGSPEMIKKVVPACLSGDKYIALAITEPSAGSDVANL STSAKDMGDHFLVNGQKKWITQGAYADYYVVVCRTGGEGMNGMSLLLIDRATPGVEAR YMDVQGMWGSGTAYIVFEDVKVPKENLIGKLNQGFKYIMHNFNHERLSIIIQANRLAR VCVEESFKYSIKRKTFGKRLIDHAVIRNKFGHMIRHCEATHAWLESVLYQIQTLPKET QASRLGGPIALLKAQSTQTFEYCAREASQIFGGLSYTRGGQGEKVERLYREVRAYAIP GGSEEIMLDLGVRQALKKVTVGQAKL PHYBLDRAFT_162973 MSSTISDPQETLSFLCTLDPVSLSCFENSDFDIIGNGRLLVLVT SPDNPSQAIVLRFFDDDDQECAFSVLEPQTKIWLQQQDLLMVPRHEGGFWRFDFSASD QETFCTFQDMLTLFLRYQNRHNIRNALVMIDAESCKLTQVIAKDIYLQGPRPEDSQAI IDEKMIDKKLPMVVQRMDEIQTIGIDPLSKARVRTMYRTGDALVTGSNWLASALIYAG KTVAREIESRTTQIETTAEPSNTALNMGDNERYCLDVIHQGTGIVQKATASWLSSAFS ATISGISNMYTDESLASSDPVQSASRHLGISTLHAATAIASGAAIAAGTILASSGKGV VQVIKKKYVLPRGKPSVREIPRAPHQDVLVYFDGNGMSRQVVVQDDPDNFSWDQLNSD ERSENEYDWSRQEEMSQETGDTVELWLDSWPLPPANESETSYEDVSCVERDDTENNPL VKGNVCVYV PHYBLDRAFT_130286 MSNSNCIQEIVPRIFLGTFSAGQSRAVLNQHKITHIVALGDFTP LYDDKIYLNVDIMDLPGANIIQHFDETSNFIEDAYNKNGVILVHCEAGVSRSPTVLAA YFMRSKGIKPTEALEMIKAKRPIISPNNGFLAQLVLYDRLKYDVDTRHTDYRRFLVAA MAEEQKENGYIQHFALAPDPEYVSGYDSSSTNTKPYTALRCRKCRRVLAEADNVIDHE RGQGQGAFAYLKRDSSINATESAIVGLSEAGPTHDTVNKAINPLLASLASSNSSCSSY FVEPMEWIHGLQNGEFNGRIECPKCCSKLGSYNWSGEQCSCGQWVTPAFMLHRKQVDE IKNVKRK PHYBLDRAFT_184978 MFRQTPGVDLNEFPALGTPPTSNRGNPATTGPMPSGRLASYAST AGTNVNANHLQETLIGNGMAMNDYGMQNSSSMAYQTPPNGFPLPTDQLGRGLGPRSFS VDDFPALRNPDNAFGNAIANEPSPMNGKPEPWREYSGPREGNKQYGAKSGAMETAQWL QGRQDTSLPQLAPGSRKTMESREAGKENENAPSWSEQGEADPYGLLGLLGVIRMTDPD RSMLALGSDLTTLGLDLNTADTIYSTFVSPWSDTQTPPGLNVEPGYYLPSCYRSVKAT PDAHMRMRTFSEDVLFYVFYSMPNDIAHEAAAQELYNRNWRYHKELGLWLTKEADDNG RPVSVFRRTPAGAIERGIFSFFDPAVWQKVKREWTLSWDMLEERPPQSQSQPPQQHQG SATANRGVMI PHYBLDRAFT_179318 MTENKGSARDYVESYVKESQPDVDGYLSEQQPQYDPTKAPTQAS SSSSKPNTSPAPTPSQQFKLHLPTVNPIQTNETPKDEQKLKDQNNPKIEWAVRDFMDQ KREIHETALENCADLHEELFTCFKDGSWWDKAKMCEDQKQRFWTCYHQQKKFLKAVNY KGAGTTPEENDINLYKAMRLRPEDAEKSE PHYBLDRAFT_106940 PIMRNILPVEAPNFLPDFDTLNLFPRIPPKGPILKKSKLQLKDY PPTWATPPVDGPDIEKVLESIDWEYVPNIPVRNSTSSGDLDMSKYDVLVDDSCWWSAT GCVEPKLDYLPVDIYECPAPGHFGLTYDDGPMVPNKSEDSSSDSWEEPDLYKFLNEND QKATLFYVGSNVALYPDIAKRAIDSGHDICLHTWSHPPMTTRTNEQIVAELYWNLRAI KEATGITSKCWRPPYGDVDDRVRGIAHQMGLRTVLWTRDSQDWQLDSPGSTLTPKDVD GYFEQWIADQVAGKNNDNGHIGLQHELSDTTVRVAMKWLPRMQEAFNVTTVHQCLKME KPYWES PHYBLDRAFT_121541 MTSVSTIKRAPVFFISHGGPTLLEDKGKPGEFYTWLGKYIKNVI KPKAIVVISAHWQGKGKSGISVDSSTKPELIYDFYGFPKHFYSETWDQLGEPELASRI VGMLKKSGIQATAERYGNDHGVWVPLKRAMRETGGIPIVEVSTFSHENMTMHISMGEA LAPLRDENILIIGSGSAVHNLRLLWSYGDKPSPKYAIDFDRTMEKYACTLKGGERNKA ASTLDQHPNFRDCHPTAEHLVPFHVALGAAGEDKGIKLLEDYFSTISWGCYGFGLPED LRVPQYSNGFKYHDEL PHYBLDRAFT_162979 MGVRGLTGLLARFAPKSIQTVNANELAHQTVAIDASCNLSKFIY GDEPHPHKHIFGFFMLARFCEINNIKPIFIFDGASRIPAKKLELDRRDRARNKVDHSL LFEVERNLRLDALFQSSSNKESLSNDAVIRILARLDQTQDTLTDAHAQVNKNIVEKAD KPSLEAKLSEWADGINQAAVLSEDREKYTQTVRNLTAKERDTMSTIVQDQLNSVKSFL SPLMVENEYLLSSLEKRSIRVTQQLREECQFFLESQGHLCFSCEDHEAEAMCAHLGKT NRTSATVSEGKDSFMIDPIVARRELGLTKESFMDMCILCGTDFSTKIKGIGPIRALQH IQKYGSIENILENMTSKYIPEEGFDYKLAREVFSTLPPIPLKDKDYAQPIVSKKLSER MLEFYEIDVVDVENRIRTIIFQQGLGLHNWESDPFSSK PHYBLDRAFT_35398 MIVESSPSTQSTSNAPDRAVEEIYKKKSQLEHILLRPDTYIGTV EPEEQEMWIFGAEDKIEKKKIKYVPGLYKIVDEILVNAADNKIRDPTMNTIKVVIDRA QNFISIQNNGKGIPILIHKDEQVYVPELIFGHLLTSSNYDDTEKKVTGGRNGYGAKLC NIFSTEFTVETADKEQKKKYFQVFKNNMSVKSEPKITANTRGEEYTRISFKPDLSKFG MTEMDEDFTALIKKRVYDMAGTVDGINVYYNGTKIPVKGFKQYVELYTDSMEIRNAEG KKPIIHDIPSERWQVSFAVSDGQFNQVSFVNSISTPKGGTHVNHVADQLVKGLMADVQ KKTGNKNIKPFQIKNHMCIFVNCLIENPTFDSQTKENMTLKTSAFGSTYQASEKFISA VSKSGIIDNIVKWVKYKEDTQMIKADSGKMTKRLNIPKLEDANWAGVKPKNKRCTLIL TEGDSAKALVMSGLSVVGRDEYGVFPLRGKLLNVRDASATAIMSNAEITNVKSILGLK HGQKYTSSDELRYGKLMIMTDQDHDGSHIKGLLINFIDQMFPSLLDIPGFLLEFITPI IRCTHKRTKARKTFFTIPEYENWALENNVNKEWIPKYFKGLGTSDRNDAREYFGDLPT HVKEFQTADEDDRKLIEMAFAKKKVTDRKEWLSTYEPGIFIDHNVQKIKISDFVNREL MLFSMADNIRSIPSLVDGFKPGQRKAFFGTSKRPNNAEVKVAQLANHIAGKTHYHHGE TSMASTVIGMAQDFTGTNNVNLFFPAGQFGTRIDGGKSAASPRYLNTRLEKIARYVFH PDDDPILDYLTEENNSIEPRWYIPILPMVLVNGADGIGTGWSTSIPNYNPIDIVNNLH RLMDGEDLVVMRPWFRGFQGEIFPGADGRFTVNGIAEIQADGNIKVSELPVRFWTDSF KEHLESLRDPKEKKFDIAIMDYNNNSTDCTVDFTIMLDGPNLEKAHTIDLLKVLKMTT SISTSNIVCFDRNEKIKKYASPEEVIREFYDLRLEYYQKRKDNLISILEDNYVRADNK ARFIQLVIDKKLVYIDRKEEDVIADMEKNGLEKIYAKKKNVLVVNEEEDTPTPDASKE NNTGYEYLFDINVRGFTVKKVQELLKYRQARLEEVEATKATSLHEFWKRDLKEFTKQW EELLEKDKEMLSKNKPKAPSSNTKRKRIVKPKPKKIESDSE PHYBLDRAFT_58970 MTKTEYIRLDFPTFEFLLDKKMDKDTVKGLSDVAISQALSDTSS SLYRELSLRIMTTAVTGNRASRRETNGLDRTCPILTITTFVDENTARIAKELFPDYTL QFNGQELVSNPRPYVLFQLATEHALSLFGAHNHDIIHLGGNPMTYAKKQTSRSNVHCC VDESDIYVRSEMIHMREELCEFEEDDSTVNNKRTHKAHNNMVNLIKNKECTLYCDQPM LCSYDAYNMLVDHTKYDLSLEQIGLCMIRHNVGLTYGFFPYSPEMIYADIGYLFALDA KFTIDREEDTITIEYNRADQFTRTYRYSNYVELYAKSLIHVGEERFKVELNEPHRGNI VYYKLVRLPRNSGPEKIPIHRTSFLGENERCVLRMHLPKRSESESTRKPHFELVEHVV HKSIYSTVYNYALRMAPGKLSFNDIDNHLYKIAGAQGFNMKSVFVPKHSSVKTMHDIA KAIYFRAYCERFMTTTTVKIAFDRLDTNMESYRFDISTAVANFVKCSYWRTLKSFLAS HLDDTPCLKESDAGFSKKMSGYLHIFVSTCLAKNDLTVEEGDYPEYIEYSDYLREQHF GWMDTIRLRLGTNIRQLPFFSEERDSTYIVCNFHPKYPGGMWSQRYENVECFQQILVQ SISSETHATAQDQRRIHYKEPENLWSKLFNLDEQHLGIKDEWSERDENDSETNGKNSV QFFLEEFAPMFEVVAFFGKNLTKCRKLNN PHYBLDRAFT_139941 MKITVFGGSKGCSCAMVKQGLVSFPDFQFTLMVRNPGTVQFTEE EKQAIKWVKGDARSKEDVKSAIDGADYVVTSVGSTVNMKTRAMADPGLCRDSMQTLLE VIQELPEQVRPKRLITVSTTGVDGMSEVPYLFRPLYKYLLHAPHEDKREMEKLVRENI VVPDWIIVRPTLLTDGAKTEKYRSGEKLCGYTISREDVGHFVLHQCLGSPQWIKKIPV VSY PHYBLDRAFT_58974 MNQQQLRGALGTVIGTSQLCEEHPALQAFVYQLRHGSLTDHVYQ DTLYQNQADQLLVCLSTNSYDKASLNTVLSAVVSSEANTKDPSVDSFRRAIVQFLINQ LQNSTNILPTLQFISENSARLFTSDQPKGQVFKLCFELQTTHGYWDLEVRSWLYRVFR NLRVPTDQWLAQAESSPQYQSRKKYVQIQTAFNDNSTSHRLKDCQDLLEGCLASPSNH IYWAQKFAEILPYGIVSESVSIQTNISLYQTIYPLELEVVLNALKSIWGSLVYHKLSD ETQSMLQAHKNVTLLLDLETDALSDQFLDSTSCLSLDPALVDLLNRTLGTPHSIPANQ IEKCIHELCLVIDSAPQIFLAHITRCAIMLTFLSSLVGLMYSSWANLLACLLDQITFE AQEHALEMNLRLKFLAKVASCCVVYLEFNCRSNNSQAISEFVCELGRMWQHYRNTRMI ILDTDEPPKDQDYKVKVILFNLINVAKEKLNTLP PHYBLDRAFT_58975 MSCHPPIEFLVHPPSPPPSEPEPCVLPPVSDILPNAPYNSSSPS LRLPDLQSLIPQLHDIMPVEPRPRLMLTIPFDQASHSTCSSPTSPFQNFSFDTPFTSP ASSPTPNSNIKDDLGRPFLLPPPNISPYHQPHQQSRRMSDSSFHSAHSVNSAHSAHSA YSAYSARSGHSAHSAQSSNSAYFSAASSVHSAFRPVSRSGSVSSVLSRSPSVVSESST TSSSNNSTTATLDIPTPAKRKRGRPPNAARSAQSAQSFVFVTPTVWEVKRNDAQPNRQ CQQDGGAIESTPGYWSQPSNYNNDTDERGINGEEQRKSTTSLNTFTSTKMDTTLTMPK KKRGRKPKMQLQGNSCFVWRDLTARRGANKKQRQKKNVPPVLLAAMPSPEQDEQDDEI DDTDMDLTLNHVKRLCIEETDE PHYBLDRAFT_121547 MSFSYRSILAKSVKQTSFIRSGIQQRSYGALRAVAASDPRHDHT VHITSSRGVTITHDPLLSKGTAFSLDERERLSIRGLVPPRCQDMDKQLLRVKRNLDGC DTPLAKFIFLSALQDRNETLFYKLLIEHLEELSGIIYTPTVGLACQKSHSIYRRSRGM YFSSQDRGRMSAMVYNWPHDEVDVIVVTDGSRVLGLGDLGANGMQIPIGKLSLYVSAG GIRPRAVLPVVLDVGTNNQSLLDDPLYLGMDHRRLEGEEYYDMVDEWVTAIHSRWPNA LIQFEDFKYPHAYNLLNKYRDKITCFNDDIQSTSAITLAGILASLKARGKEQTDLSEE RIVCVGAGSAGVGVCEGIIDCLVAQGKVKTREEAYRRIWMLDQDGLLGAVRDTPLDER QQSYVKHDMADRLTLEQVVEKVKPTVILGLTGIRGVFTEKAIRTMAQHTEKPVVFPLS NPDTHAECTAEEAFKWTDGRAIFASGSPFKNVEMPGGKIGYTNQCNNSYSFPGLGLGI TVSRASRVTPTMFLETARVIADLATPEQLKQGILFPGVSKLRDIALAVGTRVCDVAYE EGVATATLKEFELLSDVVENSMYKPEYVPLVYHQNNH PHYBLDRAFT_15137 EVRLFTNNKDRDKYDTMADLFAIIVLTEHLEKAFIRDSITAEEY TSQCANLIAKYKTTMNFLSESVTNLETFMDDYKLTCPAAVNRFKIGVPATYEHAIGNT KNDMGKAAKYIAESVLHFITLMDTLRLNRYAVDELHPILADLIQSLNNVPGLPAGFEG KTKVRQWLITLHSMKASDEITEEQARQMLFEMEQSHTEFYRLLSGEHKEEDSG PHYBLDRAFT_106306 LEDAKRHHDPIYVHCKAGKSRSVTAILAYLISSERWTLKQAYCH VIKARPNMSPNIGFIAELMKMEGKVHGRVSSFMETDWQSTAHASAAFTYEIDQLQLAW QNSPLDTAQPMSLK PHYBLDRAFT_58979 MLLNIQNIFMLYAIQTVNIKGKTGKKEKTGYTQELRMKCIHLIG RSLQKIYRKHVRRIETYCTKITHNIFYLQIHKRFCVSVSEYEYEYQVLKVTILFFLRK EETIRSHLAPTGRITQVHHITAQ PHYBLDRAFT_139949 MLRLTTWRAFQQPLHNLPSILFIGKIGQKQTWANTRLYTTTQGD SENKKHDILFFGTDEFASNHLKALILERDQPGSSIGNIELVCPPDRLTGRKMNELTPS KTKALATLYDIPVHHTPVKEKTLDNWQVPGKFDLGVVVSFGYFIPPHVIETFKKGAVN VHPSLLPKYRGAAPIQHTILGGDEVTGVTVQELDDKAFDAGRILSQEKVDLSDEVAPA YPSLLNKLSDVGCKLLVSTLRKLDYHKKNAKIQDPTKITSAPKIKKDWADIKFDVMTA WQIEQLHRAIGSQYPIKTIFTFSRFKRSKKLKQRFVTMSLHNVFLPLDSPAYYYNPPL EPGTFVFDENSGSVHVCCADGNVIGFTHIQAESKKTILAKDFVNGYEIRNNVGQFGEL SEEDVEAGMSGVRVTKKRAEYNKVIRKRLRMRKVDFERLVLNKR PHYBLDRAFT_162990 MTLLHPSHKKSVASGIRLAPSIERAQVVDIEHRPGHQTWYSIQV VPQKITVRSTPGCADSTTRSTYIITRRYEDFRQFVQRLYQEIGVERTLIATILPARFQ TPLPAKTCFVKPATLPKLKRRIQWLPNKYTPEDRRIELNAFLVSLFTLPPSMTHSLAE IQQQQEILNRSSFVQKRSRLRSHTVSMRRNTLRHSVLCRSLSQPDLRYVWLPSSRLST RVPSPQSTSLPDPPRPVLTSVARWRTLGARSFRKKSPPSLLSFYTNATLSLPWSTRDH TLLLENSHYANHDFADDATDIDPDIDLNVSIDIHVAPPTSKETSAEMSTSSNNNVEIE ANTSENLMAPKTPVNTFLRLKVIRDLDNIVVIQVQRYTSLATVRERIVQKLLTSDGVW EDDDWVLVYNHDRSSASSYFDKNIGKRLTWVVTENDWQLAMATLWNNMDKVTLRCIHG QKL PHYBLDRAFT_139951 MDMDMDMDVDVKEGYRREGDYSSSPLGFLKELAPEKLELTQDQS YPGSTANSIQEENVIHSYCFRRGPMVQLHPSNTWPIGYLSPVGMLSGSLMYTIEDNLL DPHEIRHSSKRTNPSHTDDTFERLDRRKEPGKKYWSKRKRSIAEDVRDRKMQKISSNL ANDIARSLSFTDPDSNPRSTQDVDDIEMLITPDTKDPSHLLQTSPALSTSNLSSIHGF PSNGCPVSQTQRVYRKCARPFGTRRSGDTAMHSLASGRDSSPIPTLRRISLSGALSPA IDLDQWLMMQAHRQQISVQDYKLLFQEKKKQLQQCLQKLHTSHPTNSDTQRYEEIKEN LGKILSIADELSGDHSLSFAEIFPEWRECEGHLNKLASYVQSIEDMKHLNSQTIPRTN DLLHDIKYLQSVLEDKHNLYGECLVQNGLEWKTMGMPVDEHLLAATKGWFYSLSIGLL RELDTECSKAQSLVTNMRELVNSALGEKLMAAILHGIEFISGSTALIGLPSRKLTFGC RVLATVYGHWISENLGYLNERQLAEFMAGTTNTPSTPTNINVPKAIRTDLRLMQCLES MARVLAALQTLQEVDSGQKSVAKGVFHPSLLRDVDDDDPFDGETVLENLTSLLVEITV RTVAVIETSRVQITKLNTAKSANIMVNPQMALVYMQEALLSFADQILELSGRDWQTGA RLQRLHAHLEDMENEFAVQ PHYBLDRAFT_75728 MVRLTTIGAYVVLASPLVFAQSSTPSASTPVQGILAEASSILAN APIRSLVGEATSAVGAFPSAVHSSVSSILSVANHPVISNAGPNPAATAAPKSIPKATA AQSGAAKTSVTGIVVFIAVLGLFL PHYBLDRAFT_4214 VAQHYNQRPDVGVEKRKESKIIRLRSFNNWVKSVLINTYVKPGY NAFDMGCGKGGDLIKWAKSRIRHLVAADIADVSLEQMKDRYKTLRDRSFTAEFYAMDC YQEPIAPKLKPDLMLDVVSMQFCLHYAFENETKARQMLENVSTRLRSGGYFFGTIPDA NWIVKRVRAEPKGSFGFGNSIYHIDFEGIEEDESGKKVGFTKYGCKYMFHLEDAVDCP EYL PHYBLDRAFT_27674 MKLFTLSAIISTFLAIGADAAIQRVPIKKTVETSAEKLQRYSHT GEYLTQKYFGSQRSQSQNAQPFQVDADGRVEHGVPLSNYMNAQYYGEIDIGTPPQTFT VVLDTGSSNLWVPSSGCSSIACFLHRRYESTKSSTFSENGTDFAIRYGTGSLEGFISQ DTVNFGGIELENQGFAESVKEPGFTFAFAKFDGILGMGYDNIAVQKVVPPFYNLVNRD LIDEPVFSFWLNDANNGDEANGGELVLGGVDPAHYVGDIAWSPVVRKGYWEIQLDDIR FDGEPLDLDPINAAIDTGSSLLVCPTAFADLLNKEFGAEKNWAGQYVVDCARIPDLPE FCFIFNGKDFCLTAEDYILQMQNQCISGFMGMDIPEPAGPIWIVGDVFLRKFYSIYDL GNDRVGLALSA PHYBLDRAFT_106023 MTVDGKRILCIADARGNLSQLNALARDADAQFIIHTGDFGFYDG SSLSRISDRTLKHLVQYSTLIPPHVRTRLNSSPVEQIRSTIEQSPQTLLSEFPAYLSG EQKLDIPVYTVWGACEDVAIIERFRSGEYKIHNLFLLDEATSHLLDIGGVSLRLFGLG GAVVQHKLFDNGEGTDTIAGGLGTMWTTALQIGELVETAQRVYACSETRILVTHASPG REGLLAQLALVLRVSDLIKLCDNFSFIFAYFILFL PHYBLDRAFT_121561 MPHAPRNSFLVPGVAQFSRSTTFAKKALHKRQKKAVAAPAKKAV TDKTVDVKGAKNGSKRTVPAKKADRFYPAEDVPQPKKSRKVSKIGALRATITPGTVVI LLAGRHRGKRVVALKQLDSGLLLVTGPFKINGVPLRRVNQAYVLATSTKIDVSSVKLD AKINDAYFKKAAGAKAQGFLEGAEKKAAFPASKAADQKVVDKAIIDAAAKTPLLRQYL SSTFGLSKGQFPHAMQF PHYBLDRAFT_139957 MDSIFNYLHWDTPKLPLVVDTWTYEKLPTYHIPVPTKFVPKADV QRDLKKDNMPIHKSTKRFVTVVTYPTIRNKSKNNTMHIMISINWRATIPFVRPERITH NRSFGFDGESAGSVDSVGQTETFMAK PHYBLDRAFT_162995 MKPFFVAALCFLSTMAVAQTTDFYITAPLPGTVYKAGQSATLTW LNGVDTEVDVNLLVGNDPATMVNSAYSFTIPDGSDEEFTVVIPADLDSTKSYAFQFSY LSNGATKNVFSGSFVVTGGKTPIASGSGSGSAAQSASVTVAPSLPASVVPTAVSSRAS VAAPSAISAPSSAVSHASTPVSSASPKIASSPAPSASVSVPAEGAASKMHYTLALVCA PVILAMLL PHYBLDRAFT_75733 MKFSIFASVSILAAVAHAKVRIISPDADTVWKAGESATIKWKSD GKDSSLTCDIQLLNGDPKDADIIGTLTKDGAPKCSENSFTVDSLDDYPSGTVFMRIGQ NSKHKWSYSEDFKFKGDGSASSGSASSSSGSGSGSGSDSKPSGPSSSAPSKATPTDAT STQAPANNGGSGEATPTDSWLNTSPAATPTTPPQTESNTAESDEAASVLSRFSSISSS VAAARATNQ PHYBLDRAFT_58990 MNIADMLNPPQSESSSEVRLLSPPLSPKRLLHYFSHPPVQVIFT NKPRSRFSEIEDSIICQGVANGLTWGQISNQLPHRKRATCFNRYRTLQGIRKSRKRSL YLDDTIPSPPTLSSYSGTSSPSPISTPSPNYSPLLWLGSSPPETSRNDYQIPIEPRNQ LVDSGRLQPIRLPPLFSYSIARC PHYBLDRAFT_56529 MLARRWFASAAPTQAPLVVKNSRILASVILSRPPQITRDSTGFE KAYFDYKEKLERQDASTFPTEFYFKKGSIAERRWKEEEAERLRAMDDTSRSLSEAIAT AQQKLSADETMSATITKIEKAPRETEADKTNDIKSLDRALQRTLYLIVRPKQGKQPWI FPEGAVDSTEYLHEAAERQLKETCGKDMDVWFVGRQPIGLYKKAPTQNVEESGSKVFF MKARMFSGQVTPSEDVSEFAWLTKEELPNYLSSDYYKAVKDSLADL PHYBLDRAFT_162999 MAMVIVTMNSLFRMLNKNTVVKFYWGMFLDPIPLQKEKKMKLTI QNRSMQLLVMNYYIKDALCRAFWVSIASLDVPNYQDTTIANLHLYLLKLIFFEGVGDL RQPSVSFFVASRRRYKEYEMYICVDLSQGLILCTIPSSIEYAQKNKCHFLVFGLIRLR NIINKRI PHYBLDRAFT_157395 MASAFFYGTLMSDDVLLRVLCGPYATPDIKQQKKDALQLRPASL IGHQRFALKGLPFPGIIRTKSEDQVRGILCEGLNANDVMRLDEFEGPEYDRSTAAAMV DGQRVPCQVYLWIGGEQHLESHDWELEGFVKGALVDWLKDRSEFNMSDTLDI PHYBLDRAFT_179334 MSDNDEMSMSDSLISLQSNEPVDDQPEQNGLSFQTWLTMTSSLA HRISLPILRTFFNPTAQLVAIRSVVAIAVIIWIIMTSLTSYVTFYRHFMPKQMHIEPV YLQYMHTSLSKCINPEGWVDLRRGTEYGPLRHGNFMVKVELLTTNGSTVAHGSRPAIL AYQSKTHRILRVLTGAVPLLMGLTQESQNLYIPLMEEYVENYKTPITHIRVLLSTCRL QVYNATVQLRTNFHGLRYYMYHYRIPTATVFVVAFAIIELICAAFAWRLIVRIFWSNQ EEKVQEQTPQEYTYEYSSDASGIDPHD PHYBLDRAFT_121569 MASNKNRDDEYDYLFKVVLIGDSGVGKTNLLARFTRNEFNLESK STIGVEFATRSVQVDNKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDIAKYATY ENVMRWLKELRDHADSNIVVMLVGNKSDLRHLRAVPTEQAKQFAADNGLSFIETSALD SSNVELAFQRILTEIYRIVSNKALESSNDNIKPTAGETISVTPQVQEEKQGGCC PHYBLDRAFT_58999 MQLRTFFKGLRICLVIFPSFNSAFTHTRQVMNVKTNNVKASSTV FLSVLQTNGHSSGGLPARLLRPLNGNIAYQGVECEALKEFWLCLGSLKCGFKDIVSSF ILDDRLVVLRLGELIHWVSYE PHYBLDRAFT_200779 MLPIYKIVVLGDGGVGKTALTIQLLLNHFVETYDPTIEDSYRKQ VTIDDQLSLLEVLDTAGQDEYTALRHHWIRDGEGFLLVYAITDKSTFQRIVRFKEEIF RVKEKENMPLILVGNKCDRETERQVSWEEGAQMARDMHCGFMETSAKLCINVENSFCS VARMIREDRKKTIKKVKVKRKRCLIL PHYBLDRAFT_130323 MDNQQNPMNELLPPYDMDRPMPDADQAPSEISVLDHYEIIARNE MNPVEEEIIELQCQHWDINNWSSLEDRVHGPTFEAGGHQWNVLLFPKGNNQNEFASLY VEMTDAKTVPDNYCCAQFVVCLTKPSDPFQYIHHAAQHRFNSEESDWGFTRFIELKQL YSLDEQGNPRFLEDDNVRITTILRVIKDPTGVLWHNFNNYDSKKMTGYVGLKNQGATC YMNSLFQSLYCTNLFRKAVYQIPTENDEPTKSVALALQRVFYNLQFSDVPVGTTEMTK SFGWDSLEAFMQHDVQEFNRVLQDNLEAKMKNTPADGAIKRLFVGTMKSYIKCINVSY ESSRTEDFYDIQLNVKGCKNLEESFKDYIAEEVLEGDNKYMAEGHGLQDAKKGVIFES FPPVLHLQLKRFEYDIMRDTMVKINDRHEFPLEIDLEPYLDPVADRSQPHKYVLHGVL VHSGDLSGGHYFAFVKPTKDGNWLKFDDDRVVPTTLKEVLEENFGGDHVSGAMTNGRP SFRGFKRFTNAYMLVYVRESMIDEILANVVEKDIPSHLSQRLEQERQLQERKAKEKEQ QHFYMKAYLVTDNTFMANDGFDFVNLEERSMETSQLEVRRVLKDQKYGDFKRELSHSM GLPETHIRLWFLVNRQNRTIRPDAPVPEGEEDCTLEEIRQKHLANQPNLRLYVEKSLS PDNERALFPPAPSNTSANALIFIKLFDPELQKIRGIGRLYINKADKVGSIMEQVNEIA GYEFGTPLVFYEEIKTTMIEEMDLNLTFTKAEIQDGDIIAVQRNLSDAEIEQLKEAGM YDNVPDFMDYQLHKLDVVFSPKDGNPETEFELSLHKNMRYDEVAAKVGAKIGADPEKI RFLACGPSGDLKPIRRTPTSLLADMQNMTYNQNVARFKLTYEVLDISLTEFESKRMVK ITLCTPTLRDINVVELLLPKQGKIADLLKALDAKGAKFESAKGTRRTRIFEALGNKFN REFLPTDSISQLSASNYAQLYAEEIPEEEMLMGDDDIFIRVFHFQRDISRAHSVPFKF LVKKDEPFEETKKRLQARTGLNDKDWSKVKFNIVSSYSAVPIEEGDDFKLSNHLFTQE ESLGLEHMDKTPRSARMGAERALSIRG PHYBLDRAFT_184995 MGVSSPSSTTTPLTPITITSGTSFKRRYKACFTKRQLATLTSLK KSAGLAAKEATARHASCKFIQDVGKKLGFPQTTISTAQALYHRFYVYYSIREYAPQDI SVTCVFVASKIEETIKKLKDVFVAVHSVKYPGSKELDPENVSEERRRKIIGYEKLLLE TLCFDFQFRHPYEYVIKFVKWVQAFQTLDAKRLAHKAYSLAVDSYRTSLCVEYPAHTI AAGCIYLASRLLKEEDPEFHGLAEEQPWDQLLLSRIDDIEDVCQQILDLYICSGAHNA TAQYTRIKIELNEQAHRRGPDPNMDDVTRQEMKARTPDWEFDGTTPLDVVNTNQHTVS YHFSTNAP PHYBLDRAFT_184996 MQSLESYDISPQTGFLPSQPPLRRLPSAYFAPWESLMEDCNGLL LAGKLRERVHKLPLLDSIRLQNVQEYRRAFLILCMVSHSYVWGKHEKTLEILPACLAV PWTAIADHLGLCPVVCHAAVVLWNYRLLDKKGPLDLSNLTTLETYTGSLDESWFYLVT TEIEGAGAPCLQAITNSIEHIKDGDYSELIDDLQVVHSALENMNSALQRMYEKCDPYV FYWKIRPYLAGWENMAEVGLPFGLIYEGIDSHWDAETDEYADMSHLSEGERLLRQYRR YAGGSAAQSSLIATFDVAFGVEHHPTGQKSPIKLDSSALLNRDRYTHPQSTKPADELN HPDGSATPQTPPTFVRTNRNRFLRAMRKYMPLNHRKFLEDLEVAANLRPFMLQLQEKQ LITHLTEKETRLLELYNGCLNQLKLFRDKHVQIVTLYIVNQSRKGANTGNGGYASDKK EPTPAKATFTPTVPIKEKPDTSEAVTALYPQAALESERGIREGSVSAKVNQFFPQLGL AKHVDPKSGVVRGTGGTNIMPFLKQSRDETNDMKLPIIDPKVDSKTVIPEKSFTDWIL RR PHYBLDRAFT_15132 MSTGIARVRLMEERKQWRKDHPHGFWTRPIKNEDNTLNLLHWRC GIPGKENTPWENGIYKIDLIFPEEYPTKPPKCKFTPPLFHPNIYPSGTVCLSILSEDD GWKPAITIKQILLGIQDLLNDPNPDSPAQHEAYELFRKDKKEYEKRVKEQAARNRPE PHYBLDRAFT_121580 MGQTLSEPVVTKTTHKESSKDLFYGVSSMQGWRLTMEDAHATLL KLEDSDASFFGVYDGHGGSTVAQYTGKRLHRKVYESEFFAKKQYSEAMRDAFLGIDRE LSEDSNYAFDPSGCTAVTALVTPEKKIIVANAGDSRAIISVNGKSKALSYDHKPVDRL ESQRIIAAGGFVEFGRVNGNLALSRAIGDFEFKRNDHLPAENQIVTCNPDIIEHTITD QDEFFVLACDGIWDCMTNQEVVDFVRTELGNKVPLDEICELMMDHCLAPDCEGGGVGC DNMSVMIIGILNGKTVEEWYNWMEKRVSSSNKTDSLGNAVEQPKETPKEGGNTTAAST PDVYSISSKMG PHYBLDRAFT_184999 MIDTGSSRTFINLKCLNNNLQITKINSSIGSFNFLSKNSSTKRL GKTDPLNFKYINGITFKHAPEVLEFNTGFNFDILLGRDILAKMNIGLINVAYDIEGEY VHSDNAKDYAAIYENLNIDKEKKFEPDNSPAGTAQQRAEFMSSIKASLEENKNIPVES YCPLSESIIRLPTKEGATAYRRQYPIPHALRPTLDKQLCINRHIPQKTLSAPFSLMYA RRVNVPDEYGDKDKYSLPKATVTIDELEKQIDHMKNIIFPAINEPEFTV PHYBLDRAFT_163014 MSHIPGVLFFWKDPERPIDMTLLQSDQSKSFGKEEHLCYWEIRL TGIAEDIILSFSLTLRFSSNQYSFTTILKIVKIIISYCWNIGEVGMWYFRALGLPTKL APYFSDTV PHYBLDRAFT_139975 MTLPSQNIPPQSSSSKNKTQRTPKKKNEAIPTTLPKRIVDLTIK REHKPVSEVAPMFGLPRSTVDSIKDSYRENGEVVVKQRGEEHSEYLIEILGEDCTLTL ELMREKLYERFSDLQEKGIKFSGLYQQSMKNIGLTLKITKDVEERRNDPDAIEGRRKI VESLPGLGVAYVANCIFVDETGLYAYIIRQQG PHYBLDRAFT_139976 MSLNIRILLAISNNGVENISAKLVPKSTNTEIFINFLKTPIKKL DETHPVPQLFVLNNAPIHRFHLVRDFMATTRHHIKFLPPYSPFLNSVEERFSKLKGLG PHYBLDRAFT_163015 MANTLTEEQKQRTESNRLQAIERLKLKRNANEQTNQTNNDQHDP KAGNEGAVQHRSKRSRPITPYYDYDFSKMVDSKGGYIVEEEKDDRLKELSKDNIKIVP YHPPSIDPTENPKCKECDSMDLDPVFFQVFSINICPICKEKFPEKYSLITKTEAKEDY LLTDPELRDKDLLPHWSKPNPRKSTWNNMMLYVREMVEEYAFKKWGGPEGLDGEYERR EAQKKEKKDKKFKDKLADMRRKTMTSSWERKRQEGPHKHKFEDTIEDEDGTTTQTCTE CGLVIESEEF PHYBLDRAFT_59009 MKFSTSILVISLGLLALSNVARAESKVIERGACTTADEKAECSQ QCTEGALQPMCVSDTCFCTNVGVGDCSENNNKGCKAICRTLGLETTGCSDDECVCD PHYBLDRAFT_59010 MVHRTTFLITITILLGILHVTSAIELTGSGLCANEEDSSDCSQA CSFLVQGNGECIFNQCVCNDFENGECQGDDHESCDEVCQEISPELVGYFDLFIIHYSK RDECNFDFLCLP PHYBLDRAFT_75746 MIRYLRERKSIFDECLMTLSTLASPVPVETPTSINNQAKPPVLK LPQLHPPVTIAPLLPSALPVPDLTHGTTPVVSKRKKAKDATSVGGSTAIARTLMMQGL YLFYRTPVKLFRPLRVDYLIMARALMPVDETTSKKFSLRYTSIGMISHAVKTKGWSFI PRHIFPPLIANTVIGTVLYTTYIATLPLFHPPSTFQLHRPFPPPPFSAVFAAGCLAGA AQSIVAAPLDSLKVRFEVSDLLEGKHKSMYQFAKSTLKELGVASAYRGFTLTLMRDSL SCGLFFATFEWVKQQGYYYYLDGIYDLKVDSHKSLNDLDSRWNTEDEDEVELTPKPEQ PPWMLEPMFVILAGATAAVAYQTIEHPLSKIHSIFYIEEGQSEFTNKSSRVPVDELYK RTWKQCKLQVRLNGGSWRRFLYQEFATTVMKVVPATSIGFLVFELVKREVDFRSSDFD DAFSNADNIQRLSTP PHYBLDRAFT_157404 MGVKIESYEAGDGVNFPKKGDKVTMHYVGTLENGKKFDSSRDRG KPFSCVIGVGQVIKGWDEGVPKMSLGEKARLTCSSDYAYGDRGYPGLIPGGATLIFDV ELLKIN PHYBLDRAFT_130339 MVRQSDGNQPTNGQSSRNVSEKSSMAISEVETVIFSDKEVQPSD TNDIDIAERLPPSFAESEFHHIQRRQVNTARGTLLKWSEVPSRYPNLSNAWEFAGWGS TYYMELEPSDIDQFHTTRDKRTLIGQWRATSIAGNDLIASVLYSIGPCVLQAGKYAPI SMFLVALLMYPMKRIITEVATALPLNGGCYNAMLNTTSKTVAAVAACLSILDYLATCV VSASTASAYLAAEVDLPEKFSAFVLTIIILIAFSLVCILGLRESSTLTLSIFTLHSIT MAVVMIASIVMWGRQGNNVLIENWNIPDPEGTSPLRMIFNGFCIGLLGVTGFESAENY IEDLKPNTFPKVMNNMFSFLFVINAPMTLLVVVLVPVPVIQANAANAVSILGQYAVGG NRWLRVWIMVDAVIVLCAGVLTGLIGAIGLVQRMASDRILPRLFLIRNRWTGSYQYIV LAFLVLSIIMYVIVGGDTTSLSGVFAVAFLCSLSTFAAVNILMKYKRGRLVRFIKVNL LTSMATLGVLIGSLIGNIIIDPEIAEYFVIYFCVVLLVVLIMLKSAWLFKLCYWLFDQ MDFFHRFESFSKKAESFIQNRIQNIRKAPCAFFLKTDEPHVMNKAIQYIKHNEDCGHI KLIHIYNKVGDIPELMENHHRTLDEIYPKIQIDLVFVQGTFDPATVDAISRQLDIPKS FMFISCPGKEFEFNFGDFEGVRIIML PHYBLDRAFT_59015 MSFDSRVPFCQDEREDPLSVFHIQNEEFAAPESLAQQGLIYTLR RRQWQDNISELLAYIPAVFILQDPLETSDHGSLSLQSLNNSSQGYSQGYFENDYQPGT PEQQQEYGFPEIAFIHYDHNGPSSRYTISLDSASVNVYLCLECSYMTNKKFNFERHAS THMVDRTVYGCYYCAKLYSTRSNLSRHILQVIVQMSQKRKKGKRACVIVILAALRFNL ALHPKAICGTIFNKSTYIHDRLAFILNSNFTNSHFEPLQSFEPLQFQKNVSGLESLLK AIFSCLTKIKKF PHYBLDRAFT_59016 MLLNLLLEKNERIEAMKNSMKNSEEIEEDIATAVKENNDRENIE HIPEEFISSATLDVIRNIFDTYPPDYQYSKGSIYYDSKANLLKHIKAYYRLSIMREVL RDKPFSCFPLQRWLIQCYMKIDTRTLNTLILNYSVISHFNKEVSKMSWRLESENACLV ILSKAHYCTTECCFLKTQKLKSSEAVYIRGFHQLWMLD PHYBLDRAFT_163022 MYNTIPLIQSLIDLPNSISDPTYYMLLLMKVNRKKKKKHVSPVG AGVCKIVYERLKLKNKAVMFIVPVIITEHSWFLYRSLGNLDEKDVRTIEIFLQLFGMD KLKGSDDSDRLVFFYWTGT PHYBLDRAFT_105673 MDSLLATTNLTRTTNGALAHVSTLDSCLDLHYQLGGEDWNLETM FNLLEQAWSQDPLCTLRIIFSARSIHRGNAAKEKFYLAFGWLITHHPQTALANLPVLT NGTVFVPHVSKKDSSAKKDGWDIVEERPEEKKKHLKGQSKSHGYWKDLSNLLSIYATG EINGPVLSGKYKALYQRAKEVEEAQKASTEKARQERRQARKFRQERVISFLENDPKYR ALHFSVARQFAEQLKKDYETLQDLKDEPKRYKYAISDKLSLAAKWAPTLQRSHDRNTL LATSISELLFPPETYQKEGESREYYLNKVRELYRKQYLGPLREALQVTERKMQAGQWD AIDFSHVPSVCMQNNSGRFFKHTPEKFTEYIEQVALGNKSISGATLSPHELAKRAYYT CNKSDPFEEKLPSFLKGIADPEVLEKAKAVERSMLNSQWNTLVQSIRNVAGDGNANLG SSIAICDLSGSMYGKFSNGVVPIWCSIGLSLILATLAAPPFDGAIITFSEEPTVVKID HSKTFVDQISDLMAAPMGYSTNFEAIFVDLLLPMAKKNKISQKDMVKRLFVFSDMQFD ETEDRTKYNTMYQTIEEKYKEAGYDVPELVWWNLGGTKEDPIKQMWYHNSVLGWSDDD NDEEVATPLPVTADVKGCTMVCGFSASILKNFIEGEEDVDGEEALEGKEKKEPTTPVE NMLKHVSHKSFSSLVVID PHYBLDRAFT_106941 MDDSQGKKEFEVEYTVKDAASLVEMQAQSIDLVASVLGIQKRYA TSLLWQFRWNTEILFEEYMESPEKALEKTGIKTMEEIKLLQKKRELGSQSEEFECKIC FDDDPEMMTVGVGCGHRFCIDCYRKYITFEITEGNNRNITCPQEKCNAIADTETIEAL MIKDVYEKHKLFLNQLFVQDQASMRWCPGIDCEYAVECNIPSTSLVSIIPIVKCFCGH EFCFGCGLSDHRPAICALVKKWMAQCAQDLETLSWIKNSTKQCPKCEALIEKWGGCNH MTCKKCRHGFCWVCKEPWRKHGLDAYTCHKYKAESVNSDDCSKYARRNVERYLHYYER YMNHQTSARLDNELFRRKPIDIKEIEEMEEDGTLPCFRVKTLKDAWEITVKSRNTLKW AYVLAFYMKETNALDLFEKNQRELEMAIESLSESLGSGNGLRYDVGYELILDKYTYAK QRLQNFLDYISTEIFEESIEFTVDLRQ PHYBLDRAFT_163025 MMVLLNYIMNHLENKLHISTLIFRWCQTRCIELNGVQTRWKLVV AVAKKKSDFSEIVASFLGFKLYYNTATYVNISEKCKKDRLQSFMLFNVYTLASLHVKL VISKYEQEYFAAMLVISRFWSIVRNKKSYPLLKSNAHELDSINHPFVFLKR PHYBLDRAFT_130346 MITQYLFVKIIFIPTLVWFGVLFVNKGFYRAGVFKFRLVIPENY PNNPPTVAFMTDMFHPLVDINGNLNLAQRFMTWRPYQDYILHVLHYVKTIFKRAVLDG LLDKHCTNKEAYRLYRKDPTVFGKLAQQCAQLSITASFLFDTFPDNNLIRFSALSETK FGRHAF PHYBLDRAFT_130348 MTVTQDELYNHDDKQDHTDMKSASCNAQDWRTPIRHSGRWFKDN YGRTLLMRGINLGGSSKLPTYPYSGSTHLYDEKLFWDHRGVSFVNRPFPLEDAHEHFS RLRAWGLTFIRLIVTWESLEHSGPGIYDEAYIDYLRQLIEMMPAYGLKCLIDPHQDTW SRFSGGSGAPGWTFEVAGMNMRSFKETGAAYVHNTNVVPGDPLPMVWPTNYTKLAACT MFTLFFAGDTFAPKRLYEGQTIQKLLNTSFINAYQHLATRLLGLEAIMGFEVMNEPHP GYIGLNSLKEFDPISNLIFGDSPTPLQSFALGSGIPQTVGVYIKSWPFPTKRSHDRVI NESKTSAWIDGQSCVWKEHNVWGVNKSTGEPELLDSAYFSKHPSTGVKVDFYKDFYMP FVNSYAKAIQSVKSDWYCFVEPLANEKSPVLTETDHHHNMVFAPHWYDLNCVFYKKFD GRMTHDVQSLQNGGNVLTSTYFGRKGAKKNYRGQIRNIRENGLKSMGEKPCVLGEVGI PMDLNQKIGFETGDYTSHIHFLDAVIYALETNLVNFTLWNYDVCNDHEFGDHWNGENF SLYSNAIQPSSDAKSCPLNISPSAIETAENDLHDQLHEGGRALDAALRPYASKVAGTP ETSEFDMDNLEYTLIFKPFTEHEIERLKKEGYYTSNPLFKRTEIFVPNHHFRNIQISV QVTHGDWDYVPEKQTLYHFCRETTHTSVTIQLKAVKEKPTDSPCTIM PHYBLDRAFT_139989 MPLIVFGDGLADKSSVRFRSFRHGVPEKAYKHLLVKQKIGEVYL LDTNEFNTDAIAARNILYIVEEIWSGNGRPEMFTPQPRPRPNTATTTITTTTTTKSAT MNVVAPHSGGRT PHYBLDRAFT_121598 METTLSKREVSSKAASFEEGERYLDEKEEKGSFVDSHKGTRDPK DIDYENEDLDLEIVNQIASVEDDPNTKVLTVRAFVSGSLLACLGSSVYQLMQFKPVPV PLSNMFLLILAYLLCQGSTRVFKTGTLLNPGPFNVKEHTLIYVIVSSANASAYGTYIL GAQQLYYTEYPSAAGGIFLLFATQIIGYGIAGQLRPFLVYPSQMIWPTSLPTVSFLKT FNGPGNESRFLIKFFFAVFFAVFVWELVPQYMFPLLGGFSIVCLAKRDSVWVQRIFGG ISVNEGLGIGSISLDWTSLSYYAPLVLPLYVQFNIYGGILILWLLAPLVYYFDVWHAK SFPFLSNGLYQLNEETGEAVRYPQALVLDELNNINLTALEEVGRPYYGAIYAIQYIFI NFGVTSMVTHVILYHGADIKNIAFAIFRRKESKEQDIHNRLMSAYKEVPAWWYYIIFV CGIGLNIGIGYANKSQLPWWGFLIAIILSTVLSLPLNMITAITGTGFGLNVVAEMICG FMLPGNPVANMYFKTIGYNTMSQAGAMAADLKIGHYLKVPPRIVFSAQMLGTVIGCIF NYIVNYTIINSKREQLLDPNGNIWSGSTPQTINSAAITWGAIGPMFMFGPGTLYYFFL WAFIVGLFLPVPFWLLHRFFPKVGFNYINVPMILVGLCTLPGSSSSWITLSWVIILVS QLYVKRRYSKWYVKHNYLMSAALDSGASLMSFLLAMTVFGGGDGIERPFPNWAGNNND IPYYDYCCADCE PHYBLDRAFT_185007 MYYNQIGFKIKIINLVNSNIKDCSLSVTLFTGVGRKPYRIQEFN APMSHQVKSLVMISQEVIAKHIEGLSDVGGVPYWLLKEPLKRATPQQLYRIERASPHL VPESDELWLSHCMCYSDLREAYRDGEYQDSRMWRQLYLKRYEEAARKRQLVSQKVKSQ YSKIQSEKAARSIKVLKGVGPIRGRTYEESSYLPYGLFFLKKIFLAFYLGTTGASKLF RDTKKATIKALTIILTIIITWVPFDVNRNAIFHSPIRRTTQSPSLFYPSESIRSHQPP STLFAHTISQQPNRPNNTRPVSKPIVPPSAKSNIPIHNQPQQTKQRPVLQSPTSSNKL KRSPSQPNPSSSPPKRLAAIVNYDFFKEVN PHYBLDRAFT_139992 MKYQADRAPESANKADNREKTPTLSQSARSGLQFPVGRIHRYLK ERSRNHTRVGSKAAVYTAAILEYLTAEVLELAGNATKDLKVKRITPRHLQLAIRGDEE LDTLIKATISGGGVLPHIHKSLIGNTKTGKKI PHYBLDRAFT_106479 ARADWKEGVKKKQVGVSDMTLLSQITNEAINENLMKRWLNQEIY TYIGHVLISVNPFKDLGIYSETVLESYKGKNLLEMPPHVFAIAEASYHHMNSYKENHG ESGAGKTEAAKRIMQYIAAVSGGKSSSIQEIKDMVLATNPLLESFGCAKTLRNNNSSR HGKYLEIQFNDQGEPVGAVITNYLLEKNRVVGQIDNERNFHIFYQLTKAAPPEYQETF GLQGPESFLYTSRSGCLDVDDINDVTDFNETIKAMGVVGLQKTEQDEIFKMLSIILWL GNVIFVEDDNGNAAISDGDVANFIAYLMEVDGEALSKALTQRVMETQRGGRRGSVYEV PLNVTQANSVRNALAKSIYERLFEWIVTRVNKSLEARGVAQYMIGVLDIYGFEIFDDN NFEQLCINYVNEKLQQIFIELTLKAEQEEYVREQIHWTPIKFFNNKVVCELIEEKRPP GVFAALNDACATAHADSGAADNSFVQRLGFLSTNAHFESRGSKFLIRHYAGEVLYNID GMTDKNKDSLLKDLLDLAASSTNQFISHTLFPERIDPNSKKRPPTAGDKIKASCNALV SKLMQCHPSYIRTIKPNDNRSSTEYDQKRVLHQVQYLGLCENIRVRRAGFAYRTTFEK FVERFYLLCPKTGYAGENIWNGDSVTGANEILRHNNIPADEWQMGTSKVFIRHPETIF ALENLRDKYWHNMATRIQRAWRAHVRYKHECARKIQRFWRQNKYNIGYLQMRDYGNQI LGGRKERRRFSLLSMRRFTGDYLDIKNGSGFSTMVRNAITFRSGEEVVFSMRGNTLVP RPMRSSVPSPRTFVLTNQSLHIVVATKNGKMVQMTDEKAISLSVINSASVSSMRDDWV VLHLDNSPDGDSILSCYFKTELLTHMLQQTNGRIKVNVNPQIQYAKKGGKSVTMKFVK DEKIKHDDVYKSHVVHVPTGEPANSQPFPSCPVKPRPVKQSAPRKAPGPRNPAAGRTS APRPTPSQVAAPAAAPMAQHTTMASPMAGSQASRARAAPPAPAPVPVPAPAAPSPPQP APGVPLFKAIYPFKSQEEGEIAFEKEDLLEIVEKDENGWWLARKDGKEGWVPSNYLEE YTPPRSSPPPPPPVARRAPPPPAASSQPSVASVASAIMPNGLGLGPPAPKPMIPAKPS AGGKPSVPSRPGGVASTPRAPPRPGSAAPPNAAASLADAVSYPWYIGQSLHLSYFCLI K PHYBLDRAFT_121605 MALRVPKSTLPQLFKEGYKFQQGVDEAVMRNIEATHELSEVVRT SMGPNGRNKMIINHLEKLFVTNDAATIIRELDVVHPAAKLLVMASQQQESEIGDNTNF VIVLAGALLQKAEHLLRLGLHPSEIVQGFELARDRALAIIEELSVDKIETLSNKENLL KITKAAIASKQYGHEELLSGLVTEAALSIMPKNQRDFNVDNIRVVKVMGSSIYESRVV RGMVFGREPEGTIQNVKKAKVAVFTCPLDITQTETKGTVLIHNAKEMLDFSKDEEKHI EKIFKELSDAGVNVVVTGNGIGELALHYLNRFNIMAIKVLSKFDIRRLCRVVGATALA RLGAPMPEEMGYCDIVETVEIGSDRVTVFRQEQSEVSRTATIVIRGATQNHMDDIERA IDDGVNIIKACARDGRLLAGAGAVEMELNKRLQNVAAKTPGLNQHAIKKFAEALEVVP RTLCENAGMDAVAVLSRLYAAHYQEGDKGNSIGVDVENENDGTLDATKAGIYDTVAGK QLALKLASDTAITVLRVDQIIMSKPAGGPKPPKNQGHWDED PHYBLDRAFT_157411 MSHPSMGYTPRPMVYAQPMIINHSNASYPSPPLPQPQQQQQQQP QQAQPQQQQHQQHTQTHPQVHPPMYVIQPNQQKQDGSAGQSMLPMAPNSAVYPTEVDS PATTPGGGEKRPKLRVQIPESAEDAAGRKPTEHNTNPSPSTFYPEFYQQNELPSPLNF SATPTANPGTFNWPPISRDYRPSPLVKVET PHYBLDRAFT_163035 MATSPAKYTFPKIFKRLVNQKDNKIRHFIELRESRAYRQQKGNV LVQGLKTINELKNEGFSFESLAVTATKDPYTESDIKYPALQAIQNPDAFRANNLYLVD VDLTRRILGTASRPGRHELFAEVKIPDQPLPSKENIDRLLVFDHVNDPGNLGTLVRTA KGLGWSAGVTTTGTCDMYNDKTIRSSRALSLRWPFKLVDIKELVSFLRSYDMTPVVAD MMPEVGSEASGDLWSPVEGGISSKQSRTTKANPTGNVGLGSGLWFWNFRGKTQEVPKR VALILSSEHKGVQGLDDELRVSIPMAGGVESLNVASAGSMLMYEFNRLLAVTDKRQ PHYBLDRAFT_139998 MESLYLHSSFPWATTKLSLFGTIVSAVALGTILYLVNPGKPRDT ATQNLPPSAPNRLPILGHLLFFVKPDYLPELFRQWSFIVGPVYTVYLGRKRWVILNSA AAVKDLIVDRGSIYSSRDLPDIMVNTLMNGVDKGGGFAFFPYGKQWRNLRRIAHSGLI LKKIDLYQPILNDRRKVLLGNISRAIDDTKAISLTKYIEHFAMTNILTIAFADLCSFE PGDPKLHEVFSITERSATLLGPSEQLVEFFPILKYILPNHIKKFQDLRQEILDFYGDL LREFKARLKKDPSKVKDCFMKEILAMDVLTDIQCMYFIALFVGAGSETITSTLEWMLV LLANNPEFQDRAFAEIEQNVGLDRLPTAEDEHKLPFIQCIIHETLRIRSPAPISVPHS TSKDDVYNGSFIPEGTTVVINVHAIHLEESKYPDAKKFLPDRHMDYVLDNEKKLFSSQ SVEGRPHIGFSAGRRACVGIHLAERTLFTVAAGILACFRIERETDELLNDYTPKDNRA ATFAPTPYKVRLVHRHKGVSNLV PHYBLDRAFT_185012 MSNDKKPETLGVTAPISLVGPTEQELKLSEDLVKTLQNNGLFES EQDAQKRVVVLGKLNLMVKDFVYRVSKSKGFPDSLAKEAGGKIFTFGSYRLGVHGAGA DIDTLCVVPKHVEREQFFTIMYEMLKERSEVTELTSVPDAYVPLIKMHFSGIPIDFVC ARLAIARVSDDLDLSDNNLLKNLDERCVRSINGSRVTDEILRLVPNITAFRTALRTVK LWAKRRCVYSNVIGFLGGVAWAMLVARICQLYPNACSATIVARFFRILHRWKWPQPVL LKNLEDGPLQVRVWNPKLYPADRNHRMPIITPAYPSMCATHNVTDSTKAIMLKEFQRG EELSEKIMLGQGSWEELFVKSDFFHAYKHYLQVIATSDSLETQLKWSGLVESRFRQLV LKLELVEMLVLAHPYVKGIDKVHYCLTEKERVDAARGIYSNERTFPLSEGDMETDHLE LIQEKTGLTKEERENIKTVYSTTFYVGLCVEPKTAGTTGTRKLDLVWPTQEFIKLVKT WDNYDENTMKITVKNVKGSMLPSDLVGEDKKLKRPSTKIPANVEQPAKRQKNEEEAIE SPVVQPATPVVAAGNTSTENGGGTN PHYBLDRAFT_14784 MIQGVKKKKKRCTVTKSIVYQYLRKLTSWVNYRETSEQSIAKNE VIKKKQRIEDRFEDLRELYLGTCGSTRTPESIDNFSSMLYQVTRYSQFKVLDTLYYTD IAASSSIVSSIEFDRDDEYFAVGGVTKEIKIYDFSMMGYVGRFRPSSIMHCPVRIMRC SHKISCLSWNTYIKSQIASSDYEGVVNIWDASSGTCIRAFNEHKRRVWSVDTCSANPL LLASGSDDSTVKIWSTQMRQSVHTLGQKGNVCCAKFAPNSSYKLAVGSADHNISCYDL RFPNKPFRVFKGHRKAVSYVQWASNDEIVSASTDNTLKLWNLESKDCIRTFTGHQNEK NFVGLSLNEDWIACGSETNTLYMYYKGSRIPVANYRFPDVDNMIGNEVGDTEPPVFVS SLCWKNNSTRIVAANSKGMIKVLCLE PHYBLDRAFT_140001 MARISLHTIRQGQHLLLRATEKASIVSKVDTSPIQCTRFYSLPS LSEVDSTTTIITSGHQLSEQGRHSSLSINTMNTYAVSSELSRYLKKPMCSPSWTPKQT LSECTSISSASSISDSLNLHEHNPPLRAPCLPPIKFGHRDSQTYECLDKNLAENLRSH LPSRIATSTQWDLLYSLDQHGASLNTFYSCLKDQGPCLLILENSEEETFGAYISEPFQ SGSLYYGSVEVYPWTMANNYLIFSNQNCIALGGGNGQFGLWIHSDLMHGHTESCATFG NPSLGNDSNFECVALEVWGFRF PHYBLDRAFT_163040 MQDANSSENTHGSYEKADPYKYRCIMYMHLANYGATQISLVVGM SLSTIKCIIKRVDKTGSPEPRKGSGRPRKIDERTEKHLVQIFFDHNKKLFKKRVYIFL FLRDYNFYKIHLGKLKDCSCTPLLQVFLGAFVFKYHEQCGLQDPIFILE PHYBLDRAFT_185013 MFMSYNYAYNNPLMYLILCYCKMLPKKVWDYGPMDKASDYESGD SSLVLLSFLIWDGLPFTIAKSKGQTHWGSESIYVTVVNLYKTLLRFVIYEVSLTYRTA AVNYPDFSDSSK PHYBLDRAFT_185014 MNSLNDISQQILARLPLFRLKDLIKAVRACKTAAEERAVVQKES AKLRTAIKEENSDARHTTVAKLLYIYMLGYPAHFGQMECLKLAASPKFVDKRLGYLGI MLLIDEKTEVLTLVTNSLKNDLNHHNMYIVGLALCTLGNISSSEMARDLCSEVEKLLG SSNTYIRKKAALAALRIIRRVPELHENFISKSKALLNDRSHGVLVTGVTLITEMCQQH PENVQLFRKAVPLLVRHLKNLVSAGFSPEHDVTGVTDPFLQVKILRLMRILAKNDQEA SDAMNDILAQVATNTESTKNVGNSILYETVLTIMEIQSEAGLRVLAVNILGKFLSNRD NNIRYVALETLNKTVGMETQAVQRHRNIILDCLRDGDISIRRRALELSFALINEGNVR VLTRELLAFLEVADSEFKQSMTYKISLAAERFAPNKRWHIDTMLRMLKLAGNFVREEV LAGFIRLVAQSSELHQYTVQKLYASLKRDISQEGLVLASVWVIGEYGDVLTTSGSFED ENVTMEANETSVVGLLESILMGPYVNQLTREYVMTALIKLSSRLNEASVQAKIKDILQ RHTTSMEVEIQQRAVEYMNMFSFDDIRPAVLERMPVPETRTIIQTNNNGPDSPALERS SNRPGPSDQDLLLDLMGVGTASGGGSNDVMEASPQVQKATIPQKSQNSDLLADLFGTS GSSEQSTSSPAPAAASGGSALLDLLGDIAGEKSTPAASTPPPYATGLDTLASLGQSLP NSRSPELSGAGLQANNSLPPVSNGHQAYSKNGLTISFVPSRDRNNGSIINIQVHFQNN GSQGTISGLQFQAAVPKSQKLQMAAASNSTVDPNSTEKQLMRIHNPQQTAVKLRLRIS YILSSTGQRVDDLAEFGPFPEGAF PHYBLDRAFT_179358 MKVAYYLYMNPSFNSTEPYIPYPRRQSNVRHTDRSCQPSSVDSV KQYATSLQARLGFAAFKMQHGWEKNTLPDVEGLWKKRRQQHFISLPTPKVTQYDIIER RSYHNFTISPKKRREKQRLAARLRPTSTKPATNPQFCQLHFPEYSNNEKKLLSTSSQF QKPAVINEIPHDSNLDPSQNQSIDSLGQTDLDLMNQSLVMITQAIVAAVEELAPGLEP LADS PHYBLDRAFT_185016 MFQTAVLQNRALVDKVLKSFQGAGGYDAHVLPGLKITGSEPGRI TAEFLVEKQHLNRLKSVHGGLLATVVDLGGSLAIASKGLFATGVSTDINISYISGAKE GDVVKMDAKCDKLGKTLAFTSIELSSNGKLVALGRHNKFVAQAYGDPENEIPLKK PHYBLDRAFT_163045 MPDITAKETEESKSAEQAITKQKYEEAKLELQSLLARKKQVDTN LVCQRPNDIHVYILIYKQINLEDSIYRFEGSYLEDTQHNGNIIRGFEGYLSSRPDKRK PKFTELDRLFSLSSSTYQKALAQKEEKEQESSQDDRSMHSGSSLSVRRDKKRKKLSSG DILRKKKRYDSIGRESEDELDV PHYBLDRAFT_106032 MQSTLQMQSISEIITQVQSTTGEVPPPLVGASISVVGDHVYVFA GRLVASRKMTNTMYVLNLSTLVWSRHIPSPDSAQPPHPRYFHSASIYENKIIVFGGMG HSPASEDGLCVLDDINVFDIETMDWFRPEISISLCTPRPRYAHLSTVTGDEMVIVGGQ DMSNNYLGEMNVLDLKKWEWIHVNTFEKHVGAYRSIVIAPPVSAQIPCVPAENQDNRT DAKDHPGTNRTSTIHPLHSQGNYLSSQGTNPIYLYTNYNFSDVKRELQLVFAELSSVF KDCSSSMAGTHMPPGLRFPTGHVLGHYLILSGTYLSPQSQSYTMWALNLDTFKWSRIE TGPVFGSGSWNRGVLHEKTNRFMVFGNRDRILLDDYNNRQVNFEHIAIVDMEAFGIYK LPEVTCSSLAQDMGLRLLNEPAVSDFKII PHYBLDRAFT_140007 MATPTASISTSSVTDINEIKFYKNNASTVNEEDILGLITTLGIK ETPQLTAILETVRAGGKVDKNSFNAVISSLLEDRPTLGELSASISDFDIHM PHYBLDRAFT_185018 MVVKTPSGDISAKVHVLMTTGDIPALGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMPSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLDSFSGPFF FALDEMHGICHGIGKQVWGLVCGKYGKDHPLSLSLAAQKEIGTAMVSTRRSIPTFFHG AWINIATRSGYFRAVDWADFILFVIPTLVAERVRDQAARKALLDLVQTCNLLMSWELS AEEKTLIKTNLVAWNAYLEASLAKEEVQLKVFTINQHLLQHYPAMIEAYGPPRAYSAR SVERAIGEYSRAIKSNSAIGINAGNIMLGLTQIRQMRVENSITTTATVTATTLLQYDD PSAGWPIDREGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPEILQKFYESKGEECSM IEAAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGQSYSPVYKDFFG KVVVFFEHKLNNKRWPLVLVNVYAVRLVNSIPAINNGQMKPMVVHLADVKELVGLVKS DATINTITTTATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_185019 MSTINTIKIAIVSDIACPWCFIGKRRMEAAIRNTKATNPNVEFE VTWHPYQLNPNMSKEPQPKRHYYSSKFGESRVAAMIPRMVQTAKADGINLSYDGVVSN TFDGHRMINWAKAFGKQDDMVEELFKAYHENEKSISDPNILSDAAENIGLSKEEALVY LESDEDVEHVKNEIKRSNLAGINGVPNFTIQDRYTLSGAQEPSVFEETFDLVLGNQEA PHYBLDRAFT_121613 MYNGIGLSTPRGSGTNGYVVRNLSFVRPPPTDRNDRLSSHDFKA PMPEIRQPNQEILLHDRKRKVEVQCMELQIELEDEGLSEDEIEEKVQELRTRLNKNID KILPRDAKDLMEHETHQRTAAKEIENKKMMKALNIKKAD PHYBLDRAFT_163051 MWYFRALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNI RVSGSPQFLCYLYHVTNPWYKEIWPSGLRRYVQVVFTKVARVRISQSSIYFEFFGIVV PEFLSANQDDDYTEFSFENDDRNFQLYQLGSYEYVRVDIGKQNQNLQATGLMAEVLQV TLGKLLKSLDYFIIILFFTGS PHYBLDRAFT_105717 MWANNNHLELTSQGQKASGTQTHPLHYTWVFWFMHRNPREKITN YEESMKRIASFSSIEDFWAVYSHLRRPSDLPNISDYHLFKHGVRPVWEDEVNVNGGKW IVRLKKGLASRYWESLVLAIIGDQFDVNDEICGAVLSIRGSNDIVSVWNKTSSNGRIN LKIRDTIKKTLGLPQDTIMEYKSHNDALRDNSSFHNTDVFR PHYBLDRAFT_140013 MTFDISNFSHSNTTKKASKSIKRGRVHKRTTSSVSVSSFSSSGS SSTGLSFSPVSSPKTRKQSLSTQKLPRSSQPIKNTASEIERLESELNFTYDSLANVNV MFDSLRNAYSTCKPEIEKNKTETRLGAMEKELLAAYDDVGLQVIHLERQLVKLEKRLL EVRAEQQQEQQKQQKQQKQQQVINIKPIEVQQCEPTICEQEIDQKLYLATPPPCAYDC PQLSPSQPKAQAELIDSWTTPIELSYPVFSSNEDLYSKHPLYVPSCSESPYYSPLPSY SYAPTSFWGDYIPTWSSNANIIYAPSTL PHYBLDRAFT_59048 MNALCAHKRTSTQTHKYTGAQEYRNTSTSTQVQTYKYTTTSTTT EISTPALSPAPTSAPKNIIRKNAVHRPIHVRIYILIRIRIHTRIRIYKSDTQIDRQTD G PHYBLDRAFT_106646 MVLATQLTRILGIRHPIIQGGMKDVGTAELASAVSNAGALGVLT GLTQPTPEALRNEIRRCRTMTSKPFGVNLTFLPTIIPPPYREYAKVIIEERVPIVETA GNNPGEYIDMFKQAGVTTIHKCVAIRHALSAERMGVDVVSIDGFECAGHPGEDDIAGL VLLARAAETLKIPFVASGGIGNGAGLAAALALGAEGINMGTRFLCTAEAPVHQAVKEA IVRGDECSTALVYRPFRNTARIYKNSVAVQVNALERKPNASFQDIQPLVAGSRGRKVF ETGDIDAGVWTAGQVLGLIHDIPTCQVLVDRTVKEAQDIIQKRLAKMCTV PHYBLDRAFT_176761 MALKRIQRELAEITKSPPEGISATTVDEDLFNWRATINGPPNSV YKGGVFHLTIVFSHDYPFKPPIIKFITKIYHPNIDNDGSICIDLLKADVWKPATKVHQ VLGAIAYILEHPNPDDALVASVAEVYNTNQAKFVKLAQENVKKYATAQQ PHYBLDRAFT_130375 MGKAQSKLSPEQLGDLQKCTYFDKKELQQWYKGFLKDCPSGQLD KTEFQKIYKQFFPFGDPSRFADYVFNVFDNDKNGTIDFKEFICALSITSRGQIDEKLF WAFQLYDIDNDGFITYDEMLHIVDAIYKMVGSMVKLPPDEATPELRVKKIFDLMDLDK DGRLSKEEFREGSKKDPTIVQALNLYDGLV PHYBLDRAFT_121625 MMLYKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSYRKQV VIDDQPCVLEVLDTAGQEEYTALRDQWIRDGEGFLLVYSITSYSTFERVERFRDQIFR VKDIDNVPLMLVGNKCDKVTEREVTREEGCAMAKRLACDFIETSAKTCVNVERSFYQV VKTIRAQRDGVRVGPKSKAKKEKKRCFIL PHYBLDRAFT_140019 MGQLLTSLNLRGGEAIVPELGFDIETATPSSDELSLYNQLSQLL VQPGPSLLEALSQYTSGSELIRDAIATPNPENENRAWEAVLPTVDMLRDFYEYAADLK KTLPRLLDVLCQGNVSKNLESHQGLTKLFADLLDFVFEFDNLKMRNPTLQNDFSYYRR MLQRGRYSSTDRPSLDEPVSDLRSAMVEDDRANQISLFIAYPTPMMKCVIDTTTEYVE KNELQKSVGECLAALWAACFQTVSKKRNLNPELSSFCLKVMVVSIILYDHIDPNGAFS KGSPINASPCESSASNLLSALRYNSKHLNDDSTPKGVKNMVLAA PHYBLDRAFT_121630 MSAFRLATNPLRSAKRFYGSATPSRLASIQNIKASGPAISAAAL HADGPLRNDWSREEIQAIYDSPFMDLMYFGAKVHRANFNAREVQQCTLLSIKTGGCTE DCKYCPQSSRYDTVVKAQKMLDNDEILKAALRAKDAGSTRFCMGAAWRDLAGRKSNFS KILNNVKTIRGMGMEVCCTLGMLNETQAKQLKEAGLTAYNHNLDTSREFYPKIITTRS YDERLETIGHAQDAGISVCSGGIIGLGEQEEDRVGLLHTLSTLKQHPESVPVNALLAV PGTPLENQTPVSVFEMVRMIATARIAMPKSMVRLSAGRVKFSVSEQAMCFMAGANSIF TGDKLLTTPNNDATEDQKMFEFLGLVPKPPNFEQGADKIDQPSYQNGIKIKAEVSA PHYBLDRAFT_15016 MPASFHPTRAFQAYQLFAANTNVGKTVFATGLCRAAALFGKDTG RPVFYIKPVQTGYPTDSDERHVKSFNSNLTSSTLYAYPDPVSPHVATDQASNTSKLLE YINKPHPTANKDSFLFLETAGGVHSPVMSGTSQADFYRPFRLPTVLIGDSNLGGISTT ITSYESLHLRGYDIPAILLFDQQYKNHSLLNSRLGSGSDKNIHVAAVPPPPPLNLDNP DLDRRSMESYYAQLDEHLVPVIKHLDHWHNARFDRLETMAQSSRDKFWWPFTQHETVK DVTIIDSAHDDHFVTYTDKSNQKSNVHKDADLPAPVMGPKVMFDSCASWWTQGLGHAN PNLTLAAAHAAGRYGHIIFPESTSEVSLSLAESILSRDTWASRVFFSDNGSTAMEVSL KMAMSATAKRYGWSRSSSAASSAQPPIDILGIDGSYHGDTIGTMDACAPNVYNDQVQW YQPRGHWLKAPSVHISKGKSYVRVPAQMLAGQKGTGLRVDYHSLDTIYSVHEQGAARD PELARVYGDYIRTELDSMRAQGRRIGALLMEPVIMGAGGMIFVDPLFQRTLIDIVRNE GADLLGYNVTNSLETKDNWQGLPVVFDEVFAGWYRLGRRSASEFLGVTPDIVSYAKTL TGGLLPLALTVTKESIYDVFLSKNKPDCLLHGHSYTAHPMGCAVAKESIESLDKMASP TGAWAPFRKEWKDSTLWSMWSRETIDRLSHMKQVESVMSLGSVLAVELKDDLNAGYGS MTSQNIVQDLRNGQFTEGINLFVRPLGNIVYLMTSQITTQENVRQCERLFLECLERQ PHYBLDRAFT_59056 MGAKEGSISCFHVNLRLDGIISFLAGLQVVRVLWEIWASLDNTW PSALDRTIMPTVYGSCILSIMLMIISVCTTWGVYKKNIAAINISWWTYYVLTVLAFFN SAGHLSIICVTKGTFVAECIKESSVNLVLSACLQRWEALLVWATVSTMLGQIVNIGFT YCVYRYRILHPAKLDMTQVRPGVLPLHRTERSIIDDEGFQVVELK PHYBLDRAFT_185027 MTDLKKKIIIVGGGAAGILAAMNLGCHKDKDKMEITLVDSKSFY EYTPGLCSVLYEPTEQKFLEHYGKITATYESLLKPLGITFILGRIEDIKDNKVVLHDS QEIEFDYAILCTGSSYAAPWKLSNLGGSDGDGGDAGGDDSETIELGQRIDYLTAQRKR YQEAKEIICIGGGPVGVEIATEIAHRSPTKTITLVNSQDYVLKSAPSSLGKHCQTIIE NTRPIHLICGEHASPVDNNAKPDADGKYHYETDKTHTQITGDLVYNAIGIKPNTHFLA GSHSDWLNSKGYVKIDPFLHVNGTTNIYAIGDMNNHEDPKMFFTAHMQAVHCVHNLHL EINNKKPVEYKGSRVSMIVSLGPTFGIGYVSGLTLRGRPFETKKGSRIAAQMKHLIER VTMNDLRLKQPVNFALYYTHEKAQILARINSLIDIFN PHYBLDRAFT_59058 MDLIYNYRIQSLNAIVSESQAIMILIQCSTLYSTHFSQPYSHYK MLRVSGILQLQSVWTHPRYVKSTLQRSLSQSRPVSIHVHDNVHVHVQEPLFSKEPTTI PNALVHPTESLSNISTFPAILVVPEPKEDFENTDPVCGGIKQEFLQHNTNESSRQLTG TVPEHKNQGDFEDITMDLNQDKPRIDEQPKKVATENIMEQIMDYITDYLAEEFDQNTN TNTNNGSGFEKIKDNALEHKASKVIAETIDEKLNREETAEKEILEFKREGVQDILKDI SEETAHDAKLDFQVGHKVHILKEDSQKLISEKPMCGVPAYSSPPSSSSSSTSFSSNST VSSSSSLSSSSLAYSPVPARLSSPAQPSSPSLAASLSLSSLSKGIYKTQLAMSSIDKE YNTLGSVPSVLHDEILPSLKKPMSRLSLQTVGGPNTPQTSFPRKESNLLMRARSLGAL ARRISICNSRDTIPDLEKSESNQHSSYTNGSDYVLSADLILPSVSTSLLSVRKKGFRK DQLKSALKGKNLSIRLKNAMSLNKKCND PHYBLDRAFT_179371 MSLPEYDPDPTRAQREIWEDEQNKLRDRIELQDNVSFDPISLEN LNLVGGVDVSFRENDEVHAVACLVVMSFPDCKTVYTAFLETKLHLPYIPGFLAFREAE PILKLLSDLKEQSPELFPQLILVDGNGRLHPRMCGIACHVGVLADLPTLGVGKNFLVI ESEGPLLQMSVKKTCQQELKTCGDRLDLVGTSGTIYGAALRATASSTNPIFVSQGHRI SLDTAIRVVLATCQYRVPEPIRQADKLSRSYIHTHKIQ PHYBLDRAFT_59060 MPREVLSSWTLPFKILYSVVISCIFVVPAIVGYALQLHITSYSF WALGIYGTVVFSFILLQLIFATLNRCFIYRYKRNAPSLVSRRLVNASAQETIVEKDLT DQQIIKPGSLAEHEISEKVEITVTDDFKERPATHIGLAVVGYREDDTLFSQCLESIQQ LKYPDPIKIVVVIDGNEEQDREMATLFQKAFPGCPIISLEHLLSETPVYDLSNPSVPS SEETVKEQVRPMTDEELLQSTFLPTDTCAVCYMQPHRGKRHAMYTAFRVLMAAGCEAV MTTDSDTKFDSSALLELEQALYWYPNIGASAGDVRIWNNRDSLLSFMSSLRYWMAFNI ERAAQSIHRCVTCVSGPMGLYKSSVLKDIIDPWIKQRFLGMECTYGDDRHLTNRVLMG GHRVVFTHLAFCETETPTEFLRWFKQQTRWSKSFYRELLWNVQSLHKHSPWMAAELFY QGLYPFVLLFSILYILWAHAPIVLAIWLISLFVIASIKTLYSLVVSQSLGFLAFPFYS VYYLLGLVPAKIWALVSLWDVGWGTSARSVAERKRENVFLYRVKEALPVIIWITIIVA GITYNLVTFFTSPQQTQAGVLDPTSIVFYPNPYYTSGV PHYBLDRAFT_130388 MLLINYLNGKFRMGELEPWMDEQVIRQLWFNLNEKVMVKVIRDK MTSTSAGYAFVDFGSTSSAFNALNTYNGALIPNTHKPFKLNWASGGGLIDRREDRQPE YSIFVGDLSPEVNESGLLVIFQTRYRSCKSAKIMTDPRTSMSRGYGFVRFSDPVEQQH ALVEMQGVFLGSRPIRVSVATPKNSRAGHAQYPALSPTSSLPSSPSTRSPPLQQQLMF TDPTNTTVFVGGLSAPISEEGLQQYFSSFGEIIYVKIPTGKGCGFVQYVSRQSAEMAI QEMNGYQIGNSRIRLSWGRSQQTEQKPLPVPPTLPR PHYBLDRAFT_140028 MNCVQYYEQPPSYEKFLHHHLIPNLPALIGPSLTAEWEATKSWV TVEQDKSDSNRSRRIPNYEHLRTIYGSCKVQVADCNDRYFTDQKRSEMTFDDFVDLWQ KDTGKSRFYLKDWHFVQARPEIKAYEVPKIFEDDWLNGYWVGRKMADGSEDDYRFSYM GGDGTFTPFHADVYRSYSWSSNICGVKKWTLFRPGQEHLYKNKRGDLVYDIRNVDPLE FPDFERAERIVLYQKAGETLFVPSGWFHQVENLGPTISINHNWTNSTNVEKTFESLAN DLVDVRHAIDNLEDEMSPLEFVEQCQDLLHVHSGWHWKLFIEIAQSAVDRAGEESRPG REFEYECLRRVIKKMEDNEPLLIKYFEYKSLDCLDQLKHTIKYGL PHYBLDRAFT_130391 MPSSCKEIRQELIDCMLKSNCVLVKRNTVAECFKKDKEGEVPEE CQSIRKSYAECRRGMIDPRMRFRGNKTQ PHYBLDRAFT_59063 MRSNVAVASNYSRPHNKGPKEVQYRPWNAKAIMEARKAKLKDSP QEIIQSTEINTPELIKSSSVSSDSWVPNISWEEPETPGSTPPNIPTNISEGSTYSLAN QDHVSTTSSKTYNNAHQDYVSDEFNIQTCSWPSDTRPVSPIKNIGPLLDLSTDTVSNE QGGYIILGINFDSLSTSYLSRPGISSFIKDLVLLDIDDLTYSTDECGSQEFSKYDAFS SKSFTCPSVHSAQDSMTGNDMSTGNSTTNIVFGHTAFEKNQDYMGISFQQQSNSSDPA APRSPSLIGRQTYSRDELMSLNTYSENIKGIEAGNLIDTFIKDGATYYHHSPSYGNRR RSDSREYLQDQKDKIPFMRIIRPVINPPDNVTKGFAPGRCLPQQIGSIPLFENL PHYBLDRAFT_101260 DPYAVQQSSKLSPNPASNNETTTREEALRKKEAELAERERELDE RQRQAVRPGANNFPPCFPIMYLNIPVEIPIQHQPVVWWLYREWLLFEITLVLNFIACL CVLVSHPESITSAPTSMGVAVTELFTHTAASFFLWYRPVYNAYMKDTSLYYYFFFVFN GFHILYTFYMAIGIPSTGGAGLILLVTLFSDHFITSAIFTLLATICWIVMGFMALFLY KKTYDHYKAAGHTFQEAKQE PHYBLDRAFT_163071 MIIVLQSVIAYQNTHQASLLPSSDTKRSPSLAAAFEANQNEALE VDEATDRLNRIKWENIAFVGFQFWFVGMSFDATVNQNAAEVIALATMNVMCALLGALE VIDGRRWLSTLRHMNEVQGIAFATKPLEIAFYLEITLTVLLTLFAIAFAYLSYEVVRE FGWVIYKKIGADVEIQSKLKKKKIFQFFVLALKFDIFIEFLVSCFYLVQFATKEYDPV WEIWFQLGATALMLPMLYFARMACVVVVQFGLVLRQTLRTHNFWYTWICFVLLGIVLA VTTGTLGAICMYNFGKNLKPYIQRGAKKQEHADNLKLSKQASAELWKIDED PHYBLDRAFT_140034 MEISDILKGGENRVSLSALGYIAVMTSIVGLLTYLVTIPTKTLS TNAKRAISIPILLVNFFFPAFLKVDIGFVACGMGLASFASLMRFIELIWTGPFIYGRD AYVPIGYLHEELWKPIRTFYKPESKDIASKEKYIEQENVKDKRYYDIILAWIGNAIIC DICTSFSVTYTMKDIEEINSNISVASLLFHCLTIILFTTVFNTLAYPAQLIYVLYYEG GSYSSKEWRPLAKNPILAKSLNEFWAPRWHRIARSPMVNLVYKPVYDFSKRFFSKFIK NPAPLARAAGVFGVFVISGVMHEFIFVWNIGWPVYKERFLGVHMTQFILFGVVLPLEL LVGHIASKKIPEFWRRSSITLWIRRFITSVLLHILIYPVLENFHYLGIRYSLPFKVLR PYIHEAIHKFPLLKVLCGSDI PHYBLDRAFT_93419 DGDEEKQRFQVELEFLQCLSNPWYLNHLAQQQYFKDPAFLRYLD YLQYWKQPEYAKFVVYPHALHFLDMLQHEQFREYISTSENTQELHRMQYYHWMFLRN PHYBLDRAFT_130398 MPTKSDSYYLGLDLSTQQLKCTLIDQDHSIVLEEAVNFDNDLPE FGIRHGAIQNGNVVTSPTLMWVKALDILLSKLEKSRYIGKIKAISGAGQQHGSVYWSQ HGIEKLGHLDPRLTLTEQLKDGFSIEQSPIWQDASTTRECRALEEFCGGPEALAKRTG SKAYERFTGNQIAKIYAESGEKYNETSHISLVSSFLASLLVGKLAPVDSAEGSGTNLM NIKTHRWDEQLLQKCGGDQLSKKLALEPVEGGDVVGKVDGYYQKRYGFSPECKILPFT GDNSATLVSMNLNQGDCVISLGTSDTVLVYLKQGEAEPTTESHLMAHPIDTTGFMGML CYKNGSLTRQHIRDLYASHDWDSFNKHIQNKARNTDAFGFYYWMQEIIPFAKGIYRFE NGKQVQEFSDPSINVRAILESQFLSMRIRLGRMTHDGKMKRLLATGGAAANPHILQVL SDVFGVPVYKQKGMNSASLGGALLAKYGTLDKRSFEDMMKNHTTEPELVCNPDSKETA YYDTKLEEYIRLESVVLADKANV PHYBLDRAFT_102906 MNVMFYRFCRIYHLGIRPVFVFDGEGRPNMKRKQFVNTRIPDTI AMRKLKSLIKLFGFAEWLAYGEAEAECAVLQRLGYVDLVMTGDVDVFLFGARRVLRHW PSKDGFPCGCYDTSWFNLDRSDLILIAILRGSDYQDGIKGIGIKLAEGLA PHYBLDRAFT_121648 MREVIHVQAGKCGNQIGQKFWETISQEHGIDVNGNYCGDNDLQL ERIDVFYNEGYQGKYVPRAVLVDLEPATMDAIRASPYGKIFRPDNFINAQSGAGNSWA RGYYTEGAELVESIMDVVRKEAENTDCLQGFQLCHSLGGGTGSGLGSLLLSKIREEYP DRILSTYSVVPSPKVSDTVVEPYNAVLSVHQLVENCDATFCIDNEALYDICFRTLKLT NPGYGELNQLVSAVMSGVSTSLRFPGQLNSDLRKLCVNMVPFPRLHFFMVGVAPLTAF GSQQYRNLSVPELTAQMFDARNMMAASDPRHGRYLTVATIFRGRLSTKEVENQMLAVQ QKNSSYFVEWIPNSVKSSLCDIPPVGLKMSGTFIGNSTAIQDLFKRVNEQFTAMFRRK AFLHWYTSEG PHYBLDRAFT_14601 MSALGSGEDAFRPSLFEIVAQEKLRELLQPAVQYLLAIYAQRYP RLLIRVVNNHEEFYSVLMFFIERHYLKEWGASFAENFYGLKRVSSAHLASRPKFSSVG PETTPPLSSKEIQRSLLILVGLPYIKCRLDLFYQRISGGPSASLLGDNEQEEREREQL ADSTTPFARILVIRLTRLFRKVYPYINALYYGSNLVYNIGYMFGKTDYYSPWFHLIGL QVKRMSMSDYAATSGQAKAPFSLFKKPWKAFPMVFGKLIEFLKVLLPMSIFFFKFLEW WYSSEFARGGGVQEESKATIPPPKNTKASSTCPDPRGVPLPSTPNTCPVCLSQPIPNP TALPSGYVFCYTCAYHYVEEHNRCPVTWQRTPQGVQDLTKVYADNL PHYBLDRAFT_99537 LQWLEYEQINWLHLSRHSETTMANAYCIRKGLIRKAQLAYNMTK YLSKNPESILKQAIPETWQFELDHVDYFEEAMNEVFEVERDLLENEEKTEDRHMFIIK PSMANKAAGISVFDSMDQLRAIFEEPESDSEDEEYDSDAEDLMQVREWVIQRYIDRPL LVNKRKFHVRAYVLAVSNIQVYLYHDMLALFAHKEYSTTDLSDSLVHLTNTCIQTDEA DFDEQSSVRLYWELERLGVPKADLETMFGKMQLILADVFDACVSEMTTFQAIPNAFEL FGIDFMMDQDLNVYFLEANAFPDFKQTGAKLQHVIQELFDATTKTAIEPFFSEKKA PHYBLDRAFT_179380 MQVSHDNNVSRINYGHLFRYDQMNPRQSSKHDLNQTAKVQSGKQ LTADSIEPEESRSARTKGMVSVLKSKHEVLTRQRDAMALEVKTTAETRDMHLASQSVF RAENDTLRLEMNRLAMEIDAKQTAIDSLKTTADDQKTYASSVQLAAETQRMGTAELKK KAGEYKQAISRAKLKAAQAQAQTQALKDSLKGLSGQRRHEKLAHEQLLSLLSTLKKEG QESIERLQQGGHELKSLGDERSSLLESTRDVVKNIESWHDKNKTAFKTEVVKLGEALS PCTDKDKELKDSVEQCKNQMDSLVDRIRKTQQ PHYBLDRAFT_163081 MKFSSYLFLATALSLICGSNGQGPNGELQLTPCQESKGKIMVNR LTFDPNPPTIGKPVLLNFNGTFAEDFPLGMKVNLEFSKDGQVLFRQEKDFCQMVESLS LLKSSILCPLVTGTHNILAKMNVPGFIPSSLYGVNVKITKPDNDEVICLEGTTHLQQP LKA PHYBLDRAFT_140044 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDRTPNQSICLEQDVWFGNIVYLKTNDCSIRFLVESFHTANKNIFARGYLV RAISIVCYGVEVAVTNLRVEQISHVDTTPVERDHYYSISSSLTRLSPAHDFLLFGVHP MKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGNRSKQYNPFESWLMRCAALPF KDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMFSAEDNEYVLVVAPI LWIEADTPCHSELCGLLGPATTFPCRRCYIELR PHYBLDRAFT_100857 GEPYHVGRIMEFPVVVAGKGQQARIAWFNRPKDVVNRKHHDPRL LFATMQSDLNPVSAIRGKCTVTHKHYIGKEGLEMYRSLEDHFYFHRLYDRYMQRMYEV VACEAVQNVPLAIQTALRERYQFVVVEQAIADDLIVDRCNCCMCDEWCASAKSVKCAA CLKSYHMSCLNPPLLRKPSKGFAWQCASCTREELLGGSSQNTSTPSESDSSSNSSKPV SSSRPKRQTRTATPPEEMTMTAMWPFRYF PHYBLDRAFT_140046 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIR FLVESFHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGN RSKQYNPFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKDF VKDLSYFCECHERRTREHYVLANSSPGRDTEIPNAPKIGMNTPANEISFRDRSTGRLL ELQSFDPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTG LSRKFTRNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLV FVRQVTSDFDNYIIRVDNAVKRLIRALFDYDKGTKNELHKAYCTKPKVHYLTHLKEDI IRFGPALNYETEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWIN SSGNREKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFV FKDDPISRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLD LHIFRNPFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_11246 PMSYSLFPEYKGYFYLHVIFMVLAFWVLLPIGVMFGIARSSLHL PTQILCFITAMFGFFFAKLYGHTTPHLYAGNSHHSMGWSLFLLLIVQMSVGVLRKVAN AVGRMNTTSSYDQLENVRLVRSSSSSSSSHPDRHSVSSSADTLHHNGEDQGYTFTKHE DNEDEEDEDDQAFDCLEDDPLNSIDLNIQPKSRWYHRFDFTNRIPYSVKRVFKTLAHN PLTTTCFRHFHQILGRVFPVLIFVQTLSGLVVYHGVCRSWAVLGCIAHLIKGGIFFFY GIVTFGRYLGAFANRGWAWNRVDNGSKFSFEMIECGLIFTYGITNTWMEHFGQDSAWT HKDLEHASLAFMWWWCGLVGILVESRTLRRLLSRTEDTPLNEPKHEQTQTFSMNPFPA LTVLMTGISMGNHHQDTAYSTQVHYMWGLLLSMAAVFRFVTYVTMYLSPPTNSSPSRP PSEAVGAFLLIAGSILFMASNSGTMTWLRRNEVDSMFMMNGCVALSSMTLGYVAFLMI IKAWAKKREDR PHYBLDRAFT_140048 MANPTLPMEFPRGAQPDISKANQKDVYYQTILQEQMKSACQQFF GSRRQHQWQKEINAFADFSYHGLTTLLGTQTLGEEYCDLVQVGPSNTFPSVLRRASLV FSYSILPYLYQRTVAHLKKQRRRGQLKEDKPWKQHLAWIVERTPSLQEIFSKNIQPLH LALFYFFGTYYSFSKRLTGIRYIFTRQLGIHEERMGYEILGILIVLQLVIQTALAVRK RAVRLKEEKEKEKEREKIDSLPSAKVEEDDFDFMDKFEEEVESSLVAPKENQKCALCL EPRNSTTATPCGHLFCWSCVVEWCENKPECPLCRSNVNISHLIRLSNF PHYBLDRAFT_130408 MSPLDSLVFDIPTEQQKTEQDAADELDLWTNAQFTFDVKPGSGI FEDDKTCSSATSPSVSAPSASFLSTPQFQPELDPITYENLVNYLDYELPQQQQQQQQQ QLQQQQVQQIQPKQTQQQRPVPRTIVPVVEAPVATPARQLLLPKPPTMDASQLVNLLS QSLVPSAPLTVQHQPKQRKKSSIIAPTTTTTPTLTSANTTATSLKRAPDEVEEEFQAA DEDKRRRNTAASARFRIKKKQREQAMEQTVREMTSKSDALQERVNELELEVKWLRGLL TEKDTKSSD PHYBLDRAFT_163090 MTDIYFFTRAYLYLAYENINVCTFHLEKTLLSLHLPATQLSSCN FLQGLTASQDYILFMFAYSLSRQPILIPVMADIETHALCGRVFFCSQGEIVLFWCCCC VTVSPEEAKERTVVKYSAIPAPLLSDRGISISLRQAWIMIQFISLVPIIRKFSLLKWH VRVHKFWAHSSSINRWYSFLCDEIAEKRLKSTIFVINKHMLVHLGYIMREKGPLRVYS CRPIECLISAYRATRGSRKETRKNMDNILFCKAGIRHCLSGRSAVVRPTDRRTGSFEV ASDDVVGPELLSSPTRMFLGAVEKEMCMNYGNLVRDTDELVGVSNKSYIFKSYGFIRK FFFHSVLGETSLFFIDEHLCGAWASNEGMFPVWERLTLSEMKVVEVKSIKGMTGLIDD INNENISHVVFPHPRHYE PHYBLDRAFT_140052 MTLSSNNHFETTEPQDQISLEIDQSDIHLDCGGRVGELPDWYMY TPSHDITISTDRVLEALGSLGFVDSVQLNQSKTRTKRDL PHYBLDRAFT_59077 MTPTVRPQHPTTGHQPIPRILPTPLKVPDDWKPPVLLRQDAFQL ECDLEESGLFEAIANGTYNEFGHDLDSDSLSISTQQSLNGRSRCRLCRKISNPSTMRY VSPTQSLTPRGYYVDDRCLRYLIHSVHSLPLRDSQMQQNQQSYQQQQQQENKREQERK TKRHSGLRWMFVGGITLAVYTCYLVHDCTTMRVVAPSELGSPTSTGRPAPDYVWIPIP DKACYKSSLAGRFLSFIFSTQSFVMLIILGMAFLYVQVVVRHRRIF PHYBLDRAFT_63817 MNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFETIGAVN DPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIGRTFKLP RLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKKSKLIS SMPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIRFLVES FHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSISSSLT RLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGNRSKQY NPFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKM FSAEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKDFVKDLS YFCECHERRTREHYVLANSSPGRDTEIPNAPKIGMNTPANEISFRDRSTGRLLELQSF DPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTGLSRKF TRNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLVFVRQV TSDFDNYIIRGALFDYDKGTKNELHKAYCTKPKVHYLTHLKEDIIRFGPALNYETEKG EQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWINSSGNREKSGTGIER FIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFVFKDDPISRPRIGLV SGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLDLHIFRNPFYIVNLS KFGSYWFIFNNILFDE PHYBLDRAFT_140055 MATPHPIAEMRQALLSDGTVVRVNDHVFLASEHLGEPYHVGRIM EFPVVVAGKGQQARIAWFNRPKDVVNRKHHDPRLLFATMQSDLNPVSAIRGKCTVTHK HYIGKEGLEMYRSLEDHFYFHRLYDRYMQRMYEVVACEAVQNVPLAIQTALRERYQFV VVEQAIADDLIVDRCNCCMCDEWCASAKSVKCAACLKSYHMSCLNPPLLRKPSKGFAW QCASCTREELLGGSSQNTSTPSESDSSSNSSKPVSSSRPKRQTRTATRANVTKTTQKQ IKKRVAPTEPRHTPKAPEEMTMTAMWPFRYFNMSTQLLDIESNNNCYPHAKSRIGAKY QADTPDFKLEEALAIVDQSRSSTPLTTEEISQESFGKVQKRRYNKRVGRPIKRRSATS PNPSKEPEQEEVEEENAPIARGTDATLSPMFRRTQWTDDTQDNLEKYMLAVKALPDLP LPPHSGDLLDRALLEYERSNYDASTALSIMARLTTDDFYHVPEWSEEELEAFEQGVRD HGHDFNLIKQRIPNKTMPAIIRFFYQWKKTDRYEPVYSEWTKVYRPTKRIKRRATMGQ DGRVSKSPTESLDSEDDSEEETDLTVVPISSYEHANYRCTNCHTTESSIWRRPPSDTD RRRKEFKFVVCDSCGVYWLKYGKNMPITPECLAANNRGRGRPLKAPFEVPKTEKRKQQ SEEPIVTSASNADLVAAVTKTEHIPIRKRIKLIKDRINASRQSHAPKPCSLCSHLEPK NKLLVCADCSMSVHNDCIGSVSDKPVPWICDVCTNRRHPKVSSDYTCVICLDTDRDTR RPMKPTSDYKWAHVSCATFIPEVKLVHPETLQPIEYINAIHPARWQKDCEICHNSSGA KVACGDCNKLIHVECAVRNQFQLAFEVVQPPKSFSIPLLSPGAFDPHASGGMMIPQVW CPEHSLLQKHIIPLHARTLDTQDSTFKTYAHLYKSIESDSTSAMRKIYGINYKYARTG SLSLAFHHPRLIKSTIPTASSSHIIPSPRQSAIAAMAKKFVPSSSLL PHYBLDRAFT_75782 MSGARNRVCSVCGTKRFQLTEDGGMTCRYGHQVLGWQEECDEEF GQVGYQRKKAATGVKAHHGKSRVYGTELRSVHYRIFQHSLQKLALTFVHDLGFPIEFE YVVRELWLLYANDSKLYLTDEINLVNVDESKRKLMAAIQDDINCISDKEDSDENEDDY DKADDDDNDSDGSNIDINSKKDRHSYEQKEKELKRKRTFLAKEQLKGVKRTKDIVWPE LRFRHMLCFCYLACVWLRWPVLMSDITRWCETMRLPYMFIFRELPDEIISLVDVNKLK MSMLVVPTVTCLSKDVFRFGSLFNLRCKLTFPLVNTPLLIYRLSNQFCLPVEMYFVAI HISEKLGFDGRINGLIEEYARPRRAQGSGDMLAVISVLITIKLTYGLDDDKRDVLLPR NNIVPLMPKNTWVKLIKEKAKIWEDTCTKKSIKDPDVDLNVLLYFLMGDEDTTDNSAS TKYSLKSELDRISRKFAFKLPQDSIDQHDLFMNEILNRSLENEPITRATRDMGENYQR VYYDYKARPKLVHTKEYELVIKLAANILGVKPISVHSAIVMYERILFGTQS PHYBLDRAFT_106019 MVKAVKGVLVECDSTVKQIVLNLNKRSNFVIEDLDETHLFIEAS SVPQLRYELDKVLDENSYTISNEEKDTRHKS PHYBLDRAFT_121656 MSEINLSNIKHIVLILSGKGGVGKSSVTTQLALGLVQKGFKVGV LDIDLTGPSIPRMLGLDGKQVHQASQGWVPVYADEQQRLSCMSIGFLLSSKNDSVVWR GPKKNAMIKQFLQDVYWGELDYLLIDTPPGTSDEHISIVEYLKSFEPDGAVIVTTPQN VAIADVRKEISFCRKVKLPILGVVENMSGFVCPHCADCTNIFSSGGGESMANEYGIDF FGRVPIDPGFTSMVEAEGDGSYVKSFSKSNLFPVFQEICDKVITKTSKA PHYBLDRAFT_59086 MYDIKRKLRERISEKIWGKWFIILAGVQLVITIPNLIATLIIFH PIGDLGSRQDQKGWVLDGYKAIRIQAETIWFILFEVWRFWLAFDGVVQGSSLTVFLAF GSTIFAIALGIMQIVEFEKAVGNLTVNIIPHIVMTTLLLILTVPTTYVTYKLYKNCDW IIYKKLGSDVNLHRMYHWVQCYVLAVKSNLFFQVLLIIFYAIYIMLFGPDAWIWAFSI CAPILSAAFLLFGRKAIADEQHWMMITFLFYQMAIIVLNIIVLVWSIGYMKADIWYFL CAYAGCSVIMCLAIQIGWYKTKKDHTVLDRRIATNHFSETMSLDGWGKNDDMMYIDPD SSLITSNCLVNDEISIKEP PHYBLDRAFT_105693 DNEDDEDDDDDDDNEEVEASSDVLPITHEIRLRDHQRTVSALTL DPSGSRLITGGYDYEVKFWDFSGMDKSFRPFRTLEPCPGHQVHDVLYSLTGDSFLAIT GSARAKLYDRNGLELCEYMKGDPYIRDLRHTDGHVGALTGGAWHPTDRKTFITSSQDG TIRLWDVDNKRRQKAVIPYKSRERGGRSSATAVSYSHDGKTIAGAFQDGTLNLWDTSS SFLRPVMAVPDAHQKQSETSSVVFSRDGHTLVTRGGDDSVKLWDTRNIKKPVRTAYNL EVVNPQANVIFSPDERLILTGTSVPKGEGYGKLVMMDRETLEIRRTMSVNQSSVVKVL WHPRINQVSIVTGSADGTVSVFYSPTHSVRGAKLCVVKEAKKRAVDDYEIDRPIITPH ALPMFRDDEPRSSKRKREKLRRDPKVSHRPEMPVNGPGKGGRVGLNEQQAVIAGFSKD TTRDEDPREALLRYADAAEKDPMWVSNVYKSTQPKPVFAEEQEQESE PHYBLDRAFT_102050 MAAALSDTRGIGYKQDLPWYIPADTKWLNHVTTKKYVDTPLNRV DDQDWHNVVIMGRLSWESIPMRGIPMEDRFNIVVSRNPDYNIYAVDKFRNVSLSNSIS QALSDGIEESQKTGGRIFVLGGEKVYEEAMVLPQSTHILLTLIYTKEPIECDTFMPQI DLRIFRLASHEELEAFIQEIVPEGIQSHENLDYEFLLYVR PHYBLDRAFT_93918 GRLVIELFDKDCPKTCENFATLCKGGKIGKSSKKPLHYKGTLMF RLSPNFMVQGGDVTRGDGSGGDSIYNGKFNDEKPGLSKQYSQCGMVAMANSGKNSNTS QFFITLGDKDTNPAQFGKINGKYVIFGRVIDGLEVLTEINNVSVQGEKPLTNITIVDC G PHYBLDRAFT_27766 MTSFDYSSIKSNIIAHKVESYTYTYGTAGFRTKAELLDSVMYKV GILATLRSKKLGGATIGVMITASHNPEEDNGVKLVDPRGEMLEQSWEGYATSLANAKG GDALAEVVEEIVAANKIDTEAPAAVIYGYDTRPSCAELVKCLELGLEVSGAKATNFGL KTTPMLHYLVRCINTANTSDSYGEPTEEGYYKKLSEAFKTSVKGKSRLSPLTVDCANG VGAPKLREFLRYLPEDVLSVNIMNDDVTSLGKLNKDCGADYVKTQQRAPPGSKIAPGS RFCSYDGDADRIVYYFVDSNGTFRLLDGDKIAGLAAMFIMELVHDAGISSIKVGVVQT AYANGSSTNYLTKVLNVPVSCVSTGVKHLHHEAEKYDVGVYFEANGHGTVLFSPEALN TIKTTEAKTPAQKHAIDQLQALTELINQTVGDAISDMLLVETILTNRQWTCEEWNQAY TDLPNRLVKVVVKDRHIFKTTNAERQLVEPVGLQGEIDALVAKYANGRSFVRASGTED AVRVYAEASTRAETDELASKVAQLVYDRAGGVGGRPLSS PHYBLDRAFT_179387 MATLRKKSGSSGATLYASDVAESLTSRPGALASWFRIIVYCLLA IVIAKHLHYRLPEPKTHRGINPETGLNEFSEYNAVETMAYLSETIGYRIVGTTEEKQT FEYLEGVLDSHKKKAQGIPGAPKFEMWVQQETGSHRFDILDKMVLKQYVNVTNIIVRL SCPEDSTIGQNDRSCEKNAILMNSHFDTTLGSRGASDDGSGTAVMLEIIRVLSQRDWT SYKNSIVFLFNGAEETLQDASHMFITKHALKDTIRAVVNIDSCGTTGAEILFQANSRE MIEAYKQAPYPHGTVMANDVFRTGLILSDTDFRQFVQYGNLTGIDLAIYKNSYLYHTH LDIVEHLEPGAIQHLGENTLAIVNYLALNTTMDNIEQASDVVFFDIHGLFFVSYSWKT AYILQTSTVIISLVFFAYTVKNTTKSSPYRTVGNILISYVKSIFSILLSLLASLLLPV TIALLITSDFMGRNMCWFAREWYPYLIFGPMSYIGAYGAQYLSYYLPGPQHVDMEYGT LISILAFFITFTGFTTQTGIASSYLLWIYSFILLAACAVNDLYLTSGQRRDKIRQPKV HKLTYLFTVFPIALLYNGYSYSLIDIFVPLTGRMGVDAPVDLIVSIMFGMVTFMTTLP TLAHVHRFGKKFLGKIIVFLVAIQSLVLVSVMISGGKYGGWAFPYDELHPKRIYIQHL KNLTSGEMSIGLAEADYGPYIHNIVDTIENKLEAAPIRHVKLEGENDWDVVYPFSAFL GGYKFDSTAFIRSKISDKELADTPNLLSLVDGPFPSLSVHDDTYDPQTGIRTFTVVSL SPGYIWNVISFDGAVVSWSIEGEEPQENSAHYIVRQITGHGNDGWKFTLSVKVPESES ALAEAGEWKMRFQFTGLETENFAGLGAERLVGGVGVLTELRKAMPIWTTPTWLSSTQT QAQAQAQAQAQAQAQAQAQAQAQAQAQA PHYBLDRAFT_163102 MYCALILRVFSFSKAKSLGKGSVQGSPEFFLQKSSINKRKRDVG IKTSCVVSLVTKYFHSNGGNFETEKALESGSFCKIKWGWQTIFYAHICVGPNIVRCVP SWQKKYLEEGD PHYBLDRAFT_130420 MVRYAATPVNPAKAAKSRGSYLRVHFKNTHEVAVAVQGLKLSKA YSYLNNVNEHRQAIPFRRFNGGVGRTAQAKEFGTTQARWPVKSIKFVTDLLKNAESNA ESKGLNVEELYISSVIVNQAPKHRRRTFRAHGRINPYMTSPSHIEVILTEKDEVVPKA NDKKVVRLNARQLARNARTSA PHYBLDRAFT_92778 YRDSKTPRAVKVYSVAQESRHIIISNVPALGLSENLLKQCSLYG AVEEIRSLDDHASVEEFTKVYYLRLGTVDAARRLKQAMDDRPFYSNLLTIAYAPYYET VHDARLKLQNRRNAIHQKLSP PHYBLDRAFT_75789 MDLLETPDRRLRLNKRSTLPSSYAFTPKWMKNTTETIRSGSEST TADEPFPSLVNATTSEKKKRSVWSDTATVKKKVSSVDAPVNDPFAGMNACVDYEAEIE RLKTLVPKVDAKRRTSPRPKSVVPSQDTKSSRNNWISNNRTVEKYSDKFSDGSSDSSS DGSSEKDLAPIQPPNKETLESSSEKCSQAISEEDKIRFLEFVRNRTSGWNGWESNYQT EIHKTDSLWEEPTPWDSPVYQRQCLQGSLQEKRKNPLVISMPARAGYDLLTSSEPSTP SSCSTQNSFWSWPITCMSSNNMTIDDDLPRQTTSSKLLRSNPSLQEPPGIAGPFYRNE VSFIPHF PHYBLDRAFT_179391 MAPRTQRDLEEDIRKGIDILESFTGRDPRTVIPKSILANAHGLI LIRVFRIGMMVSTKNGTGIIIARNPDGSWSAPSGISLTSFGAGYQIGAETVNLVIVMN YRAAIKAFVQGGGQFQLGVTASLAAGPYGLAAEVSAGTNNGDYVAVTYSYSSSKGLFI GYSMEGSKVSERPNTNSAFYGRPITAKEILEGGVSRPPVARRLYEILDNIGAGPRVGG GFGPKTNRSPSFLSQNDSRSLSPNLTNGNFGSPIVNPSIRGGQTEFQHTFAEPPPPYE PRKDAINNGEGSSVGYNNRYSEQSYENYPRHNYPPRDIADTSIHRTTSYSSKNTFNRT ESSHSSHSEHGYNQYPYHNEPHISENMNSNEVKRSVPPIPQQEQSYTVVTALYDYHGD RSNELSFSAGDTIIVTKRNGDRESWWEGEIGSKHGAFPANYTEDLTD PHYBLDRAFT_121671 MTLFKSDACVVDVHTHVYLPEYLELLRHRNTVPFVLPPLKKGED ERLIILPGEDKDHSTQNGRPVGAAYYDVREKIKFMDLHGIDLSVVSLANPWLDFLPPT QTTLDLATRMNVEMEEMCLDKAAAGRLYGFGTLPVTSVEGSIKEVERIAKLPHLRGVI MGTAGCGKGLDDPELIPLFEAIARHQLVIFLHPHYGVSLPTSANTEVGHSLALALGFP FETTYAVSRLILSGIFDRLPDLRLLLAHSGGTLPFLAGRLDSCVIHDPLVASRLQHPP SYYLKRLYYDAVIYHETGVKATVDFADPKNIMFGTDHPFFPPLENQNGGPERWMSVDS NLAAITDSGLDKTVVQGILGENALKLLNLS PHYBLDRAFT_59098 MAVSFVTYLVIFFAFCINYALTVTALVMPKWLTFVTPIPFYIET NYGLFKLCKSFLRECRPFPSAKYNDCEQEGFCELWRASAAGMVLATIIGGLAILALLG TLCGGRLNRERAWSGVAGLFVISAIPQAFSMGVIAYLFNTSDSFYIGTRYNVSFIICI IAWCLNIILAIMLTMVAMLSPPEYAYQPLN PHYBLDRAFT_14458 MCYTGNCEQYRETIKSIGERDSLLTETRDKKRRLEESITKLQDN SPESVDKIADLKKQLSDLVASTEPDEVEMSNFKRVAAREALYLLLNGMHELASKTDII SSFGKYIVDELDVTPITPGQERSTYQGTNKTARIVKDATNAITNWKPDKAKVRRTLTS H PHYBLDRAFT_75794 MSTPTDSSSPIAASPCPPQVLQRRKGSSPNGSFEMSSMFSEQEL NSRSMNKDSNSQSNSQEEFNDWRENAYNYQTENSTFLSTTSFNRSHISNTRSTLPQDR YTTTTYNTMENTSIYDFKRHEKRQRNTSQEHANTSHEYNNHPTTEFNVVRKFNSLDIR NKQEDSTKRLLPESSYSSSIFGKSDTPELTSEKRDSMLWPASASLKTQEDLTGSMNKK SSEFMQLDSDSTTIPKEKYQITKVEVTRQYDRANQNHNNTGDHCSPNSITKSRKNSIT SIEHQNREPHSSLESGDISEAASIHNSRSDKASSPTISPNNSLPTTLSSCNLTQEIST GSASFNSFGGWCEHGEASSTQNQSDNNDQQSEHSFPYCIEQEANASKDSRPSTLEDDA QPSVQNTSERNVSYLQQAIQSNSEDDTQPYVQNNSARDVSYLQQAIQSNSEDDTQPYV QNNSARDVSYIQQAIQSSSEDDTQPYVQNTNERDVSYLQQAIQSSSEDDTQPYVQNTN ERDVSYLQQTIQSNSEGDTQPYVQNTNERDVSYLQQVIQSNQEGDTQPNSPKQNEEES LYPQQTTQSTSDDSTQPYIPYNNEHDISYVQQAMQYTAEDDTQSYIHYGNGNTQSAPD NNKQLREVHHKEEEEVPLLQKVMQFISDDYDLNTLSTSLPHGYISKHEEDQPLMYPYD KTLSTTESKRSATSNLASNKTSPKPNKRTRYTQTSQDLNTFKQSASMTTTEKAPYTIH PRESFFLNPAPVNQIESAFFIKKVLDMVPKHMSCIRSRDYSRSCWENEEYNTLDDSTS STCKYVDQCELSSAILGLPRQQRSANTQDDQDNILNIRKKIRLGEERREPSGDINERI PNTRSFFEYESPLGTIRTAYVDTSDASGDEDNTSNECGLTQSLDNDVTQEFFDLPGSY MNTQISQGPRLLGSQLRREAELVENTQEERYSDSLQEAMSWIDSGSSISSGPSQSSQE TLDIQGSSSMQENRDSQSNHNNQNSQCFPIVPNCQLFRNSQNSQSQRTQNSQRSVSLS ASSQRSSNSGTTPGIDYRVTKPISSRESSQTAPYRDNLTQTPKDSIDRVKSQSNSRFF SQKPVNVPFDPRNHSHIESTGELDYTIKEIHPPSTRAFPRRPGIGLSRTPSYSQVSNS QKGLNNSYNQSISTNTHEGTKRKKYGMGLHRGSNRSGDNIS PHYBLDRAFT_102927 VRFASDFSPRRTKYRKAHKGKIPLPTGGSTKGTSVEFGEYGLRI TEGARLTSRQLTAVHNAIRRKIKTVKGSQMWMRVFPDIPVTSKGNEVRMGKGKGTFEY WACRVPLNRIIFEIGGLRKEIAKEAFRLASHKLPVKTEMVERGTKPIVG PHYBLDRAFT_179397 MTSPIIPIWETGHQANLKALALDTKNFSFFAQIFSKKSKDFFGA PLSSTFTLFVHLSTIGTRFCQWAKLPLLAEFFKAASLTLFPLGEDHCSAQHPIPVLLF APKSTPRRYFIMQFVHNNPYFPGYAANPQQQQQQQQPGTTLHGSQNPQGHNDHAHSLA LSSAAGMAVYPGQQTQGMQPSSVNDYASQGKPKRKQVKNACVNCQKACKKCDEGRPCQ RCIKYALVDTCVDSVRKERKKGVKRGPYKKRKQDGGSAESSAASTPTASALPTGLYSP TAGMGNVRNNAVPIHYQPLTTGHYDPFNAVSYQNSAQMMPQAYMMPAAIQQMYHTNPS MLSYQATMNMLSPQQSQTTAVQSSYRPVDQSAQQQQTDNTTNGTKASDSDEEGSKLTI LSQLCSAVLDRNDPSTKQEDTKDASDDKATLGQPLSESQEQIMVTKSEPVPSYNNSLY GTPTSSPVVRSGNNSHYGTPGSSPSVAHAEQVQNNAQQSQVWPLPSLQSMVPEHMYQQ EQQPQ PHYBLDRAFT_140075 MEQKKLRILCLHGYGHNATIFEKKSAKFTKGLDQTVEFVYKSGP HIALTPEYTTVSERSEESAEVSAEIEPHAWWYAVTYYPVIGQYCIGFEKSIEYIKKVL LEEGPFDGVMGFSQGAAFGVLLQYMLENTVFPEMISTDFAHPPFRFVISIAGFIDTHN GHIEHVFKTPKLKTPSLHVIGDADTIIVPDRMIALSQKFEKSTLLKHPGSHFVPTMSS SNRALKTFLSRFIQ PHYBLDRAFT_130432 MEGKLDRIRNQAEAKLENQKLYGQTLLAIEETIRENNAELSPIA YFGAIMSTLESSQGSLEINGALLYLLDEVIPFLPPTVLRSKFSSVNAILEAAYEQYKS EQPVVRSIIGCWQELLANQDLNGWSMPITKKAYQILLILCANTQAKARKRAHDAIRSI LSRPPPPTITHPAASMTADFILRVLHETTKSDQHAAQQILALLQSIVPYWPVNRFNTL CQTLLQLPKFNNIFLTKASFDVFQALFEAEENDMDEEKFLSLLGAICELKPAAIDDRL LPTWLLIISKAYPAFAKINKTRCATDLPIIYTLIFKDLNQESKCYREIAACLSTLIET CVTDEMIEQAHAGQNNGLVDMICMAESGLGIYYQAAWQHIMVVMHTFFYKLKRYSVPL MNGCLARLGELRLTPAENYKEQLDKTLGAAIATMGPEAFLAILPLNLETPSSSDSVGR AFLLPLLKAYTTNTSLGFFANFFIPLGDRLAERGQSAAERGLDLQAKLYETLVNQIWS LAPGFCDLPYDLCEAFNDQIAERFGSILYTQPELRPTIAKALQLLIEKNRGLAKSDAT DADLKKAYGVSKTEALANVEHLSKFAVNFLAVFFNVYSQIAPVHRGFLSNLIDAYLSI TSPQDINITFQKVLGLLSQSLENPEEISPDPAAPPPMAHTMLDLATIMVPFLDFQSIE ILYNGVVSTLIEKENQPTLQKKGYKILSHLMDYNVGRQVILSHIDDVQEKLLAATGSC SLAAKKHRVLTLVQIVRLLPSSDLHFIPAILSEAVISTKGNNEAMRNHSFTLLVEMGK RMKEGGIVKKSKLEGLEDSVPDAPASISEFFMMVTAGLAGTTAHMIAATVTALARIIF EFKDDMSPELASELLQTMNVFVASNNREIVRAALGYTKVCVVILDTSIIEPQLPAVIS SMLKCSHQHRVHFKIKLRHIFERLIRRFSYETIASLVPEDDKKLIANIQKRRLQAKRK KAFTHDKDEDEDDVIGARETVSKLAVHNDAFEEIVYGSESELEDSDNEDQPTGRAPLG GNDKKKNKKKSMDTTYIREDEESGPVDFLDPSALGRISSAKPVQRKQRNLERSSGFAQ SEDGRMVIGGSNTEDKNNVEESPEENYYMQAQNSADGFVRDRHNKIKFKKGKNGANDD DHMDLDDGSAVKQIKKKTQQYERIGKEFKAKRAGGDVKRKGKAEPFAYMPLSKVIKKK THNGPRVTFTGKVKK PHYBLDRAFT_59105 MDQSNLFPSSPQPKPSTEPPAGPSGQGPVCYWENCMLQYADHRA LGTHLSEDHVGWKRGEYRCEWADCIRQGVKCHNRFALMMHLRIHTGEKPFECTFANCG QSFGRQDALMRHRKAEHGEEHLDGAPSGPAVKSGSNLNKKPLNLPLSKAPKGFEGDTP PKKRKQISGDFGTSEDTPSSREYTLAKAKLQYIVRENEMLNDELMIAQKKLRRLETER RVLLDVLMSTDGDREEMSSL PHYBLDRAFT_100719 LGKNAIKRILKEELWEASRPKRIQHQREKDKLKRAERRKLIEDG VLEPPPKRQRRPEITLANTNIVLDCSFSDKMIPKELQSLQSQIVRCHSANRTAPLSMP LTITSFDDAFEKVMDKKGGSWTNWKNVKFERKSYTELYDKKDLVYLSADSENVIHELE EGKTYIIGGIVDKNRYKGLCQEKATKEGIATARLPIGEYLQMASRKVLTVNHVFEIMI KWLEHKDWEKAFMEVIPQRK PHYBLDRAFT_140079 MAEHDKSLSELVKQLSTQFDECLETILDDSQTKSEQNFGQKSES LIDGLDACITPLKTTIQDLKHGFGDFRFKALGDRDLDLTESIALLRRDIEIKQQVIAS YSKQIEDWTIVLPALEKKSKQIVCMETNDKDFGNSTPSSHGEDEDDDDDNVVFEQV PHYBLDRAFT_154288 MSTFAEQLLANALNKEDKATTEEHPETEDEDDIEIPQGISLEDL NESDIDDDDGDILIEQRITVNNEAALKRITENVKENDLPFSETLVVTSEEPLDLYDVH DDLARENAFYNQALAAVKVGIKEYEKAGLTFLCPATFHAPMLKSDAYMLAAHKRELEE ATAKKDSLDEQRQRELLMLQKQVKAEEQQLLKKKSASMEKDKLLKRKRKDGPVEEMVL DEDFDIEADKKEKKEKYKRPKNGKPQQKKKAKGTYRPGKAKRNAARK PHYBLDRAFT_185056 MYSPDQWEIISEVSSVACITAVSLVFGRKVASIDGPILYIRTLL LTIYGITWAFDLIACMLMSTNNGNSISCVLGLFNCVFLYTAAKIVLYLYFIEKIYTMS IPKTSRLRSPLYVISIGLLVPFVALMVLQIIYRVTLVGEEFPFHCSIGIQLPGSIPTM CYHILVISSFLGVFIKFAFFPSTAQQTTHQASSLHALAKHNCIAAFVTLVLTTANGLL MAITEGKMRGIVWLSISTLDISIVACVTHWVASHPAELQFYEKSLQQNHGDKAIRLEI KQHQEVVILTEFNTVA PHYBLDRAFT_179400 MEDSQHSEEQRSTDLLLSTCTALGGLEEVELPNGELKTVYAVGD EALACLKDLKRFIRADSGNPHKFVLHTLGTFNVLETDIVPIILTHANQNTDIAERYIL ACIELLVPMTWPLDTADEEEEDSRDPNELNLLRKYKKALLVPGIFDAILSVIIKPLRI PFNERSVRDQTVIRLVLYFLRNLTAIPDAIASYDASSETWTMTSMQEELLICFCESKV IELLLTISSTSIASDSSEWNVIVLEILFNLLEHASPNDVFKEDRGRKNGSATSERLEE ILCKEKDANSIYSRKKSSRHSRFGSSYTLKDWESHKRVFHTKEAGYANLGELIDANKT HSRRGKKRKAMNEFDGSRATYRSNRALTYLKQTAQSFLESCFNEFYTSLIKDIERENK KVVEKDHKRFFFTMRWFLEYLCLEQAVFKAQKAEKPRPPPDSTSIFLPNSSTSIAKLN VGGTEDERPQFDFNLIACSMDLHTFLLCLRRLRRTLDDKLWVDVQVTADCLRQMMICI NNMSNDSVPEYREVADYIQSNIYHEQSSFDLFVDLIRRYKDQSYAYLESVVKLTHVML KLLEQFSKKKQVMFIRKPKKSNKSNKSNKQNSNEIHDENDPNLESDVDEREEERDREI ASREHIFKFDSFELKYASYDVVSVYCILLEQYQTMDPQIVQCITSLFHRIMVKIKAEH IFFKLPVLDLFNRILHDAPVLPKNSANQQLFQFIRYCVRQFVQRAEVYSPLFTEVVFK GKPYGQPGRTA PHYBLDRAFT_163121 MSLSFFHLHIPRVQQHQLEPDRLILCRKKGYDLWSGRIKMSPLT KKYRPLKLRVHAVQAVLPGCPEPEDNEYIPRGEATQEQSGAMEEDEEDPFAVFYQKQA EEEAVKEAAAALAFAAKKREETTLLDRAREQEMEEALLERQMEEALLERESQITEAEA ALEEIEREERITTIM PHYBLDRAFT_97179 ALRKKLENELSKKRGGSRSLRKHAQRVAGTVSALRPAQALTVKE NMLVMEAAQLLAAKRCDCVLVVDEDDHLSGIFTAKDIAYRVVAEELDASHTTVATIMT RGPMCVTSDTSATDALNLMVTRGFRHLPVCNEEGDIFGLLDITKCLYEALDKMERAYG SSRKLYDALEGVEREWSSSPIQLVQYMEALRDRMSCPDLHSVLDGSPPPEVDVKVNVR EIAKMMRELHTTAVLVTNREGLAGIFTTKDIVLRVVAAGLHPEKCSVVRVMTPNPDTA SPQTSIMDALRKMNDGHYLNLPVMDGHIIVGMVDVLKLTYATLEQMNSIQGNDGEGPM WSRFWDSFGSTDHTETESQLSDPMLSHHPSTNMHPAMHAISPEPSLSYTHLQGYAEIL PNESASMVATNDDALSTISSRNIRTHGRDDGTFSFKFTSLSGRTHRFVAPLDSYSQLF DSVLQKVATEHLTLTGKRAGEGAADPSAEWLSISYMDDENDEVLMSSDADVQDAVMLA RKVGQSRVKLFIHDAMIESIAVPNISELDVRSKSVVGTSHMEMEALVEELEAEEAELI DNNDDGEEDTAEEEETEEEYIRKSSKKRSHRRHHYDDGFPRDLLLPAAIVFLGVVVVG VFAISRISPR PHYBLDRAFT_103712 LHINATFNNTILTLTRPNGSPLVNTSGGTAGFKKAQRSGYEAAH QAALQLLDKVQAKNLNVTNIHIVLKGFGPGRDAAYKALVTGVQWNVRRITDATPVPFG GCRPKKARRL PHYBLDRAFT_130439 MDFQNRVGSKSRSGGVASWSESNVDRRERLRKLALETIDISKDP YFMKNHLGSYECKLCLTLHTSEGSYLAHTQGKKHQTNLARRASKEARDSAIAQPAIGP AKPLVVPNRNIIKIGRPGYRVTKVRDPVTRQLGLLFQVQYPQIATGVIPRHRFMAAYE QKVEAPNKAYQYLLIAAEPYETIAFKVQSKEIDRAPGKFWTHWDHDAKQFSLQCFYKS EKAPVLFEGMAAPGTAPP PHYBLDRAFT_59114 MPLSSDPEEPIFDFRTSNARFQQRLLEAQQVATEEQIQDDIAHF YSKTWPENANPLEAEKAELKIQLRRINDKNDILEKELEQQKARRIKEASLSKMTPEER AKAVEEEIEEPIDEDVVFEYMLYIGGAPLPTNPGDMSGSDFVSPHAELREKALQDPEV QKQGEFTHLHFKETNNYLISNPDGSGEIRQCKLKGTTYDQWFNVSFDVYEPDLVVDNF SFDLAIETQVNIGSILQQIKDEGDVLSFFRLLVHYGELENERSKFFDKLKERITNGPI TLEELGVDQLKFLGPRDDDPELIFSWKLLAKESNRNDLGVNVCELVVPDISLEMDPSK AWEEKDRIDILKDMPLHFARLVETNGMMVATEVMLEVVFDVPGINITSTR PHYBLDRAFT_163126 MSVSQTHENSKHAVAGALAGLASAFITCPLDVVKTRLQNQGKAQ PGQVVYRGTLGTLSKMWKDEGIRGLYRGLGPTIFGYLPTWAIYFTVYDYCKNSWASGN GQHDKDWVVHITSAMTAGAASTTLTNPLWVIKTRFMTQNSLTDYRYKNTLDAFVTIAR QEGIRGFYKGLGPSLLGVSHVALQFPMYEKLKYLLQTDADVPSGSVAILMASSISKMA ASMATYPHEVIRTRLQNQARKPFKYHGILHAIKVISLEEGFRGFYKGMPTNLLRTVPS SAITILTYEVIVQKIDEFKNS PHYBLDRAFT_56549 MSEKVEDIKDAQIDDYSHNPSIKGEELGGPEPTPEEWKDLPEVG DTIPLSAFLVILIEFCERFTFYGLTGPFQNYLQYPNPPSYPAEQPGALNKGHQVATAL TTFFQFWCYVTPIIGAIVADQYLGKYRTILLFTSIYFIGVLILTLTSIPVAIENGAAY PGFIVSLVIIGFGTGGIKANVSPLVAEQYRSQKAYVKTLKNGRRVIVTPQATYQKIFN MFYWGINVGSLSSIATTELEKNVGFWPAYLLPTLMFVPCIAVVLLGRKHYVQNPPRGS VFVEAGKLISLRFKIPGGFAACKPSALALTHPEIAANVTWDDVFVDELKRALKACVIF CWYPIYWLCYVQITNNLISQASTMWTGSVPNDIMQNIDPLVLIIMIPFMDRFGYPFLR RCGIHMRPIMRITLGFFFAAASMGYTAGIQSMIYKAPFSGGDILGVKRDISAAYQIPS YVLIAFSEIFGSITGLEYAYKKAPQSMKSIVMALFLFTNCIASALAFALVSVAVDPKL TWMYTGIGGACAIVTVLFYVVHHKEDETDVEEDAIGRDNAQVSAGQLKRANATIDYEV EKAAS PHYBLDRAFT_163128 MQEIVKLEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQASEKKNILAEERVVHVPNTFPKTLVGQTGGRSVFRLAGLKAMVRLRARTRDGKPAA TQKTKNNKKQNKSKKEPLDLIDATKNKIKQIKKEPLDPVDTTKNKTKKIKQEPLDPVD ATKEIGFKRPATAQEDYQYDYRTSVGKRVKFQPGFPVSHEIVDDVKGGFNPTADGWCG FRVLAHLIYKDQEKFPLVKRDMLATLPKYSSIYASTFGTDVKQLEDIIKHGSDLCITN SNSNSNFIPACLDASMWFSTSDCAQLAADTYKRPVCVYSDNPNTPSVSFLPFTLPKNI SKHQQPLIFNHVNNNHWTTVHLSRNVSRKWPTIPELFFLGCVRNQIPDNFDTYWNKFK EFNKYDRRNAMFSFLSDQEEHVDLTIT PHYBLDRAFT_140093 MNNGNNTTVNDPSLQRMMDNTSAMYSSNQLVLAQNLDQRPTNTT VVSNIILTKLPPNFVHSSNCLQAYTSPSLGGTTCLWAK PHYBLDRAFT_163129 MYSVAATLAPTALLPHQPRIIYGDSIDGLPRIWCAGTTPHTVFY NAPLPTSPLHNAFWHALKSFAFADSIIKVTLPSRSAPTALKVQFLDAASCAIACAHPI SVSDQHFPACIAVAPGHKVYWVTLSQLPGVCYPDLVTGLQQCLAPFGIVREIVICESY TFFDGTGSVLLERPNPPAQQVAKLAYEISYNDNTTILREWAHMGSHCKYCKQMGHDID ACPARSSETRTCHSCNKPGHLQANCPHVSEPARRSATTNKRSRHLNRVPHQDRIVLPR PLTTNLPTGTSADSIHNPANKASSSLLPIEPQRKAKVVNHAEEETPSDNTAYIVDPEN DTMLDALPEQVNSDKAQLQQEPEKAADEGLPKVGRPETRSLFIRHLRSKGIDLLALQE THAHSIALQDTFTMQFQSSSSLWSPHCGLVCLSKDIMLTDPLFSICGRCITATVSHAQ SMFNSFRICVIYAPATYRKRHSFLTSLLHNPLLIPASPTNMILLGDLNHSLTTTTAHS TPPRPWLQFLTDRLVDCVTPTGKVPQPTFHRGTSSSTIDYIFASSDLASCATSHSVEY IHSQWSDHCLVTVVLSLPSSRTSGKGLWRANPRLAQLTSFQDELSVFLHTFVPTLPAS NSPQTNWDLVKSEVTRFIKRFSRRISPSLSTLEAQLQRLRTAAIFTHLAVSPFGLWHV LRVVSLPMSFFQKIRSIMGSFLQRGTFPPISLDTFCLPRMQGGLGIIDPKTQQSALQL RWLQPIVHAPRSPPGLVPHWMSGLLQASLPSLSPLFPLLFPTMRPSGWQDLTFPLHLA FVAIDHLLHNFDNVMVNSTTCLALPLSVVTIVPASQARFPPSWQDLLVSHLYTFDPAL ASLRSISITSSHPRSRVINKFLGQVQLNTLTLHSIIVCACCSPHELTEQYPSLLVQDG TSIDLFPFFNALVPSQTWACLSTRTFRGLCSHHLVHARYFDPPCGSCHWRKFWSFPLP LVARNIWFRGLHDKISCRACLHFLLPLAFPSPTCSICSLSSDSQDHFFFTCPLKNAVW IDMWLEFFGTISIPTALHNAFHFFSFPSSLNSSIPPSTVFGCTLLAIWRHHWTFIFDD SPFVPSAVVGTACKTLTRICPELDLDPLF PHYBLDRAFT_163130 MKDKENWVNMYVYKYPHFGNHTSNRAESAHASLKHSLGTSSGKL MTVTLKVDDSALQWTKLIIPEKLTKECKCLLHYNYLLPCYHTLATFNTIPISLIPRRW RKDYLEGEDHLTINNVEPVPANIAKITTISPQFDHDLELVHEGFHSTHSKQEQIDIHN LVKNILEKMTKQKLEDLNGPTIVEAIKGRPKNTKCKMIALKHCIEAEKEKDTKKIKIA KEQKNFALFSRKQISSTQEQKVLQNIINLGLPIDHTILTNLTIAPKHITEVFSPEADG NCGYQAIAIEIYQDQEKWSEVKENMLEAYLKYQHIYYQGRMENGNMPASTNPLIISLK DKRSPLPQQHWFGTIDHLQLVADGFNRSVAVY PHYBLDRAFT_59123 MSNIDQTVVQLLQGIHNTLVALHKDIREVKENMSNKLNVPQDPE QVIITSVKVSTGPIPRPIGNIRGITTKHVHDMMVKDLGIQLTKESKSTLQTCTHLACD QLAHLPSVQSLGPSSSWQSISQVDKKRVCDNHASVFKECGIDFVAILTDKTTGQYFED NIFISDVRDD PHYBLDRAFT_163132 MNAVLATRISQAKKKLENAKSNAWISCLKELTETNVQSVSLSGE NHHGLDFTNGSSVEENINMVIDEPIYDFDQEVSMNNDSTFIEAVEDVENASSKLVYDF STPAPVPGYDDAKNLEFMKIVKEFGISQKAHISLAKHFNNILSTSNEILYRACSP PHYBLDRAFT_140098 MQPTHELRVISRGTNLNQNHLLQHYPMIIEGYGPLIAYSTRSVK RAIREYSQMRVENSTTTTATATATTLLQYDNPSAGWPIDQEGSNVGTDSDIEFWGPLR NRTIVDSFGGISCLPELLQKFYESKGEECSMIEAAIKTSHKAFVNGCVIDSTLDHNCV REAHNVRLQVQADENRNIGQSYSPIYKDFFGKIVIFFEHKINNKRWLLVLVNVYAVRL VNSIPVINNRQMKPMVVHLADVKELVGLVKSDATINTITTTATTATTYVVWPELNRGP KLSLGSLADL PHYBLDRAFT_140099 MKMDSRQGACTNARVRESLPTSLPKSCCHKNMRQDNQKVKRISN SYKPLFGEYEQSKASESNRHRSAIEIAALDKKEHDKIKDRNQATEIEKYKRKESGEQL MKENSNAALGKRTEKMLEYRCKTSSNDKGKGKGKSSAAGEILPVMDPTTKPSGSKSAV IEGEYKKYLKEESEFQTKMLEWLQPKYLKHFSTSANSHSTATQIFDMLLLQYCS PHYBLDRAFT_59127 MLPLPTNITAFKRRFVNEHDLEELEHLIEQQEAEISSGIQPTSI AAISEEVPKKARRGQPSKRIMLVENSTAVLWGENKAKYNIDKRIRENLCRLFISKENV CLSNLYHDESSSRSIVFLKRSGKTN PHYBLDRAFT_59129 VKCKHLKLLKHPIISNEVTTDKSCLIQKYKHYSSFDNLNIEKQM TGSKNSNEKLVGGSHNRGCLVLNYLFMESKTLLLVCLRCKVRQLERNIWSQRGRDRGE DDESKNFHSLQLNILQLSFNNGQLEVLSRLW PHYBLDRAFT_59130 MRVVAVAVAVVVVKFSTLICQICVKSSMMLPVLIPVAELLFITS YVLIKVCSSADNSQLMNVILITVSLARRTKSSFMIGSRNDQKSADALGIRNNCNLDHI AVLVLDHYGKVEVGKVDNDYQIWTLWGANTWTDNKMTMTFSPEKRCFFDYNYKLSTQR KLYHYAYRNQIVTSILASLADLVTDFITMKTFYLLIDIIQHASLATDIICVFFNTEKV EKKLDIKMKKASYTQNHI PHYBLDRAFT_59131 MTLANSNQNKGNCSHKLKRFSTTEKFIMIEMYKAGMFIPEIFSS KDIPVESLCNIIKKGVRNLTSHYISENTDNCIITEGVELLAVVKIIINTKVYVEIIET VFKLYYHALSNNDIKTYMFQEDNMPSHTANISKKYRKELSLNVLD PHYBLDRAFT_59132 MPLFFLMENFQTAKFMELESLRDRGFLDDGDRVLHKLIKYENVR IFSAIRQNIQALAFELRQKSIKSYASFLFYIFYGKIHVCDWMKILIRLLINAQTLYSK K PHYBLDRAFT_163137 MAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQ EPLEEAKTTNFAKKQEPLEEATILLTFNPKSNGWCGFRVFSHLKEGGEDQFPLVKKML ATMATHGKLYEHNFGMDVAEVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIA DTYNEPVCVYSDDRSVLPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHVHR SWPKVNALYFDAIRRGSIIDCFSTSWNHWGQFPKNKSYLLPSTTTTTTITTTATKSPT NSPVNSSDIIDLTHI PHYBLDRAFT_157439 MFTCPYRNNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDSSVPIVKQRSQSTRTLGHQTQPTYAVISVNDICYQVGLVQYPPNGNQFY VIALYYIFNNNMCITKGNLSIL PHYBLDRAFT_59136 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSYHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFFLKNGNSAC FGFLHNK PHYBLDRAFT_59137 MNNTDNSSISLLHVMYNEILFLKAGQEKTKLEMKAQIKELKLEM KTSIKDLNLEITALQSQLDNRNISNQHTSSSVSVISSANTIHKLVSVFCEITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAYPLVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDITRCLGNWASTARVAHLWRDRHKRLQSLIFITMSHLPGVLFFWKDLE RPIDMILLQSDQSKSFAQKRKVLLTLNNFSGHIVDYTPTNVELLFLSPNTTSHLQPLD GGIIRAFKAYFKRKKYGKTYQYIGMIQNGDQDKIRPIDKIFEIVHVVNEYLLLL PHYBLDRAFT_163142 MSSNSIFDSYQYNQCKKRHTNLKKVKSCRAQCFKNRHRRHNDIQ TSQTTLVPGQVSVVLNTVSNDTIDREHADAIKDQIMDTLNSKDNDDPIMNIFSNDDND KSMYDAELGNNMDIIENETSPLVFDFSQPAPTLDKDATKNLEFLNIIKDFSISRNAYE MIVKHFNSILETSTCITYRACTPHLGKKLLKRFSDVEEIVHDICQRGCMLFTSPSQTE CSNCGQSQTLPSINIYMLESFQNFSQASASSRKGLNGQSPLATLKVFSGSLFFALDEM HGLCHGISKQVWGLVSGIYGTDHCFALSSGVWKEIGTAMYKTRNIISTSFHGNWRDVY KNPGLFKAINWADFLLFVVPMLVAECIGDATAQNAKLEIWNMYLESLLTSGKIKINIF TINQHLLQHYPLMIDAYGPPRAYSARSMERAIGEYSRAIKSNSAINVNTGNIMLGLAQ IRQAEARATVMITEARTARHLQYEDSTAGWPLADEGKHVGAGSDIEFWGPLRNRTIRD SFEGISCLSKLLEDFYKSKGEECSMIEAAIQTSRKAFVNGCVIDSALHQNCVREAHNI RLQIQIDENHNIHSAYSPVYKNFFGKVVVFFEHKLNNKRWLLALVEIAAVHLVNGIPV VNHGQMKPKVVHLADVKELVGLQKVMFSSLLPLTFNSHQLQSTFTKNVNTPTDFFSFM VISRVPNDFIGLESESLA PHYBLDRAFT_163143 MSMQMEFILESPQDFYSECTSDDSEDDIPNDQPCDDLLGQYFCD DEEVMSSDGESADFNVESDVLVLDAEMEAVFMGANPIAAIMNVYSDGDSNTQTVYHQE NNAEGFSGYISPFKSKAAFILHALFHGNKDLSSERSIKKIMFVMKKLLEAREEAGEKL DFPKPDAVINYHLRKKNEILVFLTTTCTAVNQKGQRHEFLINKLSEYLRHTLACPGKT AQMSSLSDFTENQWLNLNQGTKWKENPMFQIPMITSEGLDYWVGSVVEVQGWSNWFLL EKFYTKNRSTYADAFQVYGGHDTMLSHCDDAYFWSCGGSTNFAVSLLKYTIEVDKILS IIQKDSNLFLRCGLSVSSCPSEIVYDTLVGIQSQLWLNTSFVERFKIRLPRGGLMKVV ICPLNLYSDDTSGNSSKQYNKYNSYLMYFAAMPLEMLLPIVDDFVELEKGIVMYSKDH SEDVLGVASLLLFMGDNPWQSQLAMHSGTSGKHFCRKCHLEAPQSTQKDSTPEIPYLP VDHNGAEKRTKEFLNAFATANTDSELYKHGCNLNYSKNGSKEFLRLEAFDATKDYLVT YLLKFSKMSTAEMARLESALSSYRVCKSYSRGFRNQLCHNGLFVGCDYKQLMQVLPNV MTVLFSGNSKFELLTKTLYVVAKLLSLLYMYGISEGFDYYIVLIKHAVNEVTNLLLAL DIHIKKSKHSKQDLTFKPKVHLLHHIAEDIVCFGSVLQYETENSKQFNKFGKQFICHY LCNGGYYNVMRDVNGTSQQVRCTAGKYIQELSASPEFRRHFFGSQPNSDNSGLLTPTL CNTLAGVFQANGQIFLGQVKAISTRDIMNNFVKKYYMQKYQMIPSNSIECIYTPPVIT ANVHNIVVVSFGHLLEIREEEVEVVQAIDIHLQHGNNSREKLLNVEKFGVFWWMLMNI AKIAY PHYBLDRAFT_59143 MSSEAIQRLVCLWLWANGAYKFSVCGVKFYRRKSTEYVLRCNKS NNQVSGSPHECNTAKYPSFSVRIKTEIYQKLCIVSVLYLLCQEEKAQDFIKGLLWYFR ALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIRVSGSPHL PHYBLDRAFT_163145 MTDCITSHGQHPQPTFHQVLSSTTIDYILVSSDLHPHTTDPQVS YIHQKWSDHCLVAVSLSLPSTKSSGKGLWRANPCLAQSLSFHTNLNTLLSTLVPALRA DLSPQAQWDAIKLEVIHFGGLGILDPGIQQCALQLRWLKPLIRNPLLPHGLVPQCMPS HPPYRPAWRDLQVHHLYQIESNLDILTPITPSHPLPRSVTLNRILNRIRDHTMVLHPI LFRACIPSFVLAFQQPDLPIRNGSSIDLQPLLSAQLHALLYCIMPSTISSPLCTMCQV SIKMQEHFLLACPLKSAVWTGIWLEFFSTVPLPSVLSNAFQSFVFPPTLNPAIPASSV FGLTILAIWDHHWSFHFNSVPFLSSVVLHTACKSISCLCSELELDSP PHYBLDRAFT_163146 MAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQ EHLEEAKTTNFTKKQEPLKEAEKYSSGIKRPKHLQDDYWYDLPSPKKQNKNVHDFALP AQIDQAAISLTFNPKSDGWCGFRVFAHLKEGGEDQFPLVKKKMLATMATHSKLYKHNF GMYVAKVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIADTYNKPVCVYSDDR SILAVTFLPLHDWKPLKRKPLPMVLHHVHGCHWTIIKVKPHVHWFWPEVNALYFDAIH RGSIIDCFSTSWNHWGVKNPSTTGSSPPLPTSFTPISPSLTPLYSEVTTQNALPLLEK QPHVIFSSMNNTTSRTWRVGSSKFSVFFTVPPKSSPKFDPFWRALLSAYPREVNMGIT LGSRSSPDTCELHLPTSADCKRVCSQPLVVGDLSFPVQPAVPIGTIVCHVFLTKLPCV PYHDLATQLAKCMSPFGKVWEIAIHESYGFFDGSGYVVLANTPTDNVPSDYLTYQIAY NNTQKILGKWPSMGSHCTYCKKMGHDVAKCTKCPAETQMCFGCNKTGHLQANCPYITD PSKTSKTSNKCSCHPNRNSKLDRPIIVPKPLIPTELSLIYGGSEASKHNPRQPALREL SKLSPTKTTFTLLTPTETPTSSGPRPQSHSVDTPMRGWDKEIDDRMIPNLMDRDEAQA L PHYBLDRAFT_140115 MYGFNGNINFYNANTNTSNANRTTSSTSIWDDLRRMKYDMDEVE TQNEDEIEPSVSAFNALKKKWKGLKGKFQKQFDRFLSTGKNGVAPNTNFMDYKDMWDI LKGGPQVMSTATFSSESQILTLVPETQQQPTEVPTEVLTEDIPSGEES PHYBLDRAFT_185062 MSLLPIEKINTASPKVFVEAVNTLFEVAPPLAQKLLSARPFESY SQLIDYAESLCLGSTFSEQEKLDIINAHPRIGENKTNLSAMSLKEQGYSPQSTLSAED QQVNDTLAKLNKAYEDKYGFKFVVFVAGRPRSQIIPVVQERIAANDRSKELETGLTDM MLIARDRLKKSTNENKL PHYBLDRAFT_74310 MSSVRAFASLSKAARPAAFRFAPAAAIGATRTYASKAQTLKERL AELIPQKQEEVKAFKKEYGNKVLGEVTVDQAYGGMRGIKGLVWEGSVLDAEEGIRFRG LTIPECQQVLPAAEGGAEPLPESLFWLLVTGEVPTAEQVKGLSAEWAARSDLPEFVEE IIDRCPKTLHPMSQFSVAVNALQHDSQFAKAYSQGIHKSKYWDTTFEDTMDLIAKLPK VAARIYRNVYADGKMASIDADKDYSYNFSKLLGFEGNKDFVELMRLYLTIHSDHEGGN VSAHTTHLVGSALSDPYLSFAAGLNGLAGPLHGLANQEVLRWTLNLKEAVGVDASEEE IKKYLWKTLNGGQVVPGYGHAVLRKTDPRYTAQREFALKHLPDDPLFGLVSKLYHIIP GVLTEHGKTKNPWPNVDAHSGVLLQYYGLKEQDYYTVLFGVSRALGVTSQLIWDRALG MPLERPKSYSTAYLKKMFAKN PHYBLDRAFT_130447 MADKAPEVDNTTIADSNVVAKYKDAAIIANAVLEKVIKLCVPGA KILDICVQGDKEIVEAVKGIYNKGKMTKGIGFPTAISLNNCIAHFSPLASDPEAELTL KEGDIAKIQLGAQIDGYCSSVAHTLVVGATAQKPATGVKADVIQAAHTALEAVVRMIR PGNKNMEVTKVVDKIAEAYNTKAVEGMLTHQQLKNVTDGKKQIILNPSENHLRDFQRI EFAENEVYAVDILISSGEGKVRQLDSRTTIYKKTDVRYSLKMAASRHVLSEIQTKAGS FPFSLRDLEDERKARMGIVECAKHQTVLPYDIMYEREGAVVAQFLTTLLVTKNGNIMV TDPHFNPALVKSEKAVKDEEILKLLQTSFEVPAKKAKKNKKKATDVAAPAAAASAPAA AAPAAAKK PHYBLDRAFT_106034 MQIKLPTEIQRACIPPILAGKDVIGGAKTGSGKTAAFALPMLQK LSEDPYGVFGLVLTPTRELAFQIAEQFRVLGKNIGLKECVVVGGLDMMKQSLELARRP HIIIATPGRLRDHIRSSSGAVNLSRVKFLVMDEADRLLSPTFAPDLGHILPHLPKNRQ TLLFTATMTESILALRDAEEDEKKKPFVHMCSMDVSTVSTLEQLYVFVPSQVKTVYLA HILRSPDFAEKSVIIFCGRCSTAELITVMLKELGIRCTALHSEMTQQQRLDSLGKFRA EVIKVLVSTDVGSRGLDIPSVELVLNYDIPRDPTDYIHRVGRTARAGRGGKALSIVSE KDVQLIQSIEERINKKMEEYKIEDNDIVEELNEVTAAKRSASMHLHDAHFGEKRNARK KKKMTNTESTA PHYBLDRAFT_15228 VTAECLGMNAIFVFIPGVMMICFDGQPSQTILVKCTQGFDMGKL ANVDRIANQIHKGQISSEECLEKLAVVLQAPPTWSSWIMLLAYAFSSLLTVPVMFNGR PVDTAVSGALGLMVGLLNLLADRYQSYRNIFEISTAILVGFVAKALGPWICFTGVALS AMAILLPGYALTMAIMELSAKHIITGTVRFVYSLIYALFIGYGLEIGTSLYDALDLSA PPATFDTCTGCVPHWLNLALFPLVAICMATTLGATFRQWPCMVFSAAVGFGVSLMTSK VISNYQVVGTISAFSVGIFGNLYLKVTGDLALVPLSCGIISLVPGSVGIQGAYFLIRQ DDQGTSFAIQMVVASLGIAVGLFAATLVVYPKGPKRSVYATY PHYBLDRAFT_95088 STTIVIGFAVAGAATAGRLGLRAYQEWQKMPRAPRMSKFYKGGF DAKMNKREAALILGIREAQATKQKVKEAHRRIMLLNHPDRGGSPYLALKINEAKEFLE SKTK PHYBLDRAFT_154296 MPQNEHIEEHIKRHGRRLDYEERKRKREARKVHKDSQYAQKVHG LKAKLHNQKRRAEKIQMKKTIKKHEERNAKTKTADAVPEGAVPAYLLDREGQDRAKIL SNMVKQKRKEKAGKWSVPLPAVRGMAEDEMFKVVKSGKSKTKSWKRMVTKATFVGDGF TRKPPKYERFIRPMGLRFKKAHVTHPELKATFQLPIIGVKKNPQSPLFTHLGVITKGT VLEVNVSELGLVTTGGKVVWGKYAQVTNNPENDGCINAVLLV PHYBLDRAFT_59156 MPLRILLLYIILSFWFFGLFFFWVDVEHSGAEEIHWVGLREARR KKSATHFYLFVKLLKSVKETTVSLVMHGSIHAQNQPNRPTESPHASSKLGRPAETKAD GHDAFANLLPSLQPQQSPTLASLAQQQQSPHRASPYIPHGSPSYTHRSAQSLDANAPS NANGSNRNTSPGIPAISPLTGVELPVFTTPKDMYIYPPNRTPPVRPTSLPGRNDYRQS PSRPDGYFSPKGGQGEFDPFEKRSSPHQQKTTPIQTPRKSSLSQPQSPSYTKPQSQQY AQPQPQSYTQSPLKSQSQPQPQPQPQPQPQPQPHAHAHAHAHAHIKDERLKRLVEMGF GLEESKVALVATAGKDLQEAVNLLVQSKELEDDIAENIKEGENTNGQRKQSFEPPSRR LSEPLKAQAAEWGSYIYKNAEQFVKAGQSWGSKRKTNEQQKYYKNENENSSNDDEEEE EFRQLQEERKREYIAEQQKQKQRQQQQQQQQQQQHNSFGDLDPSDPFYAHPKVFDPIA QAEKDRTRGNEYFNRGLYQEAESLYTRALHALPINHDHRILLSNNRAAARLKAGNYEA SLRDCNVAIEMAERRGEGHVLSGDKEISWQEQVVKALLRKAEALEALLRYKDAIQVYE TLIAYQGNSSPRISQGISKCRTALENETASFSSPGNVHATTRPTSASASASKPTSAST STSTSAPAPAPAPSSTSASATSKPPVQTAFPDIDYSIFENFKPAPATQPSKGVAAMRE RAAQKEAEGFEKLAKTDRVEARLKMWKDTRELNLRALLASLDMVVWEELGWQQVGMGE LIDPKQCKTYYRKAIAKVHPDKLPINASVEQQMLASGIFTVLNEAWDSFKTQNQL PHYBLDRAFT_163158 MEVLIRIKEVKHAIKREQRGFACPVCLKTLKTTSTFKIHVNDLH EGTMQIFNAKSLNVKRKSEFSSPTLKLPKVCSKDEIKTAIDTLKENDILTNDLATIAA MSAMGSDTIDQQRLLLMTEMMNAHPIALTSTDKRQYYLLGSSSTIKELASSQPSVNFI IPLLQQNTITENVIGKKNLLDYIISNSPLSRLLKNEIYWEINQETLEMANHDWRTNPQ SRYGVSQLFAGCILLDGTNALLVNCIETYGRKKDVDPHAERYSKGEQYNSLPACDDIY ESVCTRSIYNDESNKLIIGTFSCNMLITSSCTIEENPKISVGPSTNSFQPSKQTRIYL HEKSIKKARLLLKEDKCDRLYTYDLLEQVKQIRSKFYRTETYSRCRCSSEYTKGHIYQ PYTVFTLFFYDSNQTEDSAAKRASEIFNRIATEVHTSTKHDRRPVLNKNTLEWFIGKS GDIADIVNCIARLFETSDEIEIIGNMKLDEQLKLLAKLCSTPIKTANDTVIGRLVQKL ILNCD PHYBLDRAFT_157444 MTSTLLSKIFLLGRVLWKFVLLLLLLAFCRSWMTISTYQSTLSV DLLSIILLLFLSCLELYQATTFDTINLPSSTIVVSNHSQNLKRITLQKASVICRVPRT PLSFRYMTSPFLTLTTTNKHCNLTTQFIKFSQIVRQLAHKSAVDQYN PHYBLDRAFT_106835 MERLGEGAAGTVRKVLHRPTNRIMAKKSISTDPDPAVQRQILRE LAFLRTCNSPHIVSFYGAFLDDGDTTVSLCMEFCEAGSLEDIYKRASLLGGVIGEPFL ARIAESVCKGLVYLHSKRVIHRDIKPSNILVTRSGEIKLCDFGVSGELINSVAQTFTG TQYYMAPERIQGNAYQVQSDIWSLGLTLIEVSQNCPALPPPGQPHLSIFELLDFIVRQ PVPVIEGAHISEECRNFVAVCLTKDPQYRPGPNRMLEHPFIALWENVEMDLGSWVKEV WGWD PHYBLDRAFT_163160 MYQGRARAASVSTNTPSAPPPSHNHIGRNAIPISRAGDHTSTSF VPAPSLSTSAPSVGPSLISFFHGNSHDSRSKESVHLPIDVPPSTIGIEFEGGTHVIIR PDRIIRGRVVLDLQERILATRIRVKAGYPTIILFKGVESAMVRVDDTNGDGKGEWINH AITTYFETEWKLWGNDGSAFSQCGWDEMDAGRYTYPFALKVIPRIYRYTFFYNISFLA HNVISNATFKKNKFPNCNYPPSIEEPAGFHIRFIWSTQIDGPGLESSLKSKDYVTPYR PVLISTPDKEWIFKSTLVKDRRTPLAEVQAKLDRQSYCPDEACGLQLTIAILHADTKC VGIQYKFRKHHEGKMLVQQGTAFRDHVRVVLQGTVPFKDTHISEHVAFKIPTRLVSPS FLTRHTRVRYDILFQVTTAHGHLFKTNHVSEFAIPITIANLPYDQLLRVPRATMVEHY QNSVASPFFFEPSLEEPPEDTDGDIEAMAAITDDLTRTPGEEPPSYFSLEQQPSQFRN KRERKERTVYLSRSAKGVHFGVDMVDAKVVSGIYDEDW PHYBLDRAFT_140127 MSSGSLRRISHQWRYLQKAHHSTFQAGDFCMLRDVRNSKKFFVG PLEVDSKRDIKGAIISHADVIDKPARTIIRAHKGNTGYMLHFPTLEEYVLNVPRACTP IYPKDASAIVQMLDIEPGHRVLEAGTGNGALTLHLARAVGQEGKVDTFEIREPHARTA EKHVARFNRGRYLPTIAFHHGSVMEKVSEVGTKECYDGLILDMPEPNLAWPVLLPYLR NDRFLVCYLPNMTQVLQLAGQLRGQHLVMEDCIEVEWKSWEIRATQIRSRVAAAAAVS ATNSANPVQNTLDDAQVAGENTENPVEAEAESTVVDTGASEALAWVCRPKNFDVRGHT AFLVKLRKCEPVP PHYBLDRAFT_163162 MLDLKSRLTDFNILRMHKYHDVLKRLLYKCCIYYKFPIIPPYNV RHVNIFFSLLLLFLQPQSKTFRSLSPPKQELIGKQKYYFEMLYSNWKYSIPSWKRRDP RKLTTVTFLQATILKANWIIFYFMELQHVSSYYNLIE PHYBLDRAFT_163163 MSERQANEVLLQAEKKLNGWSWFASSTSKQEEAAELYEKAGNTF RLAQGWSEAGKCFLKAAELYRKTPDLLYEASKAYEHAAKCFKKNDSQAAIDALQEATV IDKNGAHFRNAAKHHQEMAEIYESDIVDLERAMEHWEEAAQMYIADDSQAMVNKCLLK VAHFAAQLEQYKAAIEKFETVATASMDNPLTKWSLKEYFLKAGLCHFCIGDMVSSHQA LEKYCNLDVTFESTREYQFLQGILECIEEDDTQLFTQKVYEFDQMTKLDNWKTSILLK IKKSIDEPSLL PHYBLDRAFT_130451 MSFFSTAQPVSHLVQFNAGKCIRRDNMLAPDVRKGTIYMDQSDD QLMHFYWKERKASTAEEDLIIFPEEAELVKVEECTTGRVYLLKFRSSNQKLFFWMQDK NEDKDKELVERVNQLINDPQSYMDSAGDLDFGGESPSDLLQILGDGHDINMTQENLLQ FLQSAGNLGLSSPLQADGLPDALMSGLRGENGTLSEANLAELRRVMEQTREAEGEPQA LRLTTSDAVSPEALFPLLKYDAMRLALFPFVRQSPSSTEEVRQISQSTDFYHALYTLN AALANGDLDHLATRLEAEDHNIESFLRALERQAKRQEEDDDAMDEYE PHYBLDRAFT_163165 MTIEEPKLNGHVSTKEAAKSTTNTNDRFENSLESSDAVSQLIDM ELGNACLLDKVKQDMQTVKDISFFLKKRALIEEEYGRQMIKLTQTMSETVDKAPLRTG TLSDSWSSYLKVHEKVGENRVRFSQDIVDISDDLLFLHRDTEKERKQIKDLGIKHEKL LGDADIALEKVKQRYDQMSEEWEKAILQKNGETVAVTKKAIFKSNKTQAQLGKIEEEA RTKAGIADQAYRHQLKLTNQSRNEYYTVHLPKIITDLKAVGDECCVAMRYQLARYAYR FEQALVSDGMEIDPEDGDGLRSLAEKIDHVGDLNGYIQSCQARVAKVQKLDIPFREYS MSASALQVLNPNPVFGVSLDELMERTGAEVPLIVLKCTAAVELYGLHSTGIYRLSGTN SQILKLKTAFDRGNLDANAVDFNSEEYMCDVNNITSVLKLWFRELPDPLLPRHMYNDF ISKAIEIGDERKRVLAFHTLINELSDAHYSTLKHLMCHLNKIQANEEHNRMGISNLAT IFGLTLMGNDTDDPRQGSPTEQDNRRLAEMQYNVCVVHTILEYYDLIFE PHYBLDRAFT_14395 MEDSDDSGDEAKFIATETLAANRKNKKSGGFQSMGLSHPIYKAI LHKGFKVPTPIQRRCIPLVMQGDDVVGMARTGSGKTAAFLIPMLERLKTHSAKVGARG LVLSPSRELALQTQKVCKELGKYTDIRSCSLVGGDSLDDQFNMIASNPDILIATPGRL LHLAVEMELDLRTIEYVVFDEADRLFEMGFAVQLHEILSRLPPSRQTLLFSATLPKML VDFAKAGLQEPTLVRLDVDTKISRDLEMAFFAVKEVEKEAALLYLLRSVIKLPKKPMV DDSVLFDKKHKKKRQVATVDDHQTILFVSTKHHVEYLSTILTMSGYNVSYVYGSLDQT ARNIQITRFRTGITNLLVVTDVAARGIDIPILENVINYDFSGSSKVFVHRVGRAARAG RRGWAYSLVTSEELPYLVDLELFLTRPLVVGSSTKKKPDYTTELVIGSLPKDSLNDDI SWVFQRVEEDAGLQGLQKTAINAFGLYNRTKPRAAPESYTRAKKVMKSEAYLEMHPLL INEENSSAPEQERLALINQISGFRPAETIFEVGQRGIKKATAGTLVMRQRRETVGSII NAQRTQKAAAKAAEQTKEKAEADKEDLGEDVDEEELASAFHIPSKKEKKTKTSYRDEQ FYIDYTQKDANTERGYSMNTTGNFMEQAAKAQLDLIGDENDTMTSNKNQLRWDAKKKN FVKGMGIGSDNKKMIRTESGALISASFKSGRFNEWSKKTHIDLPRAGEQELASARNMN QKKFRHQRNDEAKPLDPLALDYDRKMKKRQTPMGQKRVGGRNVRSELKNASQIRKGRV EKDKRKEKSNRSPKKGGYKGKR PHYBLDRAFT_163167 MSLNKKEEEERGVPVTFYEMRSTCSFPMTSKLPHEILSIIAKFL PPDTRSICSIVCKQWQQPFQDAIPSELSITNHNLKDICDQLDSNIYFKRHLVQHLNLY CISTRNREYLPVLQSLFPAIQSFHYVEQTNRDISIESLLDFGLWKSLTHLDIYIQQRS NFETKNVLEKLSSLPHIVHFTFMRMHPYLAPSFVTWQDIDCLHNNLPLLRVLKLGVRL FPISSDDISTIRQTVPANRMAYAKYTDSYIDSTWLFYFALKYPFLRTIDFSDYFHKRE PYADYNKEREMKLLSTHPSFFLHLKNVYVYENSRNGWPMTTFYDTLSHFSAPLKHMDI NIANGNDGYALTHNINTWANLFSKTMETLDITVSFARHTSMSNPITLSIYPRLTKLVI SAEYKSIEIDHILDQCPGLLMLKLSKNAISLRNPSSAFQSTHGLRKLYVDISDTNIRV INYVSSRCRQLKYLTLDKIAPKDTDFDKTGYLLFDMSFSQLNELRMWDLKLEGGFKFF VIEQAETVLQYTENSPMGHSSEQSSSTQGYRSEWYHTGKDGPLGREKKIVWKLKEDDV EFVKTYYQKAKDKNSYSYKPSKPQSDYLEDLTSKASWKEDVYGGYVVFRCKHVKKYNV GL PHYBLDRAFT_59167 MYVECEYEMYAVCKYEGKKMCISSNARLHMYQSQEVSHKTLQDL KKSYKLLVSKKLESFDMLNLEISESKARCLVLVYYIILEFLTIVLQMVLPKSGDISER KL PHYBLDRAFT_163168 MYLNCVNGCVQTISIWSYLSCPTMYVHAYCSSPTHTLFNEKPTV YRFIWFSQYRHSIFSGQSVIGPRWLMMNFAFGTIRVFASYKLCLPITNLPCDEKVILG SVANSLFFFHLIMNVLYDHIGAEDTPLNIGGINSPGIDILEDEELNE PHYBLDRAFT_163169 MQNTKNTLQKPNALDSPIYEKPNAYEEPDQHNPPSPTEIPNQLE DSVTISPPVITITDRPNIIMETLNETKDHEPNTPPPPYAEKQHPRPATHSSQPGFVMQ PNESLSWNESSASLASSTTSSTTNTSSSNLPLGSRLSLKKRPKTEVLVFDMRKHSLVR PHLHDIYNHYTKRLVYLKVQQYSYAWGFENILYRASDKKAPSEATKIVEARRRAHQKE ITLEWGDYSEGNEASLKSDGLENKTKSNFLFVYETRYEGNRVRWKRPSLISHDMVCDI RPLYLDSEEDEGRGWRRVAEFKSHGMGYFIQLGRLLVDRKIVAAVDGSELFEALLILT CSTLVDLMREVVEKAVGLGQGGVACND PHYBLDRAFT_74312 MGCCASVEAQDGTGKLRNDQIEDQLRMEKMNQQNEVKLLLLGAG ESGKSTILKQMKLIHDGGFSPDERMNYREIIYSNTIQSMSVIVEAMETLGIQLENPAN RGHKENIKVQPSQMDLYVMPDDIARAIVALWTDGGIQETFNRSNEYQLNDSASYYFEC MDRIGDPQYLPSDQDVLRSRVKTTGITETKFVLGDLTYRMFDVGGQRSERKKWIHCFE NVTSIIFLVAISEYDQVLLEDETVNRMQEALTLFESICNSRWFSKTSTILFLNKTDLF KQKLPLSPLEHYFPDYKGGADYNQASQYLMSRFTLLNTSPDKQIYSHFTCATDTEQIK FVMSAVNDIVLQTSLRNMGLL PHYBLDRAFT_59171 MHFARLFTTLIVILSLFAFVVSAEEGFTDYIVAITKPVTDEKIK KARADVKAAGGKIIYEIKTGSRGLIVSLPDEHVSALDNKDYVEYMERDQEDLLTFSSS CLLVYLLMGDKI PHYBLDRAFT_130456 MNAIFRRAYTTKSLIPPNLAASTKTTGAGQDAQISQLVNFYKKL PKGAAQVAKPSGPWGHYKARYIDGDNASMTPMFHALFGIFVIGYSIDYHFHLKHHKNV EHH PHYBLDRAFT_140139 MPKYSAKQQTVAALKKSRKIRKFVAKEKKSLVQSLSTSADELLK NISEVLEEEVDAELEKIAAVEDLEQNLQANRYLHKVDNVLPDLESKERTLDFFESLGA PHYBLDRAFT_140140 MNRHLSSTLLHARAYSRAAAPPTHRQITKLYETHVPVSTPEKVV LAVGSAFTALINPLRGDMVATLGETTGRRFLTSMRDQMLSSSSGRRILRERPSIHTST IDFDKLRKECAPNTFGAAYVSWLDAEGVTPDTRSPVRFVDDEELAYVMKRYREIHDFF HTLTGLGVTVEEEIALKWFEWAQTGLPMTMLSSLVGPLRLSLAEKQRLFGTYVPWALQ TGASATPLMTVYFEDHFDVPLDALRAQLGISTPPPIQN PHYBLDRAFT_59175 MDCRRFQTQFLFLWRAFTATLASIVGYRKISEKERQTWVVLSWK NHRYDMNFKEFPGGLHEATVKDLKEKCKMMTNVPIATMRLQVSGANIKDNTSSLTSVG IHANAVVMLNGEEASEEDVQQVASGNAEEYGLIVRISSVLDKLVKGSDDQISSWEENV ATAATEKPDEEGIKKLHDMGNYLSEKLLQALITLDGVECPSEFDTARQRRREGVRLTQ QYLDRIDKTRLAIRGLSQ PHYBLDRAFT_27804 MNSRFFNLSTLPRSNLYKSRALGFRSTLHLSALTIKSLRSTLFS TQAPIKPITPALRKYQQECIDSCLQKLSEGVRRQVVSLPVGSGKTVVMANLIPKLPAP TPIATKTLILAHRVELLEQARSQIQRFNPTLSVAIEQGKRKPDIENADVIVASVQTLG KGNLTRLERYDPAMFKAIIIDEAHHASASTYVKIMDHFGATQEDSQIFVWGCSATVRR HDGISLSSSFDEITFHMDLLHMVEMKWLSPMRVTTVETSVDLTKVATRYDDFSPAELS KAVNTAARNETIIKSWQKYAQQDRLATIVFAVDIAHTVDMCNLFRKNGIDAEYITSKS SSIERQDIIARFKNGDFPILVNCGILTEGTDIPRIDCVLMTRPTRSSGLFQQMFGRGM RLYPNKKDCLVVDFVDSFDRIGKNGLVTIPTLLGLDRNELIECEDILSIERRAIKAEE ARSLETEEENLQEGFDSGIMTSAVSLKVTEYDSLFEIVSDCSGGHELRSASRYTWVAV GDNTCALSVIGVGTIFVRRDSDGIWHGSFSHEITNKNGTRLRAHPRDISLSADSRFSA IRAADTWIHKKLQKEGQSHLLTTIQRNARYRNDPATKAQLDILKKSKIVPKDPISKGQ AMDLISRMKLGLLQCWKKAALEKAKKKAQIVETNKLAALRRVK PHYBLDRAFT_140143 MSRTESLFTDTVDHQYSKPYPHRLVFVSYRYLHTRLNGRNRLNR CKRFDRRSGRNNNRRTSCSSRNSRSSHNRLVVVVVVVVIVVIVSYRRNNRNRRKVRNV RNVRSGRNGRSRRSRCIILIVVAAVAVVIIY PHYBLDRAFT_96899 PVQETPGCFPAQGTLGRFHVQGTPGCFPAQGTLGRFHVQGTPGR FPAQGTLGRFHVQGTPGRFPAQGTLGRFHVLGTPRCFPAQGTLGRFHVLGTPGSFPAQ GTLGRFHVLGTPGRFPAQGTLGRFHVLGTPRCFPAQGTLGRFHVQGTPGCFPAQGTLG RLHVLGTPGCFPAQGTLGRFHVLGTPGRFPAQGTLGRFHVQGTPGCFPAQGTLGRFHV LGTPGRFPAQGTLGRFHVQGTPGRFPAQGTLGRLHVLGTPRCFPVQGTLGRFHVLGTP GCFPAQGTLGRFHVQGTPGCFPAQGTLGRFHVLGTPGSFPAQGTLGRFHVLGTPGRFP AQGTLGRFHVLGTPRCFPAQGTLGRFHVLGTPGCFPAQGTLGRLHVLGTPGCFPAQGT LGRFHVLGTPGRFPAQGTLGRFHVQGTPGCFPAQGTLGRFHVQGTPGRFPAQGTLGRF HVLGTPGRFPAQGTL PHYBLDRAFT_140145 MPFPSRRQQHIKRMVQNRMENAARKNKELLVCNLDIEDLNTELE ETKINSSSPILQWREGAGKEIPGVYRKDLSTTMWRKRKTEETLIENAKSNYKLEDLGF FRLTQSNTALVENTISETSQEGLFDAETESENEKI PHYBLDRAFT_106749 MENVYNQTNTYLPLAIRKWGKEYIATGYISQRQQGRFIRPPFVL ADEAIANATSNWIRQQKIEKRTASNVKRYIDQILYPVKFGVVRDISLSIINKYMKTWG FSFRKFTSTVYVDGHEREDVIKYREEWSQRMMTYKRRMEEYSRDNMEVVEEPKVLHGE KKLVLVTHDESTFYAYDR PHYBLDRAFT_101322 SRKLFFAGEGRDGYWTSDDMIEQLIKDAIPLFELLHPDSQAVFI FDQSSNHRAFANNALLAKRFTLNDKPVKEDEEFDFKNTTFLLDGEPCPQAMYYTKMET ITKKKRSPGSKWLADCCKDEEPDSRCCARHFLAAQPDFKEQKTVICEVVEANDHIFEM YPKFHCKCNWIERYWGAAKDLARKESDYTFKSLKVNVDSYLD PHYBLDRAFT_98610 PVQETPGCFPAQGTLGRFHVQGTPGCFPAQGTLGRFHVQGTPGR FPAQGTLGRFHVQGTPGRFPAQGTLGRFHVLGTPRCFPAQGTLGRFHVLGTPGSFPAQ GTLGRFHVLGTPGRFPAQGTLGRFHVLGTPRCFPAQGTLGRFHVQGTPGCFPAQGTLG RLHVLGTPGCFPAQGTLGRFHVLGTPGRFPAQGTLGRFHVQGTPGCFPAQGTLGRFHV LGTPGRFPAQGTLGRFHVQGTPGRFPAQGTLGRFHVLGTPRCFPVQGTLGRFHVLGTP GCFPAQGTLGRFHVQGTPGCFPAQGTLGRFHVLGTPGSFPAQGTLGRFHVLGTPGRFP AQGTLGRFHVLGTPRCFPAQGTLGRFHVQGTPGCFPAQGTLGRLHVLGTPGCFPAQGT LGRFHVLGTPGRFPAQGTLGRFHVQGTPGCFPAQGTLGRFHVLGTPGRFPAQGTLGRF HVQGTPGRFPAQGTL PHYBLDRAFT_14875 MKSRLCSSARNLPGVPSTWNRPSVPCAGKHPGVPCTWNRPSVPC AGNRPGVPCTWNRPSVPCAGKHPGVPSTWNRPSVPCAGKHPGVPCTWNRPSVPCAGNL PGVPSTWNRPSVPCAGKHPGVPSTCNRPSVPCAGN PHYBLDRAFT_140148 MEKLQCLNRSSLLTALDCELVNCFSRHTNKSVAFTPWKRLLEGA IPSPCLEFLSLFSSKAKYTYKKPTEHKYRKGKTLDIGSPDFVLWGMSRLSQKELDMRD EPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_163178 MSILYVVQLTIFIVKPLFTSLAFFYISYFIGGVHLNIHSMGSIV RFVNLLRLSTFITSLVALGFHTSQCMLLSMYQEKANIPSWLESGHWQYLTWFIFLSFS FLSATAVCMHAFCCGRADIFRGDRTLGLTNGVPLVGMIMAATFVDTQEPWTNNTIEFK YPSRGFITYCYTLDAEHDMYYPLLYQRCLLLNGTYVAGAFLSVLWITLSLTSVLARTK RPQQIASLPMSRRHISDSIYSHTTEATTACSKPNTQYLQSHYSTLSQQQQQQQRQQDI YNNSHPIAAYENYQSNQIHEDISSHSTSPSYYYPHHPPLAAHGEKIELSSHHNYHYDE YYEPNYQSSSHPQHQQQQQQQQQYTNHNHNHYNENNQSTSVATEQQWNNLSGIRTIEP NEECYQYGQRASDGYRYSRGQDPSHRGSYYHP PHYBLDRAFT_121706 MGRRPARCYRYCKNKPYPKSRYCRGVPDAKLRIYDLGRKKAHVD DFPLCIHLVSNEYEQLSAEALEAGRICCNKYMAKTSGKDSFHMRIRVHPYHVTRINKM LSCAGADRLQTGMRGAFGKPNGLVARVNIGQIIFSVRSKDSMKPVVIEALRRCKYKFP GQQKIIISKKWGFTPLSRADYVEARAAGKFRPDGCYVKFLPNKGTLENYFREVSRS PHYBLDRAFT_59182 MHVRSIFEPATQFNQSEDGLAKTAPWRAEIEKKPVGGWSRSTRT SASSLGGSTGNVANILCRFDSAKRQTMSSTSTNTSRESITSFSSSSSSSSFSLPAHDD TEKRSFNRQSQQPQQSQQSRLSRLSRQSQIQPQAQAQSQSPLSPSPSPVTLNSRPQSQ PYKSTPPLPITLPLQPRPRSQPQPQPQKQPQPQKQSQPQPQSPISILSPSSVQIPTVP ESLLPSANSYLSKRARGGLHEPIQSPVYDYPTAQIRITELEKKSKGDDRIIKYYELQV ECLKDKLEQVSKKCNDLEKMLLCNQLQSTEEKAPQNVSQPQKTLLFSLPDVNSLEVEL EQNLAACQDWANKMVQMYTNDLTRQILERNRLHKVVLKQNELIEQLDSKIEDLIQPVQ ENLAVEELLEAQMSLQSDELENKRLILDSLLNEREAWMDQMEPNKPNRMRSHSAPRSS IDILTRMSMSDAFLVASPPSRLSLHAAGATHPWTAPPTAPPCSPLPPLPSHKRSSSST PYKSSPLSASPSVSSLNQFDDPNNPHNSHNSNKNKTNKTNSPRLTSSSSCHSIASTAT STKSLRKTSIIWNRLKNLNTNITYLPTL PHYBLDRAFT_59183 MTDNQASFILHDGTTMPKIGLGTYRMKTLGELRPVVKEAVRNGY RLIDSATVYRNEEAIGKIINEIINDETFGVERKDLFVTSKLSPRNQGYEECYKAVLAS LKKLKLDYIDLYLIHWPGTSKHKLDDPKNKENRSESYRALEQLLKEGKLRHIGVSNYT EKHLRHLIDTCTVLPHVHQFELHPALYQPEILSICRENRIQIQAYSSLGEGKLLKGKY AIKELDPISERLQVSPAQVLLRWAIQHDWAVIPKSTKPDRVKTNGDLFSFELSGQDMA FLDDYHKQEAHRMCWDPTDIY PHYBLDRAFT_85761 MKSVNICFFRNIFRVHDNMSLYHAIQSKPDTLLPLVCLDPRMMD LSALNGLLKTDFKPPTTWHYGIDRCSRFRTRFIIESILDLKNNLLKRKSDLLVLYGEP EKLLPELRDFLAKKGLTLGHIHTHKEYAYEELQTELALSKDFNITFHSDTTMIHPGDV NFTFDKTPRVFTPFRKRIEAMPRPVRALLEIPKELPQFPSSGHEFKSPSTLTSRSSSS SRSRSTPADNSDLESILNKICPPFEADSRSAYPFSGGETTALSRLDYYLFGTDRIAEY KQTRNGLIGTDYSTKFSAFLAHGCISPRLIWHEIDRYESISKKPESETTSNGNTTNDD NSLGTYWVKFELLWRDYWRYLSAGFGNQIFYLHGFQSVEKLELLKKSPKETPNPASKK YTGVWLKDRETFQKWCQGTTGVPFIDAVMREMKLTGFASNRGRQNVASFLARDLYIDW RMGAEWFESYLTDHDVYSNYGNWQYVSGVGCDPRESIRHFNIIKQAKDYDPKGNYVKL WCPELKNVPTQKIHAPWIMTEEEQRRSGCVIGKDYPKPIIIVDAWKKHSLVKGGSKTN GNPSETIAGFFTNPKRKQRRL PHYBLDRAFT_140153 MQPTLRDFIQQLVSALIHPFDAKKSCSTQALTETANAALTQFEK TYVSFPDFVDPAEDHPTESIQFNSVNQPILPIYLPDLSVSRSTLPYLALA PHYBLDRAFT_140154 MFNETTNPLARNKFLLSTIILGWSGWSTALSGACLLGVINGAWW IVVYEFGILAAISWTFWSNTIDVYRLAILTFLAASIPLLTIQVDFVLHAHISFDNVSV GAYSIGYIVLLVTQYVLVIVLGSGSNSYLGRLGSIDSNDSGSGSGSGFGPGSGSGVFE PRSEKQMEPSVQDKRISMPNRVRIPESDTTLVHKSHSSPSLAANLDRDIYTLSID PHYBLDRAFT_179417 MVNSVLLTSTLFKKLIDQGIQRGYLNDFLPTLDGESYLTKQHIQ LSIQNHVMKHGRASIAELADLLNLEQSCIIQALNDINEFIKVDDLVFTSKYIDGFINQ IKDRVDQTGWVSVLAQAQSISLPYAFLQTILDEKLLCNEDYIKYSTLPDLILSEEYYN KSIETIKGALEEASDKPIHIVMVDGLAKENVLKGHFRGRRERAVFEPTVYRDRQVELI FSLLEAAGYIEYETVVRHYPYMNPTDLLKKNYPNILLLDGCAATEDLVKKLEAKIQET YDTSTWLDASAWAPFVFTPADATGLLEHTMTRLTHLTSPTTSRRSLGSLADPENRLIV LESRFVTSTRYLEELIKSSTDFLNKRALSEIQQQKKTHSSKGKKHRADDKGLVLTEQE ICKYLIEECGLEKSFARSVSTTLRRPMTDAFQRAIQTVYLPSSNNGSGTLLSPESQWI EALKKRQQTLLSTLHQTIVYTTQAIQIFKDESGNKSLEKYVVRVLCLEWLYNFLVLQT LSEAYDIAGVEDAVGVSEKDLENQKKISEDHQKMAIQRMLEVHSSDVQLKDLHQAALA GKKPDLFLSYFESSPPNFFKPLTENVKKQAWKDMVNSLGRLLNTCSLSESTGPSILHI TSLICFEYIYHNTPFSVSGKYVPLIIRHCTPQLEAKDQSKEAKLLNDAHAMIMAHVKS KQPVDLDLLAKVKKQGQQWVSKLQDI PHYBLDRAFT_96014 TLPSPTQVLGLIEQHYHVEPSPGWLARLAGFLPSLIVKVFSFAT MSIPLFFYRILTWSFTLHLNFTSLLVILFCMALAAYWVVRYRFLTTYSRLKPIEPPKV TSSFDLHPDTPEDAHDSKTNFKNYPDEFLSAFLSSIKLFGYLEKPVFHELARHLQTKK MLAGDTLFRNPDQERSFYIVVDGHVQMFLKPENEEDNQSDYYDDDETNEPDDGWSGNQ SSRSDPFDSYTLINEVGAGGTLSSLFTILSVFRESFSISEQKDKQKQRVQQQQQQQQE AAAAIAAELAGQDSARDGWRQVFPNIETQADTSFNNLSIDSDPFVLRRPSASSSTSTS RRRRNYFGAPSPRAYRSVHPNIIARATVDTTLAVIPEEAFHKLTQKFPKAAAHIVQVI VTRFQRVTLMTSHRYLGLTRELMQLEKQINESVSVHSLPEDFFMDGSMDRLRQKFAHD EEDDDDEGEEDENNQKSGKENKSNINRTKNDNNASTSTSTSTSATTTNNNNNRRQLPN KPAQISRTDSTRHIEAIDIVDRPLTPRAPNPRHNSPLSSGPRQSSNHSPSPDIKRQRN AGTDEEYSVEDDAHLRLSIMHCLANSLGIKATNHSAEDVSRNTLSPLSSRRNMITPDT GRQRLGIDSSASPSLDPHIIPYDDDVDALSTISSVHSGSDIWSSAENGKRNEELSADD IQILYFPKDAVLVKEGEHMHGIYFVIDGLLDASMSHPHGGSILSSGSNSTRNKSNIVR NNNNSNSNSRSSTTTSSAENDNNAQNVKRAMFVVKAGGVVGYLDALTGYPSFTEVRAN TDTYVGFLSKKRLDRIIDKQPSVMLKLAKQLVGLLSPLVLHIDLSLEWMQVHAGQVIY QEGQPSESIYMVLHGRLRTIKEHNEGDLEILGEFGHGDSVGELEVMTDTPTPSTLHAI RDSELTRMPKTLFNALALRHPEITLQISRMVALRSLQLVMQRNTPGLSTATISTAIET IAAILYGRNNVNLKTIGILPVNGAVPVTEFAESLKNALMESVGATCVLLNAATLTTVM GRHAFSRMGKLKMASWLAEQEERVRIVLYLADSGVTSQWTRTCIRQADCILLVGLGDG DPSVGEYERFLINMKTTARKELVLLHRERSCPSGTTQNWLKNRLWIQAHHHIFMPMRQ HHGTCPDDRFRPPWLAEQGRKMTAGSISMLNNVKGQLEKYYLAVPTFGRLLARRICGK SVALVLGGGGARGISHIGLIHALEEAGIPIDIVGGTSIGSFVGGLYARNMDLVTTIAR TKMFAGRVSSIWRQIMDLTYPVTAWFTGHEFNRAIWKCLGDSQIEDFWLPYFAVTTNI TFSRMEVHTTGYAWRYIRASMSLSGYMPPICDNGNMLVDGGYMDNLPVSVAKNMGADI IIAVDVASEDDTSPVHYGDSISGWWALVHSFNPFRTYNIPSIADIQSRLAYVSSVSKL EEAKMIDGTLYVKLPVQRWGTLEFNKFTDVMQTGYDVGREVVGRWRKAG PHYBLDRAFT_59189 MNCFLAYRLAKQKEVAAYCPGANHRDISKVIAKWWHNETQEEKK LYKRLAADAKHEHSLLYPDYKYKPRKKSANSAVKKSEIGRESSEELAMQSAYNTLKNS RNCNGGGSNGSGSGSGSCGPSTSANSINTMNHGLIDTGSYERIGYPPQNNISFGLYPN IEVPLIDSSQSNTWQAPFFPYQSSYLQANMNEPLGSQQQYILQTQAQAQNQNQNQNQN QNQNQNQNQNQTQNQSQSQYQSPILSQNQQHSQIRSHHQNQTLSSIKQNSQQHHYHQH HQQQQQSNSNSNTGYCQQVYQQQNALPSLFYGTSLPQIDPQSCFPLPSVPSPTDFSYL GHETPAQLSDTHQSPGSASSLSSVYQNGTNESMAFGSLLRENKEFDELSQPHWSGQTG WRLGFPVDEPLPYYFK PHYBLDRAFT_157450 MSCADIFSGSWTQHRSGGGGEDEDDDIVVGDEFVSAMDPILLSR MEHPARGIYCTHAACFDASIFYSLVARMKAWKCPHCFIQIKGIQELYIDYAMKRALMK YQDSDRLVLCGGEYFADVNISISKTSDTIANHDSSDSEDEERPSKKSRRASVITV PHYBLDRAFT_27815 MKLFKSIHNFNYEWSLVSAANWQKYPNENCPHVQHVDVLNRFVD PETGTLTTERIITVDQKVPSLLRRILGADTTQYVHETSTIDPKTKTLSMKSKNLTLSN LLTIEETIEYTEHPEDPQQTQFTQQAAISAGSLVSRWSGIVEELSLKRFKDNAAVGRE GFCKVLERFVVMAEAQHKPVSSTTDTTS PHYBLDRAFT_106313 MDGLNTTNQVHHENNLEHVLILTPFKESARHIERYFENVNRLTY PHNLISLAFLVSDSNDGTVEKLQEYAGRFRFQQEAWQRFRRISIFQRDFSFELAGNED RHAFEVQVKRRQIMAKSRNTLLSAALTEEISWVLWLDGDVIEYPATLLEELISLDKDI VVPNCYWHSYNEEGGYDKNNWQETPESWALQKTLEPEDVLVEGYKELTTHRRLMIDMK GADDRTDIFYAVPLDGVGGTCTLVKAEVHRNGAVFPPFSFKHQVETEGLAKMAKALGY EVWGLPNYLVYHFI PHYBLDRAFT_185077 MYTRIPSNSTANSPSQTISPHPRQRSHPRSDASASSRLSFNDRA FIEFTRCLHQAKAIVKELESFSASICPAVRISVYEPTALHHDPRLGSEVFIQQVNTYL GDTFQKFSRLCKILLMVLTKMQNSQQVKPERIESFRSEVWEEWRIATEIKQNLVSYIQ QHVNQFSQPQPQPQPQIQPSRSNTTTTTTTTTTTSTTTSTSTNTNTSTNTNTNTNTNT NTNTNTNMNMNMNMNTSTSTSTTITRGEEGGGIGGGSVRGGDGGNEDGSTSTSGIGVN DGNDGAGARVGGVGGVGGGGGGALSAESIAEYPQNTFINNTPFI PHYBLDRAFT_185078 MTVSPLALDITDIIRVLEIQIPKIQHDTMTASNREHRAQMQDNQ DEFRALGIEADYLRKTMMIMKEEISNSRKIQERLESQLYAQEQETAHAHKEMQTMTRL KKEAEKRLESELRNHENDRTLWQQREAELRGEIKRWAIQKQNPRRTRSATASNIWDTP ETAKNWGTCAGMSPIFEDRRALTTDTVSCRDAKIRAQEKVILDVKTELQQQKRLAHEA IMTTKAQAHRVAWLEDELKGIKRLNASLMEDNEGYQLLLHEKTMTEIFNKGVEPEEPS QTANPTSLAAELQLVVSQDTDANQAQVNKLTEEVKTLQEANKALTLYMNKILLKIVDN NQLVDVLNIDDTPTSEPEPQSMVPKYEEKENHEEKSVIRQSTTPTPTTTTTTVKADSA VPVVNSNRPRRSTISSWMSSPKPETPSPPVNNSDNGWARALRRMTVMSWVQSSKPEPP KEDEAICLGHDSAISSRASESTEAETEAETEDEEQEQEQERKE PHYBLDRAFT_130473 MSFFGGNSQPQQAINPQNMMMAEQELEMVTDLFNRITESCYSKC IVTKYEQGELNQPEGACIDTCVAKFFQVNSMVGEKMQKIGQS PHYBLDRAFT_157452 MFQTLCEKLDALSNFHYTPKAPKPEITVVSNAAAISMEDVTPVN VSDATLFAPEEVYDKKRNVIKSSTEMEQDERRRARAMKKKLAKKEKDIKERELKLIQK NNPNVGSRQAKTKAVKELLGQKNVTVINKDGKKISTKDKPISSASLF PHYBLDRAFT_59195 MAINLLESLPRVSGVSEKLQTKLARLTRWPTRRQHSPHQKHGTH NSVTAKAAANEKYLRRSPSRTSYYNYYHYCQNSNENNNSNKPENCSRISNSSGGSGGS GTSTKNRNIRNEKGNNMNLNVSDVYFHNGCQTICSEDLTASQFADITGIRIHQSGRCP EFSPSDQKIYLATQDPSQEDSTHAPRFGPTRSRNLSIWDSDFWNQKQHKHKEHQENHQ HHNHHNHHNHKQHYQEHKPEHKQKHKQKHHQSQNNKDSDSGSDQQRLKLQDKCHVESK PHYHHHSNTSCQSHQSLNQLNPNSGISLQRTISSGGQTTYSSIRSSNYTLPVDETPFI SSLRLQSNYERRPSVVQKGRFKIELEIGNGNENGTTNGNGNGDGDGLDNPAATTTAIS TSDNDSMASLATTECNVVEWKRKRACTS PHYBLDRAFT_163195 MFAEIVVVMFVVMFVVLFVKILFVVLFVAVVIKAVAEDVVIINV YQNSNLLFEMNKYTKYKCEYAIKNRNMTIVNLPGQDDKEEVQYLIQSDADSLNAFFLT IITITINRPSLNVLYTCFVGELQALVGPR PHYBLDRAFT_140167 MPANEALTTPSPPTSPCDGTDSSVSTTPELNQTIPKSNPEDGRE TKCSVLNHDAILSQILPSTNEFETKYTHVFHWNITDWRSLEPKSHSPVFHAGEFPWRI LLFPKGNSQHDFTSVYLEVADPAANNLTPGWHVCAQFSLIISNPNDPTVYYHSNANHR FSAEEVDWGFTRFYDIKFLAKALNGKGPFVVNNQTTITVLLRIVNDPTGVLWHSFVNY DSRKETGYVGIKNQGATCYMNSLLQSLYCTNAFRKAVYQIPTENEEPTKSVALALQRC FYNLQNSNTPIGTTELTKSFGWDSLEAFMQHDVQEFNRVLQNNMEIKMKGTPADGAIS NLFVGKMKSYIKCINVDYESSRVEDYYDIQLNVKGCKTLHDSFKDYVTVETLEGENKY MAEGHGLQDAHKGVVFESFPPVLHLQLKRFEYDFMRDTMVKINDRHEFPEEINLDEFC SISNPDEPFDYVLHGVLVHSGDLHAGHYFALIKPEKDGKWLKFDDDRVIPVTKKEVFD ENFGEEAPKEIETLHYPSTPMRVNSTKLIKRFTNAYMLVYIRKNKLDEILAPVLEDDI PRHLKRRLSEEQAALEKRKKDREDMLLFTKVAVVTDSTFLTRQEFDLAAFDDRVFKTV GGVEVFKVPKNEKILTFKQQLAEHFKLDLDKIRLWSILFRSNKTVRVDQALSSTEERM TIEKLRETTCFNKQMGGFAKIYLETTDQHYPKLPTIKADTSIVFIKYFDIWEQKMRGL GHIFVKADEKVGEIIPSLIERAGLPKESAITLYEEIKPTMVEYIAVKQTFKQAEIQHG DIICFQMTVSDTEAKNIQARGECATVREYFSKIYHRTMVQFKPKVDKRGQEVNLIMDR RSTYTYVTRELAKAISADASRIRFTTASQGTGLPKDVLPYSPQLSLEAIAPMMLSATE YAHSVSLESLPVPTVYYEILDVSVADMETKKSVNIVLLGPTLRDESPITVLVPRNSTI KSLSEAMYQKSKTEKKDVGNLRVYEAVDGAITKEFSMDQPVGEITEKGSVLYAEQIPE DERTMDMDSDRLIQVVHYHKSPTALHGVPFRFVGELFSDTKKRLQKRIGMSDKEWQKV KVTLIRDLKTPGYTMENIDKDDIVLRTSKFGDEDALGLDHIDKSSKSSRFGVPFERGI FIRG PHYBLDRAFT_163197 MHPHRKATLIARLPMSLKGNVSFDVNPTKGDLNSTPIIDSDSKL IVHLLKPSQQNTNTPSSKVDNPTCHTLVQILSASESTKTCNMAPRCVSLGESTIQKTR FKPKKDVRFVDKSNSTSPRVLRSRTKAIAIARAMAVSKDKV PHYBLDRAFT_163198 MNEKQKAFYSHRSIQICQAKLLDLLTFLAVNELEYTVVVFYYIK AVLGLICELELIKPLQQVLDIKNKQDLKEGFRKVVTDIGNHLMEKHNCSVDEKYGICL PHYBLDRAFT_89534 WEPIIQCFDYFASKLPRLLSVKLGAGIPAKVRGLVWQAMCKSGS LHLETVYGQLCSEKSPQERIIKRDLARTFPRIEMFKQEDGPGQISMRRILEAYSLYDA DVGYCQGLAFLVGPLLMNAKEKAFCVFVRLMETYEMRTMFTHNMEGLQLRLYQFSSLL SELLPEVAAHLDTHAVHAAMYASQWFLTLFAYAFPMDLVTRIYDIVFAEGAAETIMRV AIAMLKRSQETILAED PHYBLDRAFT_179424 MKRPNRPLFYLLILIAFSVITVVFIPSFSSSSLPPDQPDIIHTS NAETSNEKYLSYLPHGNFVEQHESLRNAIRIAFETNRTIIAPSLRLGKVHGWAPFSVL AKHYEAQDKKELHRLCTAGEGSTHWRTLLEPCATLNDWTEIKWSSLFDLDELNKRHGI RVIERDGHGWGTEESALGGRIDPLDVMVVDTMTFFNNGTEWEITDEEDTASSWQALNW AQSHLNSFRNILKGSEGVSTTGKPLSRILRHNKLRAINERVLQFGSLAFALRYETSSD SRQATLRLQMSKDLFVVPNKMPVVTAASEEIVMKMGGKGAFSVLHVNLSSLVQSESRY AEDVKAKGSEAAVDDVIEKPLEEIVVENEEDLERQNDVRAVSKLALLNGLNADARKSM MESVVLQVSGDIPINQAISAALPFKPSRLLNLTNVDVMDQSVRGRSELLEACLDYKQK VDRLYPIYYLVNDLLPTPEAYPDIFGALMKMFPCTFSKSDMFQMGVINIEWTGSQTEL TDNVDYEELLGPILDVLIAGSAYSFFEIPQTPLTRLIGWQR PHYBLDRAFT_106758 MSVISNNDILKKYLQLDQKGQVQVEYVWIDGFNQLRSKTKTVDK VPLSAACLSEWNYDGSSTGQSSGRDSDILIRPVALFSDPFRGGDNKFVICDTYNPDGT PHETNYRSSCEKIMLEHADKKPWFGIEQEYMLFDPETNKPYGWPKHGLPEPQGKYYCG VGSGKIFGRDIIEAHYRACLFAGVNISGVNAEVAPGQFEFQVGPCEGISMGDHLWAAR YLLDRVAEDFGIIVSIHPKPVKGEWNGAGCHTNFSSLEMREEGGLVAIQDAIKKLGLR HSEHIAVYGQDNDQRLTGRHETGRIDQFSAGVANRGVSIRIPRHVEKEGKGYFEDRRP ASNIDPYRVTDIVMRSIYATNP PHYBLDRAFT_100890 PQTPLVPAIPREALRLYPVDSSDTDKLITRSIVLGDFESAVQLC LDSERLSDALLLAICSGGDLLARTQKIYFERQAKKTSYLRLLESIMSEDLSSVVETAS LDEWTSVVVVLCTFARTEQFGVLCEALGLRLEDAWKAENDDIEKSNAYRRHATLCYLA SGNLEKVSNIWIIEQEQEAQEEKTEARLGASLQKLIEKVTVFRKAIGYEDDSL PHYBLDRAFT_89477 KLNEIHRTATFAWGPGQQPPIIAAGTVSGALDDSFSNASELELF QLDLTNTQSGQGLASAGKVSTNSRFNTLAWGHATPEKPFGVIVGGMESGELELWDPSA ILDNQSSDACLISRNSTHTGTLRGLDFSPLQSNLLASAGSNNEVYIWDLSTPNKPYTP GARSNKLDDITSVAWNNQVAHILATSSTTGYTVVWDLRSRKEVMSLAYGGQNSGSGGS RRGTSSVSWHPDVATQLVAASEDDSSPVITLWDLRHAHSPEKTLTGHQKGVMGVSWCR QDADLLMSCSKDCKTLCWNPRSGELLGELSQSTNWTFQAEWCPRNPDLLASASFDGKI NVFSLQGSSNDETAASSEEQQQQQATSDDPFAGISAAATPQTPSFALKQPPKWLRKPV GASFGFGGKLV PHYBLDRAFT_157453 MDLLDLQLSSDLAQSGTKDQGTATVTGEVYLPETSGQMNADPKR YLQIKLAGLSHTLAALEESRHYLNGYYRQRWETNLGFSDYRETDLLVTSKDSTGVLED DEQTDLTSEEKIGWKNIHRVLLYYIEQVI PHYBLDRAFT_89526 PILNDLELFGFGIDIEVGSPPKKYLLLFDTGSSDTWIPSHNCTK AHGCQTSNGYNPAESSTYKSTNFPLNITYSIGSALGKYFTDRVSIGDFTVPKQLVAYI DSNKGPIATQNASNEFIMDGIFGAGYPAGSIIYQNFGKTFYPFPMSLWYSKLIPEPVF SISFEDSNKTDWSGEVVFGGVDLSKTSGKMVYTNVLPYNGTSVKDFMVSLKNSTGTKK ASFINTQPFSIDTGSTFIYLPEVEAETLARYVDPNATLANGFYFVDCSYLTSSNVFSV YFPAEHDPASPASNKSMSINVSISDLIGTINNECVLLIVPWKYRIIGNIFLRKFVTSF DFGQNRIGFAPL PHYBLDRAFT_130479 MAKTTSFGKHLRSDFFFEEDYTPLNHGSFGCYPRSLLPVLHEYQ MKAEQHPDRWLRRDMFPVLDKNREILAKLINCDAKDIVFVTNASNAVNSILRSLPFEE GDKILCFNTAYNAVAKTTDYIKDSRKVELVKVELNYPLSDSQVVQVIKETITKQANPE RFRLCVMDGISSVPGVVFPFEKVVKLLKEYKILSLVDGAHCIGQIPLNIRHADPDFFL SNCHKWLFAPRGCAVLYVAERLQRIIHPVIINADYQDHSDPADTTSTFQREFGWPGTC DFSPYMCIEKALEYRASIGGEEAIMSYCNNLANRGGELVAKILGTSVMENSEGSLTAA MVNVKLPLYNPRLEAKDIPDYFINTLIYEYKCMAAAYFHNGSWWIRLSAQVYNDLDDF EVVGKALLKVCTSLEKNKL PHYBLDRAFT_59206 MSFRPFFRLAALSKGLGQPAVRPHVLFRAALKPSSFVRKYSQQD TAAKINAMTSSPLVIEQAKNAPKGGKLKELARKYGAAGVLVYLGVGCVDLGAALLAIE FAGLDRVKQVEAGAADILNKTKVYIGITSEEEPKKVEEAKQADSENDNERPSFTSVFL LAYTIHKTLFLPLRLTITAAITPAVVRKLHALGWARYAPRLLGGTAVKKV PHYBLDRAFT_130482 MLLIRPSSSLLRHAIAFRSLATHSLSKAERGKQLAAYRAVDEML DSSLEKGIIGLGSGSTIEHAIERIHQRKDLHSVVYVPTSFQTKRLILHYNLRLGNIDQ YTSVDLTIDGADEVDANLNAIKGGGACLFQERLVAQASKKFVLIADERKKSDKLGSKY LRGIPIEIVPMALQTVLFNIQNMWENATVRLRMATPTDKAGPVVTDNGNLILDCQMGP IDDPVNVYRDIKLLTGVVDVGLFCNMAEVAYFGGLEDEKVDIWYKQKSGKGSTVVRS PHYBLDRAFT_121728 MRCRCGSSKVESDPSTGTVYCVGCGEVLEENTMVSEVSFHEMSG GKTVLSGSYAGESGRISSGGPFGGRGGRAGQEQAMENGRQKIARLSFALHLSERFREA AQRYYNLAVVNRFTRGRKSDHVAAVCLYIVCRNEQSSQMLIDFSDLLQVNVFMLGATF LKLVRVLCLKLPLVDPSIYISRFAAALDFGEYTQRVAQDAVRLVQRMDRDWIRTGRRP AGICGACLLIAARVNGFRRTTREMIYIVKVADVTIQKRLKEFNTTDSSNLSVRDFRTM WLETEADPPAFSTPINDANLEKGSGEVASIRAADDEATQVAQSGEDDDATQVEKRVED EDATQVEIPVEGRDVVKSKKPDNVDANYDIPETSDAVNPDVENDAAELEKELATWMND KSLMEATEALEVGKQNQDESLSDVDDEEIEAMILTNDEVNAKTIVWYNANKEYLEEMA AKARKQEMDRKNGIFTKKGKSGKRKRPTQANTPAEAAKQLVIQKKLSKKINQAVFDDM F PHYBLDRAFT_75826 MTEMYSYYRSTCHSTAIQKILRGSLSAQNATEIIFIKGSSIQVL TVTPSATANDINLLTSEFEQPVFGTVGDARLMRCQFSDVSTENQDEILLDDSDSISDE PFVLKTRPGHPTIRGQDVVAAVSEYGKLVFWTLTTAEDSNSLSQTGRFETLAELTLDT PGLEYTKVGKSLAIDPFSRVIAVSSYQDRLDVLIMSEAMSRTCFDPVAGMGTVIEEGI IWHMEFLYTHTDSPDRILLALVVYNDVERICRMVIYAINTSNPHSVGIERIGRLPLDR NTPLPVLLIPLECLPETFMLVTEQHVCVLTADDIACGNVMYPSSPLPRKSNETFYPLF TAYSLPTDPTEKYIYLGTEDGRIYHLDISSLEDMKWTLVAQVNPIGQSMCVISAMTMT QKDGSELPVDVIVYSGEGVDSQAIAVNHLKLDQIVSSDFIYQDNSVVIQTFTNRAPLM DYHVMHDEIAKQDKLITCAGQGKNGAVNVISQGYQATSITDPSPGWERFTNMWSGIVN IDGIETHCLTVSFMSCTKVLAAQNGDLVEITNQVCAKDDIKTVFSDTFIINQAPMMIQ IYDEAILISRCGNNIDYDQCLIWTPKELGLLNNYAIRFATLWKNEREEITVTLCLDYG EKYFLQSLLILQQDERIFIQPCGNIEIQMEPCCLELITIGDPTNLRRVLVVGTFETLL NFYIVKDSAINLLHSENLALSPAEKFAVPGSISLIGSFDNTSNTQHLLVGLRQGTLLC YTLNPYDMILINSPPIVRKLGLSAVYLVPTRSCDHVFARSNALWKIEINPGSLFSFVI EKVLLPAFEFISSIVIFDAGLALGWSSQAEMIAVVADQQFHIFKLAESSRPNVQRISL GETPRKVLYDDAKKYAMVITTARNRKPGLQLVDTSSGKLLGKTHMLLSDKSYDRDTIV LSTAEYLTHSLTAEWKVPHRGRAYKYLCVGMGHLRDSPAFSRAQSSEDKPRSSTTGSL HLYRIKETFRSDTSALGNQPMYTLHPVWNQDGLSEGVYAICPHSEGLLFSTGNVLHLY HLDPVKGRLVEITRKTSRSFITSINVIGDRICVTSHTESISFYIFNRQTNQLEFSKSD PISRSIHHLLMVNSRIAIGMNYSGGMVALFDDPDDKSFEQRLVKLFSFHYPDIIIRPS FGTLKATSLFYHSQERLAGHILAWTEGFDPNEPCHTEPIFGCTIAGGIVTIYRISPSL YALLFALQNQLLRYGPTSPLLGSWRDFCKWYSRLSGGEYHAIHGDLISLYMRLSSREQ RILVESNSTGDILCSVQPFLNSSDLVALSSQFDQSQSDIVAGVLRKLVQELECYC PHYBLDRAFT_35566 MLRSTIAAIRRTSIRSYTTSLEQTTKQAGIQIQQAPNFVGTWSK SQRPKEEAMSGPRFEQIDLATQPNAMAAIELIAEEPIQFVSERVTSCNGGGGPLGHPK VYINLDKPGAHACGYCGIRFEMNHHHH PHYBLDRAFT_140181 MHHVYKVSRFWYNWISSGVGMGLFPLKYIIEWIEQDLQSQETGP EDLVILMGRQKTILSNILGIDSDIAAHKPALTESHKKRWLDWTLEHANRTDDKLKGVV FGVYRRQRKPRSIREQFGSEHPSLVSKSFTGTEQALERCMSDERTVINKLSDLKVVMQ QGWKVICVELGSNMAGSMHHPCQTL PHYBLDRAFT_157457 MRYRACQSMPRVFRRDLRVSCHACSMLDCSHLKSSVHDVAFVSG LNFSTSVGEEVLLHNLIVMSMADAAFFLLYKTWETLRVF PHYBLDRAFT_140182 MTAGVVFLGHEVPVMFPKKSFLKHGTPKAPGTTSLETTRDYHTT LHPTWFPRLYLFPCTFRQLFR PHYBLDRAFT_130491 MSITLELPTALHILNGFLAENKFITSVEADNEVAKALGAAPAAE FTNVAAWYTQVKKDDAPAAAAAAEEDDDEIDLFGSDDEEVDEEAEKVKAQRLAEYQAK KANKPKTIAKTTVTLDVKPWDDETDMEVLTKSVKEIAMDGLLWGGSQLVAIGFGIKKL QINCVVEDDKVSMDDLSDKITELEDYVQSVDVAAMQKI PHYBLDRAFT_86071 NPFAFTPDQLSDLMDPKNLPLLASLGGLLGIARGLHVNLSTGLI PNADIHSPITIEQILSKPEIISNQSNDISPNIDPQSTETEGNLESQSPFPQRLHIYGS NVLPEVKTKSIFHLMWVAFQDKTLILLSIAAVVSLSVGLYEDIAVPEYDSLGNRIAGV KWVEGVAIIIAILLVVLIGSANDYQKEKQFQKLNAKKEDRSVKATRGGIPLLVPVRDI QVGDILHLEPGDIAATDGIFIQGHNLRCDESAATGESDAVRKQDWQLCYTLWKEDQDN LLLKEKTSNPTLKPSKSMPDPFIISGSKVLEGSCTYLVTAIGQNSYYGRTMMALRSEP ETTPLQEKLNGLADMIAKLGSAAGILMLIVLLIRYFVGWKEGIPSQATTIVMDIMKIL IVVVTIVVVAVPEGLPLAVTLALAYATQRMLKDNNLVRVLAACETMGNATTVCSDKTG TLTQNKMTVVAGTLGVSFRFVEKSTEPRADITEIAALPQKVPFVVLNILHQSIALNSS AFETTDDKGETQFVGNKTETALLAFSRATGSKPYDALRNSLPVEQMFPFSSERKAMAV VIRIPHPTDPHRCLYRAHIKGASELFLEQCSFIVSLKAENYDSSNLDQEVIKTRPLTA EDRKRVEHTIQTYALRSLRTIGIGYRDFETWPPHMQDPDGEVNFEDLVHGNNITLLGI VGIQDPLRPGVTEAVKACQQAGVFVRMVTGDNLVTAKSIAKECGIYTQGGIVMEGPVF RALPQSEMDTVLPRLQVLARSSPEDKRILVSRLRELGDIVAVTGDGTNDGPALKMADV GFSMGIAGTEVAKEASSIILMDDNFSSIVKAIMWGRCVNDAVKKFLEFQITVNLTAVI LTFISAVVSSNQKSVLTAVQLLWVNLIMDTFAALALATDPPTPELLLRAPESRSSPLI TLKMWKMIIGQAIFQIIVTLVLLYGNVLEHDTEDVILQTIVFNTFVFCQIFNELNCRR IDSKLNVFSNIWANKFFIGIFVVCVVGQCLIVQFGGEAFQVIPLNGPHWAISLVIGVL SLPIGVVIRLIPDDLFA PHYBLDRAFT_35571 MTGPAVRKSKQRNARQNSNAFGIFTGQEIMEYKQVFGIMDTNDD GIVDAKDLEVTFQRLGQPASVEQLENMMSEAPGSINFTVFLTLMADKRADIDQDNVIM DAFSAFDESGKGIINAEYLRECMTTMGDRFTDEEVDIMFKGTPVDEHGNFNYRDFVNV LKHCG PHYBLDRAFT_59215 MNLTDESTETKFISPNHTNKMSQLKDIFDDYTLKDKLKLVQFPW NHPDVLQFDKTYIVLRQNAIPPLLLETINSCDRPEDVFAYASCNAHYAVMASKSLVSI YLKVDPKTYDEIILKPPPSCQSSPFVIMIPAHTDTSSAKLFVIDINGNASLWSNIKSA APFSNPCCTHKMPLETQSHITSICLLSHNQIVVGTSSGRVILLAIQSNQSTVDFSIKS SHKGSAFSNILENWLPSAITRVRGTHGCKDNLPVLRLVKTESMNADTCFMTLNDGMLQ KWAVSANGDPKLLFKLDVVPAVKQYISKNILKRPSDEDVKIHIIDMDRYNPGTSALLV SYHVPEMDNCTQFAVILLYISTISSLVEGYQLTEKEYKINHIVTLPYSTIMSSKKRKP TIRVSGTGPIAFVTFDDSVISICIDQHTIFESTIGLKPELGDMFLYTTVQDTNREKSD EDDASVATILTAMGKVLEFKVDHKAIKDKKSNEVFDNTKFLEKRLLQAAAFQDKANVW EKSHRIRNPLSFPISTRPMRGNIGKAALSATHSVFVGDCFFTGPKMNFTPFFSLRLQF IMNIADALKKEDLFSQIDRTTRKKLLAYTEASIVADEIWKQYTNQKKKSAHFFEYTAI IEKVFLGLSPSKHMLTLQDNERILADSLSKHCIFPTQFFEAFANIQESLYFTSPMKMQ GFRIRANELILSIRHAYRKFETRLKDYNLPDVNKVWTLKWRKLLKSSFEKTYAFHSIN QSYTDNFVDEKNTLNEHITLNLPVNMESDLGKQLCDLGQELLNLDISEEDSSAVDKTV HQIQGFVIETIFKTGYKQLAIEMAEKSKHFSALLEITQSEEGTEAEKLRETYLCRYGN EFVYSLCKWYCDHNQEGKILKLKPSYFQPITEFFQENKVPIAWIHYMRVCDYKNMFGA LQEVLRTEHEPSKRKALLGYSRLAYMSANGEGPDSLPLNKLLESKEMKRITKELESIK EDETDLYSKFLKNKQIK PHYBLDRAFT_121739 MKQLLIYISLIIVPDVIAHRGYSGKYPENTLISYEEALRANTTA LEGDIRLSKDGEVVIMHDLTLQRTSTGNGAVFDHNWVGYIDGLFTKTEPPQPIPRLKD VFDILIRPDVVAKNTYMIVDIKFDNQLEILDAVQVLLEEYKAHTSLRKQLVFGIWDLR FLERAKQLFIGYQLCFIGLSLGAARKHFLHELDNISLPYAALVDQEGQDFIKEAHSLG KRVFCWTINNIEQMHQCVAWGLDGVIGDNVDTLIENVRTTVNAMSPEEFEKYVEASNN LMGKRSRLYYYIIKKSMSLISWKFIGI PHYBLDRAFT_86337 TGQVTWVNNRTLQYTGRSLQDHLGSNWLSHMHPDDQADCCKAWE AAFDQGNGFAGEFRLRRFDGIYRCFLWRIVPLRDLKGRIIHWFGNTDVHDQHVAKEST LRQMEIESSERKYRMLAEAIPQIVFTFSPGIGLTYANDKWESYSNQPFEKTVGLGFMS QVHSEDRHKLQLPDLVRHKTAGVAWQNEIRLQSSDGDYRWFLVKCISVDELDTGQVRW FGTCTDINDHKLLEHKLKEAHDAAQKSTESKTRFLSNMSHEIRTPLIGITGMLNFLLD TELTPEQMDYVHTIQQSAESLLVVINDILDLSKVEAGMMKLEWEPFSLVSMIEDANEL LSTLAVQKGLELSFWADDDVPDVVVGDRIRLRQVLLNLIGNAIKFTSKGEIYTQCSLQ HFDKEESELTLLFEVVDTGTGFDADGEAVMFKPFSQVDSSSTRKHGGSGLGLVISRQL IELHGGTMNCRSKKNQGSTFFFTAKFGVPASQTHPQPQTPQNEAADPFSRSHTYCPRE EGLPFHNDSVNKPQPSKDFGTSQPLLNQFSQSPELPCSVELMHDALLNKAATMRLMPP PVRSDRLTLPKIVLLEQIADQMTPMILTSPELEPLRSKLKETYLMVLIVCEWPRSRST MVKHSKSILSTLVKSNQDLEIETATNHLEAVEQLASPLRPAYDYTLVSLASEQQILML MRMVCSSSFHQGTNLLVVTTPMQRSLIMEGSKGQEQSVLPRNCGFVFKPLKRSKLSWY FGVRPISSGSDDPKNMSAPDAPQQRVVTQKEVFQQMESDVGGKGFRVLLVEDNPVNQK VLTRYLVRVGLNVEVACDGEVCINLFNSHPHSYYSLILCDLFMPVKDGYEATREIREW ETANGISPEKQVPIVALSANVMSDVAEKCLASGFSTYISKPVNFAMLSDVIRGYLLP PHYBLDRAFT_163217 MYPNDTWSSYGSVERRLRVVEKILDFMLATSNMIKSYNYDNHAM NRSIYLSRVYIQLCVSQTLWKATSIKLDVLNNVLHTESIVVSIFYSVHEVSGRVDRKY GIPKCWILGENFIEDLIRFEKEVKVLSLRYKIKTDYEIAFEVRNSTTIEIVPKGFIAE LQTLVLFEYGRSVIGSVKMIRHRNA PHYBLDRAFT_163218 MFASQINGDAICTGAKIISREYASVCLYMPSFRSAYVYARLTAK HNQKPRKTLLFLFLCEKYAANCHYGPANEFVKIHEYFGGLFHSDFEYEAEGFRALRST SFFDEKLVVLHFGVLIHRVSYFEAQEQDVEHRWT PHYBLDRAFT_185091 MSDPEYRDLPFYGELHAFKCEIVIFVFDLGKLPTIFKETNAVLD LTFKVLSCNIHTAFSNIQKLQAVSTIIKIRIPPNQLGSLPESKRKITPPQKLETKYGS VWKYVCVLLFLRDSNFYEMRLGKVKGCFCIQES PHYBLDRAFT_130500 MAAPLSHPQIENGWFMEKSTMWPGQAMSLKVEEILHIEKSQFQD VLVFQSANYGNVLVLDGVIQATERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVL REVVKHECLEEATLVDIDEAVPRVSKKYLPNMAIGFQHPKVKVHIGDGFAFLKDKINQ YDVIITDSSDPDGPAESLFGADFFHLLKAALTEKGVFSTQGECMWLHLPLIRKVKDFC KALYPQVEYAYTSIPTYPSGQIGHIICSKDAESNLRQPLRKWTPEQEDKMLRYYNSEV HKAAFILPQFAKKALYE PHYBLDRAFT_106900 MATPAVQTPSVPTPQSTPFALPSTPAPLDAPTPRDHNPYAVAGI TPTLQNIVATVNLDCRLDLKTIALHARNAEYNPKRFAAVIMRIRDPKTTALIFASGKM VVTGAKSEDDSKLAARKYARIIQKLGFQAKFTDFKIQNIVGSCDIKFPIRLEGLAYSH GHFSSYEPELFPGLIYRMVKPKIVLLIFVSGKIVLTGAKVREEIYQAFQAIYPVLTEF RKP PHYBLDRAFT_185093 MSQMIQAIFVPKVDNISKPKAHTVYCLDVHAAVRTWQVWRRYSE FVRLHEYFLEAFPHHSLPSPFPVKRYFPSTFSSPTKVDERRRALETYLRGILASQDAR WRQTQAWRDFMGVPVGRQVNECPSERWLEEFEQLNNYTHQIRALVNRRATHLARNETS ASHNCTVHAKRLLNTITTRMASLSHLLGGLSEGEQRRRQDLLNSLREEKDSLHHLVHA GRYTDTPTPQADETPEMVSVQAIPTKGRVFGPSSKKVILQDTPKTRVLDNEGLIGYQK QTMKDQDNNIEQFLSVLARQKQIAFAIGDELSLQNQLLDEIDQDADTTNLKFKMVTKK VMAIQ PHYBLDRAFT_185094 MSDPSSTTNPSVNKQTRRFAPSVRGRGARAGAAGIKREDTGAAA EIASTSSEATAATAHAPNKILGTIRPEPSQGRLPSVHDGQRTRGGAAKMKFKPTVPTK RNKKEVSTSAIDDALNSSEGSRGGFGERGRGRGRGRGASRGRGGGRGIIQVEASASGI FSLGPSSMSSRGRGNAGGGFAGYAGDTTSRVEQESSPETDINNKFDNLGTGTNPVTFP HISRTAGDIDPIDLSVLRKKIAWLTVKSRKSESSNNDEDADTDTDADVKVKDDMDVDT TTGIDPSHLAAASDIAMDIVNAEEKAKKAEKRKIKLEEETARVAGFPKIFMDDDAPAQ HIFAVDEDKNLVSVADDELLFFQLPSLLPRFKQPESEKPVPAEDEEPESEDAKKERLE KESRGLDIDKLNEGRIGKLVIYKSGKMKLKIGDVYLDIAQGTRSTFLENVMVVDANTD QTKKAIELGHIVQKFVCAPDMDNLLLGSE PHYBLDRAFT_130505 MTETHAMKAILKTLVQNPTQFTSEDAENAMQEVMGGRATESQIS AFLVALKLQQKDADPNIVAACARSMRGFARLVPYNDYEHLQGAVVDIVGTGGDGHDTY NVSTTASIVAAGAGAKVAKHGNRAASSKSGSADLVEAHGCHISRVQPDHVAGIIDRTN FCFLFSQTYHPAMKHVAALRKEIGIPTVFNMLGPMSNPALPMRTVVGVHSPQIGNLMA NALQLTGVKEALVVCGAEKLDEISPAGETTFWRIHNTGDITTGTLHPTRDFGLPTHPL SDVKGGDCHENVLILKQLLSGELPENNPILDFVLLNASALLVVAGVASNFKDGVSKAR ASIKSGQAKKVLEAFRQETQ PHYBLDRAFT_59225 MDKQQSEQESLQNTLLLLQNRLHQTQAELRQTKRTIESKNAFLD KAHHTIKTEFIDTFEINTPKRSTFRLHPPPGLVPLLSLKRTYDEYIKDESEEELIVES CDAWIKGHDQTQVWTQYYTISVFNRNVLAQAYLHMDTLPSTWMSDTAMMNTQCTLPLN QPTVLYGAFTIVADVAVSDLKSALQIGCRYATEQRDIREWKSSKFVFPQWQEHNEQHW LPTQDSPSETYTMARIFFPSHVSLQSIHSIEDTLGLKRHTSSVFISDDHSLLLLKSKK VYHLFGLTDRLVSNACHQCKSFIRVHTPTFLSPSMAMEEMLIGLLNEIEYTSDNFEEK DWWSMIAARESTLLSLVRLLQ PHYBLDRAFT_14714 SRTWKVSDFEVGNTIGTGKLGKVYVAREKKSQHVVALKSMLKKD MLEANVVQFLKREIEIHSHLNHPNILPMYGYFHDQEHVYIVLEHCTQGDLYLHLQNDG PFEEDTVAKYIGQLARALKYLHGFHIMHRDIKPENVLIDHNGQLKLADFGWAVQDKGR RATFCGTLDYLSPEMIETQIHNEKVDIWSLGVLCYELLVGTPPFEVIDSVTYTYMRIK QVDLKFPPYISLEARRFITKASIFFEEQDNILLMSIL PHYBLDRAFT_140196 MLLTQPRLLSSLVLLLLVVFISCSQSVDSAAVASAGRNCFPGLH DCPDGETCIEQDPNFGHCGIVKSPIKNVPQ PHYBLDRAFT_157463 MAGQEEFYVRYYSGHKGRYGHEFLEFELRSDGLCRYANNSNYRN DELIKKEVYISPAVLDELKRIISESKVMETDDSQWPTRNVVGSQELEVRLGNEHISFE TSKLGSLAEVQDSKDPDGLRIFYYIVQDLKAFVFSLISLNFKIKPIN PHYBLDRAFT_95326 TPRKKKDPFAPKGPGNVFFLFCRLERDNIKDEMPNESLGDVTRA LGQRWKALPNSEKQVFNIK PHYBLDRAFT_35585 MIFVLLSLTVVISLLTYSYHVLANYQHPIPIGGNILLLTAHPDD ECMFFGPTLRGLGRQHKNRIHVLCLSTGNADGLGQIRKRELVKSSQVLGIQPSHVRVS EHSELQDGMKSVWPTDIIAQLVNNYVSKQSIDTIITFDGYGVSGHPNHIAAYEGAKRY IETQKGSTKLYTLDSIPLVRKYIGIGDLITSSFQQIMALIKKEEKGSVLIVSSPGAYF ATHKAMREHTSQLVWFRWLYVTFSRYMYVNELSLAN PHYBLDRAFT_59230 MSKMVLIFVFLELIQTLAFENKQFITLFVTYKCSALMNALDTIF SENKKLLCYSHMLNSVKKAFSEKTNFIAKKKELMNYVSRTIHSRTKMKFNLALLKINN ACTRLEIDDNKARALA PHYBLDRAFT_140200 MKKVANDFDNKNSHSSIKLQALENVKYPRKHKDNSRSKYLPKDF GHPIRCHQKQYDYHISVGKSFKYQPDFYVSHEMVNDVKSGFSSTADRLCGFWVLAHLI YKDQNKFPLKKIIQHGSQLDHSNTSNISNTNTNTNTNFISSASTQTILTLPQQSFFYL PFPTIKLNNNNNL PHYBLDRAFT_59232 MFSITNTLPYEYQLCHTCYSNKAIAVACQKLCLGKMLKAMMNNE VSEDDSSSESSQFMLSAIPSLMLEENTNMISNEISDISNNTDLDKPMYDIEYESNMRE SVDIDGSKSATSPLVFDFSQPSPVLSNNDAKNLEFIKIINDFGISCQAHKKLAAHLNN ILEMSTKITYRVCTPYLGKELLKHFSGVEETVYDVCQSGFMMFNVAEKVACKRCGKAR YKSNKTDKDGMPIAEKTMVQISLASDTRHEMLYHHNHEQKADGSKVEIFARHTYQSIK HLFSGENDVAISLSVNGFAPHNVPSSITILHATVLNLSLMVHYEKSQMLQIAIISGPS ALLDFWSFLKPTLADLKVLQEEGMIVVTPTLTIHTKVHVLVVTGDILAVAKLACHTGH MSKTNLSSKSLVGQSPLSSLASFMGPFFFTLDEIHGLCHRIGKQVWGLIGGKYGIKHS LFLPANVLKEIGVAMVATRKTVPTAFHGSWRNVSKYSRYFKTVDCADFLLFVVPTLVA ERHLLQHYPNMIGAFGPPRAYSTRSVEHAIGKYSHAIKSNLAIGMNAGNVMVWLARTW QLLTDSEGGKWRDVVLQYEDMSAGWLITSEGKHVSADSDIEFWGPLGYKTIDDSFEDI SCLLILIRDFYRSKGVECGTIEPAIITSHKAFIKDCVVDFSFSQKTLRKAHHICLQMQ VDLFTNVCRQYTPMAKDFFGKVILFFEHENSSKRWPLVLVLIYSTVLYNDIPVVVNGQ LKPKVVHLADVKELVGLVVSDAMGSTTTPTTTKYIVWPELNRSPKLNLG PHYBLDRAFT_59233 MQEILLALEKGQQGLQKEQEKIRLEISDIHKNMNGQTIPKSTPV HNNIGGPTTRPVPNIKTITLRHIYKMMGQDLGATLNTCTHLVCDELALMHSVQALGSN PSWSSISQEDKNYMCTRHALLLKRNGIDFTRCHKNWASIAKVSQLWKNRKKRQ PHYBLDRAFT_163233 MTSSHKSALGLPTKLAPYRSDTVYPAKLTLHLILMRHLHNNEHP SYKRAIPQELSSHATIIAMIISWSAKKSFAFVKEIIIPCFTVNILFLCLFVLGTSNHN EYIKSATKPYLRNPPFTYTVVFMTLKPSGKNINYAISRYSSTVCPYIHISIFLLFSSV SPCTKVF PHYBLDRAFT_140205 MFEHVKHVTEKQKERFDGSHKMIDLVPGTTVMILVTEKQNKLDP KYKGFYTVVRKTAANTYVLKNEKGFLEPRNYPPSLLKKVSDKVLEQKNDFFEVEAIIG HIKDDKNNFLYRCKWLDYDESHDTWEPEDHFTDPKFIKEYWQRISEAPEGIKDINKAN KKLLKDMKVAYSTPKENSSTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_59236 MSNNNNNFKCKCSKCSSNSMRFVLVSTQTLRRHTQQDIVRQYQS GSSFSVIEVMSNENDIEIDFDDNVDTKDQVEAKDLPLFDVDFLFDSESKDEGVIEATI LDISDDESNDVRECIYCLTSVGITIMTSNDSYYAIPI PHYBLDRAFT_140207 MFNFKEQNHIGGFEYKGIRSKISQETWGTTLVPRDTSLCRLTVD VNAHESGTTRHGSPPDQTTKEIHHLPIGIVGHYFDESVKSEDS PHYBLDRAFT_140208 MSTGDTPAVSDLMNLMHHNAQYGCRHCFVHGESIARTMCFLESE GPAPMRDIGSIRRAEGSRPGGGRYNVFAELPILTSSAFFGQNEMHLLDHGTGHQLYQA LGGKFCSGTVNEGIERQEEGHQLMDFLLFVVPTVIIDHLCFADAKQAVRDLVLACSIV QQWSITASDIVAVEQCKISDGKLKSNIFVMNQHMLVHLGFMLWKMGPLQAYSCRPIER TIGAYTSAIKSRKEPGKNMENVFFQMVAISYCHGNRPARTGPADRRTSNFEVASDDVA GPQLWSSPTRYSMAKLANTIGMEWEDLVQQLLPFWAGEGVSSFEKQDLVVYRVQSSFD SRHVRANNLVVLKHMWDYGFVCKFFSHTVKGVTRLFAATESVSDVRPLPGMLFPVSSN RSQGEMRIVDMKSFKEMAGLVHNTKDGAIRHIVWPSPTHN PHYBLDRAFT_140209 MDINVTYSAYDMMDINDKASVNDSIDFDGFLAAATNNIEADEED ASLIEAKSSNIEFVPMYTPNSSAEAVSLELFSLFFKNSVSHEIYNQSVKIVDILLKQE YTVKAQVYDMCASGCFHFPDVKPGNSIVENEVCPHCNGPWYQSERGTISPVQTYQVVP LSEQLHFKLAHPKEQAKIAYGTEDILDGDGIHRLLAGGIVGQSDMVVSMFVDQFNPFK DAAISASIIHVINMNINPAERYKKGNMTQLAIIPGPKHPKNIASFLEPILADL PHYBLDRAFT_140210 MSSLDIRQLNLQLVMLETAYARLEARIEARFEGSEAATEQPVQG NLPDVNCLQPVIGGNTIPRPSPQGQPNSWVLSAGSVTVALVLHFTRGNIKEARLLYSA IDRLAHRLVQITMSYYHTNGIAIPSWGSLAPNQRNILVRNLEERAARRNIALDRFENS WVSIFVLSQRWRTAVSSERQ PHYBLDRAFT_140211 MNTNFNTPIKKMYLLKTTLSFPKNGYSDKQSVLQAVKNYVLFHN FIVKVKNRKFPILHIACSKADVYCDKRNISNEKRKKTPNSSLTGCLYLLRFSYKKKSK RYLPLPAYGENEHCHNHPVTPENLASSHQGRMYLLTAEDATIAKTMLENHAKSHDVQK ATVDMVTGMRKLRISDINNLKYSATHSDEKSAHGTTELIRTVVNEY PHYBLDRAFT_163237 MYTVYAMYAMYIIWGQECAYSNGARCAYKEWGQGVFYYTFSISI ITLLKLKQNNEVSNSIDEHNLDIQVAQLSVTEDIDMRKVPTLNREILEIRFNEDSTSE SKEICIQRSIQVTQRKFVLLCKELNDIALDDDLREEQLVAKINNSQTEGVNRTSESDR MSSRRTSSFINYTFSLEDIPRFQLSNMNKLYFLSKVVYDNVNAFNSAYEFFMSTAGLD CKSRWKSFINREFFEIFRFSEKKYTEQFISSYSEKLRVRFSSVGGALSSKCIDVFCFA LMPQDSSKPPPEYYSTLFGSGPTALS PHYBLDRAFT_140212 MAQILQAKSLSIEAHLRQGMSAAKVAAIVGLSDTTIKRHRQKLG IPAFEGKGRPTQINTMLPCHIVARQLCSEGSTVQPPAIRTLLKASGFTCEQEQPLKMK SNPTIHKKCMEFARNYINYTVEDWKLVIFSDESKDASPPVHAGGHIMVWGCFSSKGHD KIVKIVENMDSPKYVAVLQQGLLETLEEQNLSKSEIKFLHAYSKNKLYKHKKAAKSMR EL PHYBLDRAFT_163238 MMQNAEFGMLQTNYVKNFYEEIENEAMIWSRNLGAKNYSFRVIN NNGNNNHSEFLCNHYLYCYCERNFPWDHKLNTVFAKSGLNANKWASQTKSNQQGHQQL QQQ PHYBLDRAFT_163239 MTGIAYQYSLEFRDNSEEPKDLNFDSDNFYNPENSDYESLEKRE HFFIEISQVIKNNEAIKPEIFCNVLSSEISAVRLLLLYKKKYFKQNEVLIFKQEGSKK FLIFWPITMLTGF PHYBLDRAFT_101264 DSKCCAIHIMKRQPDFANQKLTLEKIVEDSGPKFELYPKYHCEC NWIKRYWG PHYBLDRAFT_59244 MDLAEPFVITENQNLYIFVVVDVATCYIIAQPLLNKQSDSVTKT LMSIFRDYGIVIVGHFIQSDNSHQFCNDITDASVRLITNTLRKLSGQDFRNWDEILPT IQLCCNMKVRPRSESSSFFLMFARKMNWIGDYSQEGVTDKTVC PHYBLDRAFT_140215 MQKNTRQETYKIVKTCPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEILEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQQMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIR FLVKSFHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGN RSKQYNPFESWLMRCTALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSTEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKDF VKDLFYFCECHERRTREHYVLANSSPGRDTEIPNAPKIGMNTPANEISFRDRSTGRLL ELQSFDPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYMRSTG LSRKFTRNLRHSGSFLGRDFKVLLQILSVILITEFSGNHELDLVIPCFVELGRLCSLV FVRQVTSDFDNYIIRVDNAVKRLIRALFDYDKGTKNELHKAYCTKPKVHYLTHLKEDI IRFGPALNYETEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWIN SSGNREKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGVFV FKDDPISRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLD LHIFRNPFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_140216 MITKISGGVMSESSSASITIMESEFRLIRPDDDIPICFENAMDY VFAYTNFSGNVSLISAVINALIHNYCTSYIIAITQSKCRRARPRKLTAKTDTSLLNKS SGAKRQTTVTTVTMKPAQSVRK PHYBLDRAFT_140217 MTTKLSGTKLCNLCQSVCQCVSLSPSGPQPHHEDSGCNNCFLSG PPIHKAFDWNDLSLLSQQHQHVLFVNASNTRWDCTLGIHTAHGYWTHEEAKISYPHQQ HYINGVPQQARQLTCLPSDTTGHKDLKIVSLPWSLIGCTAYCREGQCHCQSEILPDFY KEPLENLQGNVQYSTVNMETIQYMAGELDGDLGGSDLAECHMVSPSPEDVSGFSPNSE QSVYSFRLSQDPMVIEELDVEAHHIQTIKRKFDNTDLTDESVLLLIDSALTNTPTNHI YQWGQHLFIAWALQQAVSLTEFILQDLINFLVYQHNEGFGMSTIKNHYTAALKLHTNP ESLRFHEDVWTVFSCLALQASPLRQSRPSIDLAPTLNHVASIVSITSTSLAPLLRKTV FLIVMAAFIHPSNLACLQLLDHPQASTAHPPECLFVNAHQSTNSVQVSIISSWLCSLL QLSMHEPVLVQSIASTLALKRDMSLDNIVTLGNWSSHKVFQQHYNRNHILSADFTNVV LRPLASILVLGLVVLTVVHSDLENIL PHYBLDRAFT_140218 MLSCLFQNWPHNLGEEPRNDRKTKWSRSKLATVWLSLNKEDAFE SHALEWLGGHRSTEEVAN PHYBLDRAFT_157465 MFPIIPRKPFSPKTFRTLCTPSPDNPVPPLHTHQWRTFWSAPIH HSVRSLWFRALHNKLSCRSVLHQTVPTIFPDGSCPICGDIKESTSHFLFTCPPKFSAW TIFWSTHFGNVPSMQDIHSALFSFRLPPSLTPDIPTVSLVSCILLAIWHHHWSFVFDD APFLSTSVLVTAASLVTRFHAELSLTLSD PHYBLDRAFT_140220 MNVKLILSPLVLHDQSPPTPSSHDPNRFVFCGWVAQSVMALPAT QQETTPQGSPHYGDNNFPPLLPNIHPSTQPNAPTHALPTTTPITFASLVDPEKQHSLT RIERVLGSDDPYAIPTECRFGTSPHSVFYDLPQSDDSFMAAFWTAIHAAFSEEEAFAE VTSVCNNTHIVELYLESDTLCNHACAKPIVVQDSVILAHRAISSSVMMMKLNITGLPR LSRPCLNELIRSSLYSFSIIKEVVIYLENRFFTGSGYVYLERPPNQDRVYSPLVHKIP CEGYGHVFGTWAKMGPHCRYCKAMGHVLADCPTRPIKSRTCHACQAIGHLQATCPRIN NPHPNNNTSNKRIRKQPRRESQSTPARTQTLPPVLPALPKRKKKSAPHTPPANQFDIL GKSAAKIEAELAQLSTHDPYQRVLRAALKNITDKSNRDIPYQEYDPNKDDLAMDTQDS ENGAESESSSDSNNADNDQHGSLMTGTLNCRGLAKLQDPQRRSLFIQYLRSQHLDILA LQETHATTEALQQTFQHQFHSSSTLWSPHCGLVSLSPFLMFTDPLFTMCGRSITATVT HSNNLFPPIRICVVYAPSTPSHRHNFLTSLLNNPHFIPLLPSRFILLGDLNYNSLPSI TTPTTRTPKAPHEWLQFVRSNLHDCVTPTEDLSLHA PHYBLDRAFT_163244 MSNINNTNDHVIVSETSSKKKMNDKENLMNSYVFKHTHFGNRTS NCAESTHASLKHALGTSSGKLKTVTIKVTKWYEELICVCFIILNINGLNFIIMVINEI DNRKRRLTMDCLGESTTIVFYKINSSQLNNICHKIRLFAMDHIKLELAKSIISEKLPK EQWRINYFEEEDHSIINNTLPVSKNINKITTITLQLDYKLERITQILNSAQSKKQQVN FEEYIDKIIELDAKQKLENINSSTIVKAISHVVFQFLKAFFLYYIWLLECIYCLTSVG VTIMTSSHKLALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIRVSGSPHHK VITLPELLKATTGMSVDLFTSTLDTKACKKIIDTYPPIQDMVYPPLATLSVSLAQFKL HQVKEDTLLHNLQYNLSGSFWPLDILAY PHYBLDRAFT_59249 MLARLRLVWIIRGYAEFRELEKIVRTPALNSTTRTAFAYKDSAT APVLELAIVKTSILKVAILFVSKRHPIWLGFVSTANVDASLRPSISFISPKNKSFPDP SHTFAFIFALHCTINGRN PHYBLDRAFT_140223 MHFSFFHLLVFPEFDNTNWDQIELFHASKKVTICGPVESKCCAP PGNVVPLILPVHFIGTELVIETT PHYBLDRAFT_140224 MSSIVGDPLAKQSNEKQQQQQQNVHENVQRKPISMCEHFDSELP LLVSRSFTGTERAPRVRRRQRQLPYQVVFEMHIWWGFEKHMSNDRTIITEIIDIKPVM QREGKRIGIELALTLAGSM PHYBLDRAFT_163246 MLASELPYDILSLIAQRLSCEDARVCSVVSKSWTLVFREQAFKT LAVFSDTKFKRILNAYACEEYEYLTTNQKDPRYKHQSQNQNQNHTYYPLVQNLEIKHF ETNDRQNRTLQHYFQNLRRISVAWNISRVTRTSRETTRWDLWGSLEIIDLRVPRIRES DDLDYVLKTLGALPHLWSFTFECDEIYTAVGWRTIEDLNRHLPGLKHMNICLMLDEIP LQDLLCIEQTVPSTKMTSVGITINQSGPQWFLYLSYKYPNLKHLDWRDSSDYSKMYHD WKTVAPNLMSISSNLSQLQYFNIKTCGTHSNSFHCNFWDVFHYFGLPVKKLVLDAAWD CKLRAEPEDVLAKCMRACSATVESITYSYNYDRHETRMRPVCFNICPRLVTMFLELRE SAFTLDSLLDNCPALKDLQLTCKLLLPGESLTSSSRDCLPPAHGLQAITLNRVKTTTK LLNYVSRRCRQLHTMKLIQTNIRGPISQTSGQLDIDMPHTHFKLLKIVSPVFYSYKEE YDYDNMDECATHPVKFLGIEQTDSPDLYTQLDVSCPLVPTKRSLVLFSRELKYMVMKT TSIVLSTDEAQHAFDYFQSFSYRKDSAHNTSVHSLNTYELTEKSFWKVFLFNGYFLLR CKRIDYSVFK PHYBLDRAFT_140226 MLASDLPYDILTNIAEYLSCDDSRECSYSCKAWLTPFRKYGWKS VFINSKSYFEHICSQSSSKTDFSLKANRYFVRSLDIGYHIKVNNEQLHTLQQQFQNIK HFTVTNGVFDSSSFGNTTDWRSWRYLETLEMTAPAMKSIVQFNNVFESLSHLESLSNL SLNGYHNGDVVCTWEDIDRIHEYLPKLRKLELNMDFSPMNINALAKIKESTKPAINIT QINFHITRTTALWLFYFTCKYPNIEYFSWGDGFKSDEQYENWELIMPMFFSLDTMFPR LVDIFLNASGSNARSSYSNFWKLINRFKAPLKNVCYSTNWELDTIAEPENTLTECLQA CSPTMVTLYYGNNTRPQSVNKAPIEFTMCPRLVDLCLEFFGSRLKLDNILDNCPVLKK LVLKCKLLFLSREATKNSAVPHGLESIVLTRIKTTTTVFSYLSLRCKDLNSMRLKFIK VRGLVSPTTGSLCIDMPFTHFKLLRIVHSTFSLHKHQYDQTNVDDKEHHFSYSPQLIN LLTIQYTAPPENHDSPDDVSTETPSEQSVSQLWFHFYLDLSQRIPGSKSQLLTPEEVN YAQMHFESFKERNRILRGSSDQRPGNYCWHPKRSWKNDLVHGRVLLNCRGLDEYHIKG VRTKGCYSESDVSY PHYBLDRAFT_59252 MTEGFALCIYPYLLCHSYDYLHYTETDTYILRKMKISMCLMFPF WITQLSLLGNIAYITIVHECGEEKKKIGYYGFVNDEASTEYDVLTLSKKEKACESKQP ELNVLMI PHYBLDRAFT_59253 MSVSECSLGLRVLILQTVHASIKIINLQYIFDTILEISLRSMGH LTHTTPGGLAHRNEGRLATRQKKGVGRNALKPSASYSESWTPPELSQDPKDNPVGLNL VYTK PHYBLDRAFT_179443 MRPSTRHKISSDQSSLNLSSVPMTSHYVPRTRPRLFGLTEAPVY YPTPIEFSDPIKYIASIQKEGEKYGIIKIVPPAGYDPGFKLNKETFRFRTRIQKLNSM EGETRANVNYLEQLSNFHISAGTPIHKIPQLDKRPIDLFKLKKEVARRGGYHIVTGQK KWAEIGRSLDYHRKECTSMSNALKNAYYRYVLPYEDWLTRYKSEHGQNSPAPLTSDSM TGSRMKSTRATSHSREQSVAVSETTTPDDSEEDPCQICHDNEGESDMIACEGCGHIFH LYCLSPPLKTMPQGDWHCIKCLTAAGGDYGFEDGDEYSLAAFHEKCKAFKREWFSKNG TLQPDETVTEEDCEDEFWRLAENPHETCQVEYGADLHSTQHGSALGDLNDPWNLNVIP ALPKSLFSHIQADISGMMVPWLYIGMCFSAFCWHNEDHYTYSINYMHWGETKTWYGIP GSDTAKFEETMRKAVPELFEQQPDLLFQLVTMLSPGRLLEEDVKVYAVDQRPGQFVVT FPKSYHSGFNHGFNFCEAVNFAPSEWVDLGLECVQRYKEYRRQPCFSHDELLVNVAEH DKSIETAKWLRGAITAMKSREISERDSLREKYPKLKEIVDTKPQVTDNESQCVYCHCY SYLSHVSCSCTDNIACLDHYDELCTCNVSKKSLCLRFTDDQLLQIVQRSPDVTKASRE WSKKWESIKNGAPPTLKTLRSLLQEGEKVFAPEDDVEEIRKTIPKIMDWIDEAKLYAI RKHQNRRRDSGTAQYNGKRFEQIKKLCKDASEMHFDAPEVHAIRKTLKMLNDFRDQAL EVLGADNQSVSVDTYRDVYEIGTGMNADIPEMAQLEMAIKQHTWRKGAPTSIKNHFSD IIRSIAEAKEYKIPVDDSTYQTLLALKQEGERWVAQYDLVTCSESVTLDHYTNLLEAS TKVSTPPDALYNVKEVVKVGTDATEIIQMATNFSTGQHKERPSVTDLSRVAHALQWLP IQIENSQCIATQKDEIEKWVRQVETVFDRTSQTPTSPHKSHLEMRLMDVLTNMVNIIE PKGSAGAAFIASITASNGRGASTGPLKKAKLLNRSADRYCICRKSESGLMIECDICHE WYHGRCVKVTRREAEAITYVCPACDGHQIIPRAFKRPSLEECEQLIEDANRLWFLPKE YELLSQIVDSARTFKGRVQAFCRSKTQLGVQDLNAIKMNLRELLGLDIHLRDETDFLR KKVKTLSGPLPLPQIYGAYPSPNLPVAQISQEDIPATDSNISHSSSSADERVYCVCRK RYTPNGPDAQMVGCDTCHEWFHLSCVNLDLKALPGIEHYKCPGCTRKQDMQQQVQQPL QQLLSQPLQQQSQSQSQVGKNHTSAQMDLKGYAYNTDSVVLPTPPLPSTHSPRTPTVI KLTVRPPAPTPSTSIYERKRKHGGEHLIEKEKSKRELSMNEDVCLHASV PHYBLDRAFT_121760 MVEFKAGRTDFFYVPDTTGQLRPNVGDLVIVEADRGKDLGKIAL NTLTLEKDGSNGGGNGSNESGDKMRELHVKRIYRLAAPEEIGLLLVKGQDEQCALVVC QQKTKQRKLPMDVVDAEYQWDRRKLTYYFVADRRIDFRELVRELFKIYKTRIWMCAVT PPNEAS PHYBLDRAFT_59257 MGSVYRGLTLRSTRDPLYLQRTQAISSRSLLRQITNCDNQTYNF YYTDARPCIPYACDYAHSAFGGQLLAVTDEDGRVTLIRTDKSSSVEDLDFHSTFYAHG NTVFDVKWSPNDQLLATASADKLMILWDVETQKRLSCFEGHEMSLKSVNWHPVNPNLL VTASKDGSFNIWDTRFQMKGTLTEEGLDLPMYRPIKSVKNAHSNQPRKQKKQRTLSKR RTPDRPVTSALFVRDGEEQIVSSGSYDGTIKLWDCRAGRDASPLEETTSGGVRGISDM KINSSGTSLFSICMDQSVYMHHLNNLSGLARRYTDPDFRTTSFYIKMSISHDDRFILS GSSTNSIFAWEIDCPKQKAYQFKGHSHEVSSVAWSKTSTKQFASCSDDSSVRLWNETF ERINDR PHYBLDRAFT_163253 MVHAVICPVSSSQTKQELIYLDFQGSFGVEGDVEVMDVAIGDIT FEKDTALLVIGHQRLEGKRVGLTKPFAVIKKRTTGFEDAMETDGGFAAAASYDVVCLI REKYVFSNRPGLIVQENHRGLGRIGFNK PHYBLDRAFT_163254 MCDKEEMNSPDQMSIIPDFNGSSSCNSQQTMFNVGGSYSYLSSY SPIDYNYPPDTPVSVSSVPWWANDQSYFEKQQPQSLGYTQEQPKPFSKTPCNETGKSK KKGNGRIKDIKRKCTNCGAKNTPSWRRGLNNSNLLCNACGLYERVNGKKRKVMLQPDG SIKVARGEDSEHSKCTRCAPRSNESKGRLKPTSVASIVESTKSVTLGPSWEKSP PHYBLDRAFT_121761 MNSLILPAIYLGGCIAAMSGFSYLYRRATNFQPIEPWFPENVEK EQYIQLLNCETPVPEHHLKAALLRRAMEAVRRLVQVQQEKPALQQLMRTGSIGDDLWR DFGVAEQDIMAELQEVVGEANTYKENWGQTIFSTAAQMLEHEKQKAMLVEMKELKEVE DKKRKAMEKDLERDAKRAEEELLREEEEEKKKAKAKK PHYBLDRAFT_75840 MVEESQFIQNYLGALSSRSVRFGEDFNPRAILHLRLKRKPDNSP KIEPEPKVAKTTADETREETIQVTIKIVKPASQFIIARLSPDDTISTLKKRIYQQQSA YPVGRQRLLIRGKVLADNKKLSDYNVANETIIHLMLSAAPKAVVEDVPITGRFGVSLA TEQAIDSPDFWSALEATVASKVSAQDTPLIMDKLKRALA PHYBLDRAFT_130522 MTKDYRYVGHRAAHAIIAEIGPYRVSSDALQAINQFIDELVLQL LSTSLSLDLSRIKLALFSIIPSSLGKNAIVEAELEVKTFTETEPIDYEAYERMRLLGV DSPFPMDRIIPLVRYSCLDYCTLADKDEDENEKSNSQPKDDIISPILVIYLTTIIEHV AEYLLTTIGRMAENQATDNIRVKEVFWALSDDSQVGELFHRFALREHLES PHYBLDRAFT_179449 MAEAQLPQVNEMFDTVLILDFGSQYSHLITRRVREMGVYCELLP CTQKMSELHFKPKGIILSGSPYSVYDTDAPRVDPAVFESGVPILGICYGLQEMTNHMG GKVEACDHREYGHAMLNVIKHPNFPLADKLFEGLGDELKVWMSHGDQVTQAAPGFLVI SKTNTAPFAAVAHESKPFFGIQFHPEVTHTDDGQAVLKNFVVNICHADASWTMDAFVD KEIARIRKIVGPHGRVVGAVSGGVDSTVAAKLMHEAIGDRFHAILVDNGVMRLNECAN VKKMLGDKMGINIKVSDAADLFLGRLKGVTDPETKRKIIGNTFIEVFEAEAAIIDKEC GGEIEYLLQGTLYPDVIESISFKGPSATIKTHHNVGGLLEDMKLKLIEPLRELFKDEV RALGKILGLDDELVWRHPFPGPGIAIRVLGEVTKEQVEIARLADNIYIEEIKKNGYYR QIAQAYAALLPIKAVGVMGDKRTYEQVIALRAVETKDFMTADIFASAEWFVCLKRISS RIINEVKGVNRVVYDISSKPPATIEWE PHYBLDRAFT_163260 MVRTLELPMLSLAMPNIHKLDSITTDSLSCMWTVFSKCKENLEN GRRLENLSWRLWYRESVVANDRPCSVNEPTTPIDEQEFALPSPASTVGPSIPIDPKPL KHVSPTSFKRMLTYLGSPTHQTQPQPPTTFLPLSLTPPTNCISSAPIIKPTSLPSRPT QRNSKFFILSNEDSEDEDSFEAEKSDADIDEDNEDVWQDDDECVTVSDDCESAYQREF KKQIVRSDRPSCPSLLSRLLVSQCTTTRPIRITATLVKPSDSTSNSISDSLRDCIDWE HRQNNFNAILNSPSTTSYSESFQWW PHYBLDRAFT_163261 MCRPRLTGGLGILEPQLQQKALQMRWLQPSLDQQSTQSFVAQWI KATLRQCTPSGDIRLSMMFANLHPARLKNVQSAFNTLLTTTDAIPRNYSTITPTPATC LILPILDIIQFSDQSICLTKGWRKLRVSYAFIYDESLQALRSRVPSERLGSRYIVAKL LRNLQNARHCPLVAGISKTSTPFTFAKFGKSIRPCLTTSLLLHRLNGKKNWDFSIHYS VRNIWYRALHQSLSCSSHLHRIAPTTFPSPMCAFCSNGIDNIEHSLYLCPLKWPVWFN AWLTYFGYQPEPFDVHRALFHLDLPNSANFEHYLDPSQAISCIILSLWRAHWQVIFDS APFNTQHVQSSVGKISTSFAQELDLS PHYBLDRAFT_163263 MNPNAPSYSAATSDVNVRMMEAQVSTSREAEVNRPENIKRSYAS KQKEYKDWYDEAFSSIPLENHYTVYGDKLHLFLKDCVVNRTYRRDTGKTIKSVEAFVE TDVEPTDILLQRALPLMARKLADMQNANSGFHREVLWEFGVLHQKIDDLVSGRIPLRS LQEDGGERDGARSTEVQAFPVPANNSEPISQLPDIPVWYRMSRGVQTVTDLWREWHVG LSDCVSIHEMETRYSIAWRNNDRQFFNRCKRIVDCIKEYMVDNSSVLYSKDINLFESI LKEYFFLSLYHYEEACNIQLQYLGLDTAGDALREQYIQNIQFVNVVPKRQNVVSQTWL CKKRHAQEAKCRVPNVAVVYIQCNVFFIVVLMQ PHYBLDRAFT_140244 MPVEVDKKRGVEDESKRSSQNLSYSCQQFMTCALPLYHQREDME VMMNMSEVDIGKVDLINNILEEVQKQLDLIKRTEFRSETSCKKLDDLEELRMLNSLEE VEERRGMILAGAYANREYLKILVEMGFVTGLVLEHNNI PHYBLDRAFT_140245 MTISKSICIDTTYGISARSMEVLYSIVTCHPETGKTEINAITVV LPQTAIHFCNFHVLRAWQQNLDSKVKSDVLYISEQLGKYKQKLKNGLKDILVESDEET FLEKIDYFTEHILSQDDFEFFYDEEVERIHVNNRRMRPVENKLSQHSYAASQIQEDIL STMIISPSGEIRNSMNDSDGE PHYBLDRAFT_140246 MLREQQALRKAALEAAPVRTLRDIGSALGPSTISNVMKLKSNIW IISHPNRHSSAVDITKKKCVLFSQVYSCHRGGSYEPESREKHPIQRKSKKVSCKATLT ITCYADKSHVYVFDFIANHTNHIPGDIKTDLGLIPLTRGRVDDIVARLTASPGSSARK IRLEILCDIDQQEYSLNRRKINYFDIYNKILAINQTIFHLHKDDFKSMKMWFTEKLSP KGFIIFEGNLQTYSNDESLYACRFTLPFQQIKIKAAAKFCMDATYSITQKSNDILYTI VIRDEELDRGFPCAYMLTNDHSLGPIIQWLKHLKVNQLVVNPQQFTTDCSDAETNALM AIFPGCQIQYCLFHVSQAWYRQLNLKVKTGNTAAQNRLVQGEMLTFLKHIMYEEHIVV FLDKIADFIGRYQQSQPDFVRYFETNWYTMAKYCVWSRAFHQLEFSHMLTNNYIKSWH N PHYBLDRAFT_140247 MRSGPMTAAQRQQRKREMSAEAVPAYMRANMIVSPSKAMSLNDT LDDTDDILEDGYMKPCKHMHLLHIHMSGFAFLSVAPANNVLPITISGEQFVNDNTMSI DGTRESTGRGYAIEAFEYAKNCSLTTRHNEQNLYQLMQYATEEEAEVIRAAYVAPIKA FQEIKAKYEAHFRTLNTQHH PHYBLDRAFT_140248 MSFNNLCFFSVDPTQPAPHGKAPRAITSDQTDLEQIEDQLVHDN KAIDNSKVEVLYDSDAEVTGNGEVEDLEDIEDLENFEDIENDEDFEDIENNKEFEDVT NAENVKTEHNDDVQGSSSANNKLSAGEHKRKVGHLWNNFLVAFRNEQDFSSLPQHFKT TVDSSRCNNKFKALKVAFKKESDRVYIQASRGLKNVVDEGQLVTEEPETRSSSSVSLP TPTRQSQTHNTRDYNVILELMSSTYDKVMEESRAATCKFAEAFLGSNEEQAEKNRMID LDN PHYBLDRAFT_140249 MDVDTQYNQADSPDSNAAMMADNVLVDDEISKVNGNDSDIERDM NSVSGSGEEEGIKTDVEEFVNEDPFDAPNMPENPVHWFIATFAVLFISHYVVNKGAAI LIEFINQLLKIYGKDFQLPKNCHKVYKQDVPLPTHCDFKKHGSRSACNCELMKVSSSG AMLKVVDTLCNVYGGAMWKELKDQSGVSFVDESRSLMLTMNINWFQPFDGVTYSTGAI YLAINNLPCEERFKLENVILLFTGITIPTFECPAGVNVRAALHIVACDIPTARKTSGF TTHNSTCACPRCVRQFTRLSSTNQVDFSGFDYLTWKIHSGLENRLHAEEWKSASTPSE RHQLEIKNGI PHYBLDRAFT_140250 MAETMVVPMDYVVLKSKIGKDFPYMKADEWKSWVLVYSPILLKA VLPVEMFRNWISFVDACRQLAKPSITFSDIDDGHKFLQEFCTECQRIYTPTILTCNMH LHLHLCETIRDFGPVYGYWLFGFEQYDGLLKNVNTNRKNSFEVTYMNSFVQDTFKGDF VHAALTCPSQVLFLPLLAKLTATAQPSTSKNTITFPQRPFRLSAFIQAYSNPSLPVLG NEPLSPSAFPLHIEPPSAMSDVNYPHLLDYYKVAYCMPNLEGYQHPSSPFSFVDNQII KLKSINLLGQVYKGCKYASGRGSFVQSLFLGSQGNNWLAYTGQIQYLFLHSFTPPVDN TELQTRVVYQDKHVFAFVKWFQIEHDHSRELESVDICSADFIACGFECILLVHQIASV VATCDYKTSTNNKKILVNALPHKQYN PHYBLDRAFT_59275 MPTPNRRLTPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNATAINGIDALSALPASAHVPTSVASTSAALLITESSDTNAVFGYIHGYMWN PKLKSRDQAEIQANTIKPKWAVDVRFDRSPNRELVKQLLYYLEKKFAGTDMRTCDLCK CIYTNFCSRYRQQRELLETRQALNTNSRRSGRETDNYTCRRLAYDAYKADIDLKMGRN CSGLIQKSVMSEGESDDDMSPSQPRNEIRVARPSWRSDELNKFIMEVDSFVVKQLGAN SRQLLKRVYGRTVESTVPIDLDPALPQWALKYES PHYBLDRAFT_59276 MCAWCVTVPEIVNLPGSLAKRNQCLVVGSVLHSIVVLMLRGDVS KMNYVHCRRGILLAWGTMILNEYNVDKYVNKGKGKSIVCRRNREQKQDFSCAQKNSSL TTEGTVPHQQTIDISLS PHYBLDRAFT_140252 MSLGQLTININVHKSGTTCHGSLQDQTIERTHRPVSITRNWPKP HSPITYVIMNSVQFTDTQKKKFEIKKRVQALLPAELASSFAASHTVPSLPEEQHLHSS SFRIAFWDTQAQNMVAKLSGVPVSTPPSPDSLVHIPVPSSLPMSTPPAPVNLAAPAAL AAMTMPKLIAAFTAALCSSQLPAAYTALNPVPAPVSLPPLVQIERPDFYHGACSANAI DGWVCSVERFAELHRMDYDSWTSYAITLLRDGADVWWHRLEDNDRICSLHQTGSVESY IDTFQDLRMDIPSMTEDEALERFVSVRFWELLDLAEAARTALAFENSHCPVPTFRPEV VLQYVCNNGPTPMDLDAMEWRGLMRTNYQGCSGQRSNQSSASRSNVRPHQQGGRDNRK CFWCGQIGHLRHFCSECTAAICQLDEG PHYBLDRAFT_163272 MNSTTKTYTVMCTCSSCTNNAIGGILQNAQTFKRHNNADKLLDI GPKNRVNTEVVEEETDVEMVDVSETSIDYEDNYSIVSAETTVQSISFLREDEIFQFEE SDVETTSLASDNDDPDSSDESENESKVEVVSVKNFEDMVASEILAFAFYLVQAGGTAM LKFFYHLLVAFEKNTDLPLTVDVLKTMTGFNFMTKSIVKYTICNKCFAIYLPGNCQPN CTFEKYTTTPPTYCGNSLFSDTKADRAVPLMVFPYNSLKNALAQHFAKPGFEHQILHR LHYFDPIQYTIFNPMHNLFLGTAKHMISAWKDLRYLPTAVLVRMQRLADDILVPPGYA ILSTKIESGFPYMKADEL PHYBLDRAFT_163273 MHLHMHLHESMLDFGPVYAFWLCSFERYNGKLKNIKMNCRNGLE VTFMRVFLEKAFISTFLHAYSTNLLLPMIQFLESVAQVMLPLIMMKNAHYQWLFEFDV KTYQSTSVSFYVVGRIPIGEDVFVNNWIQKVKKISLLGQEYCSGEKKKHGSFFPAYQS SHHQLLVEQGLELWEKGYMEESSSCIVSVHRLHSCFALATYKMQSEMQKRLVIPLPRK VVT PHYBLDRAFT_59280 MSSNTQQTKKTKKTTTKKSVQQTAGTAASTRQQEILPSLTVSAE LDGTVLSTLSTMSTQLNESHSLLEKVYHNMEATNGQNNNPNHSPIGQALTTGEYIKYC LPMVSILIHSQTRAVLATMPLTVIEGAFLTSNRPIADVVQSYTHQQAEVKSVLSAVVE EKTRRHISYMLQRAKALPEKIAQQNWISQCQSRKRNILADYKAIHLANKKNLESKFGE TVVDLLDYDTAVDEYFTVLKKQRLANKGPGVIGNSVYPIILRNTELSNEKKACVAAWI HTHQ PHYBLDRAFT_71798 MIHSKSKKEFDWALNKFNAVSAGSEVENGNVKAPVSAYFHDRWI TCQEKWADYLTQKIMHFGCTTSQRVKSAHQALKRGISAIASLDLAFENISRYIERLER DFRNVEIRESTTVDPLVGRNKLLSRLLMKVSKRALLVIDQEVRLKCESSIVCEFMNKL QYGLPCRHSLPAGRDIYISDIPERWVIDPRNVKPRDNTCQGNFQLEERPEVWMEEVIK LEALFWSCESSQQVANLLNKINKVTSEFEGKTGHPLINFQAPKKIKYPGRRKGGAHPK YLPKDFGQANWRKISVSSGHAGLKAMVRLRAKMREGKPAATQKTKNKKQNKNKQEPLD PVDATKNKIKQIKQEPLDPGCRLTRICFLVDATKNKTTKIKQEPLDPVDALQKNGFKR PATTLEDYQYDNCISVGKRVKFQPSFPVSHEIVNDVKGGFSPTADGWCGFRVLSHLIY KDQNKFSLVKRDMLAALPKYKTLYTNTFGTDTSQLGKIIQYVSQLDYSNTNTNTNFIP VCSDASMWFNTPDCAQLAADTYIRPVCVYSDNPNTPSTTFLPFALPNNKTKQQQPLIF NYVNSNHWTTVDLSPRQSFLNLYKSYQFVSLCQHKLSITCGLNDSLLCFFLEIIRGKY FTLRVTLITSELKIINIGWCYTDRAKLSSNMLYYAFASSYFINSSLGSL PHYBLDRAFT_140257 MDGLSKSYTDSIYSNDPREDTNALGLTHSINSNYSGSPHDSSAV EPRPRKMSKASLTSVFEDTEYNGLSVEPTPTPLPRLQMFIISIILFSEPLTSTILFPF IYFMIKDFHLSDDEKEIGAYAGWITSVFFLAQFSTAIQWGKISDNHGRRPVLLTGLIG NSISACMFGLSKNLWWAIGARAFCGIVNGNSGVARSMVSEITDSTNRAKAFSIFGFCW GIGMIGPALGGYLSRPVEGFPEYFGDNEFLKEYPYFLPCFVSSIGSFIGFLIGYFYLN ETNPLTIAKNIENEKAKQDEIRESNDTSSIRTNSNTDNDTERLLSADHQTSSAQTYKT FSNANDLDATGSQSTKAEEEPKKGYLNALSSVSRDSALLILAYSLFGFHSMVFDEILP LYFLAPTYAGGLGSTSPEFAKALSILGIQQLIIQFFVYPRLSRSFSTLVMTRFALVAF VFVYFLFPELSTVKEWVNMAIEDENTKDWVFRGVYMSLLFVRYLGNCLAFTSLMILVS NSAPAEALGTVNGVCQSCLSLVRAFAPTIGGTLWSISLRTGSGYPFDHHMVYYIIGTL AFFGLLQTYSIPADIASRGRR PHYBLDRAFT_121769 MTRLSLTAIVLAISAVTLAQAQGPECSGSIKPISQGDLEAIKNC KVFTGSILIDATQEAFLTLNGVEEITGDLIVQSSVDLKSFSAPQLKVVRGELKLQNHT ILERADLPALVEAKGLTLAILPGLQIIQFPSGLNKVESVRIEDTRAPAVTGLGPETMD TFILTNNNYMRQFDLSTVKQMTGTLFITSNGQGLDFGATNLATLRSATFRNLAQLNLP VLTTVAADISFHQNEFTKLSLDGLEMIGGTMTLANNDRLTETSFKSLFKIGGALSIGN NTQLKAIDGFSKLSEVDGTIDLAGAFDLYAMPAIQDIRGGMRLQTSSSVFPC PHYBLDRAFT_179451 MACCKVNCNQHVRFVSRVLNQLKIQKIIIISKTEKEFLSLTCSR PVQPEAEVVSAWFNRESTHDSVEFFLYATDDSVYPLQEPDLHGFLTLTPEIDYDDPPV DTSSTHEPQAI PHYBLDRAFT_185106 MTYHKYVVTAQKPTATQFAVKGSFTAPNDTNLVLGKGTRIEIYT LTPDGLKPTLEFSIYGTITAMTLHVPPGRDKASLFLLTARHKYCVLNYSESSQQVLTE ASGEVLMPGEMRMETGNIRTAMDPSGIYFAATLYQNILTVIIPSECARNREGTNHRRR SSLKTRESRRRHSQTKSSTPQDFVHINLADMFVVSIAFLQNSDEPTLMVLYDTPVGHR FLELFTLDLRNRELVPYKMKPERFGRDANLLIPMPAPVGGVVVISGQYIRFIHPDKNP RAIGIRPCTINSYSIMDQSGSRIMLADTDGTLYLLAVTLDNKTVDRLSLISLGQIPLP SCLVYLDNDVVFVGSTLGDSQLVHIMECDDTTSGDVQLEVLEEFPNLGPISDFCVADL DKQGHNQLITCSGVGKDSSLRIIRNGVGLNELAAIEISGVKGVWALRPLFEDVHDDML VISFFNQTRILQLRDSTMVPVESHSGLALDQRTLVTAVMVGNLIIQVTEHSARLVEST PDGNLLDEWKAPEGTQITVASVNPTQCVLSTGFGQLIALQVSNNRFEEIGQLEHEVSC IDISPTDTSLASSVVAVGIWGNVGVLLLSLPYLNIIAEEPLAGTVMPRSILMAKFEEI CYLLVALGDGQFYNFKLDSRQGKLWDKKRTFLGKQPISLGSFTSNGTTHVFAASDKPS VIHSRNQKLLYSNVNLKEVRCVTSFNSVSFPDAIALTTKEGLLIGQMEEIQKLHITKI ATVDTPRRITHQESTRTFGLVTESSQQQLSSNGKPLMGGFELIDDQTFAVLDRIYFQA PERPLAVASVVFDNDANTYYVLGTGKETDAYDAVGEGRLLVFTVKDRKLDLVFQIKAH GIVENIRPMDGKVLVTSRGQINIYSWETGLSGKGYLVSECSHTTPTVTTSVASCNDMI VTGDTVCSMTALHYNPKTHTIEDLGSDEMHQQVTAVEALSQDLYIGAEREGHLFVVER SPSDSTESFQEEPLLDTVSQWHLGEMVNQFRFGSLGVDNSDPDSQTVSSSIIFVATSG SIGMIVDLSAERFNLLRQMQSNMTRIANSIGELSHADWRNWSTVDHQELSCNVIDGDL IESFLDLTPQQMQGVVDGQNGGRKLDLSIEDLCKVVEELMSIHS PHYBLDRAFT_140262 MSQDSQSTQLVLQYLSQGYVYVYDNLKGSITILPEPERVFYYLS MITNAFITHSPAALRQFNALFQTLPEQLNPLTIFLGLILLYIIYCVFMTTARWAYGIV SGVVKMSLVMAIVGVLGYLAQVYTTDGMEGVVNALQEFTKRT PHYBLDRAFT_157470 MSPSHQPIHLIESQALRNILIKQHKIDRLERDMILVDVREPSEI ENGGKIQGAVNIPYGLSKTNPALFRAVLNDLDKERWTIFQCRSGRRSDFTALEALNLG FTRVSDLKGGALEWEALGFPLQKFNNNHSPWVHSIATNNSNTSHIITDLVTKEAIIVN PSLETGVGVDPMATANKILAFVAEHQLNVKLILDSQNFNSAAYYLNESLDTHPPVGLD SVARENKENLTWKLGQHIDCSAYVTSDTTTFMFGDCLFIDQTISTNNVVLSIDTRIYA RDVALKRGAVKKPTKEYLANGDALLIPGTY PHYBLDRAFT_163282 MGNRRTQNTAAPLQPQQLQLQLQLQQSSQLQLPPSRPTPTVVVA SGKNSQQPSVLSIRKSLSSPTKDLIPPVTILQSSSHESLAGKPKPPPKPIMKKSLPKA QQPRIKSARSIESFNERSQPQTQSQPQSQPQLHPHPNLNIHLQPPPPPPPIRMASASR FGKNLLPVWLGGGAPTVTVTEDQNITPDPVEAPKVASPQSATSPESPSELLNTFETLL ASCSQTN PHYBLDRAFT_107166 LAIMLARIKWSYPDIRDAIWNIDDHRLSVDNLMAIRQYIPTKEE IETIKEYEGDVEMLGNAERYFRSIMYIPRLADRVSCMIFRRRFKHELEEIIPRLDTLQ YAISELRDSIRFKRVLKTVLAIGNHLNSQTIRGNAYGFHLDALLKMRDTRAEGEGVSN MPTLLHYLVYFLAKSDDSVVNFKEDICHLEAAAKCTVIYIYINIIEL PHYBLDRAFT_140266 MAIILSSVYNYHKWSSRTISNGCPRVPHTLPFFGLTKVYRKDSK AFCEEWHAKLGPVFRAHLFGKEVTVVSGHYVREVFLNKHFDFIKGIVKVFDTRLLTDN GSREDFPPEDLREIITKYLTPKLNIYTRRLIKQLKQDVENILGLIEFDNLYPFVQHLI VNASASIFLGEENSQNKLLIDSIKNMVRLVGSEVKQNPWIEPFSPIKKIRMWVIGKTS PVIRSYKEQLINAIKPVVEYRLSEARRNPDWKKPTDVLQDLLENSKPPAHMDLMDYLV CIITILIFVALHSTIENTTVLLYRILENPEIMDELDLEQREVIEQEGLDTNCGSELFT RDILKKFTKLDSVCRETFRMKNQYITLPHEYDGKVPLTLSNGAVINPGDDVLIDVWTN HRYKKETTSVKDADEFRPFRFVNQNKQSTKVGEDYLFFGMGRHACPGRWFAMQEIQAI TAILVRECKFIPKGPIIFPTAERSPIPTGRCIIQRK PHYBLDRAFT_85838 MLSTLEAKVVILGSQGVGKTSLVTRYISKTFSPNSTSTIGASFM TKKLTVDNCKVRLQIWDTAGQERFRAMAPMYYRGAQAALLVYDITSHESFREMHSWIE ELKRNMNEELVIVVVANKLDMAATRREVQLEDAREYVTRVLGPDTPLYEVSAKEDDGT IEDIFLHITRVLVDRKQYLPRDRRMQPSKTLVDEPPAQQTSCCGIV PHYBLDRAFT_185109 MKTALFVSMAAAVSLVMAQNEPRDAPFYWTQPQKFMSPYEKTGK MEQYGRTGVAAMHAVLLNEKTVLIIDKAEWNEAQFDSGQSAYSVQYDLETNDYRALPL ETNTFCSAGGFLSNGTFISTGGGEKRGRTWKAESGWQSIRHFTPCTDNTCEWSEYKTG LMQNNRWYPTVEQLPEGDIIIVGGSTKGTAFNRDEINVPSYEFWPPRSGGEIHLPFLE ETMPYNLYPFVFLLPDGNLFMFANNQSMIFDYHNNRVVKKLPDIPGTPRSYPLTSGAV MLPLDPANNYNVEILICGGSENRKNNAKADDTCGRINLGDENPQWEMDTFVHPRLMPD GVILADGTTLWVNGCQRGWAGYNNRNHDPTFDPIIYDQDKKLGNRWTTGLANTDIARM YHSVALTLPDGRVWIAGSNNVDPPDLLAEYPTEFRVEYFSPPYLFKGSVRPLISNVPK VVTYGEKFNILLNLGTLAEENPVMKVAILRTGFSTHSMHMSQRYVILNHKVSDDMQSI EIEAPPRATIFPPGSGFLYVLCNGVPAKAAELFVEKDINDLAI PHYBLDRAFT_163289 MESNLQLLALSLSFLRAGWILIECALILLVDVRSVYNSSQNALP KLITKHVVPSWSRKQQEINAVNPKYIYYSRSLYIYAHNIIFYTSYIYLQAPDTLSYYL FTKIFCAVRVWWLDVYYFWRTFKNNLENISSLAETSI PHYBLDRAFT_140270 MSVTHFYNLYCGITDNTSSQITLGHTPSILFDAQIQADLSDKMR LFLHSSQLYLSQNSTLESYFPVTPYINEDLPQESTIDLPLQPSTPNLIPETDDPETGH MYIDDRFFKNQAKERLCCTDHVSEFLENDNMILRSGNNVPILPFKDTCFYPTSLEYWE DNKFSIIPFDYSDSVPSLSSCSEEKTFFDEDFTDQLSQSNFSNVTENLECCKAESPSA CLQPCANAEMSKVNDSIPLDPEPVIKEESKSPSIHSSLPPQEKLPTADIKPKSFKNTF KNENFFLRPTVKKTPTCAVKDNFRDSVASVKRTATSYDSETTKYLKSVFYNIYSKTNK LSKTQRRDLQENTGLSPRSITYWFSNHKRRHPKDIQAFKKTIADSKGKLKTYEDFLHV QLQSTKKTVL PHYBLDRAFT_140271 MKPFVCLALLCLPACIASGVKHLVVFGDSYSDTGNRQRLTNGPL WNEDLAVGWDASLNNFAFSGALCDNKLYSNVSSDTPPSIRDQIEMYYREQMNHDPLET VYAFWVGVSDIQKTIQDHDPKDQNPPDFTKVVDCISQQMRNIRKVFGANRMIVLSVPP LDLLPYFQDPDLKKQWGDASKSFNKLLEKDSILLNQHHSALELDIIHVHTLLRDIVQN PASFGFVNAKDSYWDACQGQCKDKMDSYVWWDKTQLTGGAHRAIANSILLSGSLEPSS FLDENVDVEALLAKKDSIYRSPIYKPKANTGLIEKVMKDIMKEQGDSTANKPVTDNKN EGEDGDEDEDQYDDGYSINHIYLFVIIIAFVCIGFVYFAKTKRTGNLSALSGIIGNRN QGRGRFIPLRNIETEA PHYBLDRAFT_140272 MSCDKSHQNSDGPSIAIEDNDSRNRQSLDKSFSIHTASVSLDQS LFGVVPEQNDLSVSSYTSREKKAPKDPSWQLKTLVLMFGCHYLEATVGTLKTSLKNSM NINNTQFSILLSSVTLVNTILPLLVGNLVDDISFVGSIRSTTIVSTIIFAGSVLVSVA STYNNYAMMVTGQVIYGLGDGMIVTMQEAILSRWFRDQQLSIIVGLMLSVARLTKWAA KMVSYPIIEATGSKNWPIYIATGFCGLGVVMNSIYWFALYKYGYATASGRELQLHSKV HAIHPEPLEKDEYSRSLSVPSNLPHSRHNSHTSSILSQHIVNSKNLKWTASLIFYIPG IFWMVPWVQLVMSSVLSSFSDIATEFVQFRFGTTSVTAGYQSSLTQVVPIVAAPLMGV VVHRYGKRLFVLFAGTLVLITALVLLAFTNATPEVGLIMFSLALALGPIGLLSSTPLL LPHEMIGTGMGLHKSSNNIGTTIVSVLVGYVQDLTFHDGDSKDNLTDLASEYDGVMVL YIVLACCSTLVAIIFWVLDRKFLDGWLQINKKRREERLDRVYAEQDGSNDIPDNTTTP KPNPECDEHKHTSLQRIGSQLLKKRSFVYVGIFLLWLFIAWVIFFTFALMPVYQKYNV VD PHYBLDRAFT_157472 MQRPSIVTLTLNDLDDLDHLKDEYEIQHSQKQSIQDDLETEPVS NTEPVQLTRAQQRALDTKRRMGFI PHYBLDRAFT_71781 MLNNFHGWDSHWTLILLGPYLHWTKIRSLLSVRLVFTYVSSNVR FIDLVFLMVRANTSYNLLLLSKCQKYCSTRNVLLLIVCYRVNYLDSKTLGVNIDGMSL QERHQLFILSVFETLYLGNTVYEIILNNKCIDHITREDAWSKSEIQEKTGSSYSVYPL TKEDLFE PHYBLDRAFT_34261 MATTIFSVFENKQNLDKTAVIIPSSAPIPASLTYKQLLDVIYDF ANKLSQAVPAEALKPGSSISISYINSLEFVVAFLGVGFMRLIASPLNPAYKKDEFNFY LEDAQSTIMIVPAGASKDPKNAAVSAARDRGALVVEIHWNGKSLDVELVGGSKGSGSH RKIESFKPQTEDIALLLHTSGTTGRPKGVPLTQLNLATSMNNIVHTYELNPKDRTMLV MPLFHVHGLVCGLLATLLAGGSAVIPQKFSASHFWKDFTDTGCNWYTAVPTIHQILLR NPPASVPNIRFIRSCSSSLAPATFHAIEKHFKAPVLEAYAMTEASHQMTSNPLPKFGP HKPGSVGLGQGVEVVILDDEGNPTIEGEVCIRGANVTHGYLNNVEATQKSFTKDGYFR TGDQGKKDKDGYLVLTGRIKELINRGGEKISPLELDAVLLSHPKVAEAVSFAVPDDMY GQEVHAAVVLRPEASKETHRAIERELTSYMVTKVAKFKVPKRIYVTEHMPKTATGKIQ RRVVSETFFKPQQQQAKL PHYBLDRAFT_163295 MQDVNSSENTHGSYEKANPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVPFITEEQLHEAFLNRF DQVTRFWPMRNQQ PHYBLDRAFT_107479 MESFPAYSVCGYLSILCWLIVFAPQLYENYSRKSGEGLSMTFLI IWLAGDIFNLAGVIMQDLLPTMFILALWYTVADIGLVWQILHYRRAWITEHAVYEDEH QSLNCQNQANYHTTPELSSLPETTTVITATKDTKAAKSSNTINFIGFVSITLVTILSC CAYWAPNLIPGSDNNNNNNNNKNKNQPSWSIVPQLMGWTSAALYVGSRIPQIVKNWRN KSTEGLSFGMFICAVLGNILFTLSIFLRSLDPDYLLINLSWIVGSCGTLVFDFTIFLQ FFVYDRPKAVIHIE PHYBLDRAFT_42055 MASVRAVAKKIDWSKLSVSLPKETAGSLAAFRKRNDEVKRQLAE LKAQSTTVDFAVYRKSLKNQAVIDQAEKALKGFKPVAYNLDAQIKAIDQFEAKAVAKA QTTVQQIDVELKDLHATLTNIEDSRPIEQLTVDDIVVAKPEITKNIEDMLQKGKFTIP GYKEKFGDISYF PHYBLDRAFT_80187 MHSHGHPQGPAAIATPKLIRSITMDRCSNFTSGGANGNDVNLLS QLYKARTNSEEYISLLVHSVPDLKRIPFQEAIQQEFRPTRLGEWFGPSWSTHWFHVQL RIPNEFAGEEVHFIWNADNEAILWSMDGAPLQGLTGGAGSDARHDYLLTSRAQGGEVT QFYIEMACNGMFGAGNGLIGPPDPNRFFNLNELDLAVPNKLAWDLLYDYQIILGMAKD LHAESTRAAQALYTANKIINAFRPGDDSTLPLCLAIAADFLKAKNGDAQHEIHALGHC HIDTAWLWPFEETIRKAARSWSSQIGLMDRYPDYKFICSQAQQYEWVRDNYPALWDRI REKNAMGQFLPTGGTWIEMDTNMPNGESLCRQFLLGQRFFEEHFGKRCKVFWLPDSFG YTSQLPQLMRLAGMKYFFTQKLSWNNVNKFPLTTFWWIGLDGSKALTHMAPSETYNAQ CTPEELIRTVKNHRDKEYSNTSLLVYGNGDGGGGPLSSMIERLKRMRDVDGLPRVEMS TPTDFYEKIERESPELTTWKGELYFELHRGIYTTHALCKKLNRSCEFLLRDVEMLATF AHIMDPAQFTYPKAEFDVFWKMICLIQFHDVISGSSIEMVYEDCLQMYAKVDSMGKQM REDLLERLMGLDPAATPPTSKKALSIFNTLAWSRTEVIEVPLDEDLPTMKQYSAFGRT GYTLVSNVPPLSVQGCSLEEDLKYEPVKVVTDQKNNIVMENQYIQVTFDKSGHLIGLH DKEERRELIKPDERGNVFRMYEDIPLFWDAWDVEIYHLEKGRVVEEGSVQILEQGPLR AALLVEKRISATSRLRQIVIMTAVSRRIDFETEVDWNENRQFLKVEFAWDIMADTVNY ETQYGVVKRPTHYNTSWDSAKFEVVAHKFADLSEYGYGVALLNDCKYGYAAHDNIVRL SLIRSPKAPDSNCDIGHHVFKYAIYPHRGHFLQSDVVREGFNFNVPLLTRVVPRAKLD SLSTGIPRFHIEDAPNVVLDTIKKAEDSNQIIIRLYEAYGGHAKARLVSSLHIKAVHR CNILEDDIELVSSSSKSTQLDRTFSTNLRVLDDDVVELQQQAQQRQQAQGVQLSLTPF QILTLKVAF PHYBLDRAFT_179459 MVSIQTTATTPFEGQKPGTSGLRKRVKVFQQKNYTENFVQAILE AIPQSEGGAKGATLVVGGDGRYYLDEVLQIIVRLSIGAGVSKLIVGKNGILSTPAASH LIRKNKATGGILLTASHNPGGPENDFGIKYNMSNGGPAPESVTDKIYEITKTIKELRW ADVPAVDFSKIATNQIEGLTVQVIDGVDDYVDYMKTIFDFDAIRAFLTKDDNKFKVLF DGMNGVTGPYGHRLFVGELGLPESSVMRCQPLPDFGGAHPDPNLTYAHDLVERVDKEK YDFGAASDGDGDRNMIIGYKAFVTPSDSVAIIAHYAKEAIPYFKKNGVNGLARSMPTS QALDLVAKKQNLEFFEVPTGWKFFGNLMDAGRCSICGEESFGTGSDHVREKDGLWAVL SWLSILAHVNSTRPNTSVQDVLQAHYKIYGRNFFSRYDYEEVDGQRADEMVSRLRQQI ETKSLVGKTFGGFTVAKTDDFSYLDPIDQSVSKKQGVRVIFEDGSRIVIRLSGTGSQG ATVRLYVEKYSNNPEEYPLETQKALQPLIDVALEISQLVKYTGREEPTVIT PHYBLDRAFT_121790 MSVQDVYNNLFSTFHSMREYLAPVLKNSRFKDTGCLTPEEFVAA GEFLVYKCPTWSWEGGLDDKKRDYLPSDKQFLVTRNVPCLRRAVQMEYTNDDVETQLI HNQDTNDDDGWMYTHSSRVPTVMADIAHTMIGDEDEEEEEKKEMQRRLENLTVKDDKE EEEEEEIPDLDDIPDMEDTFEEDDPARADTHEVNDKILQVRTYDVFITYDKYYQTPRM WLFGYDEERRPLTSQQVFEDVTQDYVKKTVTIETHPHLNLSLASIHPCKHAEVMKKII ERMGDGVNAGLASNEDTGIRVDQYLIIFLKFMSSVVPTIDYDHTISA PHYBLDRAFT_140280 MTLIGSRAPLPESPLPVEKKHVRHRLRRHHSAAVSDIRPSRLER VLLVDDNPINLQVLSRLLRLHMGDIVKDMTLVKSGVKALEVLGRHSFDLVLLDIDMPL LNGIDTAKHIRHSNTFDVLLSNQTIPIIAVTTNDSPEDKIAYIKAGMNGCISKPVSPL SVRQTLFRLLEHTPHTPL PHYBLDRAFT_140281 MSISKFNPGPGSPLASYFEYMNGWSGPSTSVPMTKIVPGPSQLS PAAYDGGGMSILKMILGVVFINTLLAAVSSA PHYBLDRAFT_140282 MSSIISASVESYEQRGKETWYAIQIQPDQGPTYTVHRRFKDFLR LSDELCVLYTPHEPITTTTTTTTTAASTTASTTTAGWRRKYQNVINSALKTRSVVPVL SPLPRHQSWCTKKSRQRKKQHRLDRYLFQLSVMSPSVWQSQIVHRFFDHHPAVCGPSL PLSPLLSPSVSLSLPSSSSLLLPSSSSSSPSPPSRDKASDPPAIILDTSHPIPSTRSL QAPSKPLISSSLALSSSTGGYSIQVCLFLGHTSVTVHMQRNWKLSELRSALDHELALA NLSLLPPSSSLAYYHLDQSDRQGALYTLTLNQKKPSVSMRSLANMVTSRSFGYFSSPQ LQPQIPIPIPAPPQTLSSSSDSENSPTCADTCSSSGIVLLLASDKDLKTAMEGIWHRL DYVTLTCLTW PHYBLDRAFT_179461 MDKPNLHSRGGPPNDYDWPVTILMYVLMTLLGLGMCFCLRKANR LIPNRLSFPLVSTQPKDIAGNRRGRGYDASDVEQGDTDAHQGLLSEYQQDSNDEYEEG DNQDDGFPRPPLRGVTIAGHNNDNNDDDSASFDEEFGELQAAEDEWQKNNEPRVT PHYBLDRAFT_121792 MEDISSGSDSEYVKYWIDWFLGIKGNEYFCEIDEEYILDRFNLT GLNAEVKQYYLEALDMITDNLDEESFDEKAREQIEKAARHLYGLIHARFVITSRGLIR MLEKHKKGDFGRCPRVLCNLQSLLPVGLTDVPMTKTVKLYCPRCEDIYNPKSVRHAAI DGAYFGTSLPHMLFQIHPAYLPSKTNERYVPRIFGFKVHEIADQHRWQDKARLEHQNG LAAVELSSYAPRKA PHYBLDRAFT_71769 MVYSHIAFAGGSDNRQMLIVGGVTPRPDPSIGDQEPVAFSYNCD TGQWHQHDLPPENHLSRQAAACAVASHGIAYIWGGKHTNGGSTEKAQPASDMYIIDTI NQTNSYSVPLTGPQPPFRYAHKQTIIDDHLIVVLGGFDGMSGNPVSMTDIWVFDTKTS LWLSVNATLDSDNRPANRSSHSQTLMPDGVSIIMYGGYDGYHVYNDVSVLDTQTWAWT VKNTNAAVQGRADHTATMVGTNMIVAFGFTGVATALSVMSDIEVLDTNTWSWTSTYTP SLDFLASDPSHPNESNGSTAHGGNTPTSFGTITGAVISSLAVVLLIITAVYMFKRHRK RRSLSQSDHERDIPLYPKQLLDPPVLENNNHDNHNHDPLVVETHALSTGHTYSLSVGH RPLVFDRRKGFPFDKRMSLILDQPTAPPAPARPRGHRHSSSVGASPLGNRQGTLDTVP SSPQSAGGMASPWSAAPTLVPPAPFVSPVISSPWTLVRVDPTMAYLSKPDEPLQPNQA EKDGLPPVAPMKPDEIMFDRQEFILESGDCSPVEQVHHPPTSQPIL PHYBLDRAFT_140287 MRVSMRVSMRVRIRMRVCVCVCVHVCIRIRIRIRIRIRIRIRIR IRIRIRIRIRIRIRIRIRVRVRIRVRIRFRFRFRFRFRFRFRFRFRFRFRIRIRIRIR IRIRIRMRVCISSHVRMRVRMRVRVRVRIGSDVRSRGRSRGREHSCSYWCSCLTSKQP DTQKGRKEEIHDG PHYBLDRAFT_130553 MTCKRRNNGRNKHGRGHVKFVRCINCSKCCPKDKAIKRFTIRNM VEAAAVRDLQEASIYEEYTIPKLYVKLHYCISCAIHARVVRVRSVKDRKNRAPPPRFR FQKTAA PHYBLDRAFT_154330 MTMIKSWMQRPEAKKYAADVAVFALSQVAFYFAFKWIIGSIDPT KAKRQEAKNKSSQVLGRLGAKDIKLNEYEHIIAAEVIHADEITVNFKQIGGLDHIIQD LRESVIYPLCYPELFTSASGLLGAPRGVLLYGPPGCGKTMLAKALAKESGATFINIHV STLTDKYYGESNKLVAAVFSLARKLQPSIVFIDEIDSFLRERRSNDHETTGMMKAEFM SLWDGLTTGEDSRIVILGATNRPNDIDSAILRRMPKRFAVRLPTESQRKSILELLLRD INLAPDFKMAELVQRTAGCSGSDLKEVCRNAAMIPIREYVRSAKLNIDIRPLNVADFF SYDETNEKSFSYVAEAPQQENLD PHYBLDRAFT_71765 MIDNEGRTQETSLGDENPSLSHAATSGPVLTFAEPSRIYHRRQG EYQYEAQYQDSSDDCIAQIRHFFYQCKTTLKTLWCCSHSRQRWTGRSSSTAELPFLVA AFGTIYGTFILVRALAVDGWLVDHSQWDGKGHAYDKNPIEIVDRLERWLVIVAFLCGI INCLLLIARTRLRFYTASLFCKWLAFIHAIGCLCAVYLFADRVSPQQSYPVYVYSRGF WSCLGSGLLETVAVLGFTIDWMLSYPYSDLSLVLKGLVLPSIMACVSIALGALAFMKI EQWTYNQAVVFCTTALTTIGYGDVAPITSLGRLFFLFYAITGVCVIGYFLLSIRAVMT GNTSRILRVNLMRVESFHNHIRKQRQRHAASTAVDSTALDSQLEPHVLNGIKPVSSTF EASRSFPRRTRSTLSMYSTGTLADIVNDKDREVLVQIITRSGIVRMSIILAFSWFGGA AVFCYLETQWNYLDALYFAFATQLTIGFGDLVPQTALAQEFWFMYIVFSIAIAAYFIS LFGDVLVEKFQLRDEDENEEFYESTTSGFLALARSLTDESDDTSSNPLDSLPIENTRT RGDNTAGTTHCPECPIGLHRYLYTPVTVHGSYTSKEPLNTYQLRGPPITHRPLGKRTM SLPMTVSSPDHQSVEVSNTFKDTIQLPHYGSTDIA PHYBLDRAFT_140291 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVCSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_80182 MPLPTSSRNTLITLQDAITDSPVYRANILHFDEQLDLLERWLET LSKHMKLYCDRLNKFNLETNLVCKKAIPVGIDDTLIDCNFTGAVIKSFSDALQTSLAF KTKLVTDLEDNFIQPLQQFVKTHLKEFKDFRRQHEKSLERYEAQLAKYTAQSKTKEAS AVREEAFRLHEARKSYVRMSGQHVLRILHFRSILEHCLVEHFSAATLAHLSDLDGGTR VWQKLDSSLASWKQWLVDDKETCDFQLHALQATRKGLENEFIQKVQPPRDLDRYVPSA VTTTRHSIDFSAPTEQGHTSYKWGYLFVRGSRSSWSRRWYFLYDGYFGSCHVSPSIKL KGAITMSERVSVLLCDIKPLGDVDRRFCFEVMCAQQPSFVLQAESEEEMRSWIAAFER SKRLMLQNEHAPEIVDEIRTTQMDPTSPAIVMLSTTANVDKSTLANATSLTPLLVWEA AKSQMASNTAGSLSVPTSPTAPTHSQSFQPIQEPQQDSQVGTSWGIPWALVPSMFQST DENGSDHLPAPASAAPSTLADIDGHQVVWPNQTDDLASLKVDLTGYDSELETKNKELR RLFGGVATHEVVVDAFTGLLKKKPSALPEEPADIKELECPISPLPMDSLEQEFNTHLT DSVKKPTSDFGFAYTGRAFITQETFWFYSCVLMTCVNTVAVRLKDISGIRLVRDPSLV NVGTSSHIAIAIDLAQSTSSLDNQGPLIITALLDDIEVVSERLRFAVDNAKSSHPLPL QSLYDILHHLSAATSKKKKNDQITTIKVEPVKSASSPDFSTTVPVPDIIQPEPSNSPQ PTKAEKKKRAHRKSTAADKRPIFPAKTGALATAMMAATVAGGNGFFDVNRVARIEEAQ KQVKQTPALNVDSTVEKASTDDLHANAVEGEKGQDQADALPAHIKAPSGPCSCECTDH LEKLEAEIDLPISAKRLYDLMFSEEQTGPAANNSIWERKTVQSNSKDYRVSSWESVDG HQQRVLKYIMPVSNPMVKVKEAEVVENQVILKKEDYIRYVVQISTKTAQLPYADAFIP SVRYCISWISPTESKLVFHMGVKFVKSVMVKGMISKAALKGMGESIAMFSTLLEEEAN NIAQENNKANGVKPTASLKRTARVNSMRKKPEAAVEAPKEGLDKWMELAQDVVGTVMD VVTEIPFYVGVSIAGVVTIWIIWIMLRAGSPSKHSLDVSQCSPMSNNRSVASRAVYLR DLEDGLLKSKLQPAYLQSESFRAFLATKTNPGRETNTHEWSRERHHQFAVELLFSRER IAMLRHDTLVIFQLLNEVDAQLLENEYMNWLMDTRSKCRAYSGSSSSQKLLECEQINH ALKTFLE PHYBLDRAFT_185118 MADLERQYQKALRLFLLTRYAQAAASCLQAIELLPLPQESLNGT KVWALYLTIGTTLLNRPEDPTPNTLSTLGLTQSVSDKPISKKSACYGLWTNLTDWYQN LNLVDPSLVTSAMVMSLKLNVPAVGRQVVEEWYSSLSEATVDHLSVAGEDDGVMKLYR ETVDLYVCRVLPALNDYDSARSFLEYNSFLSPSAKTTLEKSITAHQEAAKRQEEQKAA QKEKEKERERRELEKKEQQQQSKKATEATSSRALQDKSPRTLADSSLATSIRTWLLPL TQNSNYGVLFVLFILFGLLRYYRSQLSPAFKGLMAKLWQTVQMGTKVTYM PHYBLDRAFT_185119 MATEQSDINALAQTLSASYTALMQYKTLGQDEARRLEYRTNHSL QILNHLSQLSKHSQHLPLPWFTPSFISICFSLRDQFISQSSVPEWGGLESIYSLWSVW VRRLSGAPSAIPAAESDLVRVLESMHSLLTSQNKPPPTVQKEAWIGLVGLAGRAPSVY NDVRILDDMSDVIEHQNADTDMAESIEAGVAHALAETTALNAFEIGSCERLVEVYIQL VSRIPDHRLRPVINVFEHSVDKRSKETPVTKAVADLGNLIALTKTVVEPREEPLKVKM TRVAVLVGVVRMLQFNQGAKTSKVADFQKQVEILFVNAFDNVVTETVSKEEVYSFDKH QDVIALFAGQCLPTLS PHYBLDRAFT_157480 MTYIEDQILEDVILPVIYPVLKWKSIQSKDLYESVHAAILSLLT AKKPVSREVAGVYANILISSFPERINLQQLTFGYSTMTQALCDMDDAIAWLTVGHLLD KIDGLTEESQCVERSQYITVLIELMKPLSLGPFYAVYLNKLRTLVLNLETPGMQKATL KLLFETVSGTGISDMRRVETVGWFLDLKNQVGI PHYBLDRAFT_6096 YEILLIKKDADQAAIKTAYRKLALKHHPDKQPTTSTEEEKQKAT ETFQQIGLAYAILSDPSKKSHYDTTGNISTDNLNDGDKSWSDYFKDLWSGIVSAETIE AHSEKYRGSEEEEKDVLRFYEQFKGNLDSILGHLECSTAHDGPRLEKIVNKHIQSGAI KSRKAFEATSTSKAHAKRSKQAKKDEAAFEKQMKKSKNQKEKENESDNTSLEAMILAR QQNRQSGLRHTLDSIEKKAKKEQASSSESSSK PHYBLDRAFT_71759 MQPNYNDTKKNTPMLAPEPFRPANVHPIAEEMQDLQPVYPPQAV VIHGGSRPVSMDPSESTLSRTSSTRASVGYVSSASSTVIGSESSLGSDSTLLSFRQPI SEKPSAWLHRRQRGSACGRCILGMAGLVVFLVMVAAFVLWISNGYIFPAPQYSTEYAQ SPRSSIISDVKYSSVIETASIEALVAAPEPTYLMINGEKVPKNARSPRLL PHYBLDRAFT_179467 MSSSVGAHCTELKQKYDTCFNKWYSEKFLKGDTTPECEDLFKDY RACVMITLKEKGIDKLLAESRKETQFPSASSGDEEAGPNSA PHYBLDRAFT_130560 MTNSKETDPATELALQLLNASLDDNSTMDMFECQNDLPIERTLK DRWLHSTPEDIAYFKDLLEKRMKQGRGETLLELGVEEDGAPMGLTLQEYGVCKATLGV IVKDLDAAYCELDEDPEAPNACNGEITHIMIRKKPASVEDLLEIRIAVVGNVDAGKST LLGVLTKGLLDDGRGKARLNLFRHKHEIDSGRTSSVGAEIMGFDANSQPIVQPNHAGR RLTWEEVCQRSAKVLSFVDLAGHEKYLKTTVFGMTGNAPEFAMLMVGANSGLVGMTKE HLGLALSLTIPVFVVITKIDRCPENVLRSTVQDLVKILKGKSCRKIPLFVESKDDVVM TAQHFVTKRLCPVFKISNVTGEGLDLLRSFLNILPSFAHYDTEQPFQAEINETYSVPF VGTVVSCIVMSGVIHVGDKLLVGPNYAGQFVPTVIKGIHRKRVSVPIAKAGQSVTFAL KHVRRSGLRKGMVLLTHEKDQPMPKASRRFEAEILILYHSTTIKQRYQAMVHCGAVRQ TASIVAMEQQVLRTGDRATVQFEFVKHPEYLTKGARLIFREGRTKGVGQVTQLLDS PHYBLDRAFT_163318 MPVPFFPASKHLTIELAEPVTILRGPPTDPATHVLRGEVELVLS KPIHACDVIVQLVGRSYMLWPEGLGSRGKVYHEKTIHEQNLILQTLPEDQSVMIPAGL NRWPFTFLLPNTMVETIEDEIAKVYYYVNATVHRNGLGTNIRCRRNIMLLRTLTSSDA SLISYALPSPSIVVDRKFDACDATICIDKAIASSGTQFPISVILAPHLKHVHLESIAI VLTERRVYQLPEFNAYRKESHDYKLQLTSVTNLEDPSLALNGLVPCSDVPLTHLRRAL AAKNAHIPLSNPFQHRFIFTLPNCLTLNHTTFFSQMKFNHNLRIHIELSVPDSSERVQ IHLDTPITILDCRLKEEFATLPTYKEALSDPMVDAQNTLEKPGFFLCPCYLDFKKKSR QAGRQEWMMVRQNGGAPMQPPPPYSFSR PHYBLDRAFT_71756 MSNSGTRHTSLAAHEEASQIIKKLNLIDPLTLFPESKNTTPPLG QRLLSTLTNLVTLSRLGHPSQLASHLITLSTASTLLSSLISTLLAPHRSNNRPTKLYG SLGRTSQSISYSTPQALSSVIQRETDAIHKEIERLGRRVNSVTRTSLPLTHQTCQLSE ATLLSHLHKLTEALSNLQLSITRAHAAIHRKVHAPLPSLSSSSSLGTQHRDPPLLTSR SCSKVETLSPWKNERSDSVGKRLIHSLAAFKTRPTPLPQVPTKASEKSEKPEKPDQAE QPDQQEQPDKPLKEKLFVDSEDSDTSESSGLIHRGSKNNTFKQLFHSKREKKTSGGRS LKELFHISAGHKENIEQANAAKRRGTINTFSVNQCSSKAYPSRTLTFHSYSSDTKSPI TSQSAETARRPPQTHTHTLFSTLRHTATTRRPKKRVDHVLSIISKAPQMSASSDIPPQ GQDFDLDLDLDMASSTISLESDLIFLPASSSITLASYLTGPLEPSTSEPTSPSRRISQ CPTIRSSGSSYFSARSSHSTLHSLASEPCELNKYRKAIDSEETLGEPSTPTPTSTPTH TPTHTPTPDPSHTPLSSTTLLLDRPEFLLQDLPKSLHSPGSNTPKPVSFSIPIPKGET VKEKQFSLSLGFPSDLSPSRASQTRTRKEGELYFVSENGQDVLVLKKISCKLDIMAGT VDKLLDKLADETAQDLDYVDTFLMSYACFTSSMRLLDSLMRRFHPPTLVNRQGNIQKV PRCIQAKVLNVISRWVKLQCQDFKQAGLRNRLAHFIEHGVAHAGFSIEAGLIQDALDV QLAQQARKRHSLVALTSHSLSSFGAWSMPIQDGSSPPSPSSSIPFSANYRPSTTPSLQ SFVSFNTSTPPDSPTFMPPPSGLTLIPGVLTLKAKDIARYLTLADFYIFRCITAYEYM HGPWRDPHNTANEPFDPNDSISLLAQRANMISHWVIHDVCTSQSAKQKRNIIRRLIEV AKFCLDWNNFHTSMVITMGLLSGAVQRLEDAWQSLPHRDTHTFEILQKNLDVCNNMSV YRNALQKAKAPAIPFFPLVLKDVTFFMDGNATMMPESSSSSSPGLINFGKFRSLVQFL DCTVKYTSENYYFAGDLEHLPFFPGVTLHRSAHVAPLDWVAEVVERRIQAVSRCHQDP YCEILK PHYBLDRAFT_71754 MKIQCIDRMGAPQNTFLVKIRQHTILLNCPLESTALSAFARPAT QPLYPQPPSSINTLSSILSSYDETRKSANDTNYSLASSQLDNCEDRCVFRIADFSLVD VHSIDLVIIANSQLMLGLPFLTEYLGYKGKIIATEPTIEFARYRMEELVSYHGHSITS IAPKSSTHFSQLGAMGQSCIEQGWRSLYTMKEIASCIEKIQPVRFTETLTLFSTLRLE AHSSGHSLGSANWLLETSYKKIVFLSSSSLVTGLHPLDFDTSVLKNADVIHVSDLTNQ SHLDLSFQRSKAKMMSYIGRALQARGNVLFPIPTMGIIFDLIGEIRNYLLSVGVEIGH ETHQIPIYMVGPMANRSLQYANICGEWMTPELQEQLYVPKMPLPHGLLLKSGGLQAVG SISLDVKAKKDFKEPCIVFTGDYACPTNGPVSWFLKKWGNSEHNVCIVVDPEVPSFDV PLGCRMTVLRAPLDTRITLTEVGRLLTMNWMRKLDGSPRHIIIPKCQGATQFQEDWRQ KGADVHIYTSGDILDVDLKRKWDKVTISEKVGNSLQLSQLEPQAPGSASYLLPISGSL HLHNNQLVLGDMNSGPSTRAITLADNRTPIQPVDAISIMKRLKESGIEYAALRLVRDH LGNQKIILPSYMEASIVFEPNQTTILADDPEVRELLSAVVRGTIPNI PHYBLDRAFT_107861 MYLMYYLNPQGERVYTLKKTGPSGFPTKSAHPARFSPDDKFSRQ RITIKKRYNILLTQLPARAI PHYBLDRAFT_185122 MANSKYEYVRHFETVDKVLPNTWLVVRIDGRGFHRFSQTHEFQK PNDRRALELMNQCAIEVMKDIKDIILAYGQSDEFSFVLPKSCNLYSRRASKIASTVAS LFAANYVMHWGKYFGDHKLQYAPCFDSRVVCYPSDQNLRDYLSWRQADCHINNLYNTT FWAIVHSGKTEIESEEILRGTFSKDKHEILFTNHNINYNKLEDIYKKGSTIIRKKTLV TSISPNTGKPVERTKLVPCALYDDIIGQEFWDTYPELLKER PHYBLDRAFT_71752 MANKETYTINNTNNKILNDSWISRLLHRSGQTASPSSPSIQFIS TFVPMDRSPLTLVPRAEILNDPERRRGVDEVDLWEQKQKQHSAVWRFPAKDYPESPDA GPSTFSRLRQMQTNYEDHPDSSTTIRLNSRPIMKSHGSNGSDGSNGSDETVVIIDQQQ ASGSSNSEPGVEDDRPFSEFASTVIHCNSIRTSSHMSDVTMAREVLKASKLHNSSSQW FGPSGSRSL PHYBLDRAFT_71751 MARDTLPGPSSGVGKVASHLDCLSESMMVVMMMMIMMMLLLVMS GVDVDVDVVILILIFLLILILILIVILILILILILILIVLVKMTKILMIREKREKIGK RCSHRGNLNFNVAIMPES PHYBLDRAFT_121806 MADPTPSMLLDKGDTAYVLVCVTFVFLMAPALGFFYAGLARAKN ALSLMYLTVLSVAVVSFQWYLIGYSLTFSNTGGVFIGDSAHFLLRGVGELPQIASHTI PASAFMIFQAMFACLTPALAFGSAAERMSLGPAMLFIFVWTTLVYDIITYWVWSPNGW LAVMGVMDYAGGITVHISSGLAAVAYAVVLGKRRDYNQNVNTPHNVSFVFLGMALLWF GWFAFNAGSALGANARSVNSLIDTHLSGCVGAIVWVLMDYRHTRKWSIIGLCTGAVAG LATITPGSGFVSPSSSLAFGALGSIVCNLAVQYKHKYRFDDALDVFAVHYIGGLVGLL LTGIFAQRSIIALGYPDDTPWDSLPKGGWLDGHWMQVPIQLAAIGSVSAWSFVVTYII LIVINLTPFLRLRLNDEDELIGTDWAEMGERAYGYLPFEEEQLGQNCS PHYBLDRAFT_97688 PDVAATTSSRLSEDFERELSARRRAFRRWSRHRSLYADDNEDEE YGEGTGTGAGTGGGDRVMMGTRISEGHQNYVLMYNMLTGIRIAVGRVSAKTSRPIEDV DFKAAHKLAFDVTGDELTPGAKYDFKFKDYAPWAFRHLREKFGIDPADYLISLTSKYI LSELGSPGKSGSFFYYSRDYRFIIKTIHRTEHVFMRKILKQYHDHVCRNPNTLLCRYY GLHRVKLPHGRKIHFVVMGNVFPPNKDIHETYDLKGSTFGRFLAEEEIRKNPYAVMKD LNWEKRAKELQLGPSKRRMFVVQLLRDVKLLVGLNIMDYSLLIGVHDMIRGNKDNVRD STLQFFQPDTKSAERRASMMKRRESKADVFRKVIAEANPDPLDVSELPDGLRSNCVFY SNEGGFQATDESNRPLPTLYFMGIIDILTPYDIKKKSEHFFKAMTQDKNSISSVKPAV YGKRFMSFV PHYBLDRAFT_86668 LRSAVGQLLICGFDGLTPTKGILNLIQHHNLGAIILFSRNIGTP HQVQELTRNMALGAINNLEAAQAVAGMVADELLALGINWNLAPVLDVNNNPLNPVIGV RSYGEDSELVGRLGMAQIEAHQRHGVATSAKHFPGHGDTDTDSHTGVPVINKTLRDLE QLELKPFRKVIEPKSKGGGVENDYQRPASIMVAHMSLPKLVKQPNQVSSLSPEIVGGL LRTQLGYDGVIITDCLEMEAVKETIGVPKGALMALQAGNDMAMISHTLLYQEQAFETL YGALESKDLDPKLLKASIDRVASLKDKYLSWPKVLEKRSIDVVGSPKHVALSNRLYDK VPTVVRNNRNTIPLQANHVYKKILFLGAQVPVTLAIDSEEEPFKAFEESLKKRFPDAE CILFDESTPPDLPDKIKQADLVIVGTGNANLYPFQAKVVRLAYIFSKRLVVVAAMNPY DLTVFPDIDTYVVTFEYNPPALEAAVKLLVGEIQTTNTMPVTLPSPQFDVSPYIKSDH LGVIWEMWNANFAALPLSCAMFEHVLDRMSDPAHFVCQDGTTKQIVGFVATQYIRATN DGQLGLLMVLPPYKSRGIGSRLHDRALATLSQQGATHFRLGSTYPRFFPGVPEEEDVD GPKSRKFFGHRGWKLRHIVWDLMMDGLETYETPKAIKERMASEDIWFGRILPDQLEAL IGFQEKYFPFWVSTYQHHAYLGDFQDLIVGRQKDSQGPIIASLVLNTTNVSHPLRSDL IWTDDSLFGARSGGMACVGVAKEARGRGIGLGIVAYANEVLKARGVKRSFVDWVEAVD FYRRTGYQTWRAHRIGAL PHYBLDRAFT_163326 MVSIYFEATDLLLYQISVIFCVDYFGYHVPHNTIESAGLYSALK KPDSVQKKFIFFYVSFVVLGQTKTSVKEKVTSSYYEKPRVRFSSVYYAMSMVTIKDVF RLKTNSLWLKKSLSWERVVAQNIISYLDL PHYBLDRAFT_107449 MTIRELSTAANDLSQSVQVKSQELTALRSDMAKLNEKYIGQVDK VIELQLAKDAVESELEELSRKLFEEANGMVANEKREKHKIEVAYEHLRKQLTETQERL STEELQLNELRTMM PHYBLDRAFT_80174 MNNGPPPPLGLPRASRTDTRYRLVVVQNPIRARSCGFGEKDRRL IDPPPILQLFVEQDNGEIVRVSPSDSMFFLVQCDLYCENKLENRSVVYAPWSVPLSGT THPSTPTQGKDREIQIQTQTQTPGSMSGVISLRKPQFLRNLTGSINSNAYHLMNPNNE MGVYFIFHDLSVRTDGRFTLKFMLMNLAAGEPFTMSTNIQTEMYSNPFTVYSAKKFPG MTDSTELSRCFARQGIKISIRKENSYKRTSDKYYTAPKTKKRTENQKMIDTDNIGDSG NTCSSPQLPPIRRSVFGSSNESISTNFIPSIPSIVSIPSIQYTRIPIANVLSPIVDDD PHYBLDRAFT_163329 MLLNRYSFPRRLGDMSILFGMSESNVSVVCRGFESIVMNQIKWG LQFNIKQFRPENLERFASAIYDKGAALPNIVGFIDGTMQAISRPSQGNEVQKAFYNDW KHMHALKYQSIVTPDGITSSLLGPYVGSRHDQYIYTMSKTEARLNDYACKISSIFLIQ TRKIKIFDLLKTDVSDGFA PHYBLDRAFT_163330 MCVEPKRVNTGLGMMLDTRFLKSIRYCLKLVKLYINYTGYYRVG CSVPWLVNRPKDNEWRKSALMCYLNLKYKLFFLVSELVAINIQHKINTKFAMSIKSPS VKSGDSFSNIYTSRMRFDMVSY PHYBLDRAFT_140313 MSTINKESIFIGGNENYPVQQNLPTTQTNGYVKMTGGHYRNTYK FEVCDRLKIHPSSKTGYPYIIKISFKKGIKRYLPLKANSYVDNFHNHSLDDKCLELTL KSRLLRVATEYANQISKLVETNAKTREIQSPVPDETEDSRKLYNSDINNIRTVFSRVS AHVSQNAFTRLVKMRARRFSGVMVVNATYSTKSLSMALIFVEARHISLVPPSSQGGDK VPSTVFETYKWDALMSALEIVFANNSKLLCYWHMLNRVEKALSGKVGLGGKCSLSLRK RFVIASLDFAYETIARYIERLERDNRNVEIREYMTVDPFVGRNKFLAMMFAKLSKRAL LGIIKLEAIFRSFEGSQQVINLLSKINKFTSEFEGKTGHPSINFKGPEKKTSILADER AGIKAMVRLRAITRAGKPVISKNRCHQKQINQNNKIKASWTVDTPRKMALKYLKLLST IINMMIAPLLARSTPDCAQIATGTYKQFICVYSENPNAPSTSFLPFTPLNNKTKHKKI SDFLIMLTVTAGQQFTFPIISRGNGQPFQNHYF PHYBLDRAFT_75279 MSDISDDDFQPLEPTLKNVLDQESLNWIFVGGKGGVGKTTTSCS LAVQLAKVRESVLLISTDPAHNLSDAFGQKFSKEATLVNGFENLYAMEIDPTSSIQEM IEQCKSTDQGNAMGGMVQDLAYAIPGVDEAMGFAEVMKQVKTMSYSVVVFDTAPTGHT LRFLSFPTVLEKALAKISNLSGRFGPMFQQVSGMMGLNANQEDMFSKLEEMRSVITEV NNQFKDPNKTTFVCVCISEFLSLYETERMIQELTSYHIDTHNIVVNQLLFPKKGSNCE HCNVRYKMQQKYLDQIYDLYEDFHIIRMPLLTKEVRGTEDIKEFSKMLVEPFQPQDQ PHYBLDRAFT_121817 MVRETKFYDVLGVSPDVSEGELKKAYRKLALKYHPDKNPGAGDK FKEISHAYEILSDGEKRQMYDQYGEEGLNGQGAGMGGMDAEDLFSQLFGGGIFGGGGG HGGRGGRPSGPRRGKDMVHQLKVSLEDLYAGKSSKLALQKHILCPKCDGKGGKEGAVQ TCRSCNGNGVRIVMRQMGPMIQQMQQPCGDCRGEGEIVNERDRCKHCVGKKIISERKI LEVHIDKGMRDGQKITFQGEGDQAPNVIPGDILIAIDEKPHPRFKRQGDDLVYEARID LLTALAGGQFAISHLDDRVLLVNVLPGEAIKPDCVKVIPNEGMPAYRHHHHGHMFVKF SVEFPQPNWATPEVIAKLDTILPSRPQMPNFGDKHVDEVVLADAEAYQTQNTQRGYED EDEDQRGGPGVQCAQQ PHYBLDRAFT_80171 MSIYIPDNTQQLTNNQKQSLDQFKDNNHQIHNAKNSSAKAKAKA KAKAKAILNVNKYMFPQFFSDVIFGTTSKTAANSSRRSSRLSSQHDKVLSCSIKLTLE DIYTGKTSKLSLQRHIFCPGCRGTGEAIQDSLVSKTCQSCNGCGVRYFKYKSGSTTKQ IDLSCGDCHGVGEHVERTNCAQCVGKKTITKHMFLDAKIAKGVRDKQKITIPLQSLHN CPQQQQEKDEYRDVIPNEIIAVIQEIPHHRFKRLADNLVYEAHIDLVTALSGGQIAIP HLDDRVLLANLSPGEAMRPDCVKVIPNQGMPVYNSHHIQGHMLVKFFVDLPQNEWVTP DIIAQISALLPPAPQVPTFVNKRVENVTLTDSVATKHWEV PHYBLDRAFT_130579 MSIPAQDNTLQKIPDLYLAHHRFIVNQGPVEQRQNAKNELLKEI EQKNMAPFYKFIVEEFKIPFDQQLYTRMEAANKEELKKFDEKVVDAEQNQGETEINEA LLGKADYYSSIGDKESALTGYNNLLNKSITLGTRIDIVFAIVRIGFFFDDYNLVRSKL DKLKELIEQGGDWDRRNRLKAYEGVYLMSIRDFKGAASLFIDTLSTFTSTEMMSYQDF VKYAVLTSVISMQRVDIKKKVLDAPEILEVISDIPYLEDFMTSLYNCNYSDFFRALAN VEETHLRTSRYLYAHMRYYTREMRIVAYAQLLESYRSLTLASMAQAFGVSEDFIDREL YKFVAAGRLNCVIDKVNGVIETNRPDAKNAQYQTVVKQGDLLLNRVQKLSRVIDV PHYBLDRAFT_185131 MIIVTFSLIILIVFLQSILADDSGCVLLQGSRACPAFQQFYVGL PGLSTQYSFIGNATTTESFDQSLFNYVNSTSNYLFPLGCLSSNYNPTVPYARYSLSRL CALMIQDSEDSLPCNYQHNLIPPPLCQQTCDDWVDSIKEITNDPAVCSSSLQRNTTLA SLSLQCVSWQGFNGTGDTNCVSGIANEPENCGFQGNVPGACHYCTNHRSDTCCAAVHG CKHPLAPGAIAGIAVGCVVFSLIVLASLLYLCIRKRRTPSHFSSPELGPDHSLERRED VSTSQQALVHSASAGVVPPDLPIHNMPPMEERSTISPVQPLTLNAFHIVVHPYPPQVD DELSLSIGDIVCLALSFDDGWALGFNITSGRKGVFPTVCIAPAPQDLLNRLMLMPENP NSYSKIQWEDTSSNLPDMEEYHIQQRDASNHPQKDAVLTMERLHENLQRSISLGLFSK PIARSSLVYTHTMPSKKTRRTSYSSDSENPTSPSHALYSIPYSASQSTPKDSYELQDH NTSTTNMTSLHPSSHTSNDVRSKRRET PHYBLDRAFT_130582 MSGSEIAAENVKIDTSKLTPLSPEVISKQATINIGTIGHVAHGK STVVKAISGVQTVRFKNEMERNITIKLGYANAKIYKCENEACPRPGCYKSYGSSKEDH PMCERVGCGSKMKLVRHVSFVDCPGHDILMTTMLSGAAVMDAALLLIAGNESCPQPQT SEHLAAIEIMKLKHVIILQNKVDLVKEKAAQEQHEDILAFVKGTVADGAPIIPISAQL KYNIDAINEYITKKIPVPVRDFTAVPRLIVIRSFDINKPGADVNHLQGGVAGGSILKG VLKIGDEIEVRPGVIIKDQEGKIRARPILSKILTLAAETNKLEFAVPGGLIGVGTQMD PTLCRGDRLVGQVLGFPGTLPSIYTELEISYFLLRRLLGVKTDDKKQAKVAKLTKGEL LLVNIGSVSTGGRVVGVKADLAKIVLTGPTCTEVGDKVAISRKIERHWRLIGWGKIKR GTVLEAEAN PHYBLDRAFT_130586 MSNNSNRSAGLNQKMAGMSFNDKENKPNQQAASIGWQNVSTSWN NNRNNTSRHGNQRPSQPGNYAGHNRPNAQQNPGHNQSWQQNPGRPTGTGGWGSSQQNA PVENTQTWESAPLPVTRAAPSNAGWENTKPPAQTNTKQGWESTQPNKPAAPAPSNDGW SSAKPAAPSNSGWGNAEPSKPAAPAPSNDGWSSAKPAAPSNSGWGSAQASKPAAQAPR NDGWNSVKPTAPNNSGWGSAQTTAAAPKDGRGYWENGVHVLAAPNPELEIKLFGTPEA TEVTHTGINFEKYADIPVEVKGVDVPEGLKEFTTPPVDKHLFDNIKRARYTTPTPVQK HSIPIVMGGRDLMACAQTGSGKTGGFLFPILSAMFQEGPRDPPKGASDSYMSRKAYPS ALILAPTRELTSQIYEEARKFAYRSYVRPCVVYGGADISAQIRQIGRGCDILVATPGR LVDLMERKRISLANVRYLILDEADRMLDMGFEPQIRRIVEGEDMPGVQGRQTLLFSAT FPQNIQHLARDFLKEYVFLSVGRVGATSENITQKILLVENDDKRVKLLEVLRQHKEKG LTLVFTETKRTADSLCEYLTFENLPATAIHGDRTQSEREAALDDFRTGRRPILVATAV AARGLDIPNVVHVISFDLPNEIDDYVHRIGRTGRAGNTGIATAFFTRYNRNIAPEMVK LLKEAKQEVPSWLETMQPDPNFVASGGRGRGRGGPGGGFPRRNRDDDIGPRFSNVIFR PHYBLDRAFT_140321 MSNLEKGQEITQTEFINDVSQSTIGEGIDQIAYAETIKSNVEED AAKDPNNPKNWSPLKKWTNLSIVAMHAFMGYFSSAIYFPATAIMRVEFDTNVITINAT VAIFVLFTGIGPLFWAPLSERIGRRWIYISAMGMYTVVTIICGISKHIGMFFTFRILQ AIFACAGQALGGGSASDMFESKDRGKAMSFYMFGTVLGPAIAPMIGGFITQYLGWRWI FYIKTMIGGVMTIITFLFVKETLYIPPGSLPQTDTKQSFFSKIRFNPFGSLGLLLKPH IFLVCLPVSAAFGFFYLLVTILPATYYGLYNFSTSSVGLAFFAGGVGNTLGSIVAGLV SDRLYFWQIARNNNIRKIEYRLTPVYFGIPFLLFGGLLYGWLLDFHAPSFPPLIGYAM YTFGTMFTMTIANTYLSETFPGRAASVVSACNFTRNLLGMMFSLLAAPIRNGLGDGWT YTMITIFNFALYFTCIPLVQIYGRRWRES PHYBLDRAFT_80165 MPKESRSRSSKAGKTPAPVPPPPNKPQQCHFYVARKSRYCSLPA KRTNKFCGEHLAEQQKAGDTETLRRIPCPYDPSHTIFEINLEHHMQTRCNARPKEQEQ FYSLNVNCTLALTQEELDFQKTIQSHKNMYSLPWIARIQLSKLQKEDMRSVAEKVEKV YKEYVPKIEQVILTNPATASPRTAERFVKHLDQQSSLLGHMVQRGMLDDKKACFVEFG AGRGELSGFLKKALDEKNGEATYVLVDRKNVKSKLDPFLKGTDATHSVVQRVLIDIKD LDLSKVQALMNENQEMKKVVVLSKHLCGSATDITLKCLMNYVTNQREAGNLKPISGII IALCCHQLCRYEMYPNHEYLKQTNISRVEFDRLSKMSSWATSGPTYDPKKSITETENV PLTEEEEEELADHADAGQEEGDADQTSNHYSGWDSSEREKIGYECKRVLDAGRMRYLQ EHGFKVELVYYVDPKTSLENCALIATPE PHYBLDRAFT_154339 MQQPQQGQEQLSTTLTAQHKHTTPRNALQQKDRQQKTQSLDYVI RSGLAGGLAGCMAKTAIAPLDRVKILFQAGNPIFDKYAGTFTGAFKAGRDIFTTSGTR GLFQGHSVTLLRIFPYAAIKFVAYEQYRALLMPTKQAETPAKQFVAGSLAGVTSVLFT YPLDLVRVRLAYEVKQDRSKGSSVIATCRQIYHEPAASRGFMQLNILNFYRGFLPTVV GMIPYAGVSFWTYHIVTQFCRYNPIATKYTLKPDVVDFDPAKSNLTVQQQRIVDKPPL KTWAELFCGGVAGLVAQTSSYPLEVIRRRMQVGGLLDPTVFVGFVKTTKDIFKSKGLK GFYVGLTIGYIKVIPMVAISFTVYEKMKYALNIY PHYBLDRAFT_140324 MSSTTFPNGLGTDAVRVSPFYLQMLDQHHDSIPPTKILGVGYFD YYKDH PHYBLDRAFT_185136 MTIPVAVEDSISLQDEHLEELQFSTEEFTEEDVLPFLLQAQDII SKLESRVVELETDLSTIHRKYEYDRNDWLVGLSQKDQYIHHLSSKLQKLEFNTKEAIV NLSDMVDEDKLLPNLDHEQMNATIVLCLNYLRQTQQGITPTVDAVFDTEDLEEGELER RQAATSEWQQNKDLDNWKMPLKPVNLMDGLEASSHNSIDGSELTGPTDLDSFHPTISD TTSASSLPEDDIPPSLLFQHHPTLPSIDHTFCLNCKQLLTQLDQQIEQKAYLKRDLGS LASALTEEEQLRSDIEQTKQALEEDVQEIATALFDNLNRILMDEVMNRDGLVRINREL NGLLLPTLQAWDSRETQLKEIKELLVDLDSVVHQSANTTSSLTASADRFQSLDPDVPR SGHRRQPSSLRFSTNGPPNLEDAIHGISNKTIRIDGLIFDEFQLHLKALSATTHPTIP LTAYMKRVMAEDIDPCLFQNAASAWWKSPWFRRKLIDAITRNKCEIQSFLPNQSTFSS SSTSSSTSPAASHISNSSTPTTTTAVLMPPKTKCACCGVLRVCEFRMRLQPTTTSLLA QKQPPWLPIDRFCRDRLVAVCDFYSFMSYLRQALMQHSATLSMFKQSLHFRRRMAVAK IGSIGLFDETNITAAKRRSTKRESIVLDHSGSGSDTASVVSMSDIQGLDGAGQIVIVH PHYBLDRAFT_157493 MVNKQSNTRRGRGGRGRGGHRQITPSSHGYIFQAQPKDNLEEDF RVYGQFSDDEPQSPFRPSAHKASKPAVVASANPDTLLSKYEGIYNRNNNNSRSKNGYS NNYKRPGSSKEDSYQSSHGSSKYREGIQFTPSRSGIPFSTSDTPEDTSKDDTPEPQST TSQSESSTGRPSKNASVTEIDT PHYBLDRAFT_157494 MSNNERRQVHMLARAYNLKSTSLGSGENRSTTVTKTDRTFIPND KRYIERFISQAQQTVDATNALSIKQQAMMAKQNIYGPPTLSKKEKNKPKDKKERKKEN APKEVLQSRVVAADAAPISDANVGHRMLAAMGWKQGDSLGVTNSGIVNPIEAVIRQKG KGLGTL PHYBLDRAFT_140328 MGKISTFKADPENVSKHARSGKIGKKQKEPTGAKARIAEELKLL QARGKAISLTSKTVHKVKKTPIKKMASVKKQKKMARAMMVADKEEIRIEKAAEKAEKR KVRKQVWENIE PHYBLDRAFT_140329 MLENYLKYQYTHYGGRMEHGHMPASTNPLIISLQDKHLPLPQQH WFGTIDHPQIVANTYNRTIAVYWNTPRETGDCLFVPFTTTPDKFEPIILILDINDFLL AKRKPTRNFNWPQINPFHKAIAVQATKTVQAVQTVPPI PHYBLDRAFT_140330 MTYVNIVGTSNTFPISGAWVDHEMIDNYLWVLCCLRGSVWPDVD DSSSSKKNNHNNTTTATPLLPSVFITDNEKALRNAITNAFPECKQLLCYKQIKNNFKK QLLPMMKEDEDGDKRGFLDKLAGHLDQIVLKCTISEEEKKEIDAYLRFAKDNCKDQGK SAKAFLERKMHDKENWVNTYVFKHPHFGNRTSNRAESAHASLKHALGTSSGKLKTVTM KIVKCYEALRRLTTECLGESTTVVFDKINSSRLNDICHKICRFAMDHIKLELAKSIIP EKLTKECECLINYNYLLPCYHQLAQYKKISISCIPRR PHYBLDRAFT_71724 MNPNQIAIVKRRKRNGPQKVLPIHIKNMIVEKCYIEESMTRAEA ARAFGVSWVSINNIITKFERDATVEPKKRGGSRAESLKITDEHSKFIQDLLDECCTLT LGQMREELFRKFPELQEQNLSISGLHKHIINNIGFT PHYBLDRAFT_107587 MDDEDDHEFSHSIVLDYFKQAETTFEDMDIALEAKNLRELSRLG HFLKGSSAAIGLRKVKATCEKIQNIGNCKDGENVLTVKDALSRIIPLLPQVKAEYFEA EEYLKTFYEEQEGR PHYBLDRAFT_80160 MSSSIKGDPRRPDKIVPFHQPPKNEEEADFTSNIAMFTAMGGIL MRNQFKAIPWIASYFGLSAFLNKRNSLKSGDGLADSGSLVAFVSLFTYYLNVYMLNRN TIQALESNPDNISA PHYBLDRAFT_185140 MRLVEEIGEEDKVLMTQPVDEQAAKAKSHFKSNVTWLRRSEYIA AETRTTVVRTEPMEHRFAMSGLAATDRSKEYATHEGQIAGILKTFGPQPETLQHPITK KRAKRIIPILPDPICQHTDYSIAQFNVDPADDERLVKRKQAAKNPSSLVGQKRPSEED ATDRGVLQPITNPHDPDDRYLIWFLPDGEATERLKRQKRQGKPMGDEPASYEFVRDYE YQHDNSSEQKHLIITFRDDGDGEKAYYNLIQGKMTLRKRRALSKKYQQYDDYEKPGAL AINFHEV PHYBLDRAFT_163351 MTTKAAIRRFNKAGRKEKERVTGKNRRKKSIQYMLYNFLWLWWW CTGTYIKRPSIGKTLERIVDNRDLCNSLKMEVSAFSISSKITDKFVDYIGVCKFVEYK SYGKGIEGSVAVYKKLVDIDESS PHYBLDRAFT_121833 MSKAPLMKRIITLFKKTHEKDEEPTYPAELERVYKVTRKTLGVG SFAVVKECIHRTTHQAFALKIILKKAIAGKEHMLDSELDILKQVRHPHIVSMHGLYES KDAVYIVTDLAGGGELFQQLLKKGNYTEKDAANLIRQMLEGLSYLHKCDIVHRDMKPE NLLFQTTDENASLMITDFGLSKILKNHDDVLTTACGTPGYVAPEVLLQTGYGKPVDLW SVGVITFTLLSGYTPFWGDDQASLFESIIAGKYEFDEDYWSDISDPAKDLINKLLTFE PSKRITADEALLHPWITNDQVAGPRTTTNLVPNVRRGISSQKSLKSVVTAMTLLSVWR HGLHEATHRRASGEKHAKRENVVQTLDVTKSGEVHSTLAAHS PHYBLDRAFT_179490 MSGDKTNNFPKCFQHPFPTELLLNIFVNLNGTTLAQCTLVCRQW RAVIQHYDDLIWRICSRRDYKEIDSNRFWSLCFPDPHISNSIGIPSPRDRLQNNARAL KRTWQDMYRITKNWDTGYCLGYFPEIKAQPLPGQWISGVVGYPREASCFSLLNVAKTG SLVRSNPVYRNPTGPPHSLIMTDPDTCQTTYLQSINEAVSPSLAVEGRRHIILCHFTQ PSSKWIVTGGLDGSVALWDSDTKKLACMWHGHRGRVLCVSMNDKMAVSGGTDSNICVW DLENHSIEGSPTETNYTHTTPRGHIDISSYISSHGEWYQGVGDIAVNTHLVACAPESS GPLLVFSLLTGALVYELQTNIDGPRQWPFSGALGVINICLTPFFLLTKGKVLKNDTSV PIYPKQPTTFGQASSIQTINHEIPAGRMTPYQLYRYYQSQQSQQSDDQTMDSLDCINV WCLRTGKMAYRLVPTFETPDIKYTITDIRLCPDFSRTLVCLEVRDNSRPSPERHQTEE RLYCWDFSCQNISMDQANISKQELTAVQINAPTHLSEPHQKIGKSWMYYM PHYBLDRAFT_140337 MARLQTVYAIHTFEAENDDEIAFSAGEPVIVFEKDDGFNDGWWR GRNVKGEIGLFPKNYTSPNPPKLTPLEAKIDKLENVISNMKITPKAAYPPSVTPKPTH TTLTRTESSVSLQSSLSPSTIRLPNSTSNRSLHTNVLNSLHSPVLQNTPPEEWNVDQV AAWLQIMGFGAVAENFKAQEITGDILLELTQESLKELDVNTFGKRFKLHNAIHALEEV RQNESFSRGSCSSGLDTFEDSASVISHRTVDTYRPNDSNINPHQSVSNRINSNVRKYP DHQYSDRSPSIMSRPLSPSSSVYTTTTTGTLRNNRMYPLGNSISEGPSAINRFHGRLQ RQNTINNSPSMSSSLLHPVARGSQQEDVTGQNSFNGPPPVKSRYQTMRSSLMSHSKNQ TLLPVASRPSLDIKGPVNGPTDPNIAPDMQGWLHKQGDKYRTWNRRWFALKGPNLFYY KTKDGRMKGIINLHGYRVICDETIHAGKYCFKVQHERERTFCFYTDTEIAMKAWVKAL MKSTISRNLAAPVMSSSTVPTISLDAAQRMKPRPPSVLYKREQRSQSPPPSRPLSQNY DSPQPIMRMSLSEGASMCRMGFDPGYANDKFQSLVGGAGDLSNVYEDYGNSPTKDSGF VSAHNNTQHSSSNSTNINPSFYEEEDLIDPLHDDVVSRNTRRKPEPPEQKAPQWMYWK APDWVQWINQYTSQGLDKLSDLHDGESLISLLEGLSGKTVRRPLDNHGSASMQMLDKI VAAFKFMGREGVVVDGRYTIKDVFSGNEEKLIEMMEALKSWHDSQTQNDSGSNIDGSN GRSNQHHNASNHY PHYBLDRAFT_163355 MGFSELPTEILIAIANKLTPKEWRLSGTIFRKWNELMRETQWKR IQITPLNKHKFFSPVGGQDDIYKTCGDYVLDLTLSSSLSIDDKSLRLLQRHFQGLDFL KIFDASLTGSLVNSTTDWSCWSSLTRLKVFTKGEDSIDIPEIFIKVLSKLPNLQHLDY TKDYTSERPLYTLRDLEELHSCLPQLQELFLTVDLDILNQRDLEYMLKAAPMDHLTVV KFRINTTDLRWLYYFARKYPKVYLMEWRNTSIAETSNDIQDQTLSMLKALPHAFSNLN TIVTSSTTKSEWTSFLFKNCLDQFYVPLQNIRYFMFDDNLDPTQSEKSFDDCLRYFPK SLERLSISSNDSITRQCMIPNILGNIPNLVVLAIDMTNSSIELDILLNHCRFLRVLRL KVHGIYLSNDAFESMGRHDLALVEVGDAFIEKDLFLYISQRCKRLKYMRLVETKVYGP ISSRREAFCLDMSHTEFSHLQFNGVSFYESKNDVVLTQIPLRMVTLVQTKEFLQAKGT KGPGVSMVVGNTGRSISSISPWFLTYSNRINGGEGKQGPISQVSMEYIFEYYRCLPTR DVEFRLQDVSVSPSYIGELRQKVGYGPTDIRSYVKFMCAHVSKYVIKTASFADNDFWA KLCEGLA PHYBLDRAFT_140339 MTPSSLSPHYAAQSPSGHKPDLVAKEVRACERTRETYHKVAGSS VFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_71715 MTSRIKSLATITARANVLLHQSLRSSIQCRVPITARTIPRRTFR TTGIYRDQHLQGYKDADRYHGDYPTRTHRCGELRASDEGKRVVLNGWAQSSRALSQDL IFLPLRDASGTTQLVYRTGQQAAELRSQIQGLGAESVICAQGIVRRRPDGMANAKQGT GEIEVEIEKLYCLNPASPNLPFWPNQPQLPNEEVRLRYRYLDLRRQELQDNIRLRSLT AATVRQHLVSNGFVEIETPMLFKSTPEGAREFIVPTRKKGAFYALPQSPQQHKQMLMA AGFDRYFQIARCFRDEDLRADRQPEFTQIDLEMSFVTPKDIQHIIEGMVTSIWDKALG VKLTKDSFPHMTYAEAMTNYGSDKPDVRFDMKISEIGSSFADVVGDNALDCMVIKQGT SLSGKELKAMQKTLDIENEKGFAFVKINENNIDAWPAKCGGTLRQSTQIATSVPGLNK DLGIEQGDLVIVHTRPRYLYGGNTLMGRVRLHAASLMQEKGLLKLDKEKFKFLWVESF PLFTPDEQGIRTWQSTHHPFTAPFNEDIALLSTEPAKVRGQHYDLVLNGMEIGGGSIR IHSPVMQTYIFEKVLQLEKHEYSRFDHLIDALGGGCPPHGGIALGFDRLMAILCDAPS IRDVIAFPKAAGGKDFVVNSPSEVTTGQLEEYGLRLAE PHYBLDRAFT_71714 MPILKNTLAKENMFFLCTSGIAYCGWIIAFGSACDLKVQSGLTW WVIIYELLLTSVITHLTFSGLFMQYRLAVLTFLAASISLLSQQIEISLLEAKLLDDSA QKAKAAGLIILIIIRFVWVIVFGSESDSAVSHSLARLVSRNNSVTQSPSRRIDPADMS SEKIFYRDNSITRRSMNLLPNSNSSPIQSPPHTFSYNSTGPHNDINPTTTNATNTANT TSNPTSPYLENVASDAVPSMVHGPLEPMEYTERVEALHAYQANRDDPTELSFEKFETL EIASRAGNWWQARKMDGTVGIIPSNYHRNNNKCINAILANEFQD PHYBLDRAFT_179491 MLQLALRDRLTFLTAKLTSQPKPPAMTDTIKSPSDLSAFERTYV SFPEFIDPTPAQESTLIKQQQQQQQQQ PHYBLDRAFT_154340 MPVFTREEFNAINQQVKDGVEGAKKYIVVDNKLYDITDFVADHP GGEKVLLTHVGKDATDVFHAMHPPSAYEMLANHYVGDLEPKAPAPVSVETQAGIDFAV EMRELREKLDKEGYFNASTLFYIYKVLSTLMLCGTSMTILYFYGRTSTPAVIVAAVIL GIFWQQCGWLAHDFGHHQSSKDREMNDVLLVFLGNFCQGFSLSWWKNKHNTHHASTNV SGHDPDIDTAPVLLWDELASANYYGSLEDTPGPVTRFLAENVLPYQTRYYFFILAFAR ISWAMQSLSYSFNAGAINKSAKLNFYERASLVSHWILFTAATLTWIDSFRNMALFFVI SQSVTGYALAFVFALNHNGMPVISQEKAEEMEFYEIQTITGRDVTMGAFGDWFFGGLN YQIEHHLFPDMPRHNLPKVKPMVKLLCKKYNITYHDTSVIKGTFEVLEALDVVQKLSL KLSKKAF PHYBLDRAFT_71712 MNEKDRVQIAEEVIVQEKPNDIDQISIHSINSNHSTKHLIDPPD GGRAWLVLFGCFCGLFCTQGYNYTAGIYFDYYNREVFKDQMNSLSWIHSLWLALANIV GPFFCFFAYRIGYKWMLVVAIFLCSGSMMLASLATQIWHLYLTQGVLAGIGASLVWFP CVSAAQQWFSRKRGLSVGLAISGSGFGGLVLSNVIQAAIDNLGYRWSLRILGFITFAL LCICAAFVRPLNRPSHVSGSRIIDLSPFRNSQFVILFVVQVLCNFAFNIPSGFLPAYA NHIGLDRWVGTNLSAISAGVMIVGKISGGLLGDYCVGRSTAAFLCTILTGVMCLGLWL NANSAATVWAFAAMFGLFGGGYLTTVPAVLAQVVGMEDIEAANGLLFFGWFFGGLFGT PISSALINNVADEPTYNYAIIFSGVILVAAGILLWVIRVQRGGWYPFKMV PHYBLDRAFT_179493 MSDITDSACITIVTEYLLNNCYKNTAKALQFETNKLAARSEDLS GQAPTKSTLRREMKNKDRMETEEKPENLKGNKNEEPKSARASRSQGDNTSDAMDTEED DPSWKLLDARKDIYDSILAGNIDRAIQDIRFNFPIMAQVNPTAEEPSYYEILMYKLAC QEFVEIVRSGEELEAIKFAHTHLGTRHQTLQEIITRVSPLIAYHDPTNCSSSYLFDHE QRQALADEVNMAVLTLSGLPTETALEKLSKQHNVVKDTLNQMKFEDPAKDPNSDRKMS M PHYBLDRAFT_163361 MSKLHISYNQIHNSIRKAVENHKINEEFQPDLMIAIGGGGFIPA RMLRTFLKKHNNRNIPIQAIGLSLYEEIEIAQANNLNTEPQVTKTQWLNFGASHSEIS LLGRNILIVDEVDDTRQTLGFAVEELVKDIQTEEIRHGMQPGESNTKIGIFVLHNKEK PKKRQLPPSIMKNYFAAVEMPDQWLVYPWDAVDIDEHTEQSKKLTANEQ PHYBLDRAFT_154342 MAFSNLLEVVSNVDKFPYQRDISSTETIHNYTPLCHESRVIGNI LPDVMAQLRIYNSAQSLPPFVIENNVTFAPWVDSVEKRTEVIKTMMDLWRKEKTFTAL AGWRNELYPVYGNSAREDNLAFVIERAASALFGISTFGVHLNAYVTEKDGSIKMWVAR RALTKPTWPGLLDNCVAGGIAYTYSTKETIVKECDEEASIPREISEKASSVGCVTYYT YTSAGLQPETQYVFDLELPSGVLPKPQDGEVDCFYLWSLDKVKKSILDNEWKPNCALV AIDFMMRHGIITADNEPDYIDISYRLHRNLGFPTPTKCIK PHYBLDRAFT_71708 MLHIHSRILSHDKGQQSTSPRVHVYRIPESRTTTPSNLKYALSF LPSSDLPDKTSVFDHPDLIIGWTPEQTTLDPKSFVDNNQFVQFMTKVLKDNIHNINDN ALKGLAEWQKEGWLHVADERNPPPWGRIPFPEDIIGSVLIKDGVIQPNTFEAMPTHRL VTSCGIFKLSEPLFQCLLKESKKKAQA PHYBLDRAFT_121840 MSQTFVAFEALEKRVPPQQHQSVCLFALPLYTIRRFERINERSY ISAISLTTWHGMEHTFEFRAHKTTCENFCDALKGNLNEQIPSIKALKHFIADYESEHI LRVKTIEDFSSVLPTTHGLGLKFGYPDEVDTSMDILKIRQWRDYFSAYGRNLTMLQIP KLSELVRSGLPNILRGEIWEVSSGAIYRRFDNKEEYKDILKMHKDRTSLSTHEIEKDL HRSLPEYVAYQTPDGIDRLRRVLIAYSWKHPELGYCQAMNIVVAAMLIYMSEESAFWA LEILVEGMLPGYYSTSMYGAVLDQIVLEEFVEQTMPELKLHLKKIDIQLSVASLPWFL TLYINSMPLHYASHVLDCLFLDGPKVLFKIGLAILKTNEEAIMAAEDDAELLTIIKKY FATLNTAASDDIDSGKDKNKRISKFRQLMKIAYENFSVVTNETIIELRRKNQLKIVGG IESFTKRSTMRKLKDTAQFNKEEISIIYDHFFGAIYYARDNENTGGNTMDRDTFRKML KSMASWEGPEINDDSPQADFVRATGKSFVNRLYDCFQKDKTKGLNFQDVVTGLGAIMH GDFMSHVDFFFDMYDHDKDSILVNSDIASMGCEIFWLLIQLGTVKELAWEAVDNLFLL SLEQTSADAFSEDTVLEWKSKFQIAEGAFSIMEDQNITHLTDAFLAESTPLIELPLPI FRMVALTNVCLEAFFQSGFSTSIKLEKVASERQKSLGKELFETLFAEGKKLANNMAQA HNHRPSISIQKPDSQNNTPEPVEDFF PHYBLDRAFT_157503 MKNNSSLSSVPKNVFILPTAVDTPLSSFWITVHQVDEFSLLRLQ GPENQLFKSLLNTLASVFDSKQPPHKILFKRGENAVPLQIAVSESEKTIEAAWQWIQE NIVPELRKINDPFDKESWVVEQITMIVGNTKDMSADELSTDEKLRNASRMFRQTFPIP VSERLVSCMSCVPFIDDI PHYBLDRAFT_179496 MALEELIPTIHKKKMQWISYTYHETEGVYGGLKVKTLMEKVLKV HIDMPAHQLKILYISDVLNHRSETSVPASKLPKRSSTSTIQTSEPVYIITPRTQIMTL HKEYRLVYIIDLSSSLATVGNTNADLLISVVFKTMRDSLKGLVQPFSLQISDEEKAII RPSLCLTVMADCSQFASNVNIIPMLVAHPTMRVFMQNAIITTQNIDHIIDKLYAEFQS FQEDTTSFRKLLKRRRPNMGYNLDVSGEVLDATSLPSNIGIAQGTDHEAPRRSKTDQQ KPGIKKEEPSYYASKKEVWGIGKSGANISRILHAGYFALSLLPQEGRPQLILITDGAM KSNVHDNTFVQQFAGEDVTCHIVQIGYKDSFIPGRNFGFLPDNEILRFLSRATGGTFM FSEDCEEIRDSDIQKSSATDRTSRQNSESGKDAYEAIQIVPSADTKCPSPNGYHQRFL FRETYLSKHGYDYQSQSCDNQDHKNNLRDLSGASGVEIGREISAKHNFPWDPNANPPE NDWRLLKYREYLLPAEFSHIIAARAREGFSLQSVTFDNGNVTTKSSPGINNLGALDFD SVKKERIQISMVLYWQPNVTIEYRIRATWLPSVIGTPGSLNGETLLLSSGIFSRAKIP RAEILVRTDTGFAHMLQNWDVFRRRAQMMGVVTGSIYFGESYAAPAYAKIEKLKSYLI NIFEGDETLKTIIGFNSKFLSNWTTGDTTKLAPQLSPRYENSLRRSAFLEAFQTFWEK INAAEHRSRTRCWYDYNCLDLLIGDVSPYMSPRFTSTYNQDFALNVEDEIMKAILSLK EVIRGWADFESTDGTFVNFIHGLLVSPSHDENSKDYFSVNVEYPPSFCELRVRQEYGR LISLRILFFNVDVLARRRTFDNIVMLLKSSEKTNVSCNKICQRPFSSLLMRDPKHFQN GAPSGSEPNLNDRKETNRRQNENICRSKAWYLPVAMWLTGEYIVRDYLQHMAWSWQTD NHQDQFHKENRMMPIHDLAFQFLCQARLDQGYKLVSPFPDSTHFYQEISLPNRDGRES LCAIQYFIWKDADNGRITTELWIEPSGTLAFNQYELVKKWTVDPDRKTISQLVTFDQM HAIGRSKGKGDFKDKRDASNDTQNMDEDTVVMRLPHLFDISYVLRNNKFVVTSFKCPI YKTQVIVQQDDSESFIDDSFPFNSEFSTSAELSDNGSVYNVEKHGPQLSNGVRSTIHS GRLPTSPASTLSLPTKYSATKELCPRPDPVLSRSKEAIARLNPKAQNYALMHYYIENS MERITDGEIVMSHHNKRKNFWRELQKALNKATNHHLSGVRMTPNLQKLRCFVKTFDER SFVVVLLPSLDAIVSGLSALSDSSASTEAGDLEIDKDDMFVDYFIFECTRQKPMRPTS STLLFSSPLDEANRSRIESLNFDDDVTMTNIDYLIHQPDGLGETIRPQIIQGNFSSCS GQAQISEKSLRVAQDIMHAYSKSFVKSFYTCLLSGFPVIDDDLSKVLEICNESVMSID ITEFVNISTLQKRDPGDWDYRERNIQARFAAIFRHYFEPVRTISEQPTDLFYYKPTMT KFATHTQNQGSIEEKISSLIDLIAFAQIPLLIRLECSYEVFSEKGNTSDKSITIPISF LPTSYLGNFKDGTPFNFENLAISAKSAPLDSGNVSVYLRFVCLNIPPSDDLNYTTAIS SVPPIRESLIESMNSQPACFWMLNQDQQGALAETEARIRWLLTEETIHGLLKADIITR PILEYVEYQLGQGNPFVDFPTSTTVPFHFVKNWAESRRRFMDELLKSKDGSTTYSLRR VNDYFYVSEEKIYSMRQKSSSSTDTSPASHGEQEYTESPEDRPDTLREDEVIDDLCDG LGISIGPNIVVEEGTLESEKFIPFHSRPLYWLILVPKDNYVQIYFYSKFHTLIDRAEF LQRVKNDITQIQHRTNQIMLLESLQETRICSKFLEEPSSDESDQFSDSDDSDDQDSNS PIHDNSKTLLSHDKFKPGQFECPVLFTKRFPLHWRLQPNTTLKYLTSDVLRLFTVTNR PHMFVIERDDSIVYCKIYEKTNPSSNDDLDKASKLSNAHISPATRQNTEDSIFTSSTG SIKQEFGRKPPPSPRNKAPVMKSTELKELLLEVHGVDLPIWVEKEFVNLIENRIVSHI TLNEVQQFFSRNPTTKPTLADVKFILPFEKTPTNRKALRVPSLVLKPYTLMRFFKQTL GTDNIKPFTGPIVSEAVEGYHENLFHPNIGYGIQQKGKGTSDKNTDNMQEFQSSEYCF YYNCTKRVPGASTPLELSSGQGLAGICITLLDSSGNPVDFVPTDDSYGTHYNLDAIRH CLEEDLQEIGEDQSYYCIWIDIWVTGVVDSSSLMQYICDCYQHALCDYFIEKTVNLST TAALSESGALQRALTSHKRWRLGDGMRKRFIESITYILQKAAEWKSPTVCSFDQSVQT TPWCMDELIGYLDSELRKLDISLRPTVAWTSVQNDVFKGETKPKPNEKWELYRSSHYP HRQMLQTNVQLVAISGLNELVERLGCAPKTYEIDRRTSATSENSSHRGRSRRSSNTSS AAGFNGNKKLLRLDDRGTYENSRTSSAARSISGSNAKGHEFQKSDPVKHCFLVMIVDT DRLSTYTYNWPDAASLDIINGITQMAARQEVRNSILSNILHQKMGLFHHSEPMSKVVE EYATLSNALPGLSTSLNSSTNFLSAIPASGHIGSPKQQQKKTRQSEISAKNDNSSSTR SLRRNTGNSTTVLQSTSDLKDIIANPTILSNDGIDSTRSDSITYPCSELDSVLDGSIA DSVADTPTGRDRDVLRRHGQPFLETYLKSTRIQTVHQKALQVHLKWRKRYVESKTEVG YTDKMTSSEIGMILRSSRMLHFCRTPLLFINPDEEWNSGEEGSPNKQITTEWYKRLAS SLMSEYAKYLEGIDMQLVDLTNSDGTAEDTVKPSVFNVAKNLSIEYPPTYLLRVLEGG SIICEVSLTSGFVSVTLYSLHRQYGRLGYSRFRHESRSTKKENLKRFEESSGRLKQLV HVNSFVYDFHLRHIQKTLESPQDSVPPDLNILDVIRKFSILNLTPATYSQNRLVHGFY EFESETSTNLFFGCLFRNSPLHGLSNILSDHVSVGVSVSSSGISFSSQDDDKDANWRY TLIICPVNDSGLSDNSKSLSNEQTPQSSKILLEYFILVIYQGSTTPKNIAEVNQKGSS SNLPYDMLHPEKDHSQEDIIHNARRRIDAIVSEVTFYCKRSDDWRKLYSFKIDHQKDE AFLEEQYSILIKLMSKFERTDITEVDSNMKSILCLRLDWNSALDMIASTKGNIVKEIR ERDRRHMFLYSARYMDFMIHLQIDQGVLIKGWVVNREPRNVAKMYREPEREQIASIGR ALCYFIWLETTNKQAYLSRSSSNRST PHYBLDRAFT_57959 MYDHLMTMLDSRASRMSPKLALLTIHAEYIGGPDANYRKWYFAA QLDLNDVTDEKNVATDTVETSKDSKSNISQAHRLKETKEAWRIKMERMKDEERIQQLA LWLLLWAEASVIRFCPEVLCFIFKLAEDHWENRTDKGVPAGTYLDTVITPLYNFIRDQ SYRPMEDGRYTERERDHACVIGYDDVNQQFWYPEKIALLRLNDKRKLVDLHPSERYQA LGDMDWNHSFQKTFKEKRSWMHMAVNFTRIWIIHIVTFWYYISANAGDLYLSTDKDEA KTETPVKLSVVALGGAIATLLVMLGSLVEYMYVPMSWYGARILSRRFAMLFGILVLNT GPSFYCVFFDRTSRISLAVSAAQLFISVITSLYFSIMPQSQLFVRHKKDSRRMLASQT FTANFPPLKRMDRLISVGLWSCVFICKLLESYFFLALSFKDPLRIMSSMQIQDCRDPI IGTELCVLMPSFTLGLMLLMALVLFFLDTYLWYVVWNTVFSVARSFYLGISIWTPWRN IFSRLPKRIYAKILAGSEIGAHYKPKFLYSQVWNAFVISMYREHLLSPDHITKLLYQR VPNAENGKFTLKSPTFFETQEDIAFKTEYYPQESEAERRMQFFAQSMTTPMPDPLPVQ KMPTFTVMTPHYGEKIIFSLREIIREEDKNTRITLLEYLKKLHPFEWDNFVKDTKVLV DETSLSNSGGEEDTAMAEDISIDDLPFYCVGFKSSAPEYTLRTRIWASLRSQTLYRTI TGFMNYQSALKLLYRVENPELVTDSINFEKLESDLEQMANRKFRFLIAMQRYASFDKE ELENVDYVLKAYPNLQIAYLEEEKSQDEDGKKKNTFYSVLIDGHSTVGSDGKRTPKYR VRLPGNPILGDGKSDNQNTALIYYRGEYLQLVDANQDNYLEECLKIRNVLSEFENLSV SNESPYADVGFSTGPVAIVGAREYIFSENIGVLGDVAAGKEQTFGTLTQRIMATIGGK LHYGHPDFLNAIFMTTRGGVSKAQKGLHLNEDIYAGMNAFERGGRIKHIEYYQCGKGR DLGFGSILNFVTKIGTGMGEQMLSREYYYIGTQLPLDRFLTFYYAHPGFHINNIFIML SVHMFMIVLLFLASMSVPLLVCEFDKDAPPEAPRTPDGCYDLVPIYNWLKRVVISIFA VFFISFLPLFLQELTERGFMRATSRLCKHIISLSPFFEIFVTQTYASAILNNLTFGGA RYIGTGRGFATTRLPFSLLYSRFADSSIYVGARSTLILLFGSLIIWAPHYIYFWITVI ALMMSPFLFNPHQFSMGDFIIDYRELLRWLSRGNGKTHASSWIGYCRFSRMRTTGVKI RQLGDAPKAWNKQHQSRARFGVIFFSEIAVPFFLAVGCVVAYLFTRSFDMSEGHNAGQ EAPSGLIRIAAIAVLPLILNAGVLVALFCVSLLGGILASLCCSVKFGATVAGAAHAWA VINFIGFFELFYFLEKWNLSRTILGMIAVSSVQRFIFKLITVCLLTREFQQDGSNQGW WTGRWYGRGLGWYVITQPLREFVCKVVEMSLFAADFVLTHFIMIFLFLICFVPGINKW HSLMLFWLKPSMQIREPIYSTAQRQKRRRICILYGFLLTCFALLFFGLLIAPSIIGSR LEFKLDLPI PHYBLDRAFT_130601 MSNSTSTESSTAVAKRRLEAISNQVSPLGSSTFDTASYNEQLRI HRKPNQAVHSTALTPLRFLLRSAMVHGTKTAIIHRSRSYNYQTFADRIRRLASVLIND YNVQKGDRVGVLCNNIPAALEGNYAIPFSGAILVPLNTRLATKELDYILDHSGVSVLI VQEELFEKLSEEAKAKIKLILVSDSEDFRSDPFEQLLERCTNPFSWNQLHLPEDENSV ISINYTSGSTGRPKGVMVTHKGSYLHALTVAIQNRLTTESIYMWTLPLFHCNGWGYPW SVVMVGGTQVMLNKLDYEYIWKLLIETGVTHYAGAPTVQNEICNHRNARRLPQEVRVV SGGSALSSTLVKRMTALNLQPLQVYGLTEVYGPSVMSYDISALENIPEEERNSRLARQ GFNTVVTDELRILNHDTIQDVAPNGKEIGEICITGNTTMIGYYRDPVETEKAFKHGVF WTGDLAVRHVDGSIEIVDRSKDVIVSGGENVSSIEVESAIVQMEEVSECAIVASPDPK WGERPFAFVILRENAKLDPKDVISHCKNVLAGYKCPEGVRFVNSLPRTSTGKVQKYIL RDELWKNYEKRIN PHYBLDRAFT_74029 MKTTRFYYEDGQGKLVDEEGNDAMDWVEEATPFHLRTLTRITEY CRKQEEEGVSSEDSLGNLDADMEEVIAIVKKQKRLHHKYSNEQKLAFVYYNRIKLFNA AKSGRLAGGIAERTAQKWAKKLKEDKDWNIFEKQTNLVNRLKPQLDKRHKVHLLEFYD NCPQAPVLDAMESLTQKFSDLTVKKNTVHNFLKNECNLSFKKLTRLPVARNNSDKIQA RKNWVIKWTATDMNYLENCVFVDESAFDINMRPPSGWSVKGTPAITTTPTTKTVSHTV LGAISTKFVVAMELHNPQEESSKRIKIVHSGRKRKAPTEKKKKSGGFSRSAWMKWICI QN PHYBLDRAFT_140353 MLTVPKSKCKQCLKPIHSTRNKIGLCQDCRKIPTENPQAFSFEE GSEQALGTQGQTVSQRKRSHHFVHSVDCQPFSLEVFDRDKRTKLSTTFALMTNDSALS LTSLIDFQNNITSGIFDGRVSFSSNDTIEPVLKDSACISAKLEMTVRASTVPKLLQEL GPYQEIDATVLDLLNYDFRLRSELIELIPPLFCGALLHDSITLLIITCEVYSRHSSVD IHSESTEFSVPGESSRYKNRMSCTTYEKSDGGATKLKLIIGTKTVNLLITCSAEISTE PKINIGPGVEFGHGSITDSNCKIYLMKSKVEEFLKMFETFKLNPLHVNISNLRQITSS FSKCSSYLLWRSTLQEFDSSIYSPATVFTLCDLPNKDGYGVGATSGAKLGSQILQILA KAILVNKGVIQPSDFYNVLLEYESIMKQKCDVKEWFSIIKVLDGITASLISSELSVPS FCNNNGGSVSEVANKLSSSISTANNMIAKNVKKLLQLYQ PHYBLDRAFT_163371 MNNYIANASIASSNDESQKSELQKDTREDSQSNNEDFEMEEEER NQEINILAIGIEIDACRKKAAEKLIKVLENPEAKLDDIRKAQEEVANTKERWVAFCDA QEMMSSPSAVEVFRANIEKKAGKYRGNNKADSFVPPNLPAFQLRGGPVRQTNKAVHDS IAAFLNDFEVQLRAHNLDFDQHWERLFWLTCDERQRVSFEKTRAGRGLKWKEVRQQLE SEHGNPYHLWIKKHEVHCMLQKPGELVRAYAERFLDSVHAANLDSSDELVWLFTSKLL RPVREKAWQTLTQHYGLVVPKNIHQVIPLIVATSGEETDSLFQEEREVFGIVYGLVSQ PHYBLDRAFT_163372 MENPQAFSFEEGSEQALGTQGQTVSQRKRSHHFVHSVDCQPFSL EVFDCNKRTKLSTTFALMTNDSALSLTSLIDFQNNITSGIFDGRVSFSSNDTIEPVLK DSACISAKLEMTVRTSTVPKLLQELGPYQEIDAMVLDLLNYDFRLRSELIELIPPLFC SALLHDSITLLIITCEVYSHHSSVDIHSESTESSVPSESSRYKNHMSCTTYEKSDGGA MKLKLIIGTKTVNLLITCSAEISTEPKINIGPGVEFGHGSITDSNCKIYLMKSKVEEF LKMFETFKLNPLHVNISNLRQITSSFSKCSSYLLWRSTLQEFDSSIYLLATVFTLCDL PNKDGYGVEATSGAKLGSQILQILAKAILVNKGVIQPSDFYNVLLEYESIMKQKCDVK EWFSIIKVLDGITASLISSELSVPSFCNNNGGSVSEVANKLSSSISTANNMIAKNVKK KLLQLYQ PHYBLDRAFT_163373 MKTTRFYYEDGQGKLVDEEGNDAMDWVEEATPFHLRTLTRITEY CRKQEEEGVSSEDSLGNLDADMEEVIAIVKKQKRLHHKYSNEQKLTFVYYNRIKLFNT AKSGCLAGGIAKRTAQKWAKKLKEDKDWNIFEKQTNLVNRLKPQLDERHKVHLLECYN NCPQAQVLDAMESLTQKFSDLTVKKSTVHNFLKNECNLSFKKLTHLPVARNNSDKIQA RKNWVIKWTATDMNYLENCVFVDESAFDRNMRPPSEWSVKGTPAITTTPTTKAVSHTV LGAISTKFVVAIELRNPQEESSKRIKIVHSGSKRKAPTEKKKKSGATRIAEACNNIPP EHLNAFAQHSGNCFDICLRGDPL PHYBLDRAFT_107936 LIELEVNDRLGKKVRVKCSPSDTVGDFKKLVAAQIGTEPQKIVL KKWYKEFKDHITLSDYELNNGMNLELYYR PHYBLDRAFT_157504 MESHGWINVGYAQKSRTNEPVKNKIKPIQKMTDFLLIKCHCAKV FLSPPPCAPLDRNYANMIQSCQDWIVIDTQSQYYPIIRYCLIKNVNKQ PHYBLDRAFT_157505 MAPNFHYLKNHSLYLRNLIIRCCLIRKTNSVYSINGPEYRLDKE IIINRKYPISIAIYAKDYKELLSLDCGAILFDILLFIDLFTRIMSLSFFHLNIPRVQQ HQLEPDRVISCRKKKATICGPV PHYBLDRAFT_163377 MHNASEVLENRNRELLILLRKSLQKGNSTKESILAAKAMPLAFI NHGEISLGEEEDHYQLVANSLRSTLVNSFECDLKIQCLHSIALIAFIGASDIDTQLLR DFIFDIIETGGEEVQLEGISSQQIEHLTCAALRAYGLLYISTFCEGAVDFDVLWEEVE KVVPVHEMLLESSEKDVRVAAGENIALMFESVRVYTEHIEEEEDVDDTEWPEYDNMDG LIHTLRDLSVDSNRRRSKNDRAEQKSVFRDVIKSVEEGTRPVEELKISGRILTFRGWS KILLLNAFRRAIGQGLQQHIKTNDMLRQVFHYSCRFGTGSAADSDDDDPGELSNVDKR YVYDKREKLRTKQIRSARNGKELLEI PHYBLDRAFT_13677 MAEYLASIYGTEKDKVNCSFYFKIGACRHGDRCSRKHVKPTFSQ TILIANMYRNPAHDPSCNMTEEQLQEDFDLFYEDVFTELAKFGEVEEMCVCDNVGDHL VGNVYCQYRYEEDAGNAVESLNNRFYAGRPLYAELSPVTDFREACCRQHEIAECNRGG FCNFMHLKHPNRALRRELEEAQRLTVREKRKETRN PHYBLDRAFT_140362 MSSSTRPSFSRTPSIASSIQYNPKRATAQTILTNCTLHDILTNP RLLFSFERFLRGTWSHENLLFIEAMSQLRHESDPKIVETTLHRIYKTFIACGSPLELN VTTQAKVKSKIDSMQWAILSRKEAVSILAETEDQVLTTLNAKLAEYLEASKGSPVDTA VSLSLPQKLQKKVVIIGGGFTGFTVGSILDPMHLFHVTLIDTKDSFEYTPGIVKKIVN PSQSSSLRVRHDSYILNGKVILGHVEEICSDAMSLKVNGDLISFDYLVVATGSSYSSQ LKSTDISSLYRLSGLEDLNTELQNARKVLIIGGGLVGCELASEIADFEFPGPYPKKSI TMVESHSNVVSRSDVRQQERAHDYLVNLGVEIVCNERIVDFDSAEANVYVGSTGRVYQ GYEKVFVATGTRPNSDLFMSSTSELSLESCLDIWGRIRVKPTLQIDHFSYQHIFAGGD VTNVVEEKTGYAATIAGVCIARNICRLVKGKPALKQGTKGTLPAPDKPLHGMKAHGGI GKQSLNILKKKFSFLNPSWAALKYFNETQFTRIVQGQGSMSSHILGRLPRRLMLPSSS NSPTFPTASFSTLSIATSPSLFTQSECSLDTPKDLSDRTTSKMLCTADSATAITATIT TTTSTMAGCSSNRNSCDSCGRKSTSRCSSCDNDSSSSIDEYLVEHFTFGGENIELFAE ERRRSIKAAKEEPPLSPRIKSRRTSVVSSYSTCSNKNSISPRNSASTPPIHA PHYBLDRAFT_99338 SPVRVFIQTIKDQMKKNKEVQDGVKTLQDESGKIGESDTLKKAR ELFEKAKEQQSAQSERLKQASEKITQTASKVGESINESYKKAADTDFAKETAEKLKKA AENIDKAADPLRNSAAAGKISEGFQTVVKDDSGRYAGFVDKETRRKLREQAQAEAQGG GLGKKKVVEDPNAGSGIVLHKDSKWKESWSKFKEDSPIMQGIFRARKNYEDSDNIFVS YSRAFTDRVSETFGSMFEESDQAQAIRAFQTIDPTFNMEKFMTEARSYIVPELMEAYL KGDVETLKLWCSEATYNVLTALIQAQMQQGLVSDCRIQDLRDVDLVTAKILENDVPVL VLSFRTQEIIVFRNAKSGEVVFGKEDHIEQVTYACVLTKEPEDLQNPVTGGWRIIDMA KHDSR PHYBLDRAFT_69797 MKVHYFAGYNSSIQTLGNIAKYVEFRGNRCVVLAPYSSGLNQIE KSWSVAKSKRHGSKSTVLVETTTVTVEFSSFTTTGSRQVSFSRFMVIALFSALFVNGG VLKKKVYSIDDTSSIIRQELIKNTFSRVSVVAHQLKIHVFIKDVVKIPKTEGIQRQSP RSRLKGVGPEPVILRHGYPTDAVATGMIYCKIDIIDEYI PHYBLDRAFT_163381 MASLFHKPVHSHTHAYTHEHKLPSISHLLTLSPRPELNQRLSPE HHQIPSISSLTLPFRPVSPPCEDTLPPLQGDDPPQRLVRSLQSQAQDQSIQAGPVESP TITPAQSPVSQPPALKRRRGRPPNLREPIWEGGWVFLAPTVWEVNPGRPTSASISKLT PTHPLLHTYSHSHSHSHSHTPTPIHTPTHTPIPKPAPAPAPAPAPAPAHTLTSTLIPT TQISKSPSKSPSPSKSQPQPQIQASFTSDHPDLHIPRKKRGRKPKHHIVGHSCFVWKE LTSTRAIKPKKVCSSVPNNLRLIRKAPEQAVE PHYBLDRAFT_163382 MSKQETLDSKLSNRAELQELVDRNIIKDPSRFAPAIQKQVDDLA RRQIEDNLRHKIDNRPTRDELVENNILKDSSVAPALQQSQAALEKSQLQDKMEHKIHD RPDPALLVEHGILKGDDIP PHYBLDRAFT_69796 MWLSSLKTLWVATVLIVSLDSIRAEDSFQDVNAAASLARRVLED ANIGTLATVISPYVHYNYTDLPFALLEYYSVQCSSTGDPLLYMSDLEVNAKNMHREPR VSLSVRALQDYNPGGSQSPIQQPRFSLLGEIEPVPESKFNIALSCFLAVHPEAAPWQR FHDFKFYKLKVSAVYYVGGYGGLNYIGWIPLSVYQQAQPDARMKLQT PHYBLDRAFT_163385 MYDLSFYNKSIKRHFKKKILMNLEARSDENDNGFKETPVRASAN VPSTNKCLQMIHFNAFKNENIKKKRKQSYLVKAQKSKAKRWVTSSSSSYTNGHSTASS KACPNHVLSKQKVVEANLGSNYQAFNRKSALDTMINNACRNTLRNRIIDSSIYIQQIG IKAMLFTNYFILENLEPIPDCIFKQNYCRRTLKYCYQFVCGVSPKWENCHSLSEDLKE QIKDICLPLKGLLPMEATLVTLSEQTRLPLPRLYDLYPNPSMHVRSIAISINGIRILL SLSLPRAYNDQLKLISPFHSLQLKLSYFNYQEVEQEYMPVFIDPDRAEKERELNKIKS SIPTPEITSEFL PHYBLDRAFT_69793 MKNNGDITFESLTQVWTKQDKWKPINGIFGKDDIKLKGHRCGVA GKLYKSLKKREAEDKLIVIIIDKFKTSKTRSLCFFDNMKIINTKLFKGVAVVSCKQCN KVWQRDSNADKNMMSISESIWPGERRPDVFTPKKK PHYBLDRAFT_163387 MKFTLIIFAALATIAATADPSSVAEDASAVADPSSVSSSALSSI IPSHASSVGPSAVASSAIVVTPSKPTGVSSSGNPLNGATATASISVVGHDANKDSGAT KLALSALAGLLVPAVSIWCCTCPPSRWMNSGHSVVMDIIRIPCTSRNNIDVVTEHLVL VLSLT PHYBLDRAFT_69791 MTTIVPTIQPSLDFAAQNDQLWKIIEKQRLIIQNLQKSLVKVTA ERDNLLKKSRNHDLDDELTSTIIPEVDNNHHHIDNLERSSLSSSSESLQQPATYPEFS EAILLGPVPPPRSPYRQNSSKDVHNSTILHPNTPSAPAPVTFPALAPPTPSAHHHNQQ QQQQHNNNNNNNNTNISTSYNSTIPSNSNYQQNLTHDKDTQPHPVNQTHANRPAPLKL TLSHTIAATTNTSTSTSTPTSTSTSTSTTTAPYSSHSHQSNHHTREKTANDHAYTPTT AGHEYSHNIVPAHYNALTEDTESPAYNVSCDKDLRAITKPQVCSSRNEDPDGLSYSSL VHEKPQFLAQVHKPQRPARVHQDHSSGSVRTLVGQELAAYALPSPMPFMSPEVHGTSV VQHNSSVDEDRFNRTQPNEFRRDFDGETLVSTSGIILSPTAMSVPITTAASMAAMAKT VTKPLPKNPFFSNMAGISVKVVGSSIKTNEKGKEAVYFIIAVIKTPDFSSSTSEELWR VEKQHSDIVTLDSQLKLQVRSAAQRIGKLPDKALFSTHAPNKVDQRKIAIEKYLQNAI NVSVDDSNWLCEFLSTNVVTDQRHPSHPLGHKYGYLTKRGKNFGGWKTRYFSLEGPEL HYYDGKDGPLLGTIRLYSAQIGRQTSAPQTGTDSSSDNRSFRHAFLILEPKKTAPNGV NRHVLCASSDAERDQWVEALAQYVNRQNASSEEENIKRNNNQKASKKGDKARKARSSD TVPTVPTNTKKTSYLPRSSSDTTLYPSESLSPSDATSSNTLTSIREKDIQALRDRSSA DHVLFGQRQQFSKRNSISFPPREGTDELFRSSDYLSQISTTFDGNNPRPFYRSGTTDS SVEQDAKKAKQMINRRTFWGKKIFSSSSNEVLQTDIFGTAAPDSASSLGSASVTTATT MAATLTAPAAITSPSTSSSTANSNTNQSGGLRGLLSRSSSETAERAMADNKMSSTEMF GKPSRPVFGVPLEEAVRISRISEKYELPAIVYRCIEYLEAKDAFEEEGIYRLSGSAVK IKSLKDQFNQEGDINLLESEEYHDLHAVAGLLKMWLRELPGNILTSELMNDFMLVIDV IDRNERITELGRLVSLLPLANYTLLRTLSAHLVRVVQNAEINKMNMRNIGIVFSATLG IPSGIFHLLLTEFSYVFWTVNINNDDDDNDNDDSLITGGQYNYKSNVPLYQQNKDLYG LSSAQPELTLIEDSSKLHISRSMAEETSRSNRNSRSYMDGAPQIIVGLESQIELIGPL QIENNDICLDLSDFDCSENSGVSGKKFDFFKDVIEAGFPSSRQTVHNISDLTGTTFPS GARHFYLTGLINCGYMSGARCLSLNLQGKMGVDPGITGLMTAVDSLEDQKRQIVVTLN EYYHICGYCCICDTLISDIMYEPIQKYLSKRSL PHYBLDRAFT_163389 MTRSTTIITQIAVEIQSILKETKRGVSEICQRLLVGIQKCYQGS RIGIKTAPKEKVPFTTQMCPNTHSMKGKKAAPVKLIKKKIMQRLKNLVEVCFVDEYII SQVCNACKNRSLYNIAAAASKQKVHSVLRYSNTNCDIIWNRDVNAAKNMLD PHYBLDRAFT_154347 MTIPTERQVLPSNVRPSHYTLTLAPDLEEFVFEGRVKIDLDVND ASKTIVVNVQEIDISSAVLSVVSGETQTSTKITYDEDLQIATLYFANEVKAGSKAVLD ISFKGILNDKMNGFYRSTYKDSEGNTKYLASTQFEATSARRAFPCWDEPAIKATFDVT LVVPTELTALSNMDVVSEEVHDSKKDVVYRTTPIMSTYLLAFVVGPFEYIEAFTSGEH NGRKIRSRVYTLPGTAYQGRHALQVCTEALEYFAKVFGEPYPLPKMDMVAIPDFDSGA MENWGLVTYRTVALLFDDERSSIETKKTIGYVVCHELAHQWFGNLVTMEWWDHLWLNE GFATWVGWFALDHIHPEWDVWTNFVTDSLPSALVLDSLRSSHPIEVAVKDPKEVSQIF DAISYLKGASVIRMLSSWLGVETFLEGIRQYIQAHKFSNASTDDLWAALSDAAGVDVS EFMTLWTRRVGYPLLRVTLNGSGSITVTQTRYLSTGDVKEEEDDTIWWTPLNILTPEG LESYTLTDKSQTFSVPSHGIFKINAGQKSIYRVNYPLETIEILSNEIKKGQDGLLKDS SDRIGLIDDAANLCISGDQSTVAFLRLAQNFENETDYFVWSQITIYLARIKRVWGYQP TEVQDQLTALTRHLFVPVINRLGWEFHSTEDDITKSLRVMAIDQAGDSKDPATIREAN ERFDRLIKGDNDAVYPSVRGEVYRIVIKSAETEEEKHQRFEEILSIYHDESLPNDQQL NALKALGSIKSDILLKKLLQMSLDEKQVRAQNIFSIITPIARNHESHALYWEFFKENY YLFEKKFVNSISTLGSLVQLAIQGFVSLDKIKEAEEFFADKEKSPYVRPLDQALEAAR VNAKWLLRDHDSVASWASAYAVATQV PHYBLDRAFT_79613 MNDNGYVNWSDVKETWYNQYTNYGEASLNVIRHLFEPEKFFAEE STTVNMPIFTGKDPITQERVFLIHSLVCAQLQQMLALVTNRRGLPDPVMPSFQRQNYI ELSPCNIDNPQAYKKMEKEVAKMNKGRDRLRVAKEELKLAHALIEAKQYVFVSLDIEA YEEDHSILLEIGWSIYDSKTDLYMDQHYINSSYRHLVNGKYVDNQKLRFQFGTSVWCT LKQALEELRKDLDWAVERDGGFVLVGHGLDSDIKYLATQKFKWPGRCINGSGSGSGGR GGDVDNVRISAAVAILNTDTMYGASIGNPTNPPSLGTTLSKVGIDAWCLHNAGNDAHY TLLLFMTLINSTRATHGSG PHYBLDRAFT_130609 MANPLSSQPLQVRVLTMAKNLQFLWFTGHLLTLFGALLYVISLV TFSSSTKGYTMAYIGAIISYGVVIYKSHGIPQLNAPFAQKLAMDENAQYLLLALYWMF SSPISVSLVPYVSFSTFHALGYVRTSIIPTIFPVPAGSTTSWQPKTQLQIKTWTDKNY GPGMRFVAQIEVVGIMGRLILGLFKLRVMSVFVFAQFLRFRFHLSSYTRQAFTELRTR LDSLILPPTADPRIPAVVPKAYLTIKDMITRYGQAVVQQQPAPQ PHYBLDRAFT_163393 MDIRNLLNDQEDRDFLENASLSSFSSNADSPSGASCDSDKPYEC IWDDCGKRFSRRSDLSRHRRIHTGERPYHCEWAGCGKQFIQRSALTVHYRTHTGERPH VCEYTSCGKSFSDSSSLARHRRTHTGKRPYVCHYPDCGKSFTRKTTLSRHQRCHDPQW KSFSMTKPSPSNNYLPASPTTSCDSEGDAPPSPPATPLDCFQYNRSSPVLVAPIVYRP LATPYHQQQHQQQHLHHHHQQQHQQQHQQHGYNYPEKPTVGYPQQRWSAPQPSAFRQQ PAMNDGPHYPYYQQPYTTHGMRTQPSSYSYPIEIK PHYBLDRAFT_69785 MAWFFVSIFVREKMDEKIVIHSHTKKFYASVFPQFLELFSLSQD VYTRYPYVIKVRVTYRFFRTRSDQNQLWSMLMIVTRAVRKIFQNRYQKLFHIRGNSYN SKERLSDLLPRCPWRAEYLNE PHYBLDRAFT_163395 MVSNLQERSFATKIFVSPCSWASSPLVSRDLQGNSRAIMDELSV DGNTQDLLAYLKSVHHDVCVVTIDFSGITTRSEDIVKLVEANPSLKRIAVETFSQCNE VFIFDTERLVKDNNLLKKLRTEIIVFKDQNKLSIVSVTRKGFL PHYBLDRAFT_130614 MKTNEQTPLAELNPETQKVNRKVFMKKHDTSVKPVPVEGERNIL ITSALPYVNNIPHLGNVVGSTLSADVYARYCRARGYNIIYICGTDEYGTATETKALEE GVSCQALCDKYNAVHRSVYKWFDISFDYFGRTTTPKQTEIAQDMFLKAKANGYLLEQT MTQLYCEHCKRFLADRYVEGVCPVCKYEDARGDQCDACGRLLNASELVKPRCKLDGHP PITRDSNHMFLDLSKLQDKIEKFNAKVNVEGKWSSNGINITQSWLKEGLKPRCITRDL KWGTPVPLAGFEDKVFYVWFDAPIGYPSITANYTDEWEKWWKNPANVKLYQFMGKDNV PFHSVIFPGSEMATGDDWTLVHHISTTEYLNYEGGKFSKSRNIGVFGTSAEETGIPPS VWRYYLLSSRPETSDSMFTWNEFITKNNSELLNNLGNFVNRAIKFVLAKYDGVIPQAN LSGESEVTMIKDVNVLLAQYNDQLENVKIRGALATAMAISARGNQYLQDSGLSNSTFA EQRGKCDAVVNVAVNLIYLLSAILFPYMPEVSENISRQLNAPLRRIPDEFSLDILPGH KLNGSAYLFTQIDEKMEDIWKQKYGTSAK PHYBLDRAFT_24435 MSALRSQFGAGLKELRVQFCQTSPSSSGLRDFIAKNYSSIKSAN PNLPILIREASGAEARIFARFDKGIEQKIVFQNKASEEIEKTLAQLVKSA PHYBLDRAFT_79610 MTTLTSASGIVSLLDEQQTDLQVYALQKLNSLVNVFWAEVSDSV EKIEILYEDTNFPQRELAALVTSKIYYYLGELDDSLTFALGAGQSFDLSEKSEYVETI ISKCIDKYILLRTSKEDAVIDPRLQAIVERMFQRCVDDEEYEQAVGIAMESRRLDVVK AIVEKGPANKLLSYVLDVCMTLVQNLEFRNQVLRLLVTLYQGLKEPDYVSVSQCLVHL NDPSTCAEMLQSLVKKGEELMAYQISFDLEENATQEFLSKVSKALQEEPTSGTEDTNM TSVDSPYKKMRSILSGVESIRLHLEFLYRNNHTDLMILKATKTALEPRNSIFHSAVTF ANAFMHAGTTSDEFLRQNLEWLSRATNWSKFSATAALGVIHKGQLEQSMNLLAPYLPQ EGAASTSAYSEGGSLYALGLINANHGSDILGYLKNALRDATGEVIQHGACLGLGVAGM ATADEGIYNELKSTLFSDSAVAGEAAGLAMGLIMLGTASSEAIEEMLQYAHETQHEKI IRGLAIGISLIMYGREEQADPLIKQLLDDKDPVLRYGGIYTIAMAYSGTGNNKAIRRL LHVAVSDVNDDVRRAAVTALGFILLRNPQQVPRIVQLLSESYNPHVRYGASLALGISC AGTGYLDALELLEPMAKDPVDFVRQGALIAQAMILVQQTENTNPKVSGVRKEYEKIIA EKHEDPMSKFGATLAQGIIDAGGRNVTISLVSRSGHANMPAIVGMAVFTQFWYWHPLT HMLSLAFTPTAIIGLNKNLQTPRFEFISNTKASLFAYPPALKPPSATVVEKVATAVLS TTAKAKARAKKSEKEKGDQMDIDKEPATSVKEEKKDEQKEERKGKKKKEDNFSVLENM ARVMPAQLKHISFKEDSRYVPVKKGVVGGIIMLIDKKPGEEEDLIEPSALSGADAAGS GSVEEEAPPFEPFEYSFED PHYBLDRAFT_157511 MKTSSSTLYDKDQVKKATHALWETYNDPEKPAFNPSQELWLNMT IKEASPQRSSKPKKISLKHAILNEKDKVCLITKECETRYNKLLKNEKSGYIEKIIGIN TLETSYKSPESRCELASMLSIFFVDIRVVGQMKKVLGESFFGPNRAPIPIEIPRPKKI NSTIIKHLKISSIMLSNGVTQSAKVGSLRMDEREAFDNVCSAIPGFVNIIKGGWENIM SITLKTDKSPELPLFISIPDKYVGGEDKNKT PHYBLDRAFT_163399 MQDANSSENTHGSYEKADPYKYGCIVYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVPFITEEQLHVEYMP PHYBLDRAFT_33420 MSLKSGEVNLGTSIMAVQYAGGVVIGADSRTTTGSYIANRVTDK LTEVHDLIYCCRSGSAADTQAIADMVHYYLQMYSVQENERPSVRTAAALFQELCYQNK DNLMAGIIVAGVDKKNGPAVYNVPLGGSLHRQPFAIGGSGSTYIYGYCDSKYHDNMTR EQAIEFVKNSLSLAMSRDGSSGGVIRLAVITMDGVERLFVPGDQLPVHWEG PHYBLDRAFT_176814 MSDNIAHALSGAGGGIVAMILTYPLVNISSRLQVQKNDNDKDAY KNTLDALAKIIAKEGPKGLYSGLSSGIFGIAITNGVYYYCYEAVKAIFEKTKPRGQSM SASESMLSGAIAGATVVLATHPIWTVNTRMTVRKGMEGDNSNKATSKSSSSSAFAVGK SILHHEGIAGLYAGVQAALMLVINPIIQYTVFEQLKSKISKTRALGSFDYFLLGAVSK LCATGITYPYIVVKSRMQVVQEGEERYSSIIDAFKKIISKEGISGLYKGIHSKLLQSV LTAAFLFMAKEALFDWAVWALVLTGARKARIGSNKA PHYBLDRAFT_163402 MNSNPPTTGAPNNYDRLGESSRAFIAHVKQYFHNLPLVTFSLLV ATSVIALVDSFGRFRNFYHNGLFTQWLYLSVSKVINKGQVHRLAVYPLASPGLSLVIA NLLLSITYMAELEKRKGSLKTLWILICFLTVIPGIGYVFFVSVFAYMVKMADGELQHT LCAGLSGLAVGLSVWSTLEDQDEEEVQYRMLFGVIRIPRRLVPVLTIAFYFFLAPDTS LILHICAAGSGYLYASKQLPAFLLPSDETFQRQEQEGWFHRLTNRRGFVSVDDIGPYL PISTTNTEPIHSVPGVSTPFQGQGTRLGD PHYBLDRAFT_69776 MTDFSTLVQQKYDAALASKDLLFFEAETVKKDTRGINFEITCVP SLGQKPKAKDNDDSKEGEKKEEKVDPFLSPNPNLLVEEKDQHLILLNKFCVVPNHILV VTKEFRKQTEPLFPTDLYETWVALTTAYGKTPAVAFYNCGPNSGASQAHKHIQIMPLR HDGPQPPLLSAFEEIKDRKAGQIYTIDKIPFIHVITPLDRPFIDSSTSKDELEDYLGQ MFFGLLDGMFHQMRQHTQPTKTSYNFVMTDQFMLLVPRSKESAILEHDGKAFEISVNS LGFAGLLLCKSDDEKKALEAHPDLLEFLVQLGMPWSNIVQPAEPAGEGGLAQ PHYBLDRAFT_69775 MTPSSLSPHYTAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDDKVQLIRLPVASPSAVKKFSKVSNEEPAHLTTETMPHDFS ELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_107337 MATKRNTQEAKPVDLDLDEAITRGWELPDINLKEIRDSIPAHCF RRDTFRSFTYVLHDFTLIAIIGYGATWIDTLSSTPLRYLLWNLYWIAQGIVATGVWML GHECGHQAFSPSKTINDTVGMILHCGLLVPYFSWKFSHSRHHKSTGHLTRDTAFVPRT RSSYGLPPLNEDPEMDGPHSVFEETPIVLLFDVFKFLFFGWPYHLLTNAAAQKGSGWM SHFNPNCEIFEDSQRAEVFQSIIGVFSVIGGLFYASSIYGSMAVIKFYVVPYFYVHAW LILITFLQHTHYDLPHYTAKTWNFQRGASLTVDRSFGTILNHFHHHICDTHVAHHFFS NMPHYHAEEATAHIKKSLGKHYHYDATPIPVALFSTWKSCRFVEDTGDVRFYKN PHYBLDRAFT_107279 MRFEGIYRKSGGAAQIRSIQDAFDQDNSLNLCNENDWEDVCAVT SVLKQYFRSLPQPLFTEELYAKFISSMDLQDERETLERLKYLLKALPIENYDTIQYLL LHLDRVQQMSKYNLMTIKNIAVVFGPTLMQNMAEGYSMEDTGAKIQIVQFLLQNVHSL FEPNVRLPSIRKRSSRPQSSSLFQGLDRKQL PHYBLDRAFT_140389 MSNRFIKQKEPAENDELLGFKAQPWHRWCVLFSFSFLSFSSALM WITFAPCLYDFVEYYFQSSTTTTINAISSMSSIYMLSYPFVIHFTFRYFEDYKTSQGN VPGNGLRRGILIGAVLNACGAIVRWLGNGPSPAGFAFVFLAQAFILSIPPRLAVAWFP ENEINLATAIAVSCNSLGIAAGCAWSPLAIQKETIEEDIPRLLLMQCLMCLFVLLLIC LSFRESPPYPERIQNEASSSEQIAELWKQWPFIYMLVAYAISMGAQCTVVTLLAQIIM PPFEGRVDERYIGLLGSMMLLVGALASVFTGYYLDRTHEYRRVCNMLFFITCLSGLGL SVATEQRSLSGIVVSCGLFGLSSSAIIPAICQYASELFYPVSEIIPAGYLFTVGNIAG VLMVAIMGWSQDEGAKYSMRLPMLGLTLFLFIGAGFMVRVNGQLKRSNASLL PHYBLDRAFT_179511 MTTQVITTSQTKKTEQVTVVVESYRKELTVIIEQARTEGVKHCT STKDREEYLAQLTIIEQTASTQTVEVEHVAIQAIENGTDVKSQLETLAKSTTKKINEH FDDLKNRVKFTSVVHAEAVVQKTKKAASIVEAKRVAATGWFSRLTETINSRIQEGGEN VKEDIAKITEKAEEEISTVLKEEDEEDDETIKGSVGTALVSIKDSIAAQLTQVKNVIT ETTDTSALQEKFTEVSEKTKQEIDTTFTTVSEKVKSDVKQTEKEKVTIQVEDVEVVDT TVVDTTEKANETVKSWYNNLKTKLSKLVDRDSEEAKVEADVIIAEAEVELKEKIDVLK KTSKTESNTETTEQIDSYFGKLTNSAKSQLTAVKTAVSDNVFQDKTTFEESMTKNETK LQDEITSHTEVVKNETKKHSLGKQVGKVVLSTAAVAAAAAVAYTTYKDHEDKKCAEAI KIQQKVQVIEKSTVEEVQKTVDTWYTTLTQKIIVRTEQGGENVSQDVTVIVDEAQADL DKTLEKIQHGCIDKAENRQSFYKTIQWIRTTTVTQTTQIKTLVTKSTSTTSSVTLKNQ IENYTLISKKQLEKALAVHVSTESTQVTKGSDEKTVVVVQETQEQIVERTRVEVQVVV EETKTTLTRWLDTLHEDIRVVTRRGGPNVRQEITVLITEAEKKKAVLIQEAKLKFVSI DQTSATSQTSTEVTTLVTTAHKQALDCIDNVNATVEFQITLVNEAISRVDVEEYQVVE ERLTTIITRTKERVFHILDNATHTAISAAFEGKTTTWVETTELPRSFDNVRAFAFDVV DTVVDYRKSLSITWQKIVLSKKESKLSQFDFSSFVARWYILFHQHKLDHVHATDDEAI RAVLVNEFKTADIEDLVSESEIDQLIVTWHNLVLFGESTSAIHRIKKQQNVRYKTVAI SDTLSTSSMVDLAMSGCMCWHAQFSSEMFGQFSKSSTDGLVSGTSRFLGLQSPQQLAV VSANPRVLDAAKKAGSLTVFVQRDESVTTTAKYDLEIDGLDVLAESVQTFLEHKQVTI ANEENKPARGWFQRVISKTADAFDGIVG PHYBLDRAFT_163411 MDLNDANQWRLGYDKLWASQLDDPINCLAVGKPFLEELSEENDV LVGTTAGRVLILNQTKPVEGLLDTKGNSIQALKLHDLTGYGALDLVVGDSDGVVTLFS RQQILSKRELGSAVMQIEIYEDQAGGYEIIAGDMHGTLTSFQQHDALWKLNVAEESAK VATLGIAGRRSPYIRCVLSTTLKDLSGLNVSCLLACDGWPFVHFVQEGEKIMTLRVPS IIHSMCAGHFLTTSTEKSLNDQQPKAIHAGQDVKINSKQVLLAGEDGNVYILVNFEVY LWFTVGICLTNILTFRPSWLLEEEPDIIICAGQSNGVYIYHGRKMVGEITTADWPHAM TMGDVNADGQDELVLGLLDQTVEVYRLNQIKSKDEMMMSC PHYBLDRAFT_163412 MLSSCSIRRVGGCYFSRRWLSSQNDIPIYSTKEPLVDRKSVFVA HVAQVQNVDQVRVVRARLLENKKIAKATHNIMAYRIISGNQILERGDDDGETAAGGRL LHLLQMANVENVMVIVSRWFGGTQLGADRFRDINKCAQVALKECGHIKGKHQ PHYBLDRAFT_163413 MRRTRFWMDKIMERENLKPLGPPTAFKDRILVKKTMSDSYIEEF LPFKSSPALLDEYIFADGRIRTGKLLEGVVNYYYFWFIYTVHYLDSLAGAIAYKHVDT GDPDAPPTTIVTASVDRLDLMMPDAVENYKLSGHVSYVGNSSMEIFIKAETVGEDYDM RDNEAKTVIKPEDIGMLGPNTILATRFTMVALDSYTNKPISVNPLITTSSAERRMFEF AKANKRRKQKTADNALTRQPPTPDERLAIHDIFLQYSQYCDTSEDTFTSTTLPDGRMP LPENLIWMSDTRLQSNFLMQPQDRNIHNNIFGGYLMRRAYELAYAEAALFTNTPGNNL LAMDQVIFRKPVHVGAVLNLKAGVVHAEGYPHRTLQLRVVAQVIDIHSGKRETTNVFY FTMACTDDAVKVKRVLPRTYAETMLWLAGHRRRIQGIKARQLLLDELSDHYHGKL PHYBLDRAFT_140395 MDHGSWIMDHGSWILIFSCGFCGSGFLAVFSDRCQTSDTMTPCL WPKNGNKVKKKHPFFTFTFTFTPHNQHSTMQILSIQKRIISKCQRRQSSTTNTTSRVS TFTSSMDQCMKGLASVKKAFSCNKVQNTVAPSMISSSSTISDDSFVSALSDDENFSKS CPVSEKSMALDSIIFDHPSVTVRIRPAAYRSS PHYBLDRAFT_185164 MYKPILGLICLALAGTVQAIESRYGQGCAYIKHSREIYCFGGEP FSSASNDMPVFSLDITDKGTMDLKTLEWKTIPKASGDVAPQAASKFMFSPTGDDDSIY IEGGKVCPGCPPNYGYTYSTSKKLWKQMNNYKPIVTTPAVYANGAIWSFGGVTDSQIG LPQKITTLYNIAVKINTTTWNQGYYTSETSTYPQATWDSSMVYSPLDNLLIVIGGYEY LEKSVLVKMDDIIAIDIGEKKYSKLTGTTSLSGSLPESRHGHTAIMDPTNKFVVMFGG CDSNMVGMNDIWLYNIESRTWEKKNTYGTPPSKRCRHSVVVVENYMIVLFGEYLGYAT DDIAMALDLNTWTWTSQPVFGSQTNKVEKRGVEEATTTVESSGLSTKATTGVIVSVIF VVLVIIGFMVFCFMRKRNDSRRRLQFAQMADQNTYVALEK PHYBLDRAFT_179514 MQSRISYPVQKRALGIPQDLSQKQRVAFHQQVSDNKKIVDITVK NPEEQKHESNVSDQTRQIPMSFLPTNNTGIELPRRKSGRLASRAATPEQKTLFDIINP QEKTPTPTPTTNRNKNNIETEATNKAVGKSVNILPRKNALAQQTLLNTTKRAKKQHVN ENIEEPIEILQTENNASIETYTVVAVVEKEEEEEEEQQKASSSIEDTSVKAPEIKERV EATFVEPQTIISASLSSPIEKEIPLNVQIPILDNNSDAVKAQDQQESIMAINQTTTIN TDNRIEDEKLTLTRLRDALDIKLTFHAAQNQPVIFHKIQQSLCNSTRKNISLSHIAKL IYLAPELYTIQAKALRELGRTIEAYLLEFGSTWIPPLSGKSLQDRKEILASKIKLFFG ASPKSDVSVPEAELPKIDTIVNKDKWLERANLPASVRAVLEKQEQRKEATLAAQGPKQ TPVGTVKDRASALLERLRAKAAAKKK PHYBLDRAFT_179515 MNSEFDDVLTNQPVVIDNGSGVIKAGFAGEEQPKCFFPSFVGHP KHVRIMAGAVEGDTFIGRKAQELRGLLKIKYPIEHGIVTDWEDMESIWQYIYTDELKT LSEEHPVLLTEAPLNPRANRDTAAQIFFDTFNVPALFTSIQAVLSLYSSGRTTGIVLD SGDGVTHAVPVYEGFAIPHAIRRIDIAGRDVTEYLQLLLRKSGYNFHTTAEKEVVRII KEKTCYIALNPVKEEKETSGKMDDFQLPDGNIIKLGAERFRAPEILFQPELIGEEYPG IHQVIVDCISRADLDLRKSLYSNVVLSGGSTLCKGFGERLLSEIKRLALKDSKIKIYA PPERKYSTWIGGSILASLSTFKKMWVSAEEYQEDPDIIHKKTF PHYBLDRAFT_163418 MGNIASHHVQALNKTQTDTRFYPPRETNSGTKRATSLPAELQPH QKPFLSKFGAAKARIHRTFRPKPKDQTNNKKTKKGEKHSKKNSQSSSSLIELDQSQSQ SISDGNRRYSHHRDSKYQYPIDEQEQDRLIQMHFLLKQCFDGNFSAPVKNLLSRTALT SWDSSSINRVSWATSATSQSTQSSIRNTWVRQSVPPRVLDIACGTGTWAMEMAVDFPD AEVHGVDITDTMYPLTIKPPNVSFIKADVLDPKGLPYPSEYFDYVHMQLVYACFSRPD WTTIVKEIRRVLKPGGYVEFRELDPILHNAGPVTEAFLGSLTKGMERLHGINSFWSRY LCQYIERPGDMTDIHHEIVSVGVGWGRTVADMTYEAVEEDFRAVKRLVRTALSISSEE YESRVTTMMNEMRAHKTYENYHMCWARKPLLDLDSIFYDRQQMPTNIMINNSSKNIDI DSNSSDKKKKNNVDYSNDNILLQQDPHHLHQHHLYHNNINNCLYYQLNNPSRMRRMSS MSCLEGKMKEEERRRNDTVSDIYQFVEGYNAS PHYBLDRAFT_1063 HLVDLFDYKQTVCAETWRVWEEISSEFKERNLRVSYFNSTPQGG GVALMRHALLRFLHLNGIKVHWYVSRPKPEVFDITKRKFHNVLQGVAHPDVCLTEEEK QIFTDWSDDNANRFWVDNKGPILNSDVIVIDDPQLSGIIPHIKKHAPNVRIIFRSHIE MRADLIREYPEGPQAETWNFLWNFIQHADLFVSHPIKNFVPDVVPRRNVVQLPACTDP LDGLNKPLNGWCMAYYRGVFNRVCVDQGAMEVNWHRPYIVQVARFDPSKGIPDVLESY RLLRERMEAEGWEEYTIPQLVICGHGSIDDPDGTIIYEQTHEVTQNASFANITEDIIV ARLPPSDQLLDMIMSGAHVALQLSHREGFEVKVTEALAKGVPVVAYKAGGIPLQIKEE ETGFLVPIGDVVGVAEILFKLFSDSELHERISTAAKENLTEEYFTVWNAMSWLHM PHYBLDRAFT_24413 MVFVKTSFAKSFSIVSAILLSLSVSVQAGCAGELKASSQSELDS IRGCKVYSGNIVVDNSGAADLTLNGVESVEGDLIFSGNNGLLRLTMPVLQSVNGRLSL SNNKLLASIDMRQLASVRVFEVSVHPALNSLAFPAGLGSAERFSVTDTTVTRIDGLKM PAVGELVISNNIYLKSLSFGNMTQLTGSVTMAANSPALILDLSSLINMNEGSFRNVAN VAFDNLEKISGDIFFISNSFSTLSLPKVAGIEGTLTITDNSQLSTLSMPELTHLGGAL SLGNNNHLTSVDAFPKLEEVDGTLDITGTFDEVILPGLMDVRGGLNVQTSSSHFSCDG ISKLKSEVIKGNAYVCKSAVSKPKSGMPGKNGSSSFDESSSATSIKAGWVGIMSVLGL SSVYLLA PHYBLDRAFT_69758 MIEIVRSIQFTFGSEKSRKSESEISIIQRNLDHVKSRRHNILNG VELAKEDEKEPPFDYESIKDKQDMLFRIQESQLELSTSEKNTELLTDAVAKYIISVGN YRCNIMTFKSHYERLASELKDLKRLLRNYTLFDFAIQKKPKNIKRCSSESNIVFTQGA RKEPGFMSLGDRIKSKDANGSMTDTLSNQIIDMSNKLSALIDKQSRTVPDYQDDHGVD LEKRKMLSSGPNGIDCNKISEPHPHKTVPAKRPNFEYLINKIYFHISCGRQNILHQEY IGLIPKIKMRTDYAI PHYBLDRAFT_69756 MNNPENHPENQKGQYLFQILQHTLQASKDLKDPFDDDTAFNNTS SLIVYCAPDIRSKHNILCNNIQSICDKQEELIKQMFLGAHLAADLAKNNISAENIMLL VS PHYBLDRAFT_73783 MDHGSWIMDHGSWILIFSCGFCGSGFLAVFSDRCQTSDTMTPCL WPKNGNKVKKKHPFFTFTFTFTPHNQHSTMQILSIQKRIISKCQRRQSSTTNTTSRVS TFTSSMDQCMKGLASVKKAFSCNKVQNTVAPSMISSSSTISDDSFVSALSDDENFSKS CPVSEKSMALDSIIFDHPSVTVRIRPAAYRSS PHYBLDRAFT_140403 MRQSKDQSGPQDRLMARRPTRKAKACARRKGQTAPQERPQYVPA KRANQPTRKTTVCASKKGQPAHKKDHSMCQQKWLTILQERPKYAPEQTSMRPTRKANS KIWVVPPSLKAKSISKKGSNAKHILSPPLQKDQKKSELEAYTKSSTPKGSNPKTEA PHYBLDRAFT_140404 MINVTNTTQDASIHPPPPISPNLLIDSVASASGTFLPVGLLLPT GRPFPPTSEPVISRQWTFLYSRQLPVAGCQLPAAHFPSTGGSIYLTGRSHLSHWSDIC FLLLPVASAGELDGSISAAKLSAMSCPQDTSSKADKAHQKPNKGA PHYBLDRAFT_163423 MRQSKHQCGPQERLMLQMRTREKKGFSETLKVTVKIQSQIKIDK AHFYQSPKSGLYLQVSRPSRFPRRGPMQSSKSKFQKKNQKPKTKSEAYTKSCKKNRHI LSPPLQKDQKKSELEAYTKSSTPKGSNPKTEA PHYBLDRAFT_69753 MRFNTIFIATVACLVATGSFVKATEDDLLGDHQVDGLDLDPSAV DLQPAGLDLDHSEADLEPAGLDLDHSDIDLQPAGLDLDHSDVDLQPAGFDLDHSDVDL HPTLDNPLDIERRSIGSTGSGIGSGLQSAKSQQQAKGLAALRKTPGGKGSSAAAIKGY MRLNSAKYKLKKATDFS PHYBLDRAFT_154358 MSGGNIKVVVRCRPLNAREIARGAESLVRMEGNQTIIRKPPGKE GEDIKAFTFDKSYWSADKNDPTYADQKVVYNDLGEDLLNHAFDGYNCCIFAYGQTGSG KSYSMMGYGEDKGITPLTCVELFNRIARNPNPNLTQQVEVSYIEIYNEKVRDLLNPSN TGNLKVREHPSLGPYVEDLSRLVVKSYDDLNHLMDEGNKARTVAATNMNETSSRSHAV FTIFLTQKLLDELTKLETEKVARIRQNYINNNIILLCVLVDLAGSERANSTGATGTRL KEGASINKSLTTLGKVIAGLAEQAMQEGKKGKNKKEVFIPYRDSILTWLLKDSLGGNS KTAMIAAISPADYDETLSTLRYADQAKKIKNKAVVNEDPNAKLIRDLKDELEVLRDRL RTYAPEEVEQPGTPATTRSGSKAGAKGAAKGGPAAAAAAAAAAASAAAAASASPASGT QKKPTEFEILDSQGNRKKMTKEEIVEQLQSSEKLLANLNETWEEKLKKTEEIQIEREK ALAEFGIAVHKNNVGVYTPKKIPHLVNLNEDPLMSECLMYQIKQGKTRVGQHGSQVQA DIRLSGSNILEEHCWFENDDGVVTVHPNGEAMTMVNGVRISEARRLRSGYRIILGDYH IFRFNHPEEVRRERDLQKVAAEKSMSTSGSVDEFDRPDSPTESMMMPSTEVMDWNYAR REAVLNYYATESNFGGLKDEELEKLFDDITRVRNLRRIRSESRNENDDDVSSSRGSLR NSIAGTVIEDGLESVCTENTFVQTDREEKLKLEKEKMQKELDHQKRHFEAEIHRMSRQ FSQMSELNLCVYTDAQLELLRRVLDRWKKLRNVAMAESVLTNAVMLKEANIISKELGK DVLYQFAIIEDGQFSNPLSAWETTSALQQFETDEDTTLISTPKPCIGVRVIDRKNQAV YVWSLEKLKMRLHKMRNLYNVIDKPLYRSHFNFEDPFFENPCPKYTFLGSAAISMRNL TLQQTFESNVDIICRSTGQVKGKLRIAVSYIACSAAIRGPDARQPFSSSDESDESEKS TTNNTNANTLNHNIILRAGHQLLFEVRLIEMTGLSESEFTKVHVQFRLSSFGSIMAHS TNEKIFATEPIGEFENEPISLDHSETLSLLVTRKVLDVLLHGMIQFEVYGLAQSRVLS QHERWDDQREKPRLADLLAASQQVQQQHHFRSIGSDPANANSNANANTTPTNLERRSE EELLAAERHDIVAWIEVGELALDGEYNPVNVTAQNSLDRGVFLLRQGLQRRIYITLSH TSGRQFEWNRVTTASIGQVRLLDSKGRIVDSPTHEDIQIRLQSPQEVSYNNDGTSKLR ALGAWDSSQHDCLFLNRITASDNRILLNLKWEVEAKKCAKPVQFNMDIAVQIRARDAS TSKSILYKLLDSSKQLSKCSGIFIVNLRPPMTRRLSQLWRLNTAAKYVRGEEYLGSWR PRGVSLVNDYRQIQDRLSWKHQVDFTRQVVGLRALSPKSPNTTSPRVSDDGFTDAQAA LVRKVLGLWKLKLGTDKEIVISQDPPVPGMQEGQSREDLRSTSKLLAEVKLVTQTDTV SKKGYLFCPEDTVTDSWIKRWFVIRRPYIYIYTNQSETNEQGIINVSSVRIDYNRELE RMIKRTNLFALYTSNNAYLLQATTRAEMIDWIGKIDQKFPIEKLKNEDDSEY PHYBLDRAFT_163427 MQLKKSRRRLLYLCSLISLINRYLSDGFQSTPFSKPMVQSLQFH LFQLKFFLIGLSMLCSRLKVRLRGQSKYTHALEKMYFSSDGEIRLKSDSTADSEKPEE V PHYBLDRAFT_163428 MGKHYLPIGGNKLWKYNLSLRRKRLLTEYTGFLYQIAFYYYIVQ RQAVVLEEIRRQRDYDGDNLPEEILKDLDEPSAKGLKSNIIRFTKDTLQFEGGKWTKS GAINQIFVPDLKKYTVDAHQIVQGKYKDGDKLRIAGKAASEVFNDLKYIKSQQSSNKD AADFDELIEKVRRLAVYAFASGKTLDEDAKELSIRAIKLPTRARYLEDEDDNDKDMAF DQEWMEKIQQARYEESVLQSAVSNKRGGYSTGGYSNGGHRGRGRGRGGNFFSRGQSTY TQKPFFGRGKSNPTGFNAHQSPSTNNNPLNQQ PHYBLDRAFT_140410 MCVSKLPFEILTQVADNLSAKDILSCSLTCKGWRDPFQKALWKE MRLYSFHGMQRLIYIIQDSQGVSTSYPHLAHSLCIDGRFRTPLNSVFQVSDLSLYLPN VKRLCLVNISQTNICTSLTKSHKIWKSLESLKVEYERSLCGFMTQGLFAFINTCTMLN TLEICDDGFGFAISPGVGTFDDIHQRLQHLSSIKAGFFLGPVILDALDTVPNTTPAFS VTSLDISSIEFKERGSIGDNDGSGEDWHPLWIYYFGYKYPNLLSLKLEVTDLFEDPIL SDERELIISLFHANPNAFQHLETFDLTTDSNIEFSDFMLWELLCPLRVPLKHLTLHAT NFGTVEHSYPMDIDRILQSFSGTLESLSVRGFKYSERNQDPTINLSSHYPLLTNLCIS GSQVSLNLDDLLNKCVALEQLEYCGGTLVINSNTITEESNQHQEQHGLQILKLRDCSV AAEAFEHLSFRCKNLDYMDVSALSITGPICAKAG PHYBLDRAFT_163430 MGVSELPFELLVQISDNLSTKDILSCALTCKAWRNPFQKALWRE IRLYNFHSTQRFIDIIKDFQDVSISYPHLVHSLCIDGRFRMPPNSDSQVSDLSMYLPN IKSLCVENISRTDICTELTRSHKIWKSLESLKVDYERSENEVSAKNLFEFINTCTMLQ KLEIRDDGLGFNIERSVDYFENMHRHLQNLSFIKVGLYLTPDILDTLDTIPNTIPAFS VIYLDINSKRYKGIDSYDDTEYGTNDWDPLWLYYFGYKYPNLRSLKLDFTNIFSEPIN PGYRQMTISLFHSNPNAFRHLETFDLTTDYYFEFSDFILWELFCSLRIPLKYLTLDAT NYGAVDDSNPMDIDRILQSFSETLESLSVTGFIYSERNQDSTIKLSSCYPLLTNLCIR GREMSLNLEDLLDKCVALKQLEYCGGILLINPNTTTEESNQHQKQHGLQILKLHDFSA AAEVFDHISFKCRSLEYMDLSVFYITGNICERNGCLLLDMSHTFLKTLNIAQIRYGST CKKTHSDDAIGLTLLSQLYDDPSAGKESEREENHIDSKNTIVKYCDMGCFYTYDYHRI GANRGLHTMQLSKTSANIALGYYQNSWPNKFISTLKDDNSYDGVHREIEWERELCKGY AKWSFGKVKDIHFISI PHYBLDRAFT_24356 MLPKLFSPIKVGRSLLAHRVVYCPQTRLRASSEHVPVPLMAKYY DQRTTEGGLLITEGASVSGNIGGYPFTPGIYNEQQIEGWQDITKAVHNKGGSIYIQLW HPGRATSSALMPGGKPPVSASAIAIKGKNMAGADYEVPHALEQEEINEVIDMFAQASK NAISAGFDGVEIHGANGYLIDQFINASSNTRTDKYGGSIENRGRFALEITAAVSKAIG EDRTGIRFSPWTEYQDMIDDTPYQTWGYLMDKLQENHPDLAYIHFIEPRDDYLIPAGD PKQPTDKETDSIEPFRKAWKGPIITAGGYTTDVKRAFETAEKYENTLVGFGRSFISNP DLVYRLKNNLPLNPYDRSTFYTQGSVGYTDYPFYNEKDSSN PHYBLDRAFT_179517 MDPPTPKDFLYHLTQGNYQAALDLANELNLDKDIVYKSQWDNLD KKVISSKDIQLLEAVQDDAWVIAQCLETIANPWQIELQILNLGNTRAQERTQPIISDW EFNPDETVITDQDKVWLRSRLYFLRYLDRLSTFVKIWSNSPETQKTNSFAESYGQFRD CNLIAQAIDYARTENNIGLDAIFMHHGQEVLPQRLFILSQIPETADPSRFDLPHVSVD HEDRWIEEPWRPEKDPVESEAFSNIDQLVPDHINYLSRLQQSIQSTEYPTSAKVIAQW YKDRAHAMDSVGLSSQALELIRYAQVMGVSSLEDTANDYEWLCKYVYSSQTLTQNEDQ IITLDQFKRMGSFEILEGLLSDTNTNRIVDDMKRMVLPWLEVCRKRQSIDTTDTKDND YEEEDDERPEFLLYRWLLDESTEHLSWCCQVFEYSKPSLPVEERIIKDEIDLSRLVLA VVYSSDGSMEYLVRIFECLPIFETEDTDPVEPVNMASLLPLAGTPLGLFTALQSVGRN ELTPIMDLLQTHLMAAEVLARYHATVPLRWYLSDQTAETQRQLCIRMASQAAGGVESG GAQFDRDDDWRELLDDMLRLYEDGNGIFGKLAPVEIMEIFYSSLLRCGRFRLANELML GSTVGRIMDINKAEKLVIDAEREFFDNATTGNMYAGNMKMALDCLKVLPATSEIKKEI DLIEATHALTSEYRIADRSGNVLMPIQIRQASNRLDLISKLINTRQGIYRQHEDVLKL THKLGYQNDTLAQVKVLSMLAGAALVDEEYETSFKLCQATVEKAQLDLPQKPKSYKDE MKQAAWQICFNFGKMDAYCDYNRRMDALAMALVLSPVEYVHDVLIVWRKLEQERAASG LPVDDPMSLDMSRYDAQAQESYGWNGLLQNTKKHQWSLGDLLKGSVHHDDSSSGNDKG INGVRKRDQLRNMVGGWLF PHYBLDRAFT_24391 MSGSDIRDILQLGKTNETTVRRFKQSTEKRPEGFSRELYSLIGG APPVAFVQPTYKAKYNVKKKAVPWVLQPFANPARAGDLILQHWVKASDATNEGNLKMI KMYYPEWTKEETEYLFSLCRQYDLRFPIIEDRYDLPKPRTMEDLKDRYYSVSRKLFKE RPALDNNTDRQTLIQQYSFDKSKEVERKQALIILSNRTKEQMDEEEALLVEARRIELN EARLGKEREGLLNMLQLEQLQQVPSTPGTPTTHSALNGGSLGSTPGGIGITGGTASAA SGSDVKVGDTNDVKQNIKKGLNDVQKKKKKIVPEKKEKLTPGVYVRSQKLPTVKPTMQ QKVLKVMEELAIGARPVMPTAQVCHKFEQLQNSILNMFELKKVVDKMEMEHKMKTQRS RDGTPAVVNRDGANRVSLALITIHNSQKTNHLYVNKETVFQYCRPIVCPS PHYBLDRAFT_140415 MLAREQTPPKAVNIIRPSMFARRSTSQCNGDQSQSYSNASVLST AHSTISSANRIPPTIFNPASFASGFSMLGQHSQPSWQSARPPSPTPSFMSSIFPQRLV QRARSNSTLSKSTFVTADDTSDLDLDSIDGITDDETESETESDEDDISEDESQSDYES QSDNELPTQVVAKIKHGTIMNGRGEFVSKGSTETFFKDDDARVNRKIGDLEIEKNSLL TLNQTLAATVKRQEAHIAELQKRLDRPPSTILFAQSSANLINGVHSRIEWPLSPVSET ETDKQILAGSSEASIITPEGSVAPAEGLTEEEVINDLSFQRVCSILQNLIEGAQAAVL QEYTPGRVLTDYNDSSDEEEADEIDEIDDIDYSDDSEDEKEISGKPWSPPGGIKNTPM TNMMSGRPLRRVDEPLVTPKHQLIKNKHQSSPALRPAFFLSQSEATKRTPMEKSLSRN SSPPVMTPSHQTKPPGFTFPLQRNPIRSSSRQSSLRMSQEPEQPKCAISPNQPRFMTE HTQHNLTL PHYBLDRAFT_179518 MTIDQEFIGSLPRLPKLIVFDLDYTIWPQWIDYTYGPPYTYIES KNALINSYKERLELYPDFTAIVALIHSFPDTKMAVASRTSTPDWAKLALETFVIPEMD NMPLYDAFSYLEIFPARKLEHFAAISEASGIDCKDMLFFDDESRNREVYISHLNTKLD LEKEKAVQNERESKIVKERLDEEKSKYLRILDRFKLYKGLESQNLVDNFTEKDKLDRL NNIRSLEAELLLVHGIEDASMNLAEEYIHRMNVLKDRLNSLKSQNEKLRCELNDSKRQ LNNHIRFKTFQNQPGNITRCSSESGIVFNQESEKDPGSLSLVDTSKSDSNADSNATAS RQHRCKNKSKNINESINGILSSQLLVLSKKIGKLVDRQPKFVPEMKPLINYQDDLGDL GDLGMIIDTFYTMFSPG PHYBLDRAFT_69740 MTNISQNNALFIFYNEEVTDEANKRLTVKLYLLCELDIRYTKEP RKPMLQTKVKINGNLAYYHCYANKHPEPATYVDKVILKDHGQAIDYANQVYLPSTLSD KKLFESKLIMAKRNYASVEQQRIYQNTEHKTRRRLLCVLKKGHREQMVNKILNVDQEH KVRIEDFKKDEYQVICYVRKSPGKEDASTRSRLLDLMVDRLIKNSSVNTVFASYSSTS KQPFADSDKTNPIHVPKTPGNTQVVSRPSLSEIWEAHFQYIFNDVPFVTNRIAAIAVL HIRRLELEESLTSIT PHYBLDRAFT_69739 MSIQLLRSRALLQRHSWLVSRLSAGRSYKIYNHKKLIRQTYHLP SPNSYPINQRSFHSSRSTHTPLIPIPAMVLAVIKTGNLVSFVSLSSKTSLTLLPHTFR RDRGKLVAKLLASVPILGMTLLLLIGLDQAPNTSRLRFIYLSEEEEEEIVDLEIAQLL EAHYGIVAPKDNEYVQWLQTIADNIAAVAEDDIRSPVRPYLGYAQGETNENGESKRNY DVNIICDSSTMNAMCAGSRVLVYDLMIQYMDYDSTKLAVIISHEIAHSIQRHFVEAHG FASLMFMLGDITRGVFWMLTESLGPYINQKINETISTFITMETQTTYNRVLEKEADLV GLKLLAKAGYDPTVALEVWTKMAELEENLEHQTIKPVTGSTGRHGSIGHIPSTHASQY EDLEMDVHLFVESLIRSWFGSSHPPSQERLEYMKEHMEDAQKLYKDALRINGPAKEYI FRNERRDNPFEDSSELHTGLAAIKQWAYSVFSWSIIPFHLISKT PHYBLDRAFT_140419 MEPLENGATEKLLERLEGWNKGILPSGNTADSPCFVQKDMPRIT PLSQDQSIAMVVTQSGWTSSLVHTGVLWNMDNDTTMHALSECQLLFHTPQAALDSETT KTLHLLRYDTFAWFPVNRIFRPTQRFQTALDVSLNNWPKNPLLAWEGMCKIWEEFGFL WPQKIILGRILHVKKTYKTPSIKDRLLYLNLAKDECLMKLETLKASLKNNTNFQDEEN TRDQWRIIKRNDVCPIHEFLPQPSRDIINSIINYRFKRISIHQSFKLRSLSTSGYLSS CSSQRLSEDKIKHTSHHIIHWNHKTETSGDPPQPFVFSAMPLETVYSTGSQYLWHFSW NISSPFSPQNVSFPTIPVYQPRSIRCSSQIFLSPDHEVSDIPSRKAGLTNGFVFQDQQ GKGTQIHTDLSGDSLDSQMIFTRVSNHDKGLSKEKQSAASRLQALGFMLSDDLDILDS DAADHHRWTVEITGLGLYHDNTVATEEGLNADIIIGRMKPIIDGDIISLRQVLYLCSV LNSNSLMMNKNKHNLNSPTSPSQLFLQKFHNNQPPALDDSSPTLPIETKDQISLSFHN LMPRKRLTRRKGMQEETSLEHTLSSPSSTSSQSIFDQLSHPVLAKENSLISTPGENCW IIQLATEQEIQAHAHRKAHTSFGIYPSQESLVPLHKVNQAPTVVLNDISLGSNSRLPW KTGVIEKDQTDIDYHPAYPYKGSRLKAFFSSETLHTKADSPASMRRTRSFDSSYSHPA NVLSPLPELQEYDPTDQLSSVEPLDRQTPQQLPYLARLNTYMIRTPDTDTTDVGIVNT RELPYLELHASKQTTKTWSSILRGASLARLGRLIHNNRSTPLKRLNIRQIPEIDRKK PHYBLDRAFT_179519 MATLVNSLAVPSTYRDQLYQGTSNQFVDDLSATTTLYVGNLSFY TTEEQIYELFSKCGEIKRIIMGLDRNQKTPCGFCFVEYYHRTDALDCMKYVNGSKLDE RVIRCDLDPGFKEGRQFGRGRSGGQVRDEYRTEYDSGRGGWGHRTRAQLEREREMDIK ENYEPIKEVPQGAGEYKSRVPMTGMNKRSRDYEQPENRKRHKEQNPRFRENEGEDDED PHYBLDRAFT_140421 MSTVQRFIQCNQFAVVGASPSRSKFGNRILRWYQSNHLPVIPVN PKENSIETLDTIASIDELADPKHTGLSIITPPKVTLEILKKAKALGIENIWIQPGAED AEVLNYCSQANLDVIAGGPCLLVQGPALLPQRSRL PHYBLDRAFT_154362 MSKADLPSDGPNLSQDEPTTQADDLPTPSSQPSNTVDSSGQMHK FVASPLHCKLFVEKISAGHESEYRKAEILLFRQVASDPLALEYYVHYVEFNKRLDEWV PFSRLDFSQGIEFPKSEAQKKKSRDSTATPPPHTQPPSKARRSNTPMQEDGETMEVIE ESNSETFSKKQEIEKLRASGSMTQCVAEIARVKNLNMIQMGRHEVETWYFSPYPQEYA YCDMLLICEFCLSYYVSHKQLERHRGRCQLQHPPGNEIYRHEDVSFFEIDGRKQKTWC RNLCLLSKLFLDHKTLYYDVDPFLFYCMTIRDDLGCHLIGYFSKEKESSENYNVACIL TLPQYQRHGYGKLLIQFSYELSKVEGRAGSPEKPLSDLGLLSYRAYWTDTIVEFLLST TEEVTIEEISLRTSITSQDILHTLQNIGALKYYRGQHIICLGDKVIEQWQRNHKKKRR TIAPEKLDWKPIHFTPSQLRFL PHYBLDRAFT_185171 MMATRSLLQTVAQNPVARSALFRNTAVTSMSVRRFNASPANKEQ CAAAESEILRQQRKVRPVSPHLEIYQPQITWYLSGLHRITGAAIGGGFYLGALGYLAA PALGYPIDAATVVSAAASAPVALKVLAKGTIAFPFVFHSLNGIRHLAWDVTKFIDIKS VYTTGYAVLAGTAVGTAYLASL PHYBLDRAFT_140424 MAQPPKIPSSPNQISSTAPIDPIDEFLVNFTENYKRNLPPSKAP KTSDSLTQSQDQNPDGLFTSIKRSPDIIPDSPLCFCKLPASKTDTPAFGIIFECHHFD FEQRLPKHKNGRYVCAFHVHERPWNKFRKQLKNTGSIDSYDFELDVCPVFNYTSCVIL ETFNRYLKRPCFPIKCFCELDAVVKRVDKDGKKKLALTCPNFFIDGARPKCSWYLYAE QVAYESPGYSIHTIRVKEPTTECPHQ PHYBLDRAFT_185173 MSRSGSDSDLATSLLGTYMLQGWVMTDELCQQSGCSVPMMRSKD GLIKFCVSHDSLPTSSSGQRKPATPISSAPPANTTPTPPAASTSAATTVKPAPKASAP VSDSRTEDVSKILEGCVDSEVDTEDDEWTKVKSMDKMREQSERREQSSKASQLIGQKL LQRWTLLNDICPNSDCYAVPLMRNPVNKHMVCVICNQTYITEQDASAMNFTKNDKPVP ERKPEPEPELESEPDLESEHEMVLSELSETRSFCFEDAVEEAIEDRPRNVSTQNSRPV TKAPSPKLGPSSTAPTATTNISFISKRAGFETEYFGQQGIVKMLSLKLSKMTNEVMEC DDPTQAASMFSAIETCAKAIEACVQAGEACSDASKKRY PHYBLDRAFT_79591 MQIFSTQKSTFSNVRVSNKAQPQPQPQAQTETEKPCAAKPSRVS AVTTSLAARIRGCSLVKKTLGRKKKTSSITPSLASSSSTLSYESFVSGPYLSKPSSLS RTGSSKSMELEALIFDHPTVTVRICPTSHPGEYTSCETIVQCPNCLDPKLIGGKN PHYBLDRAFT_140429 MLLSKSLLLFTATFGLVFVSNVHGVKMSSIEQCPALKPRTTAPK GVTDLRPDDITVIGAIGDSITAGFAADGIQGDSLLNLKSIYEYRGVSYAAGGDPGAIT IPNLIKRYSPKLLGPSVKEHLAELCFGPLCAPNQYKPSMDVLNAAQSGAMAPNLDDQV DYLLPAIKNLPGVNYTHDWKLINIQIGSNDQCTYCLDPVFQSLGAKSYGENVVAAIER IRTTVPRVLINLMSTFKVSQVYTLTAQQEYCMPFKDINFKLNTFECMCSINPKKRPQM DEISDAYNAQLLKIFNDYKSKSTDSFGVMYTPVPVNITSFPIDGLSDIDCFHPSTKGH QWVTKALWNTMFVPLASKPTVLNFDEQEQVYCPTETDRIGS PHYBLDRAFT_107139 MALLLADNGYGEGGAGSEFSLIAINLALIFTIVATCISMISVWL HWKNYRKPNQQRQVIRILWIVPIYGVSTFVSLVSLNVAFYVDTFRDMYEAYVIYAFFN LLLNKLGGERALIIMLHSRPPSENFFPGTLWSREIYVGDPYTFLFVKRGILQFVYVKP ILAVVTMALKATGHYSEGEISWTSSYLYLTFFYNISVTLTLWCLMVFFYATKKDLVAF RPLPKFLCVKAIIFFSFWQSVVIALMVAAGMIQDEGPEHISVAIQDFLICMEMVPAAI AHSFSFSYEDYYDPDVHSARMPIYRAIRDSFGIKDVVMDTLDTLRGSQFNYRSFEPSE GVPHIGSSRTSRIMAGLRYSTSTAKKHWIDPAPTSRFISAGRALNEGRGGSGGGERGA SGVEVYEPLEFDDPDPNDEVEDHYRTSRAMMFGDYNYPVI PHYBLDRAFT_163449 MSGPVTLQFHSKLVYLLAGTLCFLFWLFIWSWQENLRMLLPTSN DTSEPTTTTNNKSPQQFTQPMVADLSQINSSLCTIETYNQGQWKYRPLNLKPVNRATI DKAVGYHCKKQFTHMCYRRDDPKELQRSKRMLDYSWEPESCSVLPLDPEAFAKHLSKK SLLMIGDSITQLHYESLSCLLGEYIQVPKTETRVNGGDSYIKPTQHVYKQAQDGDKEV SMAYVRADYLLRLDDFKLIAPFEDEGDQMGRGHNYPWVHAIPYFDYVIINTGPHWHPN FKWGPNRSQDEMLAAFKEAMGIVFDHLKANVKPHQTIWIRSTPYGHANCSQYTVPQNI PFQPTGQPKEYEWHMFEAFDNVWKEWIKEENDSRFQFLDVSLTNRRGDAHSRPDKDCL HTCIPEKISGLRQWDCHLDMFIHKCNLGMFLSIGPVDDWNRLLFHEMAKKSV PHYBLDRAFT_157523 MEPTITEGNKPTIHLPLLSHLPPVIPPTSYLNFLKTWWKRNDKT SAIAERRLLHFLYTGPESYSSFPKPTPLIGRILRVNLDSPGTNTTKVDKSKERIVNTF HLFSAPNPLPDQPMFKHEPETGDIKSSIEEASETSTKNLVVCHGYGAGLGFFYKNLFS FGQAPGWQVFAVDWLGMGRSSRPKWTFSRKSNESWDDIVDDVEDHFVESLEEWRKKVG LEKMTLMGHSLGGYFSSCYALKYPQRVEKLILVSPAGIPEPPPGVEPTKQLPNKSPKE QLDEGANQLNASLQAQAVDVNMNPDEPATEASPQSPPIQARKMPGWAVYLWNKNITPM SLVRFGGPLGATLVNSYTSRRFAHLETEERHCLYDYLYNITSSTGSGEYALAAVLAPG AYARKPLFHRLANLQMPVVFIYGEHDWMDYKAANRVAEIMKVPVKVILVQHGGHHMYI DNPEEFNEEVAKEMQ PHYBLDRAFT_69724 MGYKASLKQLDGCCKVIISRGLQYKNYLAYESQGISMLYECLIR SVMRTRSVVVEIRMHLHMVYNYHIQSFLLDFGLMGEIDVSLLETEAEVFTPKLVSTKP TRGYGPLSVQWELLSRGANEIPPPIWPTPCIGSGWTWLGSNAVHRRRTSPKPSIEAFS SLACEAVECSSATLASILDLRLGDERRTDKFGERSSKSYGVCCCDILFQATQPVRETY VWGGPNLTIKVGPEFGRRPS PHYBLDRAFT_163452 MSTTISNATFESSEESTQGEGSVHGSIASEDLVTENTPEGKEQE QLDIFSIGISLDINRKTASAGLLRILETPNVSNQEICQAQEAVDRTKTHWNSFMASQK ALSDTSTKEVMRNLNSRKSSRDAHTNKQNSFVPPNLPIFQLQGGRLREPSKKIHDTNA ITQPHSRQALGTPVLIDLRRNTATMVPENQGRQEYHLARSPQPHGERIRQSILHLDRA T PHYBLDRAFT_121886 MSEIESSEPRISDERGRGSRSRSRSRSPVREQSDSRYRSRSRSK DVQDDVFETNPGDNLFITGLSGRTTSAELEELFNKYGKVQKAEVMYDPHSRESRGFGF IRMNSTEDADRAKTSVSGTEVDGRVVTVEKVCKICQFKN PHYBLDRAFT_163455 MPSPSVTDIDLLRNNIEFASISQFFHTFHSAFTPWPATTPSGAD ESFLIYNKYNSAESSRFSTEDLEHMILDVTQRHRFEELIVRMLRLLTRNRFITHETWQ MYFAREWDRRISEVPNPFHTDNYEATQEIRNFFTFPLDTKVHLLHVLCEWYFEDPERL REHLSGDEDEDEEAQWRVDPIGCDSKGNIFYLFDDNRLYQQSIHKPKTKPHSAIKKAQ ARKGTRHSARNADLSQIETPTVEEIWMPWRLVCQTSSDWEMFPSRYSNSTHIDEKKFY RALIEDVIPKVLPVLLDHEKELKKQEALLHRKRSSRLMVRELASLVPSSTDIDLTEKR ASRREENARRREEAEKESAAKAREERLLDRERRLHEREMARLEQDGKTDSLDLATKAA AVERAGVSTDKKNNNKNYNNNNSNSNSKKRKRTKAQEKEEESNWTFDCVCGLSGQNVD DGSPMIACERCGVWQHIQCLRKSMQINKYMKSLDNYEFICQDCKRPPPFKSEIPQKDQ IMTEQQPNPVFISTLQPVTQPSSSQPPQPPLPLPLPTVTSQTVLPPAEAHIETAPTVV PSETPTVIPSETPTVAAPESLAPLAEAPVETQTLKDTLINVAQDTTVQVPLYPTVDST TIQPLSTQQPSPQNQLNYNA PHYBLDRAFT_154365 MNPFPSPNKPTISRLHQNQNTSTWLLPPLTVADQTKKCLVLDLD ETLVHSSFKVIPNPDFVVPVEIDNQFHNVYVLKRPGVDEFMRRMGEIYEIVVFTASLS KYADPVLDILDIHKVVTHRLFRESCFNHRGTYVKDLSQLGRDLESTMILDNSPASYIF HNANAVPVSTWFNDPHDTELTDLVAFLEDLTSVQDVTLVLDSTVEPISV PHYBLDRAFT_107982 MYIYVDDLWVILHGKVYDLTYFLPEHPGGQKIILKYAGKDATSA FEPIHPIDIITRLLPAEVCMGSIDPAALKGATKEESEEDKLIRIAREKMPRLEEMYNS FDFESVAKRVMKPDAWAYYSSGADDEISMRENHNAFHRIWLRPRVMVDVKHVDMSTTM LGCPASFPLYITATALGKLGHPEGEKVLTRAAGKTGIIQMIPTLASCSFDEMVDARLD KQNQWLQLYVNEDRNITKHLVQHAEARGIKGLFITADAPQLGRREKDMRQKYANEAPD EITRSEMSMTRNEGAARAISTFIDPALNWSDIPWFQSITKMPILIKGVQCAEDAVLAA KYGCQGVVLSNHGGRQLDFAPSSIEILAEVMETLKREGLDKNFEVYIDGGIRRGSDIF KAIALGAKGVGIGRPALFAMSSYGDAGVERLISLFQNELEMCMRLMGTPTIKDIKPEM VDIRNIKDHFVANPTDYLAAGAYERMQPRGGIISKL PHYBLDRAFT_95336 KPSYSYASLISQAIGSTPYRRMTLHGIYTFISTNYPYYQMNSNG WQNSIRHNLSLNKAFIKVPRCDAEPGKGAYWTIDTNAEKQFSNGIYHRNRR PHYBLDRAFT_185181 MPLQKDLYTDDDPSYARTRLNSGIPPPSLRDRRQDSHDRPHHHQ QQYQQHQQGRRRDENLSGWNPATQRPSHRQESYHPYPQQQRQSYNSGGQTIPNQANPS SFRPSHNSSSGYPPRDQYRNERHIEERPREERPRETRHQEPQRQREERPKAQHAKVDL RTSTDFLVCEDPSKKLSIDQITANIRASGIKDKDDDFISSAELIQKANALRETIRQAP AEPRSTARARSNPFESIGKSIFMNRAATKLAALDATFSLSSTSDNKPLVFADLCGGPG GFTEYLLWRVCSGGGNAHGYGITLKMPDETDELNWQTGKFRSDAPLNFTIIDGPDGSG NLYHEANILGFGSKIMSETSNQGVDLVVADGGFDFTGKEEHQENYAQRLLLCEAITML TCLKKGGTYVCKFFDMNEEFTADLVWLLYQLFEMVCITKPLTSRPANSERYLVCKQLR FQQPTGLINALMRVQNMMEHKPVMSFVKAAVLTEDEEFFDYVKMRNFKMLLKQINSLT QLDLHLKDPQRPLQFDQEAIRRQCLAEWRLPLR PHYBLDRAFT_157528 MQLTLTTRIQDALRLALADPSFNIRSKEEAKSAVDASLDVTMGI SFTLLQELAQFLSHTKDSNGRIWLHELIQGAGVYHEPKPVEPKDLVFQAYLEQLRKDQ AEREYARMVSSVVTSEQDKNMFRIRPDELKEVKGHVTTIVNIAFSMVAVYVAVFVASK TMTEDLGVRVLLSFAGAIGIGAVETILYVRYASKVLSPPKKKTMKKNSLNVQKQKTKI IANKIDS PHYBLDRAFT_98143 GGGAAGTSAAFWLKNAFENTDIDLHTTLYESSHRLGGRTMTTPV KGDPALGVIEVGASIFVEANHNLMNATKQFGLNRTTLAAQKQKLGVWDGQNFLFQETG STYWDGVKFLWRYGSSPLKANRHLDTVLERFELLYENDALPYYSIEEAFEGVGIYELL NTSAQEYLESRMGVNTIFSEEIVQSATRANYGQDIDTLHALGAMVSLAAKNSWSIQGG NFKIFEEFAERSGAEIRMETTVKKVEKIHDKQGGYTVTTNNGDTQIYDAVILAAPFNP NEILELPVSTGSYVRDYKIVHVTLLAGYPDPSYFSSTENDVPNLIITTGNGLRTKSTA PFTTFAVHRILENGESIVKIFSSTELKEELLDQLFYNRTWTYHQAWNAFPVLHPNTDS KSSWPPIIIDGFENPGLGGLIYVNAFESAISTMETQTIASKNAAKILHTEWCTSTLC PHYBLDRAFT_185183 MNIQKGVNPHRPYYTPGLHHQHNYTSLPSNDAAPGLTPNIYLDN EERPLKGLTTRITTFAAAKYMLTMLTSPFDVGTTLLQVQYSPHEDVEVIGFRDTIKKN PLVEQTTGYQNGTSSDEDEDDAFYSMRPGNTTNQEKEPTQPSWSNYARNTESQKKHPH PHPHQQQQQQVTVTSRSVYDDESRPVHQMAPMGGGVLEILSVIVKQPGEGWMSLFKGQ RVTWVYEMARACLQPALEGALNDVFGLYDDTIPLMHLDNVTPNMTTMVASHLAIGILL SPLEIIRTRLIVQSSSPTNAKYRHLIHAFATMCREEGGLGKVYGSINLLPTILYHTIH PLLTCSTPLIIDRWLHISASDSPILYGAAQLAMSTLALLITLPLEVIRKRLQCQVPYS PKEIGGGGPFETSVALRPVPYNGILDAVYKIMKEEGTKKKHNTKRTVIKRRKDYNNSD DNDSNSEDGETFENDRQIKTTSAWGIRGLYRGFGMQLAANALLFVFHTINGIEVDFDG SS PHYBLDRAFT_140443 MNLIEELRECLRISGLHPEPEQSIAVGLHIARLLRDVQCCYRCC LRFTRCNKMKIYKASEQDLQTAFNSLAKLLDVKPVSNDEEVQVASGCPACLGALGWMD QPENIQGIFDKIDTENYDCKRVNLNCTMPVSSLHRSHLLGVYVSDRLSDFPPSVLEIW NSEPVHDPKDAFKAVISDKLVERYGWTMDPVTAPLRMTIVLDHLETALEHRFLTQVKE PVMKLRKVRQNRKSIVAGESRTTITEALAALSKEEAYSLTAIPPLPPTTQITLADVAM VHESTIVGGRYLKMSREYSQTPWEIKGKRLAEFSVSEAIEEIIKRYHRCDNSKFVTAG REDANVRMLGTGRPFYCELINPRKPVLADEEYKKIEDEINNQDSKDAVQVRHLCKISP SDTKIIKEGEETKCKSYRALVWISQPITDEIIEKVNKVGSAPFKIQQTTPLRVFQRRS AAIREKEIHTCHLERVDGASLSGVESQFGVLTLNTQAGTYIKEFVHGDLGRTLPNLAT LTGAVGADLIELDVLDVDLVWPPKK PHYBLDRAFT_140445 MACFITRHNKPESLLIVDEAVTAALCAEHMSPEIELKKSRLYMI CDACGGTVDLAIFEKENLLGIHGLKEVTIGTSKFCGSTFVDSRFTDLVYKKEPPSKHV ISKYEITEDFKNNIKIYNDNNNNT PHYBLDRAFT_140446 MYAARAAYLQSRSGPGVTRPLPITGSSSQCRDLDPQLLDVKRRT DRQYEVGARVLALRSGHKWREYGNAQIY PHYBLDRAFT_69707 MTSPPEISLSTLPQSAKIVLIFGKLGHNAVVFDITNSGLKAALF FQALKDQYPTVVEAIEQDRKDRNIEIISFDSIEDIPRAYYKGVFIRHRTFWLPLPLVG IARRKRTMKRELTALTCATYVKSHIRFGLNLLAHFASPEKGDKIGQHADELIRLAREN SGMEKSQDMEKPSLLKKYTDPTLIIKGKCNRNSTPNQGIFPNPSATYQTIVTDGSW PHYBLDRAFT_107093 MANLRELGKTGVLVSAVGLGCMGMSEFYGPTNDEENVKTLERSI EIGSTFWDTSDIYGINSKNERLLSTVLKTQRDKVFLATKFGQVRTEDGTFSHVCGTRE YVREAFNKSQKRLGVDVVDLYYQHRVDPNTPIEETVGAMAELVKEGRVRFLGLSECSA STLRRAHKVHPIAAVQTEYSPWTLDIETNGLLDTARELGISIVAYSPLGRGFLTGAIK SPDDFGADDFRHNVPRFSPENFGKNLKLVDDINALATKKGVAPSQYVLAWILAQGPEF IVIPGTKKIKYLEENFKSGDIVLSKEELAEMRRLVDSSSSVGERYSAPYLAAIDF PHYBLDRAFT_69705 MILVKDFCDRSEGAAATGKRTCSLESVKCLNIIKGNMDSKKYID FQYGTKLWFNWRFLAKSPFSSLVNALCASVQHDNVHYASASTLTVSTFPIIEILTHKQ SRISKPIVGLLEWNAFAQTVSMYVP PHYBLDRAFT_163469 MWCALTVYQVEGQECIQMEQTFANLYEASKLLANPSRRNPQEIL QRSFSQVIKETKDLEKTIPVDEESIAKGRAFFAKLGFPPVENFDVFGNIKINDSEGPS RYLNITDVEGHLQEHRDKMIIETIESVQKKARFCTISDFEEIRNKAMKEYRNNIEMDN RIAEERDRILGGNNPDHPMEYASEMYTKENPIKKRVLSYANVVQSLNGQRLQNKDFNV IAGFEKLAEVDCENNKMVGTEPHNVWNLLAWLAGEGNDISEGRREGNYMKAYLSKLPN TPESIELSHHLTNLARQWFERQFVRFVDMFLLKRILTANVGGNPEFGHRLKAFIKIQF KTDDQWNIQNLELAEDFPKWVYIYFLIRSGHFKNALVYVTEIAEKYPQDKKFMKYLEE YVENPHHLLSQATRKEIEYEHMLLKNNGNSVDPYKLALYKLLGRCDIYEDHVNGAINT LEDFMWFQLSLVRENIPEIEYGHGKYTLEDLQKRIVASGPSTFSANGANPWDYAIVLI SSLQFERAVSHLYQQEATRLDAVHLAIACAYYGLLRIYTKPIQTDDNIFAISAKNIPE INFDQLVYRYTKVYVFENTKCILNYILLLSLFTPHHGFPNNSMVEKAREYIRRLVLFT KSFKEFLGEATDYGGRKPGLVDTYKALLGITTEADMVNYIYIPLVRSCIEAGLYTDAV KICEVGSDYNEATNILINQLESSINLPILDPPRLNPEDKKWNDELVKFSRELLTRYKR TPNIKCNIPLSKTYTVDTLLNILHFRELYESGRYDDAIQYMLRLNVVPLHDENDNVCQ TLRQLKSGDKVIVKCIPKIVRILVDALERLHDCKCTHKDGSRDYDAEHENIRNCDRYA RILFRFTGMADNDMLGELVYNEFLDLLMEFNQGVPKN PHYBLDRAFT_79580 MSESHQPDLVETSKDAFIDILSKLNDSQFHSFDSFVRSALEEYH GQVHGQHGHGHEHENEDEVEMETEEQTGEFQPVSDIKMMRLGRIIKDLRARVPISAEA PEEKTMIPKSKEFADYSDENTVHVDGFLFTEDDVDELCDKGQLSRNYCLDCRSRKVAP LNFISHSASVLQLQFLYQVVLADKVKDKVIVDVGSRLGAVLYSGHLFTRARKLIGVEI NTWFSKLQQDMVNKYKMGDRIQIINKDIQLVPELLSKQADVVIMNNVFQFFNELGVQQ QIWKFIRSETIKKPGLLLVTLPSLQEQLKEAGLSAAKLMKGWVKEVKLDYEGGWFHDD LNEDEIDEIKQVHLYKVL PHYBLDRAFT_69700 MFFYMSKRIKSMTPSSLSPHYAAQSPSERKPDLVAKEVLKWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDDKVQLIRLPVASPSAVKKFSQVSSEEPAH LTTGHFTSRGCSTVECSGNLTRKVIACDESTKN PHYBLDRAFT_163474 MTCSVIGLYSIPSFRILVVLWSVSTLTLFASCNTRRVKSEYVYN SEKACNSEIDVEHTHLNIQIQGNHHHLYHYNTQAQTLREENCGLTGGSQLLPLYSSSL SLLKSILNTSSRMQRVFVSIRLVSESDINAHIDSGCNINTIRSTGSTSTSGSSGQSSS TSLFSTQKTVGLNTKSKAKDAFLNTEPAQPIKIAPLFTSKPKPSTNQSYTHAMPPNKS SGSVPQNQDLKRTRTDDDISPVNNTNLKRNRKEELMDSMPLAARGKV PHYBLDRAFT_69697 MSKVRPTTLSEFVGQEELLGDLGILRTLMQQDQVPSMILWGPPG CGKTTVARIVSKMTKSRFIEMSATSNGTADAKKAFDDAKNYMKLMGGKTIVFVDEIHR FTKAQQDVFLPAVEQGTIRLIGATTENPSFKVNSALLSRCRVFVLKQLTEDQLLEILK RAVKSWRDKGNKENPIQISPKDRQAEEKALRTLASNSDGDARNALNTLEIALSVLPSK GSLLSSDTVKDAFQKSHLLYDRNGEEHYNIISALHKSIRGSDANAALYWLGRMLEGGE DPLYVARRLVRCASEDIGLADNTALPLAVAAYQACERIGMPECDTILAHLVTYLAETK KSVRTYKAYNKVKQTIREEPNHPVPLHIRNAPTKLMEDVGYGKGYKYNPDYEGPVDQT YLPDALIGREFLEDK PHYBLDRAFT_79577 MPDKNAFHDASGAMAESTIRIKDLHEAEKKLVLLVETAGDALAV LSDEEINDNSADQAHRERIAAFQDLASRYFSLINDVQLSLRSHTHYLAKTGSIAPSTS KSIPFKASVAGQQKELEIWTAALGIINHRVQEIKSIAQGQQLESETQSF PHYBLDRAFT_69695 MTSSTSAVGTESIQSDLMNNTPESSHPNTFAEQLESFDFRGDAI DTALRKLLAKVHLPKESQQIDRAMEDFGKRYQTCNPGLTDNPDGIYAVAFSLLMLHTD AHNKNVRQKMNKETFIIRTRLLDGGENLPAEILDVLYDNIVSTEFVFTDAEPELYTAS KSTSWFSKLSKSESSTSLSASLVADLYPKLDLVMPAENTFSFKRTLKPINIMDIHLSL MRALPLCLGGVRTRSNPGSGTSTYTVRVTKAGILDRKNDLNQHGKKASARSWRPVGVM LCGSQLLFFAEISSFQSWMDSVEDEQRSTVYSGGGGNGNGTDGDSGGDEQQLMTSTRP RNTSLPVPLVNQPRPPFTPPSPSTSIPLIQHPPPSLYSSTSLVSTSTLHPQQQQPPFS PTGQSMLQPYQIYSLAEAVCVYDESYVKYPHVFRLITGDGQQFLLRAEDDADLEDWML KINYAAAIKTTGTRLRQWRYPSDQRPVDRREQRKLVERAKREEKAALAISNLSDDIVN HVKALDQDLQLRRNLMVLIPLQKSTKDRILALAELVGKRIREKRIELQRLECYRSFIE KELSWCSSEVVHRKMSAPHVHTHYLAQRSSTVPILVKDSPSQRQQENEHKQEMLSVHQ IDDNRNSIGGPGFVPKRTSSLLKLSALATSEIDISNRSESPVQILARSDDDDDDDDYN NKNSNGNSNDSCRRINGHYLQSDDTLEFGHDNTRTDSTDALLLPPSDESVAWTSDGKA KQRVTELDRLMRRRSRSHPIMPNSRLIGQAMAGGKPRPLLDVPLVNTRLANRERSSSE VSSVQDDDDVSVIVINNNDTEESPTDEQLWSTPA PHYBLDRAFT_185187 MYCMRYFVPLLLLPFPDAPPVFVVLFLISFFLHQKPCIYCTLLL IALFSSTCYWGQGRCWIDLRNSSLFDVRQDSNATFGQNDGWWDWKITLPVGQTPISNN PHYBLDRAFT_179536 MNGIRSVLRKKVPSSTAIKVLRKVFAMPAPAARLLLNDISRPRK SHKSWISKVPWNNDWSGCWIGENVHKLNPAEIQSRVEAADVVLFNVHGGGFRIGTCTM YMDTNLAWINVLKEKYGLTVMIMSIDYRLAPEYRYPSPVEDVVRAYECLTQTMGVPGE KIVAIGDSAGAALILETLFITHDPSMFEIVTDDPEDSNASEGGSGGPVLSELPRPAGT VLVSPLVTDETTSESWRVNVEFDYISQHTAKVIKRDYFEPQDQDPDTPPESNRVLGIA KLQTGFQAFLSPNVLMYIGDKEVLRDDALDLAEKAEQDGVNWQTVVEDCVHDWFCVRE VVKDHSILERADSIFADFVYRSVIQPRETEHALEALSFRRASEGLEVVLEDDEDDDED DEVFHEAMTGTELSFDKAMMKKLDALGINDPDAKRSTLTVYV PHYBLDRAFT_69692 MSLSDSHSNDHATSCVITMQSTFTPEPAGCTLLDGFAIIVQLTL AATALAVLAYKRWRERPQRPVKIWALDVSKQFVGAGVIHALNLAISYLAGRPMHGPQT NLCVWYFLNTAVDTTIGVGILWFWLHLLERIADGLIISLRDSDKELKSESLSWIQETT IFLLAEALMKICVYGLLQAFPFFALGEYLLSWAKDNDRHQVVFVMFIFPLIMNAIQFW IIDSMLMIRDPCPQILPEDRRFDGFGYSTISSCDSLNIQKNSSQGPLVVLIHSMDEHT RLLYDEGGHNL PHYBLDRAFT_24420 QAINKQHPFGLPLWKPALYKKSRSVVRKANRALHSSPSSSSELF LNPGNIVWLLLFGWWLALVMFIVSLVLIIIPPNGRSYALVMRELSSYLLWPFGRYVER TVDIRPSAMQGGGEFGDEETGLLNQEDPKKNIFNTLVDILKSGPAGCVYYFLFVGIIA PLLLLVSTICWMCVITIPMAKLNYILMRHLRRHPLSLRFKSSRSGSHQLGVNHQPTVI LLCTYQAFGLQYYKYTYDGINIIFINLLSLVFFVIFDEYILKKHWPDAPITSPAVVFA LSLGSVIPLSYFIGMGVSSVSAQSSMGVGAVINATFGSIIEIILYAVALMRGKSSLVE GSLIGSILAGVLLMPGCSMISAGVKRKEQRFNAKSAGVTSTMLIMAIIGALSPTLFYQ MFGSYELLCTGCPENDTSGQLGCSRCYFDQMNPLKDPVYENSVKPFMWICAAILPSAY LIGLVFSLHTHVDMVWKTTPKEEEEEEEAAGHDSPNWSKAKSFTVLFACTLLYSIIAE ILVDTVDLVMSSVAIDEKFLGLTLFALVPNITEFMNAISFALNGNIVLSMEIGSAYAL QVCLLQIPAMVAFSLWFNWGKEELGRFTFSLIFPRWDVISVIFSVFLLTYTYQEGKAN YFKGSILILSYFVLVAGFYYIPPFSNTSILVGYNPLAHSSLV PHYBLDRAFT_107887 MSVNNLAQLPDDALLEDTNEEVCQLLHDRFKQDKPYTLLGNHRL IVVNPYKPLDLLNDVTLQAYANHGYKDLLAATDGFAAPEPHVYELATRVYFIMRRRGK DQGIVLSGVSGSGKSTTHRHILNELLLLSTHTRREDKVRQQIVSVNTIVDAFTTAATT DTTTASRIGKFQSLQFNERGRIVGSHLAVYLLDKFRVTRAQTAANYHVFHQLLAGTS PHYBLDRAFT_107631 MDEKVAFHIHHTADHFAYLKHAFGTVPDSARDEFAFGDLKAALK TCGFKTKTVAHMCQLLAAILHLGNVQFVDANKHHFGGGTSSSFSSQESCRVKNKDTLS LVAAALGVATAKLENTLTHKLKLIGKEFCTAFLTVETATEQRDSLARALYTVLVLWIV DTLNQKLS PHYBLDRAFT_154371 MVEDYRVQPEEQVEEVPITRVRRYWSRFVWIATWWIPSWSLRWI GRMHRQDVRMAWREKVTLCLLIFLLSGSIIFFIVGLGEVICQGTKEIYSAEDVTNHQT INDYWVSIHGKVFDMTKFVATDHGSSSYMAGKINMEPLAGRDLSHTFPTPLTTACAGL VTDDSVIVTPNETIVLGAFVHYSSAQQPDKNLEKLRDPNWTTRYFNPMMNQYKKGDLV IPMKQIKSDYSSWGRLIAVMDQKVYDITDYMATAHQYPIDSPGVPNYHYLDSSLEMVF KKYGGSDITEQWKKVSAFMNDSTRAQNQACLDNAFYIGRLDYRDSLRCTFVNYLLLSF AVVMSLVILVKFLAALQFGGAPTPEDHDKFVICQVPCYTEDEESLRKTIDSLTAMTYD DKRKLMFLIADGMIIGGGNDKPTPQILLAILGHTTDGPDVEPLMFKSIGEGSKQLNYG KVYSGLYENEGHVVPYIVVVKVGKATERTKPGNRGKRDSQIICMNFLNKVHFDSEMTP LELEIYYHIKQIIGVDPSLYEYILMVDSDTEVYPDALNRMIACMLHDSRIIGLCGETE LGNEDRSWTTMIQVYEYYISHHLVKAFESLFGSVTCLPGCFCMYRIRTANKRQPLIVA PAVIHGYSDNQVDTLHKKNLLHLGEDRYLTTLMMKNFPQYKMMFTPYAKCRTVAPDEW SVLLSQRRRWINSTIHNLLELILLQELCGFCCFSMRFVVIIDLIGTITLPSSVIYLAY LIYIATSGTGPIPVIALGMLAGVYGLQALIFIIKRQWQHIGWMVIYIAAIPIFSFFIP LYSFWHFDDFSWGNTRVVVGDKTKQIIVTDDEKFDERMIPLKTWAVYEQEQWEKTQTN TTRSRRKSASFCQDTDSIVTRSSGSARSGVSAEDRPPFLPPLITGLSEQRLSEFSVDL SAVLHSATHGKERRGEEEGEGKTVSLPEDEDMEREIHRILATANLMTLTKKQGKE PHYBLDRAFT_140462 MSASIEPTPNSALETDDSNNMYGPVHSVAIHGQSVSPNILVALL NRPREMQDLAVRNSFFYEAIENYIRDTQGDSSWDSFQHIVYAPREEMSDRVWMASITD YLGHHPAFLGKFKESVGYQSSSSEDEDEEDGDDETEDDDEDDEVVETRKRAPKLTLQP YPRRHSHNHVHYHPMQSEPHPSITSPTLREEEESRGSEEGGLVAGLVALRAHPDIQVQ LPERYPAFFQRARRCMGSCIMSMDTPRFQTADTSEDSFEPFITLISTTRRQQPDDGAW MESILEILKCWPELIDELSDIIDDSLPRRRH PHYBLDRAFT_69688 MFYYLTVAIAIVSFVPFSYCFCIYNFYTDGTLVDVKQESEVWAF AEPFSVEGLQPGDSACCHYTNKDCNLHGEQTAMVTFGVGYQNRFKYSKIKLRPAEGYG QMYRVDTSAGGTIQFTGDMNNNSIAAYSYDNTFLSSNPRPIIVEYIA PHYBLDRAFT_163486 MAKYPYKPYCLLSHFIMLAFWTYFHVVPRSVLLVNLFHCVAFYC IYIHNSTDPPGCTTIFSDVVQTTSMSVYLERNRSLGALIDILAKLKLNSRIKMQDVVL YDPNSDP PHYBLDRAFT_69686 MAIFQARSLKRDRIIRKLCIIIVLSVTLYIFLTKISWITNGKET NLDTPQKDDGIFPDFHHANNHQYHGKPPSGKHGSSDIDVALPKNAILEALRKSQLSDK SELQKLGSNNPVMLEQLNQNSGKNKDTRSGQNTLNNQETPQFDNPPKTMTLGESSVYS MSSDDEYLPSTDPKDPNEKFISYLPHSGFHNQRTALVNALFVAKNLGRTLLVPPVVLG DSVGWKEFDELLATYQNTDKSELSECKEHLQTYYSGEQERENLPDKCQASYKYTSLRW DRMFNMTRIKQTIAVRYRDDYKDSTLENAFGISSSDTYFVKDSVLYDYSITDKPDWPL PQKYQRTIYLGDLGSRRERLISFGSMFGSGRVQVSNPESISLQQFLANQFILSRETLP ELFQEADAIIRQLGGPKTYMSIHARVGESIFEHMSSQTMTKLWKDLQKYAPFVQSRAP RDEPIIPGTSFLDRTTCFAPPTDPSAMAVDWSTRQVASGRPLVFFMATDATDPRHHPL FQSIYNGFTCVVTLADVFDYRTSSLHNLVNPFDGLRYGRFLVPLLDGLVASRAQEFTG SSWSTFGFYIRFLHDTFILSQVS PHYBLDRAFT_12696 VNLAQFESIRMIGKGSFGKVRMVRHVERRDECYAMKCISKEHCI KLNAVRNIIRERTILEQLAHPLVCNMRFAFHDASTMYMVMDLMLGGDMRFHISRQRHP ENVIRFWMAELICAVKYLHFQGFVHRDIKPDNILLDQEGHIHLTDFNIACSIPRKPQS LLRSRSGTIVYFAPEVFKGHGYNEDVDWWSVGITFYECIYGKRPWPGNETAEELAQTV LRGTICYPSTEGRSVSPSCVSAIQGFLEYEPNNRLGHGTSGWNRLVQHPFFHSVNWNA IDTKQTTPPFRPSSSQGNFDAIYDIEDLLVHSSGSISPRAANWFFRSSQPSELDERRV RLLKEIDEKFKPFDYT PHYBLDRAFT_69684 MLIFWLVLGTMVLGTMATSLQIPFTKDSPIAYSPGKLPLIITVP HGGLWEPSDIPDRTAKGSLLLADAFTKNIALDIADRITAHYNSRPHLIFQVRRRKVDV NRCEDQGVESDKGRLLWKEYHGTIEAAANNLLKEYPHGLLIDLHGHTHTHGMIELGYL LNTADIHEAKGLDERVLNQSSIQSLAQRHKSRIHPSDLLFGNHSFGEALQQAQIDSLG VVPSPKNPLPVSDALYFHGGYTVERHRSKNQRIGLDAIQIEMPQKARFSPTGRQALVE SISQAVITMLDRYYLTRAKL PHYBLDRAFT_157535 MHPALIFGLVVCGTIVIYEGVKLTNHLYERYSEQSDYYEHVRTF NEKRRSGRPHSPPYDDNDDDEDDEVLQSAWNRAYSGLRLRRSAHSDSRLSEDGHSDYE LSEIERSILDRKKALQREQALLDDAEQELHRRKQSLSSRGNSLLGLDTTHCDNEITHN PFGDDFGRLTDNTSSQSTLSPFADPPFILPPLDTVSTDPLNVSISSTPESPRQQSTIS SIPIDREELWAADSDDNQEMEFPLVLGQGVSDSEESWSEVGRELGMTTRRQSQGSIGS HDSNSSGSLGSQTQHSEDGNMSFDMMSVSDNGL PHYBLDRAFT_140468 MTTNMTSSGLIVESVDLVVEKFKISDVIEIASTPNKDYATRGST NLSKWYDFEIKSEENHPTSPELASLIWVADTSTDGHHSTNALSHKSGMDSKRMVAIYN KQETGRLGKDMTNSFSRSTKSKTLEIYGSKWKG PHYBLDRAFT_69682 MGYTIKAIASTENPEEYVETTYEAPSLGEADVYIKMKACGVCHT DYIFQSMVPPGTVLGHEPIGVIEELGSKVTKFEKGDLVGFSFLKNCCLDCPECNSGDD YLCRKRVMFPEGGNNGFAEAAVVNSRFVYKIPKGLEAKDAAPLMCAGVTVFSALIQSG VTPTGRIGVVGIGGLGHLALQFSRAWGCHVTAISTSPSKKEEALGFGAHDYISSRDFG EDFVIADEDKYDVILNTVSAKLNWDEYLTLLKPAGKFMMIGIPDGSIEVKDVVGMIMD RRAVVGTILGGRSMVTSMLEFATRHNIKPAVEEYPFTATGLADAIISCHTNKARYRGV LVAEDQ PHYBLDRAFT_140470 MSQEDPNHLEWDMVIRSDLSHNTSVDEALDASNISSHSSVISLE SAQNIVSEDTTIDGARFSPNLSSVVQDMHLSTIEHDMQELAISSPTSVHIDNYNLSET SSPSESIFIYRPDTWCAQSTLSSDNTTSTTTEIKTIDQQSNVVIDNTHDRTNPKGCLD WKNVAFNIFLKTTSSQVQSQPGSRISSSTEPFTVDNKDQDCAAEEVESFSPINDYNSA IMWIGFLPKTVSFKDLQRLLYSPDHIKYRRIEHFAHVTYGSDEALFINIQRFDNTVFH GVILQCYPIGLESPTDHQSLPFVYPPLLCDMNNDVAELYQTPMTSIGYTEFSYCYRSG QENEYYTEYSPTATLIPYPNINPYFYVPSVPWYTPYARYFILKPWAPQDLKFSFQTGY WSVSRPKMEHLNINTPKVYLIFSITGSGEYCGCAQMISPVIDILECRKYGRQYGLVNT PFKNEYKKRKRSGKNKQKAQLKSKSKEAPDEVQSKGPIEPLGQIRHPRPWNFVFALEW IVRCRIPFRKSPDFFNPWNMTLVKESVDGTELETSVGEQLIEMFKLKSNTKGKEIDVE GCFE PHYBLDRAFT_24409 PDVQVVRRTRLPSTVVGNVSLLAILRKNIGKDLSTISMPVGINE PLNLLQRLCEELEYSELLEKASAQTESIDRLMYVAVFAVSGYSATNYRLGRKLFNPLM SETFECIRPDKGFRFISEKVSHSPAVMACHAESKSFQFWQTSLGKTKFWGKSMELIFK GAVHVTLTGHSDGVFSYTKPSSWLRNMMAGCKYLEHVGEMRVQNEVTGEYAIVTFKGA TGGGFFGGLPTDRNSVVISCHGSDGQKLREVQGKWSEELWQMTGETKSRLWKSQPPPF EDNLSYYGFTQFAMELNEISSLEDAIPPTDTRLRPDQRLFEQGQVDEADDVKLRIEQQ QRERRKDMELQGEQWNPLWFESHQDPNDPLGETWKYKGGYWEARRSKKWPSDMLTLW PHYBLDRAFT_69679 MGNSCMDGSNEITIELSTISTIYNENLFYVIWYLYKEKYSIPIN CCSKFEALNSKVKLVSSLCFASFVSARSPAQFKSESTIRPAEDGPEGRIRETEPEKKK QSRKYEGGGVKVGCLICDLIFELHS PHYBLDRAFT_69678 MLRSHLALWVILSLKRKVTLLAVVSFGCLVIPTLRLPGIKQITC ASIKLALIVWLTGPNYLKRTVSVVIALDRKKGLCSDICILRLKTTPKPSGMVYQLYYV HSTSARLLVLFKYQTRTR PHYBLDRAFT_107123 MRQGRLPHNTEIDSFLERLINSPSIHSRQHLMSPDGKRLLRDFQ ELVTTIRKAVAVKNKNELFQSFVYHLHAMDNPVTKGKYIIQNTNQVSLLLTTSVLSGA NATIRIAKLVLLNGEFRDVLNELIAIVQEVFGDAANKASESLQQAGNNLNSQAQHLGD RAQEMADPDRQNRYKNNLKQQTSQKGRQYQQQALEQARLHHERSKQYARDKFPPEKVD DIIRRLKVVLAEVQRNPDYQYAINTILRLFSTWSHRAMDMSQETAKTTAGTGQTFRSD KNWSQAEQEIKTIIEAWAQGKSLDHLVHSVRDIISDIKNDPALRKYYDEVIFYIHRLL KEPAYVVEDRSTEDGRALIETGRNFTQHRYRNHTNALMDEIRSYTRAMAKDPISMELH LRTKAIHQDLWFDEQGNPAFKPQLINDMRLTLLPALFEQIKYVPIPRIEYSDKQFDVV VENVILAGDTLLPNFFDVKLESYSKFSPSEDTKDTGRQSVFIKMSEIQAVIEDVVFSY NKKSGFPKLSDRGIAALEIGGKGIDVSLKLTSTNDPKHMYKVNSCRCRIDNLKVTVKD SNHDLMYKAMHPMVIGIVRKQIARAIENKIIELLELGD PHYBLDRAFT_121897 MSTAPSVAVPVTEIPQPTEADSTTTKDKAKVLADKAKKKKREKA KKKAKRASRKNVLKTKVIVRRLPPNLPEEIFMNTVKPWTSEENVDYKYYVLGKLSKSK AKEHVFSRAYFHFKTMEAVIAFHQGFDGHIFVDSRGNESRAVVEFAPYQKIPKEQKTT DARAGTIDSDPDYYKFLLMLKAEENKSPEVKKGSDGLSQIERLENRLALVSAQTIAAE QANKPKTTPLLEHLRAQKA PHYBLDRAFT_163498 MTCKRRNNGRNKHGRGHVKFVRCINCSKCCPKDKAIKRFTIRNM VEAAAVRDLQEASIYEEYTIPKLYVKLHYCISCAIHARVVRVRSVKDRKNRAPPPRFR FAKKVNVLKITAAPKA PHYBLDRAFT_41174 MDPAFSFSQVDEFPSQTDEYTQILTELHTEYCRDQPLDVLQYCA NFFNQRLQEQRASLRQRLPRGFEANELHPLSGQHQEFPGQISDNDDDKDNDFETLDDS DDEERDEFSGELIPMMPPSYGRDRRTSVSAESMAPTQGRDFVKTVIPKSPEQCARIRE SVENNFLFRNLDEEQYQDVVDAMAEKRLPSKEHVIEQGAVGDYFYVVEQGTLDCYIAK GDAEPVKVTNYEAGGSFGELALMYNAPRAATIIATSDVVLWALDRVTFRTILMENTSR KRRMYETFLEEIDLLKSLESYERYKIADALESVYFEEGQQVVVQGDVGDQFYLIETGE AVFYKTDSQGNTREVNRYGRGCFFGELALLNDSPRAVTVVANSRLKCATLGKKAFTRL LGPVLDILKRNSENYHTIINKQSQ PHYBLDRAFT_154374 MTSTSSPYEVVSAAPSPITSAGTGIANLPNQRHKIVAKNGANFT VMVCGESGVGKTTFVNTLFTSTIKEPKNVTRRRFSKTPPKTVQIQITKAEIEEKMFKV KLTIIDTPGFGDYVNNRHGWIPIVDFLDDQHEKYMRQEQQPCRKGAIDMRVHVCLYFI KPTGHTLLPLDVECMKKLGSRVNLIPVIAKADTLTPSDLAKFKQNIRDVIYAQNIKVY SCPIESDDESTTQRNANIMAALPFAVIGSTQDILTPDGRKVKGREYSWGVAEVENDEH CDFRKLRSLLIRTHMLDLITTTEETHYENYRQSQMETRNFGDSRSQPNENAKFKEEED ALRKRFTEQVKGEESRFRAWEQQLLSERDRLHKELEAQSERVKEMQADIDAFYYQRDS PKVIRK PHYBLDRAFT_130666 METKPRLRVAFLHPDLGIGGAERLVVDAATGIQSKGHHTVIYTS HHDPKHCFEETRDGTLRVSVHGNSLPRSIFGRFYIVCAILRQLVLTLYILLWEKDSYD VMVVDQLSACLPLLTWFSSAKIMFYCHFPDKLLTSRESTLKQLYRVPLDKLEEWTTGM ADTVVVNSGFTAGIFRRSFPSIFKTPRILYPPINTQAYDRAVDTSDPSVQLLETNHKI ILSINRFERKKNVELALRAFAALKTDSLIDPTTFGTYRLVLAGGYDRRVAENVEYLES LNDLATQFGLKTFVIHPSSDQKPPEDAQVVFVCSFNDAQRTYLLANALMLLYTPSNEH FGITPVEGMYCSVPVIACNNGGPLESVKDTLTGLLIPPTPELWANGIRDLITEKYDVK AMGEAGRRHVLDTFSLEAFADQLEDILEELVTGGRVTHHRYDNVEYGVRVFVAALLVY IWYSMF PHYBLDRAFT_140478 MAASLVPVTRLADYFFVAGLHDDKLISTYEDAKKGEPTGADDVY YDQQKQAAATDTNPAFLSSSPPPQAHVRPRGMSSPLEYNDPNDSFYDVLDHVQSVIDT FGKDRDSARDVIAVKKGATHRSETAPIVSRHSSGVRRQSARQRSLRYSKTWRSISEAN TKGDTGSTRPRSVSLHQNAISSPEQEPVPKPTETSKPIIPNLLEIKYIPNVLSRYPKQ DYSVNEQFPAYVAMFCFPKDISLHYGKDPPAEQTHSFAMTDENGRSIYGTCVVFYEPL SPKLYDPVNKAIQEWIQVNMSASTIEYAQHLQGKINDEQHQLEEHGAMLATLATLTTT PEVQAEREILEEKVRTSQENLALYSELLVPVRMGICEAKHIWVPKCVGVLGGMPWMDL LGDWIRILVDAVVGVRGHKHENSAINIEREVPLPSPGRFETRLVIHHRSLFFSRPAIN QVPVLKNFSLFPLFRALSPHLILVVIETLLSEGKVLFLSKCAGMLSLAAESFRYLLFP FYWQFVFIPVLPEKLLTCLQAPVPYIIGYQGEIDELDEHLPDDVCIVNLDTNTMHQSQ PPMPLPTRARRKLQSSLEQYAPLHTRFKVPYGVPLPVLETFPNGRLLLNCGRSKTQDV FVSPAQRRDSESSDGYSLMSHPASTNSKPISGFWSSNNSTRSSNDSVTSLPLGIDMPA PSLPQFPNFAKLAITTQSSTSSPAPHSSVVSSPQLAPQRSTPSPSRSSLPTQGVSNPQ KEAGEDNRRSGPPQFKSKNNNQNSNSNQTKYAESIQEGNGIRRLSALMSKPRAVFQQT HHETSEPPSAYASPHLLVPKELEAFGNLDGTQQQNHEVLRRVKHIEGHTMVEMLHHEL SNFHGHRCVCGQQVGEEGMGVLQRQPVFMYCQDCHLVTHAVCTSQILHPCLPACFDEA KIQASFLRMFASLLCNYRSGIVDGVQEHGGNGFAASFAEYSVDKNGVLFFSKDKFLKQ SDKDTRIFLSNLANSQMFNQFITDRLAKSSKDPEILVFDEYIKLKLNRSKLKFVKEST PFLNDDSYRVSQTVRASSPDDIRHGCLYTGKRFPIHLQIMDD PHYBLDRAFT_140479 MPRLLLARATETCHPLHHTHRHLLFSAHSPPIVPRRLPHSMPSF LHSDLSTSVGLWDSSWSSCPRIFNKYPDPVAFSMMATG PHYBLDRAFT_69670 MATKDYRFRIKIKERPFSTPLQLSVSQQQPPQLYQLIQKIQQFL QDLIVEDYAIMIDNHRDQGKNVLLADDCTLQNAFSLLSDHDRLEIMLCRKASPVLSEP KDHPKDINLLQRTSNALVDTMSIIKPSVLRWTADSTENRLQISTLTTTTVTTVTTTAA TPSASASASESTSTTNEESVQGMPQNAYESKHLLDRKDSGISLDEPVHKKQRLMSTPS RKLPELSSPLIPHHSSSFIEHKSPMIHPLDIRRTSLSPPSPPLHACVRGMSPNPSPAI VLPAISFITSVSEPLDLQLAPIHHSRSHDPALSPARYPLSPPTSVSSPVSLAPLLPIN TDRQTVQSTNTSLGQFVCDRVIDLVTGRTCNQAFRRSYDLTRHQTTHLQDRPYRHCEQ CGKKFTRLDALRRHERVQGHHPPKHTQSLSFHSTRLDEAVNRSQQARAR PHYBLDRAFT_24382 LYRFLMKLNNETVSVELKNGTTIQGTITGVDMSMNIHLKTVKMT VKHKDPASLDSLSIRGNNVRCVILPDSLPLDTLLIDDTPKSKAKKKEGMYF PHYBLDRAFT_179543 MSEKPVEHHDEDTTAFFKEVDDDINKDYMTCSASQAFDSVWQCY TLGSQAVNYYRYGEKKDCSVRWDDFKFCMSAKTKSSEVADRMLRQRAKEKERLKSQMR NSEEVWEAYKKSPAA PHYBLDRAFT_163505 MSTIDENENTKQKRNRPCENCRAHRRKCIVLQGMQCERCRKMSS CCLFKFTARPLMAKRAIPLSKKNRVWNEIWELEQEAEDLQEQLRALHITAKVNKRPRK QLLPTNNYNTVEQKVAQTENTACECIDASKCTHLNPKNSYSDQWQLTLSKTTNGIQFQ TNINNFSDMLVFIREAAPYLSISPQHTLSFITKRSKGMIVTNCLLKIESVIRNTFSTT AIENEEPKNSIIVKNQNERYLAKLLFIDIYFSCYGMLNPILIHYYYYNYLRSNPDSLL STSIAAFVGYSQCRHAPKTFGSYTREELSESFRKEAQELMRDALFDNLDGPTLETTFS IALLSFVSVLTTNMQEARLYSSTSWRMVIQLKDTYRHILHLPLSEAIEKVGPLGIIKA ETWRRMFYIIRYVETYLYMMLDNLNDFSDILFPIEIGHPTPLPYEMADTAIYEAVKVY CDYVRLISISKVYDNGKHTSVISYRLQAGSLKVIPSNAVEMIENQLFEFWNSLPPSHR VSDQPMSYIQTDHIHQCVNTRVLYLNVVYYVNWLNLQTRIMDPPKYCDLSDASLGCID GKRALIIVSMSSDAIVKILHVLHLRLPCALDLHLLILAIDALALLRSSPNRDIRDRAD QGLKQAIKVLHDRASHARSPLSSPSLAENNCNSRSCSPEAMQEANEISWSVSSCSSVS GPQSPGNTEDYTETIPVNYEGDKIHSIGYLGWMKKQLGSISNDTL PHYBLDRAFT_176829 MPAAAANNNTKSTIEVKKFTALAATASPSEAQSTKAKELLAKLA GASDADREALADELAALINANGVLSLKQFNNLANKKSLAARQAAVAIISALTTKSING QAEPYILPFLSTLMELQADKSAALKVAAAQAAKNLALNVNANATAVMVPVILEGLGNS CKWQTKMLSLSLLDELAKKNGPQFFVVIPEVIPVVSDCMWDTKTDVKKAATSTMGNIC ALVENKDIERFIPAVISCINHPENVPETIHLLGATTFVQEVDSPTLSIMVPLLNRGLN ERATPIKRMSALIVDNMCKLVDDPEVAAPFLPLLLPSLDKVQEIVADPECRGVVQKAL ATLKRVGTSDHEIFSKAEKASKVTKTFEELLPAKLDAFYAPIVKYVDDIALALCVGHR FFKNVWLESVAPYTAAFLSKEDAEKLAVNALDKCEEAVSAKIVEEEDDEGEDLCNCDF SLAYGAKILLNRTHLRLKRGRRYGLCGANGCGKSTLMRAIANEQVEGFPPKSELKTVY VEHDIDGSEADTPLVDFVIASEGVETKDRVQVAKILREYGFTEEMVTTMPIGALSGGW KMKLALARAMLMNADILLLDEPTNHLDVVNVAWLENYLLGLKTVTSIIVSHDSGFLDH VCSDIIHYEANYKLKRYGGNLSEFVKKVPKAASYYSLDATQIRFAFPEPGYLEGIKTK ERAILKMKNVDFQYPNTAKKQLYDITMQCSLASRVAVIGPNGAGKSTLIKLLTGEIES EIGTVWKHPNLRIAYVAQHAFHHIERHLDSTPNEYIQWRYASGEDREELEKNDRMINE EEQKAMAQTFVIDGEKRVVEELVGRRKLKQSYEYEVSWIGRSSVDNTWISRQKLEAMG FGKKIAEVDAKEAAKMGLNRPLTAKEIEKHLTEVGLDPEFATHSRIRGLSGGQKVKLV IGAAMWNKPHMLVLDEPTNYLDRESLGALATAIKEYGGGVVIVTHNREFSEALCNEVW KVDAGRLVASGHNWVTGNGGAAIKEEEAEDTVDAQGNTIKAVKKKEKLSSKELRKKKK DRMERRKRGEEVFTDEDDI PHYBLDRAFT_121915 MSLHSLDTKSVNPEDPGIDYGNPLDYPPLPKFRKAVYLSAIVAA VGGFVCGYDTGAVSGILSMEPFQAYFFTPDNLVYLQGLLLALFLMTAALGAFFSGFFC DRFSRKFSIIGASIIFCIGILFQIIGHNFGLLLTGRLVGGFGAGLMTNAIPLYHSEIA PPDIRGRLISFFTLMSTFGQVVGYFFTFGTSYLASDWSWRAPWLFHLGVCALCGLVLT FLPFSPRWLIDHNRPEEALQVLADLYETTIDHPAVRKEYEAIRSEIEFEKSMGKRTYA ELFQGSNLKRTSIAFFISISTSFTGSVAIWYYAPQIFLDAGMTDSSSSIAATGGTGIF SFIAAAVSLQWFIDRWGRKPVFLSGAAVMGISMFVVGALFQKFALVDVDTGVVAVTNP HARNTIMAFIYIFAGTFSFSYGSASYVYPAEIFNMRTRAKGLALTYGLNWGFSILITY CAPLFLAHTVSGLYFFFGACCIVCFVGCWFIPETKGRTLEDMEVLFG PHYBLDRAFT_57776 MQVHDYTWIFAVSMIAAFGDAFGIGANDVANSFATSVSSGSLSL AQACVIACFTEFLGAVLLGAGTSETIKGGIISVALFVDIPETFMLAMTCAIIGSACWV IFATHMEWPVSSTHSIVGAIIGVGIAGFGGNAVDWSWGGVSKIIASWFISPIIAGCVS AVIYLSVKYTVLRREDSFKWGLRSVPVYFFITTVIEAFYIIYKGAPGTDAAKMSTGTI VGISFAVGTFFAFFAWFFVCNWLKRRIKGHEDLKWYHVFISPFLSKHPELHAPTTTGA VPPQIGEDGNPISEVATTASDSKGAVTEKELAFVQEIPEPKNDFKSKMVSIKDRAIGL ALHGVRKDVRNLDNEHLKKVHAAAELYEDDAEYMFSFLQVLTACMASFAHGSNDVSNA VGPVAAVFGVWQTAAVDVGGKVPVPVWILVYGGVAIDLGLATMGYKIMRTMGNNITYF SPSRGFSAELATALTVLTCSKLGLPVSTTHCITGATAAIGLCNGNLSAVNWKMLSWCF ASWVITLPCAGLVAGLLFSFAAYAPALVK PHYBLDRAFT_107289 ELEAMKVRVQEMEDEAAKLRSMQAEVEKTMSTEEVDREAVDSRS VYVGNVDYGATPEELQAHFQSCGTINRVTILCDKFTGHPKGFAYIEFAEPSFIDAAVA LSESLFRGRLLKVSAKRTNVPGFSHRGGRGRGGFRGGFRGGMAPYYGHSPMHGYRGRG R PHYBLDRAFT_179547 MALENMGIQGMSLNDCSTKEDYEELLKQYDRYDDGNNDDGRRSK RASRNMNPFTEPPAEVPMVDEPTFVLDCHSFPPAFKTHHLRDIFRDYENLRGGYRIKW ISDTRALIIFEHPATAKKAYIDNVTNPLAKIRPYDGPTDFLRTSGPQTPTRPTSVDMS SKRASMTSKR PHYBLDRAFT_157544 MSSINVFPVPTTSTNITITSTPTATITTIPTNNAINTQQQQQQQ QQQQQQQQQLSSSPASGSTASAPIPGIIQFDSQQMEEFVKFHRAEIREATDCTKRESK LVANISLDLSSNQDYEGVEMSSDDPQSHRIALHRKVKSSHQFQKYLLDLDDILERKMA AVEALRDRISETVSQVEL PHYBLDRAFT_24434 MGALKYSTNNTVVPPSDLNQKIRVCVRKRPMNKKEMERSEKDIA PLLNTRSIQINEPKLKLDLTRYIEQHTFIFDDVFDANSKNTSVYERTAFPLVKYMFEG GRATCFAYGQTGSGKTFTMLDPNHGLYVLAAKDIFALLKKPENEHLSAWIGLYEIYQG HIYDLLNERKKIYAREDAKRNVIISGLREYPIDNVGNLIQVFEFGSNERSTGSTVAND SSSRSHAVLQILLKPKNNRKKIYGKLSFIDLAGSERGADRGETDIKTRMEGAEINKSL LALKECIRALDQDKRHTPFRQSQLTQVLKDSFVGKSRTCMIATISPGGSNSEHTLNTL RYADR PHYBLDRAFT_121923 MAGQPVRLYSKGRVLGYERAKRAQNPNTSLIQVEGVQTTKDAQF YLGKRIAYVYRAQREVNGSKVRVIWGRIARTHGSNGVVKARFRKNLPPKVFGASVRIM LYPSNI PHYBLDRAFT_69658 MDKPFDILNPTPAPLPPPRYRPLSETSVSDPYSTPPPAYQPSLQ YSNSLYILPSQQTRSSKPRSPIGAYYYQPLPTPEIKVIHHKPTRSHDACCWGCLVAVL LCFGIKECC PHYBLDRAFT_140491 MPTRFSKGYDIPREAISRIIGRYENTRSTTYTYLDEGLRSTIHK AHRDFIVKTVDKNNTITLRELMGKFLGCFDEIRNIGIFTLCRFLDDIERLTLKRSTSM EEKKNDPDTFTQRN PHYBLDRAFT_140492 MRILTWNINGLTSALNYYPWSKKKSHKEMFATMKADIIWQEIKC QPTKLTQDVALVPGYDAYFSFSKTKPGYSGVAVYVKQPLVPLQAEEGITGILNEPKTI NPCFKSNNKPTSSSSITTNDCNDNDGGEGYFFDGLMNSPDQLDSEGRCLILDFGLFVL LNIYFPNEAQGDRGYYKADYHACVRRRIDDMLTNGRQVILVGDLNAIHEPLDHFKSTH GWPVVGDTVFQASVYRHWLNEIIYPKGPLIDTCRNFHPQRKGMFTFWKSVTNERPRNF GVRLDYILVSEGLGSWLKAADILPELFGSDHCPVYIDLYDIVESSVQGTKCLMDLLST EQSKNKNKNKGKGNMTTASVNISIKNKYKSANESRYSNLLAKNFAQFSGSQKRLDQYF STVVCPKNTKKSTDQKIDQ PHYBLDRAFT_163517 MTESRARSHLPTPTKTLGQYAFFDDTPTTTAPTTTSDSITSSVN RHSPVTIPKPSSQMASIVSSPLVVIPTRPPRKLSYPMQLPDFVVGSIPHYVPVPWPNS MTHAPMTPDFITSLEKRAFLSRANSFSEDDDGAVFDMEDPTVSVSPSSSFLSPQ PHYBLDRAFT_107729 MTHQKGNILELEGYLNQKTRVRIRDGRVFIGKFVCIDKQKNIIL SQANEYRGGELYLDS PHYBLDRAFT_107242 MLILFHNRVNKNCVKWAETYFTDKIVGTEVTKDKTTVKITSLDE LTGDVDLNQRKGKLITIFDVALTYGWKGTLEDGTEVSGKIFIPEVAHDTDSDDYVFDV TIDDDKPAKQVVRGLIRKELGPILAEKLARFSDDLIKQHSSDVYIDPSQLGQAAPPRA AHPNTKEHSATHINNTSSTTGAAASSASAPKKVNTTTIKDTVEFLTSANELYNTLLDP GRASIWSRGPAKISDKVGTKFEFFNGNVTGEILELEIGKKIVESWRLKSWPEGHYSTV TLTLEQSSDCVKLHMQQTGVPVGEEELTRNNWNGYYWRAIKETFGFGANF PHYBLDRAFT_163519 MVSASINKSQKSIETYGDLVKYFGSFLQTHHRHFKVDLNETPHK SGTAKIWTEFLFVCQIKGTLLSNLHCFPVFQFSRFRTIFLNELYQNQTPPCHSELNEP LDKSREQKFGTKCEFAHQIKCTLLTNLLLSGYRAIGLSGYRDSGLYF PHYBLDRAFT_69654 LWSRRLLLLPPVGLFCQWVCCFPLVGPFLLPVDLLSLASGPFHI AVGCRLPVASFQLPAACFTSTGGSIYLTGRRHLSHWSDICFLLLPVASAGEPDGSISA AKLSAMSCPQDTSSKADKAHQKPNMGACKKATSAHKRGQNSKCAPDKGQTGPQERPKY VPAKKGEPAHKKGQNMSQQKRANQPTRKAKVCARRKGQTAPQERPKYVPEEKGKPAHK KGQSRRQSKHQSGPQERLMLQMRAREKRLFRDPQGHCQDSKPNQDR PHYBLDRAFT_69653 MDASCVVLVTLIICFRHNKYFSEKVPDYNIVCITRFIFSHPICF LSKVTKLLMKLIKQAHQDKRCLCASANQTSLRVRLIVALSRELINPFIKNIFFYDNII PHYBLDRAFT_163521 MANNINDSQDDNSDGSNLINFYVTKNATNKRTFIKITSLKDNTN TYTKLMAHFFQFSRFRTIFLNELYQNQTPPCHSELNEPLDKSREQKFGTKCEFAHQIK CTLLTNLLLSGYRAIGLSGYRDSGLYF PHYBLDRAFT_179550 MSTLQQQLKKIGTADLRNVSEVSRKFKASFLFTAREAADQDLDT IYSIAYNGIMELTILDSKFAAYESTLFSEEMKSVDRILQSEEENAKLNASIKSFLQNL SPYFLLKPAGKVLEYLIRRFRINEFNVEDILICIFPYHETKAFVKMVTILNIEQNSPW TFLTPIQKTGIPLERSLLVARMARKRNILNFVTDLVIKSPVHFPTLYSFYAATMIELV SERVINEDSVMVITGNLIDGMNTSDVPELQIASYMILSQLATKVSMTKEAVHALTDAA IKHYNKSYFNYCLLTVVHLAQSQTSCVRLGKSATKRLLKASAFDKTLLEIAEKYAIDR FFTVIVGALCDASKVDLLVEIVLQGLISSDNIRLLCENTIEGYLNLVESGCTEETKES YTKQFQPLLATVSQRYVDELDTVLEAKLNSYANKSDEKSKILYEFASIAFKGTRHEVI EEANTTLYLCLNSPAVTTRLLALKKLVDVMDNESSPLTQSPEVFQSAFTGCLNSIDET LVYAVRDVPEQLLKSVSSEVIISSISSLLRDNNVLARKEVVTIFKFLLGPFVAKYPNK TAKVAQLLATFIFAAPTDQVKLLEKESTASTKDAVLKSMLSSMKSASKKPASLSTLFV KLEADVIKSEKDDHSLLFWIQGIQSQNVSTRTLALLVLNRAVFVSADERQYKVASKCL SAITESLTEEQCHDLYTVKLASASAIISSEGLPVESFVEQLKKNSVTSILQINLVQST LDNITVSLKHATQVSWFSEKKPQATESYCHTVTELFKVFANGATLGSFEEMITKLVSI QLKDNVLSFLMNVWSYNDNAFLVKARALQIAATYISQVAPKNTIDFQHVLPVLLPCLT QEYLAIRINALRCLESIQSTYKSIGLPTGKKVYSSDDSADANTKATGKAVVESAKSLY DITVSFPDLRTNDAAHFVDYLSYRKEEIKQDQKYTILMLKDYVRICDESKEKQQKVRK NHIIDFLLNHVMHAPLADIQINILSVLNEVETPRKLQQLYPLLETVLDSPRTTKSTTL VALLIHCYSPLNAADFGSKNDKTLALFLRLLSNRDALEGEDEDGWQASTRRFALKQIS SEFFAKANEKAQKSILSLLMDIATNGQQSDVRAAKTVLAEIPIAAKMLEEMLFVVAKS ISSSTSETETSTLTTKRARTAEKPAEKKSVDLYELVTVLELIESKTIENDVALIKPLF EVLTAMVNADLRDSPVSLEYINQIIMSSLTRIIQSAEEKKVHVDESTLRVDVVVQCIR VTGNPQTHNQALLLMATIASMYPECVLHNIMPVFTFMGANVLRQDDNYSFQVIQQTLE KIIPPLVASSRLSSNNEAALALQVKPIIKVFVDAMFHIPKHRRLRLFSVLIQTLGEDE FLYAIISLLLEKFTEKLAKGARTEADSLTEFSLTISQQFSPQTQMKAVLSLLNGLLVL PNDKSEDEVMNQDTLFNTNEHNAKQLRQYKLATLNFVGQLLGSRSFLGKLMAQANLSE EFEKEMQPYYLTAVEYILKIVTYFTGFRDGYAVSQNANPSITKFWRGMLKVVYDDLAK VNALLPLPAFVDVVSHLIKHSEVSIRRKAMDMFNEKLQVFNQITEEDEVLLVGMVKQF TDVIEKESALSGEEDCLVNKQSALLCISSLASLFGAIFPEQFSEAIPVVIGKDCLLLS KPQVKISSLVCLTVICQEIGPRAMPHLPKFMPIVIDILSMTVEAERPNAMLQLSVISA LETIVKVLPHFISPYLSKILANLLHPSIYTSDETNATQKLLAKEKVMSVLVEIATNVP ARTLFGPVFTSYPLAVKNGKESVLALLKLASEAIRAVSRDAMTAHYKQLFKFFLTAFD IRRIHNDIFDDEAINEIESAVISAFLDLVMKLNETLFKPLFLKVVDWATVELAVDGST ESVDAKKRVLFFYKLLDSLLDKLKSIFTPYFGYVIDDVIDRLDAYRSEEKQEEPDTLW NFIMSALHKSFLYDNDNLWNAEKFEKILDPVVDQMLVTAKGDAPDYLTRMTTYLVPLV GQMAVTVSNDTLWKPLNHKILMKTREDIPEIRLAALRCIEEFYSRLGEEWLLFLAESI SFLAELMEDDDVRVEKLVQLVNAQIETHLGESLDKFFN PHYBLDRAFT_163523 MYIYMENDLKFIRKYNNLYFVYKDLTYRDTNWIKKCNQTFHAGK QVAVCDPGESKWHVLPGNVVSVKLAAFNSYKEEKRGDNDPEGNEQGVERLKTIDLTCP ITVKIPKSEISVEVFDRIAAATNTDSFILFDHATKLFNTLKSSTMSLLLLRQVLYTNS FKRGFDFILYFDVAFIEATTRYLLDFIHSPNNPLSRRKVFKRKVATYFIIYIDNQLFM LDNYLVQLAWLEGKCFSIDKTQWDGGVVKVDNRSFSTGLIEFSGGYHNKPPSSRNQYD ITKLYSKMIKTLKKHPPDTTKEAFCLRYCNNDLGDLVSKIFLFCFFDYNILFWQASCC LSKMLWVCSFC PHYBLDRAFT_163524 MAPPAPSLVLQDAIHDTPTETRVLSTSAILKNRAEKAALRRSIQ SQYSVTSTNSTHSQRYSLASSIGSVDNTQRRWSAESGTFDYVPQLSPTGTSFSSLSPS SRLLLSGATSSESFRHQELSRFLPQRHTKAYSDPSPKMPSVALTLTLANNAESSTDNS NHHSSPTNNNINTMNNEISRILTTTEAPLLDPWCMCVKTALENVICHAWLQKYESSTF AFVRTWKRRYVVLVDKTVHIFKSPKPTNPSKEHFLLTEDTFVFVTEEFKKGYVLELRK PLCKWYLRCESVAQMKIWLEAMKKIVACVKLGHQGQMTAGLLSHLELVDNFRLLAIKP DSNNTKDERSADRDDQQTAAVIISRSKSGSSNSRGGSVHNNSGAGDQYSCTLARDHLP GDIVPNKRWKEARSRSIQTALSTNRLSSSFFPTPKRQSLAQIPGWEATLPPQMPPPQS TPPPPPVPQLPAVSEV PHYBLDRAFT_69648 MEIKSTSTLRRGGGGAKRATRTLNPTQVYDYALRCAIRACIEQS GPQPVVKEPKKERQSVHIGVGDVFGSITDKFGEESKPDKLTKEIVRGLMRRVDDISKA KDTSKAEYQDKRFLTVISQFQKVLLQHRYKPTGTVNDLVIIFLKTSEVELKRANPNPA IWYEELNRYIAIFAELVIQTVQEDAPSAATPELMEKLNGFVGPSKSAAAAGGGGENGK SGAGASGGRRAPEKKPTPGAPSSPLPSGSIEALENFPMVKTVQSLFQMDDADHRKKLR ELQPICTESALLLDLKKCINNVHTNQPFPGRREDFPSQQMYDNWQKRELKQLTELMKT LMLMNPKLSLGAGSETDVGSSNLLAKGPTRRQGSLTDTNRSSIYNIQEDVPLPSPRTE SLQSPFTYVPNDPRSFFRLLMNMCIDYDTKVTPDAERAKTSVLSQQSDELLRECWKTW RLTSPYRAVLYLELVKTRFELGELDFEDIRDATRALDKAIKENDVNSWAINDMLYQNI GKLGNPEWVADLVGMLDKIYENTAYLEDHADPLQEFILLEETIEGAAVERWRYIEKVS NNPENDDLTNLLTMADKLQKELVSLSKRKFKTPIKGILSIPGIVMSRQMPYFALEIDN WAFSKEASTASIDVTFEFYQKVLSLKRLYDQHGPKKQTSLFKVESWFLQHVRRWLSTT SAATLEWVENAIKEDHFESINETAMHSSSIVDLFSMFHQAVDFVQSLQWPNDLQHCRF LTALSKVIGVAIEEYTYTLEDMITEDINPRIEHTPEVAANTSFLDRARFQLYGARGTA KDEGVPADFTPEAINDIEAARGRLDRLYQIMEVDDIAEIMREFGSPSTAVEKTENNNF LYSIKIVRAEDLQPLDKNGLSDPYVVLEINGKQITRTRTVYETLNPRWDQIFDIWLTE KAVDVLAVVYDEDMIGANEECGLVWFKLSPEYFDDYQSHELGLNLHPQGKLILRVSME GEKDDIQFWFGKAFRTLKRAENDAAGLIVDRMGRYMRQCLSRRILDRVLGRDRSFFSA FNRATKQADITLQECEDAIAPLLDYLEKNLHVLNDHLSEANMQMVLLRVWKEILLTLE CVLLPPLSEQPSEMKPLDDYEIHVVFKWLELLKILFNGGEDGDAVPIDRLETSQYYAL LAINAAYNVDTETLIQDYHTVLKNQVEMKMRGGRKADRSKTVYHSKHTVRRRKTDRKS VSMDMPSSETILRILRMRPGKHVQSFLHAEFEKRKNPPNAAQQQQQQQQDQQLQIQEQ SQEGLPQQQQQQQFIASGDNADVILPMESGYPGTPSEETTLPM PHYBLDRAFT_57774 MFIQTESTPNNDSLKFIPGVPVMGSGSTEFLDVRASMKSPLAKQ LFKIDGVVGVFFGPDFVTISKDPYSEWQLMKPDVYAAIMDHFSSGQPIVYDEADLAAS DTAIHPDDSEEVQMIKELLDTRIRPSIQEDGGDIEFCGFEDGIVKLKLKGSCRGCDSS VITLKNGIENMLMHYIPEVQSVEQVFDENETVALKEFEKLEKKLGEKK PHYBLDRAFT_140500 MSNIRMLNQKEEADSDVEVSWADQQKINEFSKLNAKIDDLEEQY EKMKQEKEYLDDVAMELELADEDEPVRYKVGDTFAHVPVSEALERVEKDTEKIQLELD NIRSDVESVQGKMEELKKLLYAKFGNAINLEKD PHYBLDRAFT_107160 DTIDHSTCSSDRYLYFSLQHVHKVSGIGTVPVRSAKNGIIKASM IVNFAFEPSLSDAVSSNQKKKRLPCIAILNTVSFLLRILIIVVKKSKHRSLFV PHYBLDRAFT_163528 MFENLIRSNRLLTYLLFYRRKSLKKEIELKSEHLDIEEVRLIYK LLSLNSCRKSVFMARQRTPEIIAHILTNGGSEYYRNMRRNKNKKKKKKYMEVYRWQKY ESQKKRVASVFLMSLKQPGHPVLRTLVFCRVCNVTRCVRVSQRNLNAVINMITIPKSV WPGEEGHEVFNR PHYBLDRAFT_140501 MSTPSAIEFVSHQEDDNQSHSWMKPDGGKDGLSSIDRLQYFLLK DDADNLRKYLGGFKNGKKVKVSKTRVINDCCQYFDKQGVKRTSSQIKSKLTYLITKQY PIAFKA PHYBLDRAFT_140502 MSQREKDELEVYLNDICPNFTQMRKVLGESKAKSPAVCNTTTPL DLNKAQDMSKDEDGEDGENDGGDEASESSKSCKDQEEQGADVSNEISSQREAIVQSNS ESSTSEHSDASAYSGKRRPKFFGKIVKNTAKRSVKSIEDIGHGIKEFNDARISLLESK YEEELKNQKEKLQKELQCEQRVKGVILMAKTFDWSEEKTKNELEEVYNQYLN PHYBLDRAFT_94091 PERFFSDDEYVLAYAEYKTTNYIVPIKKKSRNSELSLADQEFNT KISSMRVKIEHAFGILKERFYSLKSIPVRIKRKEDVVKVNAWIRVCVALNNFLM PHYBLDRAFT_94093 FFSGDKYVLAYAEYKTTNYIVPIKKKSRNSELSLADQGFNTKIS RMQVKIEHAFGILKERFYSLKSIPVRIKRKEDVVKVNAWIRVCVALNNFLM PHYBLDRAFT_163532 MSTPSAIELVSHQEDDDQSHSWMKPDGGKDGLSSIDRLQYFLLK DDTDNLRKYLGGFKNGKKVKVSKTRVINDCCQYFHKQGVKRTSSQIKSKLTYLINKQY PIAFKAWEDSTMRIGKEEMYQCEKDELEVYLNDICPNFTQIRKVLGESKAKSSAICNT TTFFDLNKAQDISKGEDGEDGENNGGDEASESSTSEHSDASAYSGKRRSKFFGKIVKN TAKRSVKSIEDVGHGIKEFNDARILLLESKYEKELKNQKEKLQKKLQCEQWVKGVILM AKTFDWSEEKTKNELEEVYNQYLN PHYBLDRAFT_140506 MFSTPQPYNKKCYCAGCSQNELVYSSVAGKTAQRHNKRARLDAI RCESGTQSTEEACGQTNSPAWEGTPVSDDENVPVTNEEIHNSKYNGDENKENDNEDED VVKVEVEEFVNEDPFAPPPPDMPENPVHRIITTFVVMLALRYVVNKGAVILITFINKL PHYBLDRAFT_163534 MYGETLIVGFDYVKITKNNNQKYLKSFVYLRIFMESETLIAGLC RKKYGNIQLKVTKGSKRLVKTVTVDMSCEDSGHIKQDPWMEIGSLIVGMNCEITRKIK TDSTWISSTLPGKLKLDRWTRI PHYBLDRAFT_140507 MNYGILGVGLNCEKTTELKLFNNLIFIIDKIVRANLGSNIRQLL DRNLTSLSEKPAPDVVALCFPPWTLRDGPQ PHYBLDRAFT_140508 MNMDLRKFMHVSLTYPSDISPMSFVNVSVDSEVDENFNEIDVEH ESNENAEDIDDIKVEEVNTEYMFSNSSMPENHVHRFIATFIVLFEICYVITNNLPFSG WFKAENIILVGLLPGPKEPKTDEINNYLEPMVDEFVQLHRGVRVPAFEFPAGEVIRAA LMMVACNIPAVRKTSGFTALRSKIGRKFAFMKADEWKSWVLVYSPLVLNITFDDINTA HRHLEQFCEKCNKIYTATILTCNMHLNPRFRETILDFGPVYSYWLFIFERYNDLLKNI STNGKDNSSETTRIIIIGNEPLPSTSFTLSVSKPSSMGDIDYPYLLQYYKLAYLTPDL VHYQSSAASLFFANDQIIKLESINNIGQVY PHYBLDRAFT_140509 MIRSPTQAVMTATPLNIDEGTFSISNRPIAGMVQSYNHMQPEVE YVLSSVMEEKACRHLSYKLHKEKSLPEKLAGRNRFSRRRTRKIRVISFSKCPAIPRNV ELLKEKKVRVVA PHYBLDRAFT_163537 MLLLVYNALLMIFLFLLNIPSYSKKVASEFLYMKAGVAQVLSES HIPCLQCIDNGYHPAFPFGLPMFQQVVNSLWYNVIGTKASPPTTMPLKLQHLNTMNIS LGENVLVNICIQKMKNISLLGQEFFSEQKKCRSSIVRLTTSPNSLVRLNTYLLVIKIG RIKSVNVWFFKKICDAILSELSVIWIRSEFVVNSIINEYFYQNKLTESDITISNEKNR G PHYBLDRAFT_69637 MYWIRLFCVSAGVCAEWYPLMYHIHLFMTKTDNFLCIPICQLVE KFSSSTPNDLHQCLFPCHNCGGSISMKNNIRLYKYNFLKMSSISDSGYHTQSYKVLVA SIIIPWAVTIKVLLLLDFLKPPHIVYFFLREDKPFQFEEEYDTETPLSSFDNNYPDSS EESNNENEADIVGVIVFKDTTDSGLYLKINGTSQAIQLMTDMLGEADGTFVNHAHSLM LTLNVYWLKLFEGRTYTGGALYLLISNFPKEDQMRPENIILVDVMSDCYGGIAVETTK FPDDTTVYTTIMYVASEIHAARNTAGFIEHVIKINYFGCDNENWASRTEETISIYDNM WFCTDSDVERENLEKQNGMQFSELHRLYDC PHYBLDRAFT_157550 MAIVESICTKEKLPFHVRLSNDKGALHTRNESQFIGGASFCLQI KGFYPLYTLGIIHGDYNGSKNKTQYS PHYBLDRAFT_163539 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGTLKESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASHYVVDKGAVVLIEFINKLLTIYQQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARSSCNCQLMKTSASGALVAKRSYLYQSILHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNS KIGKQFSYMKADEWKSWVLIYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEV NTAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTCQTSAFPLLTKLTSSFTPATIP SIRQRTFRIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKV AYNNEQLVHFQQASESSYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGST GEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPE NDKSREYEHVETCFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQ YI PHYBLDRAFT_163540 MYNILLDSFQTMVNNHQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGTVIKGNKDSLEILDSVANASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSVAPSVAPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELK KHNFKSNKPELVAANDSKCSWDFNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADIS DCIYTNFCGTRRRVKESYEARKKTNSWSRKAGRETDHFDRRELTYHTFKAEIDMKVGK SCDGLLQKEAMSEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDF NSHQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_163541 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHKHIANQELSFHTSVIDMTILASSMYSLGLQINPFASGSVLS TMVRIHDAFSFCLCACGKNPYTENANTSYYPAVLTFSYVRKLVLPPMTINLILMLTST IKYYSDNENTA PHYBLDRAFT_163542 MEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTIVAQKPKTNL PEKVDPDSVPTAESIGQGSYQKYNQNQVNKLFSLVFSENQTAAAAARETGINVRTAQN YVRLAREKIQADFDAATVKTDKSNGLETMEVEEFFENKPDATLEQARIAVMEEFSGLQ ITKSAIQKHLVKKLEKLPEKRDNVNTIEMRRDRILEWQQLADFNYLLNCVFIDEAGFN MHIKRTFGRSVSGTPAKTTVPMQRGVSITILGAMRKRGIVSLSLKKPTAVATKKKRKL DIYTNVEVNGQIGTRTQHYLNFLSHTMDIGISEGLWVLKLRIQTPPFAYSVLKQSVDH EFNSHKRHINIYLFSLSILRRLVTCIYLKQCREYNQSSLSVHSLSIPPLSYVMTSIYT SEVAKRYLIAQGALYPRNHIIFTCSGGSSMNYVFEKDRGYSYRYSGVNSMGATYCKRE KKSERLDSFFAQRHLFYDVVMQGIQSHQLNERKF PHYBLDRAFT_73865 MDETDYAHLLQHYKTSYDLPDLVSYQYATLTNSFVDNEITKLKF IDLLGQQYRGKNGSASCGSLVHVMFVGSDGRNTLAYAGQIQYLFTHSFTHPSNSNLHL TRMVHDHQHVFAYIKWFNTSSDRLREDDGLEFCLPTFSPDSRHCIVPVHRIFLEIATA RITTSRNVSKMLSPSLRAHRGKLGLMLSGTAELDTLVVILRIL PHYBLDRAFT_163545 MKETHSLSHSDAPSSQQSSGLARVNEHPSYERAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFVLGTSNKIFHPKYNKLAEQEVAED IE PHYBLDRAFT_163546 MSISQNIILTFVNLDSDCEMNIIPTSNRRLAPSLDSTDVQLLQA LNAMKEEMKAIKDKITLMGTRIDVVITGNITAINDIDALSALPAPAHVPTSVASTSAA LPTTESGDTNAVFGYIHGYMWNPKLKSRDQAEIQANAIKPKWAVDVHFDRSSNRELVK QLLYYLEKKFAGTDMRICDLRKCIYTNFCSRRCQQRELLETERALNTNSRRSGRETDN YTRRHLAYDAYKADIDLKMG PHYBLDRAFT_140519 MPKINSKMRALSQKAAAKRLSHSQSESVELVRKEVDPTPEILES SGSDVKNLRLSWTKKAEDALNRKYSCNRSSYSSQHVRRLKKAEREAAKGSARVDFFFL PIANSSSAETEIGLDKESDSADEVESKEEFKSRVKDAIIDLSKFAVSVISSTSEQQKL GVAEMGKYE PHYBLDRAFT_107632 MISEFQCPCHGTMRGYVGDQYKTSRVIFYPGAQYEGNWKSSHMC AQLADGIPLFNAIHPNAVAVFLFDQSSNHKAYPEDALLAQNMNLCAIEVKDSDSGQGK FCDSSFYNKKYRKYFIGLCGILQQRSIYRNEAERYSLKRSCNNVATADSRSYTIHIME RQPDFANQKSALEEIVEGSGHKFELYPKYHCECNWIERYWGAAKKEA PHYBLDRAFT_163548 MYILRMSKSKEKQKKIMAPRTNINQNARTNGSTSRPLINAVNTG RIGSSNLMIAPRPENMSIPVSDFNDVVSLLATLNDKMTDVSSDVSELKVQCQVGAQST GMQAVLDSDMDPQDIINSSRHPKISNIIWGRLRDINFKTDDLELIRENDDKPTWDVNV GLSDEFNKNLASELMLYIRRQPVAAMIPPKELCGIILNKKRKRTYTKHKDAGTEKFNW DYNGVFYRDAMSGDETETNTSVVASRPDWRSDEIMFYIKWFFCCLIPDLARDDLGKRA TQLKSQSHVLIHKTIPRGLVTKMSAWSKRI PHYBLDRAFT_163549 MDTWIANNLLDDKDFVEMQEETNRIVLPVGYTPLKIKIGKKFPF MKADEWKSWCLIYSPVLLKTCLELTKSSITKNGIKKAHERLEEFCVGCEDFYKPDVFT QNMHLHLHLKEMIEDFGPIYGFWLFSFERYNGVLKGFETNQKSGFKNTYMKRFLESSY NGNFCQGHLRNVTSSLLLSLFLKLSGRKIYNPALSPHPLIPSFFHLPTFLQSAEKPSK QTFGNEPLPLSTLPLCLKLPTTIRKSEYDCLLDFYKIEYDDDSLCSAKTTIRNYWFVN DQIQKISSINLLGQFYTGGEGLVVRGLHIQVKFIEKSGDSEERYAGCIKYLFLLKHGI ELYEPAFLKYDYNNILPVHRILSPIAIGSHVSGSGAAKVVVIPLPRKLYA PHYBLDRAFT_163550 MTDKRTAKHHAQNDNDRNIDKIINVLTTEVNTGEADMDVDQIEE HIEYDNYSIGAPSPEQYANTRLPLLVEESLFETEEYTSEYESEYESSDEFEQEEQNRE QEQESIENLPENIWHQVIAVFTVIFISSFIVDEDAVILITFINTILKHYGEDFRLPTS IPGLREMT PHYBLDRAFT_163551 MTWVVIFWLLLLIIPEKVVTCFLGSFCLKFELAENKAFWDYVLQ NKWGMYYAPQLPLVSLHKREPITNFLCNTSTPRRYNNFIGKFLHGQKILVTDQLGITP NVDHLLCSSKIEIVHWINNSTPNWIKVCQ PHYBLDRAFT_68386 MFQPFDDQTHLSGAIYLSINNLLQSKHLKPENIILVGMMLGLKE AGTDRISYYLEPFVAELIDLYSGVSMTDYRNTQITVRAALMCVACDIPAVRKTFGFTG YMSMYGCHQCQCQFKTSKKPPSSIILDSITRRR PHYBLDRAFT_68387 MSNNQKKDSYVICKCPDYTKLDSCGKKQKRQNAQRHYEKHIVPV AKDDAMDVPEEHFDNMEVDSIDSNNDNDYDYKNEGEGEYEDENEEQNIEFDQEVDLPL SQEEFIFTAEDTITGAFVIDGDEIEEGNTSFDFEQEENFGETSGTLIVESVCPSSFDN MLLYICFVVVFIVIFHLIFFVESGGSILIELCNTLLSLCDMSGALPLTINSLKHKTGF NMATDGMTVYIACS PHYBLDRAFT_157552 MLTLNIDWFQPFDGRKHSSGAIYLSINNLPWSERLKSENVILVG MMPGLKEASTDSINHYLKLLVDKLLEMYIGVEMTDS PHYBLDRAFT_157553 MHLHLHLGKCVHDFGPIYAFWLFSFERYNGLLKNIKTNQKGGFE STMMKRFLERTYIGSFYNLSSTIFPSSQLTFCIAFQIVKIN PHYBLDRAFT_163553 MCKGHYECLLEFYRHAYDSHNLFGHYSNCESNQIFVNNRIEKMK WISLLGQEYSSGSYFRAYYLENNSEDKASFPGCILYLFQHLITINETVITHTFAFVEW YSSYSLGSYQPMLNEGIEL PHYBLDRAFT_73781 MSSTSELYNKKCYCTKCSDNQQGYSFVSTRTLQRHNKRARYEGM ERSERNVSVQRNLMDIDFETTSNQQTGPMEAMGGQTNSPVWEGAPISDDEVAFSNESN GESSDGDENDNDEESNGGEESEDNEENIVEIEVEEFDTEDPFATPNMPENPVHRFIAT FVVMFASRYVVNKGAVVLIEFINKLLSIYEQDFQLPVSLSGLQSMTGFSAMTKGIKRF VVCQDCHKVYEESVPAPLNCDFVKLGAHTACNCKLMVQSLFGGLVAKKSYVYQSLTRA LKILFLRPDFEQKIMHWNQEFKITDTLCDVYDGEAWTDLKDNDDEIFVEHPRSLMLTL NIDWFQPFDGTSYSCGAIYLVINNLPRSERAKIRRDQSLSQAISRRNDTALSWNTDTH LPANRRCNSSCSLIDGCMRYTCCQKD PHYBLDRAFT_68389 MSDIDYPQLLDYYKIAYSMPNLISYHDARLSQYFVNNRITKLKS IDLLGQTYIGNNSSGKRGSLVQAFFRSSNGRTSSLYTGQIQYLFIHSFTLPPHPNHRA STLHQDQHVFAYIRWYSSTNDNEHRDEGIAICLPEFSADNYHSILPVHRIHLEVATAV DVTDMNEERMLVIPMPKKYYA PHYBLDRAFT_163555 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNARNRRSSREKEHLKRRKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPGWRSDEYNHFITLVDNKVVADLGLNS HQLLSRAFGETVEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_163556 MSRNLNNNSVNNAFGEKPSVGRNTNDIRIIMLQHSQRTVSNQRP LALKRARLNLEGDLSGRTCNIYDVYEKLDTMNDVLNTVLKNTSSEKAEATTSIAVEKD MLPGHQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLHCQEERKKVD LPTLRTKIVRHIGNRKLQEKKQEKRSRKRIDRPVFVSNLCERRQSALKANWAHFVNSF GENVDSILHADYMSDLESDDKREEEEQNSSSEKSFFWRFCPSWRSEEGDRFVDELDAD YEAAHDKKNNTHSFEHKFKGIRDKQLSKTKANKLPSCYTGKITINTHGEIQAYYCEYS VFYSGVLPISTGTKADLSTKKTLFQTLFFAVIQSVSMLKVNNQGLRRMCIVELSLGE PHYBLDRAFT_140527 MSTSPVLPLMAHRWIKSLKEPTVRLVMIRRFHRRDREFLSANQD DDCTDFSDF PHYBLDRAFT_140528 MQKNTRQETYKIVKTRPLKRQGKLNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTISDANAFDYLSDEDDSSSIGDE EDNIVDKENDIVNNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFHNLPTMILFAFIDGDNDMISQQMLKKILLAMNLIIKIQQETPIGR IFKLPRLDALLNYQARKKSKMPVFPSQRISVFGSNGNTFAHIHLPSDHLRFLMANPKK SKLISSMPDRIPNQSICLEQGEKWRTHHLFQQPMHTINGIDVWFGNIVYLKTNDCSIR FLVESFHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDNTSSN RSKQYNPFESWLMRCAALPFKDRNLIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNERCYIELRRAKDFVKDLSYFYTEIPNAPKIGMNMPANEISFRGCSID RLLELQSFDPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTR STGLSKKFTQNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFIELGQLC SLVFVCQVASDFDNYIIRVDNAVKCLIRALFDYNKGTKNELHKAYCTKPKVHYLTHLK EDIIRFGPALNYETEKGEQFNKHICEHLFHTNCQNTSRDVCLKFAKQVALQHVIDGGS WINSSGNREMSGTGIERFIKDNNESLFYYTFLGGSRELKDNNDTGDIEDDAIQNNSFG AFVFKDDPISRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVC KLDLHIFRNPFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_140529 MGPIENELARHSFSANTIQDDMLPFMIINHLNEIGNSMEDSNGK WTVKSFTVQKENNYLQLQRSLASEHKVAVVNEEVENETNTVVNTTLHHQREDLEQLMD VPEIDEAKLQVISGLLEEAMNCIDTLRNANSSRFRNLNTQR PHYBLDRAFT_140530 MSFVGIELTIYRLLYDTNALLNSPTEGIKMLPLNVVVKVKASEW ELCLDRIQSLCSTKWNKCRKLRARELMYGETKKCHREGFYISDRNVCFAQKDTKLCYC EVTIFIKQYINNPEVILICMINDHTNYVSGDASEIRTLPLPSEAIKIIEDQLKGGSTC RNTRISMKNFLYKFHPDENKSLDIWMREKLPSQNYCIFSGDLSACNNNAQHFAFGFQS SSQMMLMRISESFCLDATHNISARNIEILYSLVTHHSDTAKGSSVAYIITNDHSVGPI NQWLHNLDSKVKLDASYTSEQPGKYKYELKEFRHYIQSQQQFLAYFEHKLIGTEELLR RWERPYVANDHQRYLTNNYIES PHYBLDRAFT_140531 MASTYTSKVAKHSMDYIFEKGRDYSYRCSGVNSMGATCCKREGK SERLDSFFAQRHLFYDVVMQGIYYWLNRIPRMTMGVMLGVSSETIRYFIANIHQLIQM DLTNNDIRIERIDANSQSIIRDAATFLQVIKKHVKPNSIIHTDCWAAYGGLTSVVDMN YTHRTVNHNVKSVEWNNNELQGQIANKTNGAIDVDGILKEKKYENRLWQGILKTLTEV SFRSIVDNPIFTNYDYLEDDKDEEYSDSTMIVQNVYR PHYBLDRAFT_102236 NLPRNERYKPENTLLVGLMPGPKEPKSEEINHYLRPMVDDLIRL YEGLAIPTFECPSGVRVRAALMMVACDIPAARKTSGFTSHNSTCACYKCNRHFPRLEN GVNVDFRGFDFSRWVLRDGVENRLHAEEWESASTPSERHRLEVENGVRWSQLHRLGYL DLVRGTIIDPMHNLFLG PHYBLDRAFT_163563 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHNVYEESASVPSHCDFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSVLHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSFNS KIGKQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEV NTAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIP SIRQRTFRIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKV AYNNEQLVHFQQASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGST GEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPE NDKSREYKHVETCFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQ YI PHYBLDRAFT_163564 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEALFGISSAAPSVAPSVGPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELK KHNFKSNKPELVAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADIS DCIYTNFCGTRRRVKESYEARKKTNSRSRKAGRETDHFDRRELTYHTFKAEIDMKVGK SCDGLLQKEAMSEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDF NSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_163565 MISVFQPFGPLVFCSFCFFFFIYKFSLFSLFPTFIIFTDFLDTR VLLPSDASPSQCPSGLAKAISPKLLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMT ILASPMYSLGLQINPFASGSILSTMVRIHDAFSFCLW PHYBLDRAFT_140535 MTYLKPQLSTHQLAINLPLNIPQAIYINVRTTKLAQILIDFAIQ SRTENRLHSYPPTSDPVKSDPFLYLISYNNSYK PHYBLDRAFT_18573 MRGLFIVLEGCDRSGKSTQCHQLVKQLQAKGYSAQYRNFPNRTT QTGKMIDSYLRQATELDDHAVHLLFSANRWEAMNSIKDTLESGITLVVDRYAFSGVAF SSAKGLDLNWCKQPDVGLLSPDVVVFLDLSIDEAEKRGGYGEERYERRELQTKVRNQF MKLKDDTWKVVNAAQSAEQVEKGIWSIVEAALSTSTNQRKSLLYSLWKD PHYBLDRAFT_140537 MTLLRPSTNNTTPSQSQSTSSTLGISTQTQHTQPASDKDEIWST ILRGVASSKMVPTKNVLILGDPKCGKSTLIHYLKHDPGPQTPKLESDDVQPGTSFGVS SHPYTMTVDNLDKGSISTLALGYTFVDVQDEENEAMARLGLYQLGLSSPEYQPLLKFA LNSDTLADSFVILCLDWTRPWKFLETLERWIDVLQRSIQEICKEGSVGESWSRGKAIV DDLREKVEHFLKTYSEPTVNAAPPVPSSSTNTASTPTTTSVPSTPLTTGFVLTPLVTT TTAADQVTLPLTQGCLTNNLGVPMAVVCCKSDAINTLEQTQDYDEDQFDFIQQTLRCI CMKYGAALVYTSTLYPHTFHNLRQYILHRLLTRSTKTFPFSLKAQVVERDSVFVPSGW DSWGKIRILKEGFDCEGVSAGWDADIEAVADRQQPGDHGARGLYEEATPNPDTGDMPL NIPPVVVCEDEQVFLERHFETLQRAPEIQNRSGTGADASLTRPSVVGPLGISSLVLDT VKSEDFENDLPRQRKESPFDKLTLGKLSSSGSNGSIDRLHGFAGSSATSQSHLGSPGS LGGGMMGEGIGAGNGGIGGINSSSSISSSNSSSSGGGPSHEVLANFFQSLISKKAPLT GATPLAGSPKGGSSSSSLSSLLGAQSLSNRSEDGKGRPPQVSRKDVYKELDKMPHYTT K PHYBLDRAFT_185207 MEGLYQNNLYSSAASYVLPSAHTDSSFGNNYHSQYRVSAFSDTR YPNPYTSLGPHSTTSSSGQSSSHYTPPLLSHHNPSGIHSHHSQSHDPYSASSPASASS LSPPSLTAHTHSHNTPNTLNTLSTLNSFSSLNSFNTNSLSLSLSNHNTIQSASQSQSQ ATTASSSALSLSLSLSSAQQPSIAAA PHYBLDRAFT_93282 HRPKLTTTMWEDENTACYQVDTKSVCVARRQDNDMVNGTKLLNV VGMSRGKRDGILKNEKGRVVVKVGAMHLKGVWITFSRAKDLATKFKILDLLYPLFVDD PHYBLDRAFT_18352 MTAQSKQSTPEKTFTCPECSQTFSRPHNLKSHLTIHSAERPYEC SVCSHPFRRHHDLKRHQKLHTGERPHVCQNCRRSFARLDALNRHQRAEGG PHYBLDRAFT_140540 MTFRFSRGLIQSCRSYHATSLPTTTIPKLNRDVTRIGFGAYRVS QPKHREALEAALKGGVSIVDMGSNFENGAAEKLVGDTLQGMKGQLDRKDITLVSKAGY MNKTDTSELGPDDYVQINEKSYHGISPRLLEQQLANSLYRLSTDRLDVFMINAPERML SSKNKPYGRKQLYRDLGNSFEYLDGLVAAGVIGGYGVCSNTMALPSAVDHVSLDDIIK ACKRPDNLVAIEVPFNLYEREAVVSSSVQHLSAPEHTVAEIANEHGIHLMTNRPLNSI SNGHIRTLVNHDPFSSGSAEHDMMEKMTNSFQQVSELESELMSELPLEEESLVAKFVW GQVLSENLARLAQNHFATQHYLQLQVSPAVDRDLDTLKEYATDQDASLQPAFEEWAAK YRQAIDRLVEDIVSYAYIDTLRKNNELDRVLDALCPSFKKHPEAHSPLSVKALEFLLA HSRVGTVMTGMRDPAYVKDGLLAARTHAQHPLDDEDIMDVWRCPIFE PHYBLDRAFT_179552 MAVTKVHARQIFDSRGNPTVEVELTTGKGVFRAAVPSGASTGIH EALELRDNVKAEYMGKGVLQAVENVNKIIAPALIEANIDVVDQKAIDDFLLELDGTKN KSKLGANAILGVSLAAAKAGAGEKNVPLYVHLADLAGSKKPFVLPVPAFNVINGGSHA GNKLAMQEFMILPTGAKSFSEAMKIGSEVYHHLKNVIKAKYGQDATNVGDEGGFAPNI QDNQEGLDLLVAAIEKAGYTGKVKIGMDCAASEFYKEGKYDLDFKNPNSDPAAYLTGH ELTDLYKSFAEKYPIVSIEDAFDQDDWENWSHLEASSDYQLVGDDLTVTNPERIKTAV EKKACNALLLKVNQIGTLTESIQAAKDSQAAGWGVMVSHRSGETEDTFIADLVVGLRT GQIKTGAPCRSERLAKYNQLLRIEEELGDSAIYAGENFRKAHDL PHYBLDRAFT_8118 IDPNEPTYCYCNQVAFGDMVACDGENCEKEWFHYACVGLIEPPA GKWFCNDCTEDTRSR PHYBLDRAFT_91893 KGAQLGKFVAIDCEMVGVGRDGVDSALARVSIVNYNGAVLMDKY VQPQERVTDYRTHVSGIEPKHLGEGAITFKEAQAEVADIIKGRVLIGHAVNNDLKALM LDHPALFLRDTSRYKPFRKLASGRTPSLKTLVQKVLEFNIQSGSHSSVEDARFTMLLY RKVKDEWEK PHYBLDRAFT_130696 MHISRSLVFLSLCMSSVQSAIWQGWSKGRSAGVPPGNTALDDWV EKQEAISLKVILSNINPPGTRRGFFAASLSTSDPDYFYTWTRDAAKVAGVLVHAYDTS RRTDLHDVLIDYVEFQIGTQQTTTLCQCLGEPKFNPDGTGFSGEWGRPQNDGPAVRAS TFLLLSQALSQKKYVTNILRPAVYRDLDYIVDNWATPSFDLWEEVLGVHFYTLMVMRR ALLQGVAFSRSYEDAIHAANYQGTIGSIEERLESFWSPSRNYILATQDRVRGYEKPSG LDIAIILAANQLSGSIGGSSSSIKDGFFTPDSDKVLATAAALEYSFGTIYPLNQDLPS HLGIAIGRYPEDVYDGHRSSQGNPWFITTSAFTELYYNIILAFKARPTDITVNHINRP FFVKFDSQAVPGKIYVKASDEYNLLLADIAASADRFLATVKYHEQANGSLSEQFNRWT GFQQGARDLTWSHAALISATRARAGRPLA PHYBLDRAFT_2494 MPIYRGLERYKLIKKLGDGAFSNVFKALDTETQENVAVKVVRKF ELNKQQVKQNIVYTNPASVLKEAQIMRTASHPSIVQLKGFIETEDHSFLILELCEGGE LFHQIVRLTYLSEDLARHCIGQVAEAIRYLHESKGVVHRDIKPENLLFEPIPIRPRQV PLKPTEYEEGEEEEPKEDEGEFIPGIGGGGIGRVKIADFGLSKVVWNSHTMTPCGTVG YTAPEIVRDERYSKSVDMWALGCVLYTLLCGFPPFYDESIQMLTEKVARGHYTFMSPW WNHVSKEAMDLISHLLCFDPEKRYTIDQFLQDPWMQKATNSSGPPTPDQTEAPPDRRR DLFSGISSMKEVFDLPYTVHRQAEE PHYBLDRAFT_103576 IDIFCLECANRAFPRALVCPACDTQLNQRQGFLLLTQLNPSEEY KSSVLAGLRPDIVLDICSRAIAFYQYQTSQELCFRSMIQKNLEMKCTTLQAQLNDLIQ DAAR PHYBLDRAFT_62767 MNFLLLTNQVIQRDQEADKGRLHDLQAQLQEKTKQFHKLQSMYE KLKRKTAIPNLQQSIPPSLQFPPHPPHIGPTPYVGHPMPPPTNQPYQYPHPYPQNAYW TPPQNFLSDPRASPLQSIHNTAQSTRTYTTLGSTVSQPPYNSAYQNKQHPNKPRQNSP VHPTSNNNPASTRDPKQI PHYBLDRAFT_96172 MATKTIGVQFLQDQLAALQDQSKVMKMDPYELQLQLERQGYDVA LQKLLHMKEASIERGDALSAMNLTPLKKIMWDWHEKMIPLIREEIARCDNATYREAER QLYGPFLKLLPVEKLSIITILELLRGHNSSGIVDGMKTARTIIDIGKAVEMEYNATLM KKSSNKLLSRSQEVHSLFASGKLFNMAVRAAHMKVAREQESSKNWTPVWPSTVRAKVG SVLTSILIEASKIPVPSSDPETGEKIIENIPAFFHTYQYVRGKRVGIIKFSEPLISML SREPVRDTLHPRLMPMIVHPLPWLSYNSGGYLSAKSTCMRIRDSPEQLSYLHKASEQE CLNPVLAGLDVLGKTRWRVNKNVFNVILEAWNTGEPIADIPPVVDAPTPLPPKPENYD TDPKAKFLWVTKVKEIQTEDKNNHGLRCDVNYKVETARAFLNLPMYFPHNMDFRGRAY PIPPTLNHLGNDLCRGLLHFDVAKPLGQRGWKWLKIHLANLYGFDKHSFTDREKFTEE HMKDIYDSVDNPLTGNKWWLKAEAPWQCLAACYEVAAAQRSGTPEEFPSQLPVHQDGT CNGLQHYAALGGDLAGAKAVNLAPSDRPADVYTGVADMVNKQIDIAAANGDEYAKLLQ GNISRKVVKQTVMTNVYGVTFIGARAQIESRLKENPNIPADKTYVLAGYLATHVFNSL GEMFNGARKIQDWLTDSAKRIARSVPVETLQEHGVFPGDERPEVQEERAKRLQSRKRS NKRVKGFSARTPSANQMTSVIWTTPLGLPIVQPYRRSGKKQVATLLQTVFIEDPDAAR PVNAVKQSTAFPPNFIHSLDATHMLMSAVACHEKDMSFASVHDSYWTHACDVDDMNKV IRDQFIELHTQPIMENLLKEFQERYKDYMLPVAHELGGGTKREASAAAMSKMKKRASS DQSSEKAAMEAMFGMADTDVDLDEDADADADADADAAVDGEDGVSTKKKSANRRFLHT WEELKFLPIPEKGEFDINEVKGSDYFF PHYBLDRAFT_163578 MSSTPTSHLLELQVLTQVVLQHQEKNDIRGSIPYLAKIAQIIDN QRIVKPTDDIDASQSTYDSQIRELNKLKADAHSQLADAYFKTANHVQCEASLTWSVKI WERLIKQDKTTKTTANDDIKPLLLNAYDQLKECYEALGKPSMAKHMETRKAKLLDQK PHYBLDRAFT_163579 MPIQGRPIGAPHLVLVLQNLLWGHLTYTTSGGSAQHRDEGRLSI KKRSWHNALKPSASYSESWTPPELSQGPEDNTLISFHVKLILFKSNAHEVGSISHSLG FLNPSKLKIWSASLKKKKAKICSGQFTFTPAVQRASSLEYASTLVSSLIDFEAQEQDV EHRWAFPG PHYBLDRAFT_157555 MMLYKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSYRKQV VIEDQPCVLEVLDTAGQEEYTALRDQWIRDGEGFLLVYSITSHSTFDRVERFRDQIFR VKDVDNVPLMLVGNKCDKVTEREVTREEGYAMAKRLACEFIETSAKTCVNVERSFYQV VKIIRAQRDGMRSGVKKNGKGKGKDKKPPCVVL PHYBLDRAFT_154390 MIIEEEIESTLKTWKTHNIIKNLAPGDELNSINKFILTKRTEAR NIKSSTQRTLALDSLDSTKTSLQEYSKIPPNGLVVYADATPRKREKNGDTKISFEPSK PISKTMYIRSNHFHIETLKKQLEVDVEFGVIIMDGNSTLFGILSGNDRRVLREVRSEV PSQKSRRGNSSNHVSKICNEIRHKHIKQICELAVNYFIKDNKCIVEGIILAGSAGLKS ELYKSSLLDCRLRERVFSVVTTDHCGKTGFYQAVNLASNDIRGRKILKERHLLQNHHE ETLKNASKSCYGVIDTVNALKNGLIRHLIIWRGLNYVRYDLNDSQQNRRVFNISEEQA EELGPCSVQEFLEEYTQMELYSTTSLVEWILETCAQFGTMVNMVSECSEEGLRFVKQY EGLGGIFYYKIELTNIDPKTFKDESRNHY PHYBLDRAFT_163583 MDSASIPSRDPSNHHLFSSGEQRIISNEGYESIMLFPKNNFMVK DIILKGRNNNINETSSTSTTITAPTISIKYAFVYGSTLHGNWVYDTLSHEVDDGLTVR MNTYLDKYALKEPVLIFDESSVKECNIPLISSTALANSLRVHEEVVKHLSTDFYITQS QNIVLNIGLQFGDNDGYTFMANQELCLYFQEAADLLESYLKQFPRLAFFVQKLIFSVP RTLSKLYMIGLIQKDIIRREVQRLSKMQLTLKINEKAIERTKKINSEVSLETVVYNIP QSAIVSLKKFKEIHGTKELMIGDRCVCVDRREKNIFLFGKKGTVVGMRNTKKVRVKFD EDLFKASIQSQRLHFAGYKRKITPMQSLYKSSPMGCKCIKYLAMFYRELNVTKKKDCY GRFISYNHSKMDHFSFLYLNMFLALSGRLLAENWPLFLTPIQVVIFIKPI PHYBLDRAFT_62758 MSRQGPAGKFRIQPAFQDGYGTQGANDQSPKLKSLRCVFCSIDK PLDAFSQTQIQKATFNPYAPPSYNKGTKAKQISCKQCTASVNSTLTCMTCTKTKPLES FAKVQRKHAEKARCLKCMKKREEEDLDDSEYDSDDDSDPYGPM PHYBLDRAFT_185210 MFSHNNHDYGQMICNILILQIAPVINSITPEMQQSNMNHLLDLV KELASANSKFKTDLMDCRGQLNEAHIEAAAYNNKIYGIDRDEEGEEQRENCGNGVPRF MEVTASSIYTDNLALLSRSAPSLHALGRTNGGRMTKPLREVRLAEQPPITDIQNQPKK DLKQHPFHAMSAKERCPPSKKGKDVARTKTGPIPKPSASSPAGSIIHHHYHYHERRNK KEILGKEKAVSLRAANDTDNSQSDTPSLEENPKRKSIQDMDQLTTTELLAPRRSLEDS EPKSPYSQLQEHVSQTLQRLIATDIRALNRNLRRTFDIFELSSMSNSIIENILTDVGA LSSRFIWVQDEISYNTHNESLNAFFPILQLFQDLLQEMGQLRSTMNELQVEYVKKVEQ YGQKVEEEIVQKREKLKKTASTPTTNMAQSPSGPLAWFAQVFQKATHHPTDRMVSKSI SRSISCESIPPQYPHEQSTFMGKPYGLSATYASLQDDHNDGYHSRPSSTMEKERQGMD IRRVKSSTSRYGPASSYPRSSPLTAEKLSHRVPYPVIRASQSTGTDRKAKVRQVPSLG RESGQRQKSPLRLTPSGASAINYDGTVPQTSASERASDWKVGGHFSTSWLGSN PHYBLDRAFT_157557 MSFTNNHKPRAHVNSRSRTLPPSFMNNSDDMLYTEISNPTPANY PLPPSIKESLEEWLYKSSLGNTNSPVSYLLETCNCCGRNDCDSLDILNKTIKKLESDT RLAAEIGQSLLEKHETYVIEATHVTESLQSQLDVSHEKVARLEQSLEESENSKQELLH ECKKTVWEHQKSQKILKETMS PHYBLDRAFT_74617 MEHLGVYIYVQKTQCLEHFSHIKKDDDDEEFESHTIVPDSAIYP PTGGPKDLPVASHYLDETEDMVNKPRLVIVGGGWGAVSIMKSLEKDKYNVTVVSENNY FLFTPLLPSATVGTLEMRSLVEPLRKIASRIHAHFFEGQAVDVDVENNLLEVKGRGSD ETFYIPYDKLVVAVGATSITHGVEGLDNTVSLKTIQDAIDIRRRVTTNVEKACLPTTS PEERKRLLSFVICGGGPTGVEFAAELLDWVSEDFVKWFPKLIREEINVSIIQSRDHIL NTFDVQISNYAEKRFNRKHIKVITNARVQRIEDGKVIYKQKSSDNTTEDVEIPFGLCL WSTGIAMTPFAKKITDKLPEQAHQRALTTDGYLRLRGTDNIFALGDCASIENPKLIEH LMEIFEMADQNRDGSLTKSEFGLCIDHMRKKFPLTEQHLENVKELFDVYDTDKNGTLE IEEMRNMLTSVDSKMTNLPATAQVANQQGRYLGRYLSALAEKDSALASQIVGPFHYSH MGALAYLGNTAVGEFNKGFKMIGGLWALYLWRSVYWSEQVSARTRVNLSIDWTKRAIW GRDISTV PHYBLDRAFT_62755 MASIEATKKRSRGEDNEETMDKPALKKQLLEGKSALDLILPISS ITKELSDEDFRIITGTYERILYGINAYWENADDDSSSKPSLKLEPIFIVPAHTGCIRT VAIGGHFLASGSADEIIRLYDVKKRKEYGSLGGHHQGDVTDIKFHGKYMFSTSDDGTI CLWRTKDWEFLKTLKGHKGRINSLAIHPSGRIALSVSSDRTVILWNLMSAKKASMTKL YRDEGLVVLWNTDGTKYAIMFDRKINVYNVSDAQVMTTIEHKSKFLCMRYFESSDKKE YIISGHEDKTIRIWEAETGKCVVEIVGHKFRIKTMETVKSKPSSESEPVTVLITASSD GVIKCWDVEAAIAAAESPEDITPLGEYNTKSRITCCTVHSGYAKTTGEVTVSEK PHYBLDRAFT_163590 MSREQRLKQLEDAKQRFLKKDESLDKILGSLGWNRENVKQWQET QAKLKTCPFNRRHRVPAASFKAHSRSCLLKSRGIRGISNEPEPPSSLFYYQKAPFVVS FVDKNTQFSDTAGNGYAVYPLPVSGSRPPTAFEALEPTPMSVQARDREYVECVLKAQE IRQRNQVKHLDLDRAGFEQVVEKAKQLQAQKEVQANEVSTSQFAENRDYRRRPKAYRV SLTQRTPTQIQKQLVEAYMQDFELWQKYKQESS PHYBLDRAFT_185215 MYSMPLQARPSQSQSIDSLHNSSQDRRRSSQIHVESTPWINPMM QDQPSPESRNYIMPRHTESSLSNPHQAHPPLPRVYNTPPLPSSKMNRAVIPSKRAAQN RAAQKAFRQRREQYVKDLEKRSGEMEHWKEEMDQLRQENKRLRETVNNLERRLAEVAG ESMPSPASNTQSTIMPGNSRTGQDSHDNELLTQQSTEIAQDNSDNEECGETPYSPGTM TTTTTIIIPSKSKRSSIASDEKSLGHIPVDNNSNSNSNSNRPDLKRSSTSGAGSFLPV PLRAPNQTTFMSPTERQSKEGQCTIGNPTLHDQELTKRRKIEPLNHNHHLSSPHTPHT PHTPHTPHTPHTPHTPPFSQTSPIPLRSYPITLSHPGYSSSSSASSSSASASSSAQQQ QQKQQQQQQGDTQKTIYNTPGLDSSDTMRQGLGHPIALSHPQQMVQPGHDFWAFDPSQ TLELDFDFDPFFEDELDPLSTGANGDDQPDFVTHANSGRVLDDLFAVLQTRQRPQIPI QPEKTLSSSDYTMETPARAFIPSIMGNDVYTSGLGESVGRRTL PHYBLDRAFT_74616 MSVTVPVYDTLESIYDISSVAKQGVRYNRLIDQFTETYGRKPEF IVRSPGRVNLIGEHIDYCGFGVLPMAIERDVVIVGATTDADSKTRLTNADPKYPPREF DYEGKEKVVTIDASELEWSNYFKCGYKGMLERANLDKPKGLSLLVDGNVPAGGGLSSS AAFVCASALAVIVANKLPSTKQELTEIAIVAERNVGVNSGGMDQSASVFSEKDYALRV EFVPRLSAQRVKLPQTKPALAFVIANTLVKADKFVTAPRHYNLRVVETKMAGLFLSKA LGLPKTVDTLKEVLDLYFASSSLTEEQKLTQLLERAEELFPEEVASGGNGYTLDEVSA MVGWSKDELHEKYMSRFPVQTDFFRVRQRTHHVLTEARRVYQFSDACEDQSSDNTVKV LGELMNESQDSCKNMFDCSCPEIEQICEIARRHGAYGARLTGAGWGGSTVMLTDVTNV DKLINAIKDEYYRPTFPTMSEEELDDAILSTKPCSGSAVFDGFKQ PHYBLDRAFT_163594 MQDANSSENTHGSYEKADPYKYGCIIYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVQWNAISKISWAKRILR RVYSHNSKEVTREKSLLIHVMEININNNDCYWEQEITGFHEKTKFPKNP PHYBLDRAFT_62748 MYNLKCNRCRLSYDSGALNLVISSSVFFYFISPLKNPTSIFKKE NIKIDIKKKCIGSSREFHQWPSELTEIQMLMKDLNFFYYLAEWRVLLILYEKSKVYIT LFVSGWCFHVACIDLMVLYILV PHYBLDRAFT_77151 MLRVSCILYLQNVWSSPSRPHSLLSSVSRDTHEQQLENPLHSGF IVDHFHQTQDELHTSLSEPKSTPIIPSSPDIPQVLIQQDTAIATLQPTTSATSALSAL SARSVRSIRSVRSIRSTRSIRSAISVHSVHSAHSAHSANSAHSAHSVSSVHSGHSIHT ESKEARLLVPELVSESLVKDVFVAKVDQTPVSLADQTPSPEPEPVLVPEPNLAEPFII KEEENLNEKPVSLLLVNDLSGTDIVEVQKHVGGQQEKGHDPEILVHVQNVDILPETTD QGSEVDSRSVVLESDNKVEVLQEKTSVNPEATEPTTVQLDTDLIVPIPDQVIEVVDVI LPETFSKPLPELVTEKLSDNAPDALLVFEDKEDADTLPVPVATDSLSLSLCPTVDSPR ESVNEIIALDTEILPASPVIIQSDPQVDHSTHTTAQVQEEEEKKEEKEVVTTPATDIE NKPLPSFPLLISTASSTTTDASSIQSDSDDDNSVSSLPPIAEEDDFSPPTSPAFSDFG PARTYFHNPDRAANRHSMVEPYSSSSIASSTSTPRTPPTFGTPVNKDEEIHENLFRRE SHKLNAKRKDIASKFKRAFSTKRKASI PHYBLDRAFT_157558 MPTVLRLNKQWIAALVIITLILVSSFFFSGRQAQPPAIPLPIVE IESYWPSPVERAVCKPKIYVYEASKDFQVPESVQDGRCDESNYNSEIILHRQLTDPNS NINKLYVTKNPEEATFFYIPFFGSCYLYNCWSKNEWKWTERCDVDELYVDPMMNMVIN EYPYWNKTQGRTHIMVHPMDQTFTYYKHNELFQPAVFLKTVGDKRRKWMHRHRYHRDI VIPSATRLIHHLRYNPEDYVTTEGHPKTGTRTIFALFQGCCTTVGPEDEYSNGIRSLF HKTFAKYPGYEIGDVITDLEYADKLAHAKYGLSPMGWTLDTTRIWEFMAFGVVPVVIA DGIIEPFEFDVDWDSFVVRVRRDEVHRLDEILRGIDDKTYEYKRRKLWEYGRRVGLEM DAWHFIVRELCRIQGINQPENLQLGY PHYBLDRAFT_163599 MANTSECRWLLVKAQKAVLSPSHDISPASVLVDRTTGKVIEVTT GNKALVTQTDPTKTEVMVLSKDQVLIPGLLDAHVHLNEPGRTEWEGFATGTRAAAAGG ISTVIDMPLNAIPPTTTPANFETKLAAASGQCHVDVGFWGGVVPDNAEELPNLISMGV RGFKCFLIESGVDEFPCVNEAQVRLAMDKLANTNSLFLFHAEMEAGVSYNVEGDERAY SSFLNSRPQVLETTAIDMIIRLTREYHQKNKPVNTHIVHLSAASAIPAIRAAKKEGLP LTVETCFHYLNFTSEAIEDGATHYKCCPPIREAVNRDALWDALLDGTIDYVVSDHSPC TAQLKKLDSGDFAQAWGGIASVQFGLPVLWSEGRKRGATIQNIVHWLSYAPAKLTQLS HRKGQVCVGHDADLAIWRPDAAFDIKIEDIQFKNKLSPYIGKHFQGTVDRTLVRGQVV FDRAAISSFPPPLGKVILE PHYBLDRAFT_140569 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_140570 MPIQERPSVLHILFLCFKICLRSWGHLTHTTSGGSAQHRDEGRL SIKKRSWRNALKSSASYSESWTPPELSQGPEG PHYBLDRAFT_140571 MLSKAPLRKKRNFKNLTLPNSPVVVSPSSSNSTTEPGNTTTKTE AAKRRSSTKDLEVEYSKLREQLSDLEIGLELRLDLRPEDLNSIDELGRGNGGTVCKVL HVRTNTVMAQKIIHVEANINVRKQIMRELRFMHDCNSRHIVSFYGAFMNGGDISICME YMDIGSLDNIYKKNGPVPLDVLKHIAYAIVDGLIYLYDEHRIIHRDLKPSNVLVNSQG QIKLCDFGVSVRLINSVADTFVGTSSYMSPERIMGSPYSVKSDVWSLGITIMELALAR FPFPPEGTPLSIFELLQHIVNEPSPTFPPNKFPFLLTDFVDMCLIKDIKLRATPSDLM KHKYLVSIGSEKVDLERWAKSLSGAPQRIDLSKLQTKK PHYBLDRAFT_85804 MSYRKQTPMPFQKHGSYNRSRGYMSYLVTNLDHQTLPSTIYSVF QKFGNVNRVELGLNGNECADGTATVQFVGSPQNLDLNNKGIKIDGRAVKIEPNLDFSP RENRYGKPMNKIRFQSRSFSMGTMVKPDKLVEHWTTQNNCALIINFDMRQYHIFFDHG NQKYRAEFKFKELLDIVELEREENTWYFTFQLRYPARFWRQNPNAIRETKTTLVADSQ WERVVKIPMETSGSTTAFKGVTLNESKKTPVTLILPPGMIQLGSWLVYRLKMKVTPDQ KDSFYNQLQMAANYNVLRRDFLDTPSGLHLKIIASNTLPRRNTHLERATMFPYDVLYM LESVILNHYFDEINLDQDFYDMIKELPHSITCGILEIVSNSKKRVWDPDTEFRKIWDT LRMKVCHKRKIPDHCTMMRKLVVTPTSIYIQPPSLETTNRVIRHFRAYTDRFIRVQFC DDGMNRISASHTGLSNNEVYDRIYKVLTNGIQIGTRRYDFLAFSNSQLRDHGCWFFAP TKDMTASMIRDWMGRFSHVKIVAKNATRMGQCFSSTRPICQLNKEDVERIPDVERNGY TFSDGVGMISPALAREVAATMELKHVPSAFQFRLAGAKGVLTVASGLTGRKVKLRPSQ IKFDSDHLVLEVIRYSTSIPAYLNKQIITILSALGVEDNVFLTLMDDMLKTLNSMLSN PSEAIKVLNSNIDEFGTTQTMIRMIQAGFMDKKDPYTVNLLNMFRVSMLKNLKKKTKI NVPEGAFLLGVMDETGSLQEGEVFCQVTEPSAQSSRKRIITGDIVVYRNPCFHPGDVR VVNAVDCPKLRHLSDVVVFSSQGYRDIPSMCSGGDLDGDDYTIYWDKRLLPPTRNYTP MDYEPEKPLQVDEVHISHILKFYINYMNNDNLGQIANGHLATADMAFDGALNGSCKRL AQLHSSAVDFPKSGIPAILPDDLKVRCFPDFMQKKDKESYPSKKVLGKIFRAIDNSDY KEYKTKLISTTKYDVRLRVKGMERYVLEARTLKANYDRDLLSLMNQYGIQTEAEITSG YIIKWLKKSNRKSTHEIQQQAMTAATNLKNAWRKEFEKEFLSGSTTRIIDNETEAVME MKAAAWYYVTYHPTEQHRLTTVEGNFLSFPWVAEKYLCKLAKRNGSREETEELAKALD DEVIQEAERMKSRGLVIEMLDSESDYDDDEEEKERKYRVKSKMKESGHPSRDELEEEK EEEEEEEEESDDDLTELHLTNATPKPKLEEASSSLSIIYKDPFDTDSSKGINGKNQTR FDADASDDALSRALLG PHYBLDRAFT_140574 MCQDLVAKVIIAYTDASIIKVPLSKNTYVAAIGVFFGVNDPKNL SEKYEESSMKSDIVEIHASISAQAVMRAIQAAPNNGFTLKIFTDSQAPVKASEKDTPK SYFPKATADLKKAIKNRIGPVDIIKIKGHSGEPGNVAADKLARDCAREQLK PHYBLDRAFT_62738 MYYGPVSRKLDTISLAVSIKANCKTDLTSLIKEIGMAGASKATK QARIKGLWWWLEKYSTLGLSALSHQRVCKNIRPLCIFCIPFMLLNNWEASWRITVRPN PRVWIGANNVQLTNLLDRLRINCVTKSRSLFFHCETELNREFGLSLCNFFLVFGTWLS YEVQKNQSDLYDNK PHYBLDRAFT_163605 MLSPRNSTINRVRYRFIDMNDPNRYQTIKVSKACDHCRRRKSKC DLGVPGSGTCTNCKRAHTVCSFSSVTTPRKKVNKKSSDITISPQANELAATESVETQA PIKHMNHQASTSQLAARVNECYTRYPLLHINHAGHVNYELHYSNPSPDAEHILSQRGL SILEYTYQLESNPSSQYSFEHMLVESYFDRVHSSYPILHKSSVLNMSRTDYHTIFPAL RYAILAVSCYLESEASRNQDMVKLSAYFYNQSKTRVENDHRINLSVAQTLLLLYKYNE IITPAGTSLPTSAFVFMSRVNIILEQLGSFTNDMSSDYNKLVCRTHWVFYANLCLSNL AELRWSNMLKKAHHPHILPSALEADFNDPRECQEITDFVQLLKISVLYSQVQCFMDSP SNEPAAWPSGYSNFATFENANSLWVKSLPPHISASFDTITNFDSSEQLTSKSPIIFYL HILYNTIQMHSIINSKQDSNTSARLFTLSTQTYHYVSLFYSKENSGILQSNRIVAYAL ALAFQAYISVILIEITLSNDDISTPQPIPQIQWCSNITQLFCQLVTEPRLGSELNSLH ENINSGVMEVAVYSASLSEMLYPTWVERPIQANFDYSDMQCFKELAVDQYDTPVTPTS LADSNPYIPVSYPSDYFQSQVPSSLTQQTEVSYALKNYCHSTY PHYBLDRAFT_179566 MVLPIRKYLDLKVRDSTSNIKPTYHRRKSVGDYWLGKTLGKGSS GRVKLGIHKASGEKVAVKIVSKDYMASNPVLYHTIKREIALMQLMQHPNIVQLLEENS SYLILEYVQGGELFEYLVINGRIAEREARQYFQQIVHRDLKPENILIDKNKNIKIADF GMASIQPPNTLLETSCGSPHYASPEIVMGIPYSGPATDQWSCGVILFALLCGYLPFDD KNIGRLLNKVKLARYVIPEHVSASARDLIQRLLVFQPGKRLTIKAIQSHAWFVDDVVP RLSNPSILPSAKDIGRLASNVSEIDYRLVETLKVLWKGLTTRQIINSLLNDSYNMQKV TYFLLKRHTSQRRFLQSKPEKRTRYGGKQTLPIRCVTPKLLSCSGNPSPETLVPTTSY RRNCADNAVSQLTYHNDKVNATTWQKSTMDTTKHSFPEVTLTTSCNNTPITQCMNLWK HKMRDITKIKSPRASHRISTPLPRTRLVYDKIACSPFLCSDNYHKNINSHKAVPVHPL MEDSSCKALVSVPHVTVNHSSHQLSWVPAHIHQPQPKICTMTCIGRDEQEVVRKIRQI IKEASQHSLYFHMGGNVTENLNDHNNSQKGDMLWEDQDGEQSHLRFNIEVTVLPGPSD KQHAMQVCFLEQDGNSVVFGTAVRHIEKALEAYELEVKLISAANGWDLHSSS PHYBLDRAFT_176837 MSTVQSKDTVKLILQFLRENNLHRTLQALEDESSVTLNTVDSKE GLMNDIKNGKWDVVLKQIISLSIPPPQLVDLYEQIIIELVDLNEKGTARALMKRTDAM RWMKENQTDRYIQLEQIFVQPKDMYGQETKEARRNRIAQELVSHISTVPPSRLLTLLG QSLKWQQQQGLLQPNTAYDLFRGVSHVQKAEEDASVTKPYLSIKFPGKKTFAECAVFS PNGQYIATGTVDGFIEIWNYLTGKLRKDLSYQAEDSLMAMDNAVLCLAFSQDSELLVS GSTDGKITVWKVQTGISQRRLSPAHSQGVTSVCFNKDGTQVLSGSYDHTVKIHGLKSG KTLKEFRGHSSFVNAVAFSSDYTRVLSASSDGTVKIWDTKTTSCLHTVTPKTNADLSK GALNPVGGIGSQTVQSIVRVPRNMDQVLICVKSNTLYIMTMRGQITKSYSHHKKTGSD FVSAAMSPQGEFVYGVGEDSSLYCFQTTTGNLLGETKIGDAEVIGLVGHPFSNVLASY DESGHVYFLKA PHYBLDRAFT_163609 MQTGYYSLLLNGTLFLHNSIDSTLLKAPGVVHWDIDNISFYSTC LLIKTILSLKVLIKSSLPYHKYNNKAYMKANKIRNELDKISPQLIFRQMIIRFKSKLD SVKELPLDSGNPIKECGKGVSKAKDRTILNPDKFSYEGTDNVLVNITTSIPMSLPRMV FHLKLFGHYTALSTHSNEDNISLRLSKEEETFLRFSSVTNMKASDVDVSRGCFRQRRY LERLKKHTDGGKEVQSIEDSTRKMASTPVTFSAMVIENLHEQYKQRRKLRDFYNSAKM INQKRHVEIQQHRYCHRLFRRKRLKPKHSDSKFSSKKSLLFFTGDRGTGTIFRPKGFR MYGGKWKQKIHRETANVCITNECKTSQTCIFSFSSLINPRIPGKKEGSYKGNKGTFLC INSRCITVKKQWAMPVHFLRGSKGAHSQAYLLHRFRVFKADRLPSNRLFLRSI PHYBLDRAFT_62732 MRHNKQPYEETRTCTVQMNKYFRTDLVLNVKKFVELSISKSFFD NNDIKIKEKVYGFKLAKLLPFDTTTESKDTIQPMDKSLVNRIRFDTDFKCLSQASICK LHLTIFFFFGSRGVKEDNLNAHPVQNSLSCSFKESDHDNQSFYLEKQRTGGLEGEKWT TKINIAKQRLAKLRHTFKKDETLEENVPGKRLSPFEPIIEHLFFSNDTSIYLEDVRNK SPDAFTAGQSVCSLICNTLKKVLASEKNRYHIIAYQIYFCILADDVIKYARYIKSTRA LCPSEYFSSSNALHLNSIALYQLLTQTLDQEQSEQPSNSNKKKEIV PHYBLDRAFT_185225 MWHEARSNEKKIKELMVDHKKRAERRRAYYESRRGDPRQLLRVV GSAILLHPDAEQYYHHENTNNLMPWQGDPDIKIDRFDGRSLLEFVPDAKARDAKLQPT EDKELSDDLNFERYHDLVEAERLKVSEHDRLAEVEEEWNQLLDRHKALLAMLTEKKSD KPQGFGYDYGTNTTSDDMIIDQGPQLLKDILFFACVRACLFLQTDILKYVDELNDRDR QTLNDMAKKYGIRSYARLLRVAKKDRDDELRDLRSDSETSAEEDMSAPSDVVIEFGSE TTPSNINMPAIASSRRTTEPTYHTNPEKTRVSEPANTRPEEKKLTPMEKLKLKMRAGL EKQIQLDQRDERRKRLEKEMEDYQAFTTYNGPMGPIVSQTNQPNLLSHHPVHKDIAPP AHHGHGHGPVRVRVHQQPRPIVSPQLNPWLLTLLIAATAADQIADQLPRKTATAVVVT IAVGPPSVVQKTVKDAVLQVILPPEQSALDADQLVQQTPIDHEAETGDLTDQGRQKNT AMSMVHDIEKLCMPSIQL PHYBLDRAFT_107388 MGLKYREYLEGRRIYGCSKCRSHLTTGDRILSKGFRGNNGEAYL IHNVVNVSETGEEHESIMTTGRHKIIHISCVRCGSQLGWKYTEAHDEEQKYKEGKYIL ERNLIRIVK PHYBLDRAFT_163613 MGISPSLVRSFVKGTKRLQYIYRSPGPHEPTICYIPGFQSQCME SNKCHHVYDLAVSENAGFFGWDHANGGSVLEWWSDGNELLEKYTKGPLVLVGPSMGTW IALLLAGRSPVKERIQSIVGVGGGVDFTERWLQEVPVEHREDPKYIWKRPSSYAECGY YAIPVHTLLDSRACLVMGSPLDIRVPVYLIHGQADSDVPPQNAILLKQYLEEHSIEKV YLKLIEDGDHRLSRPQDMDVISSIILCILK PHYBLDRAFT_154395 MTSYHAVPINDRSESPASFKTKSRSVIATVMLTICIVSFVLQTE LAQYVQQTTDYQKPYFILYVSHSCYIFMIPIQFLAECIGRGWPTKPSAALGHVIETAG HCKNELAQSLLELQGRVKGENTTNRLSIRFIISTGLWLAILLTLPAYLWYVSVNLTSM SNLTAIYNTGCFFAYVFSVWLLHDRLMATKIAAILLCMIGVFLMAFWTPGDQPAQSQT LGISVAVLGAALYGFYEVYYKKYASPSQASVLFANTVTGAIGIITLLILWIPLPVLHF SGYETFELPDLETFVYILGIASMSVVYNATFMCVIALVNPVFAAVGVMLTVPAVAVTD VLVTGVMVPGSTLVGSILILIGFYILNRQVKNETEEIDIS PHYBLDRAFT_107475 MVRVSILNDCLNNINNAESRGKRQVLIRPSSKVIVKFLSVMQKH GYIGEFEEIDDHRSGKIVIQLNGRLNKCGVISPRFNVKLTELEKWTANLLPSRQFGYL VLTTSAGIMDHEEARRKHAGGKILGFFY PHYBLDRAFT_163616 MKSRAQRCEKVMSGRTSKIFVERIAVDLNEIYEGNIELDHQASL WLKSSETLNLMATKICVYFLEEVVFVEGSKSLLILVFLAQIGSYQVRAQRFSIEKRKL EESEIMIFLINTYYIHSMNILSYLNQDPSSINCLPTFVTYLRPNLLMMLERGFGQYEQ FYIAPIIKTEISVLWPLQSFSSTSQLKCGTIEPWQVGI PHYBLDRAFT_62725 MWNAYYAERLAARKRSVITRSTYKLSPFVVVPPEEYINPARKLR SNRSPFNNAPPSPSWDELARSSDEASLKSPTVTSPTRSLLISREIQDSIPSFSVRLPS FREGSSLESTGNILEKSYSKRRLADSPNDHDKSKRFRDEDSYLSQSQVLTSRVYSVHN QTIEQENESIRVQESSNPPEFIGVSEVEMRELAQMSIKDMFGNTSVQEQNKSYDQGTE IQNEDIINITSEHIKPSKSQLLHGSLPEQLPQLQPQLNLESQSQSQTQIESELNREKE HNEVTPHEQQSTEIQEDFEQDMWSIPQLDYGSPEPMNIETDQLEGANIELTNEARPEE EPVVIDHTSPEGEKSNINNETQHPQAGEPEVDMDLDIDFQTDGIPEQPLPDQSEVREQ VTTEVPQKRGRGRPPLVPMDDILGNELASSGSIGAPPELDLDTFIKKHTDLLEAAAEE RENKEQAVDEIRHISGPVFDEVSMFFLLYSKNITNTQRRDLVSRFGSIIVDELEALTT LYTEYLKAEWMLRREKYLLRRLRTKLLDIRMKQSGCKNEQAVLKRHLEENDSKRVTLS GLGHFFDHIKSIRAQVVESDEPIEEQHWSA PHYBLDRAFT_163618 MNITREQAIYILFSKEYDEENVARLLKKIADFGSFDICYEVDPR KPIMPINTASELQVIPFLNEVHATIVYTPNESAYYLKRFTTNVIYELVITTTDSMCKK SEAAFATCLASRDINERPNLLAELMRVDGNTQGTIPILILNHFSSMISYISATEQNIC IVALDFAGPSTDFNDLHSFVSHKVHVFQREEILNDPSGLYPFNFRSKSIQRFKIV PHYBLDRAFT_179571 MSNLAWEVYSDLTTTHGKDIMPNNNGHCSQGTLLRKPNRTPSFA SNDEPTTPKEHEETHEPDQDTNTHNYSSKKRIYLETCHFVNDVEHDSEDSSSQTSYSP FSDSLFIPHSSMPCKRTKRHSSCRQTVQPRWHNQSYMLFLALRQHPDNSLPRTDLIKA ALALDKKMSEERDLPRVFRGKTPMNSASAILTNNSDGYFIPFKPHGSRSMHFKLAETP KKFEKACLEYRQWEEHLEREKWPDYFGYEEKIEPLVHEEEITEFDEFIASRLRARQAN LHPSNGRILEDESVKDKEKELEITHVSYEQRHTEHLHNIGNMPTSWKDLVRVDKGKGV FAVRPLPQKIPLGFYFGAPMPEDKFESLKESVGSADT PHYBLDRAFT_163620 MINVHYFYTPSFSNANDIKLERNSHFSTARLEHTNLGLALHLSF LLDLSLTHLTSTPIISYQITLYFPQSQPLSASVMIAEKLERSGFYGLPEYAIQLGIKI VFLDLNEPIANQGHFDLIVHKITDVVGKMHRGDEISAAQFDNFLRFCESHPKVIIMDT WENVEKVINRSKLHWLLEKSIEFKPPQNKGQLFSVPKSISLESFDMRSEALNMKFPII CKRSKACASVSSHQMTIIPTLNAMSKVTGYGKNEQVILQEFIQHGGILIKVYVLDKHV YPALRPSFKDLNQNCDVFHFDSQILPKSFHSSSKLLNGLDKVMSISNEDVEKRIYETL DYDRLEKIADVLRHQIGLTFFGFDVILEAKTNNHYLVDVNYFPSFSNVPKFHEIFVSI LLQKLNNP PHYBLDRAFT_7962 PSSSFSEMVVKLYLHLAPMWAGKIMDGINEQLNAFLMKYVPEVD GIILAHSNVQLPSNKGTIVQDSPFCHFFIHVKFLVWKPKKGSQLVGRINLQSQDHIGL LIYGTFNASIPKSRIPAD PHYBLDRAFT_121959 MSLKLESFLERKGFLEKFDNYLFDCDGVLWEGDHVFPGIIEAMQ LLRNAGKKVFFVTNNSTKSREAFLQKFKKLGIEANLDEIFSSAVATAAYLKHILQFPA DKKVYIIGMSGIQHELEAMDIRCCGGEADNGPFDNEIVKNDPEVGAVVVGLDTQVNYK KYNKAFTYLRNNTGCYFIMTNQDSTFPVHGTLQPGAGSIAAPLITALNRQPDAILGKP AQNMMDTIFAEYKLDRERTCMIGDRLDTDIDFGLKGGVETLCVLTGVTSEEEILSKEN NIVPTYYIRGFADFVVEP PHYBLDRAFT_179573 MSHHSDEEEGFAGDLFGEEEQEIKEPEPTSEVYTRNKNYIEDEI SSLSIRLVGSHPLWAHHLWNASKVFATFVDQHKDLCRNKYVLELGAGGALPSLMAAIN QAAKVVVTDYPDSELIDNIQYNVNHNLGKLAKNVVVEGYIWGTSTTKLKSHLPSEQTT YDVIILSDLVFNHSQHHALLRTCKELLTPKTGRVYVFYTHHRPHLAHRDLQFFEIAQK PILEDVDPEDRDLIGYGFKADHFMKEKMDVMFVEDPGDEEVRATIHGWQLWLP PHYBLDRAFT_157565 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINEELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_157566 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFCGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_163625 MSNNRTRNDYIICMCTRCTVSNPSGKCQRKQNAKRHYKQYGAPV LAASAMDMRNENVDRMEIDYNSDVDVDFNVNEEDYAITLNPLIDNEYTQPIHVSLLQE DAIFFSEDAGPQSFVIDGDDIEEGGTSFGFEKDEAFEDPALSSMKMQAHQYPLNNMSV YIRFMAIFIVIFHLVFLVDNDGSILIEFCNILLSLFDLTGALPLTIDSLKHITGFNTA TGGITVYVTCSKCHTIYPPNTSTKNCTFKLFTHSNTCNNALFKSTSGNRSSPVMWKEA KNAAEHTRLERSNGTRWSQLHLLTYFDPVRCTIIDPMHNLFLGTAKCMVQIWKELEYF DNQTLLAMQDLANGVVVPPDYARINKKIADGFSFMKADEWKLWCLIYSLFILKRILPV KHLSNWMFFVNACRLLTKPSVTPDDISSAHAHLQSFCKGFEKLYKEFPVTPNMHLHLH LGKCINDFGPIYAFWLFSFERYNGLLKNLDTNQKGEFEVTMMKRFLEKAYVGNYIRSF EEKFPASTVNFLHSITRSQVILEQPSSMSSLFILSTFIEYSMNPRKSVMGCEPLPSDV LSFKVEPKIVMCKEHYECLYQYYKDAYNSHNIFGHYSDCRAGQIFVNNRIIKVKQISL LGQQYFSGSYFQALFVEKKSEDISAFPGRILYLFQHTLNFGNIDVIHTFAFVEWYASY SSGNVQPLKNEKIELWQEPSSLLTYENIIPVHRLYSPVAVAKYRPTITSDFKRLVIPL PKKIEY PHYBLDRAFT_163626 MPRKYTSKKANKAARATTTTTTTTVNDNGSNIDSAEQTNAGEIF ALMRKRSQGIDNSDADLSSKRPCLNTVQASSGDGHTIDEVYKKISEVNTFLRSGKTTI TSEDIEAEASKAVEQALSPERYPVLDQLLRSYIQEEQLYEKYDKTQSAYFEANRRIIK SVVDYLRNQAEGKLNTPGKIRRKILRYISSQKLKRKKTDDQTAETNRVGCLSQRRVQT RNKIALALETNREYFVKTYGEGLDDFLHADYMSDLETDCEVADNSSSADRVFGRFRPS WRSEKGDNFVEELDAIYQNIPKGSQTCSFDRKILGRREKKLTDAKMKKLPSWAKN PHYBLDRAFT_77137 MESARQPQPLSFIMEPSGPTQALVPKPPTTVQAEFTRRKNWSQS ILDELRDVVHVLSPKFKILYCSPASSEFLGYQPTELVNHLFTEYLHVDDADTFSREFR NASAENKPFKAYYRLLRKDGKYTSLETRGHFFKGCFFGLARCVPAQSTRMMDTFLDLK MENEILKRKLVNMKQKQQQQNLESEKSSPITSSSEDRSETADMDDDEFDGDFDEEEFL PNAAHVYTPGVNTSYDMLESVSLFTGLRYDLGERSRGISLGLVGELTTVAQLPPSMPS TINSIERVTVHDEDEETNEQQKRVKKKRIEVEAPKICTDCGTTSAPEWRKGPKGPKTL CNACGLRWAKTSKRPDGSDI PHYBLDRAFT_140593 METNTRSIFQSNNSHRHQPPSPISPGQRANDTYFNQTPEKAFSP QAYSFSLANSTNSPNYSSFTSKHSNASQITETRMLKQTEEPVEFFNFEKVVQEYGERP ELLELILSSKVEEDRRRAEEAKLRQKEIDYILTRNKTEIDVNQTSAPVRSWRASTGQT TTSVDQNNETDFNLLEARTNTPPSIEILGTSAPARIPSEKGSANEQPSNGVNIPSAHL RVSSYQPYSVYNNSADSHLTESNIIRKRNSFNHPTPYPLHGGRAADPPTRESWPLSRI QPRGPDSNTSPARRDSTRSINNLLSTFSISSSIMLPPISATSHQRISQIVFDPTQQER QNNYNLTTGPVLTPYHASLAISDQDKVSSSSSSSKNNLDSRCTDIEERNSTENIDSNE KTNNYECLDFGNGDYFSRLDSETQSGNIVLPKKSIEITTPAKIPIPPTPYQINMDLVN SARPKRRRREMQNISMIIETREFPYNDEYLWKNNGNTVHRKSGHKSIYYKCSNSSKGC SVNKTVTFKEDGEYLIKYRGQHLVEYSSKNYNKIRVSDGQCSALTSQDISRIG PHYBLDRAFT_107391 HEEVNKQESEHEGSFTHELIAGAAAYQAVKAYNEHCEKEGRPAE HSMAKQLLAGFAAGALDKLIETKGLDYLDKQKAKKHAEEQVEEYYNTEASIQN PHYBLDRAFT_140595 MSCPTRKRRSRKIAVEQSLSSEEEKPSSPSSDQYYEFWMLDRWM YRWFNTKNPHKMKIEHPSIQSW PHYBLDRAFT_88166 YNSPYFQVFLVGFICFCCPGMFNALNGMGGGGKSSTDVANDANT ALAVTFTVCSLVGAPVYNMFGIRVIIPAALAYVLYVGSYIPFNDAFVIAAGAILGIGA GFLWTAQAGIMMSYPSEAEKGKSFSIFWMIFNLGATLGAAIPLANDWNNAASSVKTST YIAFMVIMAVGACTAVLLLPAHKVIRNDGSPVSLHKFSNWRREAIEVFRLFLDWRMIV LIPLFAGSNWFYTYQFQVYNGGGFFVLRARGLNNLVYWLFQIIGAGVFGLLLDSTRLG GRRARAFIGNTFVLVFIVAIWVGAIFVQKKFNRTSVKAPGFAPMDVFDDGYGPICFLY ALFGFADAIYQGFIYWLLGTMTNDIERAARYGGFYKTIQNAANAIASQVDAIHTPFMT ELIIVFALNVAGLALTYIVCW PHYBLDRAFT_62711 MGKQPQRRKITKNRVNPLGARIAAGVSQGISEDVPKPEQVMPVI EKLSSPDPTERAWSAACISNLVMAGAATRKLLLSKGIIPKLIERLTDSNQDVKEETLG TLRNLVSVDPVVANDYYARDILTPLSALLPTISQTIDLVLKNAPFADHDDQDKRRTVW DVAENFIYIVWSIIEASDKYIKAINRLNIITFLISFLSAADQCPTRVVVAAGQCLTTL TDENKDIYIEFQNHPEYISTLVGILTKFTRPEDTLVRVLACAILMNLREVMRMSSSWD DDQDPLVELNKMVLPVLISSLDYDLQVAAEQSLVAANSGKITKHEETGEITPAPKQPV NAEEFNLMDPYSLLLLVEDGWEEADETMGDDEGEPIEEEDEDTEDILGEVSALTGGTS SASEDALIRSNPVMHAFTYQIFPHLIRLATPTPLSFPQESIVPTVSQGLALTHLRSLE CLNNFLLAMNDVPSKFWFKEHISDAQQTWTWLIKLLYDLTPANGGADDILADNLEAIV GCLWSLARGLGNNTPLGPNDNEVLCRTCTLIPVVSIRVKIIGCLGAIATRQGNIEFNK NIAIYVTDILRNIPTKQTPPELAVEVLNFMYDVYNDCAFDYDEPIFVKGNMIKELESI LPAYRAIVKSIDRRKNFDLRSRADEALTNLVAFIKYKKNERR PHYBLDRAFT_62710 MDCLEIKDPIKPRVISWVPCPVQKLESPLDTYRRLSYLQLTSDP LPQSTKSAPFEIDLQCLHVSSETCAKAKKAFDQAGSLISSAIFFREPVRVNATFLSFC ESGGECGSSPARLIPLLNEDGMVRLHPQSLVKQLDMPISPEYSHSDILSVFNADAPFW FEHEFMHGLGFYSNWSKHIPSIDALVPDPSLLLADETLVIIDPQNNAVIETKFLENVL DKYMVILNPESEYNLFPTSDLTRQFNKIEVNSTEGLIGSPEFGPAFDMSRLSVLPGTL GIGLVGPQGVYDDFVILETSLVPFQRGSSISHVDYNSYTDTPDFLMRYMQDRGVTMTD MVLKGGGDSPLGPKLLRIMEQIGYTIIKTNETESSAAGDFRRMVNSLQHNAHATQSST TAKPYLHSTPHSHSSTGSRFAEPTFLMVIIGSLCLGILLYLEKPFSLL PHYBLDRAFT_130729 MCGIFGYLNFLVERDRRFIIDTLIQGMARQEYRGYDSAGLAVDG DTDDETYIIKQAGKVAALKQLNFKQKIDFNKTFISHCGIAHTRWATHGQPSQINCHPH RSDPKNEFVLVHNGIITNFKEIKTLLLKKNYVFESDTDTECVAKLTKYIYDTHTGPPM TFTSLIKAVIKELEGSFSFLFRSSHFPNEIVATRRGSPLLIGVRTAHKLKVDFVDVEF GADKDFLAAKEPSDFLASVGQSVSPTLNRTQSRAFLTEDGLPQPIEFFIASDAAAIIE HTKRVLYLEDNDIAHISEGNLHIHRLRRDEGATSIRSIQTLEIELAEIMKGSFDHFMQ KEIYEQPESVVNTMRGRVNFENHKVTLGGLRGFLPIIRRARRIVFIACGTSYHSCLAT RPAFEELTEIPTQMDLASDFLDRKTAVFRDDVCVFVSQSGETADTILAMRYCLERGAL TVGVTNTVGSSISRESHCGVHINAGPEIGVASTKAYTSQYIALIMMALQLAEDRSSMA ARREEIIEGLFRLPDQIKTVLASDSSLQQLASKTLSSEKSLLIMGRGYQNATCLEGAL KIKEISYMHSEGILAGELKHGPLALVDENMPVILIMTKDSLYPKVQSALQQVTARKGQ PIIICNTGDANLINDYKTIQVPLAVDCLQGLINIIPLQLLSYHLAILHGVDVDFPRNL AKSVTVE PHYBLDRAFT_154403 MRQNTAKYYELYRRSSIGMALTDSLDELIQSGHINPQLAMRVLT TFDKSISDSLSQLVRNKANVKGHLHTYRFCDEVWTFIIENPNFKFDQESVSADKVKIV ACNAKRPGEQ PHYBLDRAFT_163636 MASMAERSKNNNDDALIRLQKKAAQTADRSPDAFVEKLVSCVQA CDKLLLTNKTWLTDNSGLMVQCDKCEVWQHCECVGLEEQDIPDQYYCEQCKPENHSVV RQTHGRTRRSYRSGGKAAVAADKKAPKKRMTLNSREASMPLEDVLAARNALESATKLS SPSDSPLASPIELPEELEDERRSVKRRRDDKEADSDCMDDEVIAAADIALKECTEKKT ESPTELERPSTNTPSTTKPIKTKRSASVRNKTEDSAVAEKPKGAEKRGKREPANKRGN STTSKRGQATKPRSRTSTPQPNEPIQPPVDIGASIFQHFSLEARAASPPARIRVPSAR MSILDMNKRAKQILEYISTLQVEMANKDNSSVKKEHVHTTSKPWTPKTSDEGGDLLSS IEVTGISMEITREDIVAGVDSKRKKFRPDPISIPTQIDPDSPSSSLSSASTLPLDEPP PSLADIEKSVAEEAIERQDALIGNKTQSSLEIMDVLTRELIRFQRRFGSGSMSLQSRL NGSGSSMRTREEGRLVEIEGRTTRSRDMAISNNLRSLQDKRATYLS PHYBLDRAFT_121977 MDFSRFNQAEQAQIAAMIEHKQMKDFLRLYSNLVQRCFDDCAND FTTKTLTGKEGECVNKCADKFLKHSERVGSRFAELSENMQAPGSQ PHYBLDRAFT_29789 MPSSPQTKTVRLTVIAADGLVKKDLFFKLPDPFAVVTVDSEQTH TTTVMKKTLNPYWNESFDLQVTNQSVIAVQVFDQKKFKKKDQGFLGVINVQVGNVFDI DVGGDEMLNLDLKKSNANETVHGKLILNLSTNVNAPIRNGTNTLAPGTTSQATTSGQT SQENSQPPVVTDYPASGPAVSANTDDLPQGWERRVDHLGRPYYVDHNTRTTTWKRPSA GTAQEQQTITDLERRRHNARGLPEERSAAGNSSTSLDTRSQLPSSATPAATSNTSLTP QTNLGVQNNMTTAGTGPLPPGWEMRTTPEGRPYFVDHNTRTTTWVDPRRQQYISTIGP GAHLQVQVQPVSQLGPLPSGWEMRLTNTGRVYFVDHNTKTTTWDDPRLPSSLDQNVPQ YKRDFRRKLIYFRSQPALRPVPGQCHIKVRRDHIFEDAYAEVMRQSPADLKKRLMIKF EGEDGLDYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYFR FIGRVVGLSIFHRRFLDAFFIVSFYKMILNKRVAVVDMESVDAEFHRSLKWILDNDIT DVLDLTFSTDDDRFGELVTVDLKPDGQNIEVTEENKKEYVDLITEWRISKRVEEQFKA FKDGFNQLIPQDLINVFDERELELLIGGIAEIDVDDWKKHTDYRGYTEQDDVIQWFWK CVSSWDSEKKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKAGEVTMLPKAHTCF NRIDMPPYKTYESLVAKLTLAVEETMGFGQE PHYBLDRAFT_107845 QELEQLPLRDQTPINNLWSVFDAAPAQQRILILKGLLSTCCTSQ LSYLASALQPLLRIDFTVILPIEISIKIFTYLDAQSLCKAAQVNKRWREIADDDILWH RMCEQHIDRKCTKCGWRLALLQKPKLIRSPEKRVREDSTEDLPNKRTDRKLWKDIYSE RLVVERHWRKNKYVHRVLKGHMDGVMCLQFCEYQNMLITGSYDKTIIVWNLETGALLE TLKGHTRCVRTLQFDDTKLVTGSMDNTLRIWNYRTGQCIRTLEGHTNGVVHLHFDARI LASGSADATIKIWNFQTGKCYTLTGHTHLVNHVKIYNNSTSLVSTSDDTTIRIWDLEK RTCTRVLQGHLAPVQVAVPSMPGLIHRFEDYTANLQIQKTHTGTSFQAPSTSSTTSVP VIISGSLDTSIKIWSIETGNCLGTLLGHAHGVWTLAYDKQRLVSGSHDGIIKIWDIEK GNPMYSLQGHTSAVTAIALGDAKVVSASDNGEIHIWDYGAII PHYBLDRAFT_185237 MSATEAATAPPARLYSPLTTTAFTLKDQQPAGSPWNPLHWPHWF NYQKARLGLPNPGSFERLHREVKDTFTTNYLFDGAQANIVKELSGNFHVQHQFSLGSQ VMPPMYNFMSGYMTERTMVQGTMDNDTNLNAVIRQMWSPRMATKIVAQLTNMPGHSML QVENDYTGSDFSLNLKAMNPNPMEFTGLYMASYLQSVTSNLAVGSEVVLQRPTADMEE TAMSLVAKYTGSDFIATAQLQGMGAIQASYYQRINEKVDFGVELNMMVQGQRREAVAT VGGKFDFRQATFRGQIDTTGRVSAVLEEKMAPGFSFLVSGDLDHMKGQSKFGVGIMLS A PHYBLDRAFT_107910 MFGLPLRALRSVSHYSTVAPKQLFPTKTIKSLLADNQPKDTEVL LRGWVRSVRKQKQVCFAMVNDGSTLKGIQAILNEDDAKNLSVAFRLSTGACVELKGLL SDSPGQEQAKELQVDAVRVLGECDNSYPLQKKRHSLEFMRNLGHLRARANTGSAVLRV RHAASEGLHQFFASQEFILTNTPILTSGDCEGGGEVFKVTPGSATPSTQDEFFKKPVY LTVSGQLHAEIMASAFSRVYTFGPVFRAEESVTSRHLAEFWMLEAEMSFIDQIDQLLD VTEASIQHTTRHVLDTCAEDLAFFNQWTDKTLLDRLHKSIEKPFARMTYTEAIDVLQR VQDKEKRFEFPTVWGSSLQSEHERYLASEYCGRPVFVTDYPANLKPFYMRTNNDGKTV GCFDLLIPGVGELVGGSMREERLDVLTQKMELAGMNVEEYGWYLDLRKYGSAPHGGYG IGFERLLLWLTGLENVREVVPVPRWVGHCKY PHYBLDRAFT_107633 MAESTSSIKTNLTHSGLLPLDAQGKPIKPCCACPETKKPRDQCI FDKGEENCQELIKAHLECMRKLGFKV PHYBLDRAFT_102826 ILSVAQTASAHFSLTYPPTRGFSESIEANAPCGGYDTPSANRSS FLLKDGFVEINAEHPSYTYQVNILINSNPTSADFTSSNLVTVASGQNSFPLAACIPVN LTDVSGATNGTLATLQVTFNGGDGALYQCTDVVLTSNTEGFNSSKCINADGSSSSATA SQSSS PHYBLDRAFT_121988 MDMSDHSHTSSDSTSSTDTSMDSMMSMSMGTFHWSSSGDAIFFD SWMPKSESAYIGTCIGLFVFAILSRGMMAIETYFVAWISMRFNKVHENDRGLSEAPFD LTAKHNAHPKDCGNEESGQSELSRASVSYSIKRPVYPSRLTMPVVPPFVWHTDTVRSF LTAFASFINYLLMLVVMTGNGGYFIVVIVGIFVGEMAFGRFRSLGGFRDDHCS PHYBLDRAFT_62699 MRFSRFFATLLSHTLLILYIVKNNNIDTLYTEVCVSEKQNRTGP MMIFAPNANQCCTGLKIQVNSEIKFITQRYNEVKYADDIGMRARSIKLDKMLYTDQDR VMFFKLFNFFISTSDAEKKPLWNTYVCNIYFG PHYBLDRAFT_179587 MTSLLSPDTRIKVSSVLNRDTVSYGKQHLIDGSEETCWNSEQGL PQNILLDFGSPVEVQKIALTFQGGFVGKTCVALGSSPSSPNNYNIQLSTFYPEDINPT QIFDIQHKEPIQRLKIIFEESTDFYGRITVYKLDVIGVHH PHYBLDRAFT_163644 MVASSVEGSDQPAGAQLPLSADIVAMKPSHHASLFITCTRSAIY LWSVKTNKNYLLLYAILPFEQRSFEFSFAHSNHPYVTGPGEGKGPKTMILKFRLAIRI DAGIACGTSSEDTLIIATQTPAAIQCISWNPQQVNATQTSLQSRMNIFVDPLERVTSM IYDKPTNVSVWVTDKGRAYFVHNIPDIDKQSSTGSNGLTSPTSPSSQLPRGSNTLTTE THFGGDTASFAPASPSYTEKVHWKGVAFHGIEEDILKGEEATCVAINAKFSLIAVGTK SGLIRIYSANHYLSPPEYSHTLELETGGATTWGSINNKSISRDAVQSLAWTSDGYAIS VGYEYRGLAIWSVYGTLLSSMSELEEMFGERQNNPNIINRYSHLQDTYIHGVYSMFWG PGNYQLFVLDTWGWKEKQNVEDSVVFDDIHLFSLPFSKLAITSLHNAVRDLKQFGYTI KPNLTVNADDRLLLYNSGGDYQENNTTTIDPDAVAWTHILYPTMYITDHWPIRCSSVS RDGKYIAIAGKRGLAHYNTISGRWKMFGNQQQEKSFLVRGGLAWYKHVLIAACEISSL HHGKTYEIRLYSRDSNLDNAYILHTEVLHQIPNYITICGNFLLVYTSDNVLSIYSIFI GSDVPPTMGNLNPAANLARIKLSRQIRLHGIITDASRVRGISLFNPSLGDQLNTLEDA ITANIILLVDGKNFILCPSMGDSEDVERDEIHNQYEIHMLSEKTEYYWIGRKSVANLL TSLWVVDGKGVKLFTNLLRGEDCGFSTFGKDIYESEPSTPTTPGLFTARGYSGRPFSL GYRIGPEPDSPSASVNMEGFSQWRTGDLKFADADAIYIPLDFYPHSVLLEKGVIVGIE QNMVYKDLLGFMVYKITTKTHLFIHHILRHLLKRDLEEDAVVFARVYEKLVYFSHALE ILLHTVLEEESGQSLGDDAILPLVIKFLDQFPHALDVIVSCARKTEVALWDHLFSAVG KPKDLFEYFYQFCLEDGRLRTATSYLIILQTMQPLAVGGKDTIRLLQKAIDVDDYELC KELVRFLSSIDNTGKTLQEALHVIKAHMNPEHTSSPNSQNAQVDKVAQSIQDLST PHYBLDRAFT_154408 MAKKGCFWIDILDPTDEEMKALGKIFNIHPLTIEDIAMDEPREK CEVFKNYCFITFRAFDPNPSAITPLKPLGMHILVFKECVLTFHLRPMNHPQNVRKRIK LLKDYIHVTPDWICYGILDDITDSFAPLIYAVEFEVDSIDELVLILKESEQSDMLRRI GYCRKKMMGLLRLLVTKADVLKTMIKRGEAWMAVGTEKSNGDSDVAIYLGDVQDHLIT MLQSLNHYEKISSRSHSNYLAQISIEMTQTNNEINDVLSKLTALGSIIIPMNLVTGLW GMNVQVPGQAQEDLWWFSLIFGSILSFCVFAIILMRCYRII PHYBLDRAFT_18460 MASDDEDLDCPLCMEEFDIADCKFRPCPCGYQICRFCWHHIKTN LNGRCPACRRAYTDQIVEFVPVSAEEILRSKKEKKEKERQQRDMRDPNRRQLSGMRVV QKNLVYVLGLGSKHASLENEFFKKYGKINKLVVSKRSVPSAQQSSSQVSVGIYVTYAR KEDAAKAIAGLNGAVCDGKVIRASYGTTKYCTYYLRNMPCPNPNCMYLHEPGDDVDSY SKENPAIGCVQANIILMRGLI PHYBLDRAFT_130757 MEFILALLSLAGTWVSVLTAILLLYIRSLHIVYFVVGSVLTAFA AKILKNAIKQPRPSHSSNVRSKISYGMPSSHSQVIAFFAVYIHLAFSANAIEYSLVRS ILVIFVQLTAFLVAWSRVELGHHSLPQVLVGSVLGATIALLWYILWQEIGSKYEEKFK GDIFVIGFEKAVSLVYPLSY PHYBLDRAFT_88621 QSHAKQLAERNAMGEFEDAWDDEIEEESENEEGMEEEEEAGEGK KKRKKNSNNKLKLYLPGQPLEEGEVLEADQSVYVMLHNLDVRLPFLSFDVLEDKLGDE RKNFPATAFVAAGTSVPGVRDNEILVMKMSNLHKTQQHDSDDEEDPDALDEDPVLEYK SIPHVGCVNRLRVMPQQKDNHIAATWSETGKVHIWDLSPYMNNLSVPTTPLPKTAKPL YTVHNHGREEGYALDWSPLDTGRLLSGDNNGLIYHTTVTASGCNTDSVAFKEHKSSVE DLQWSPSERNVFASCSSDQTVKIWDTRNKKRSAVSIRASGSDVNVITWNKKASYLLAS GHDDGVFSVWDLRMFKGGPGAGPSPVATFKWHTAPITSIEWHPTEESVLAVSGDDDQL TLWDLSVEPDTEEEGRLTSNGVEIPPQLLFVHQGQSQIKELHWHRQIPGCVLSTSNSG FNIFKTIS PHYBLDRAFT_140614 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_122002 MDIATLFSHTLSPNATLREEATHKLELFAQENYENYALLLAQLL ANDQADDAIRQAAGLAFKNTLTAKEYARKQECAHRWLAMAENVRANIKQLALVSLTAP RQTVGKISGQVVAAIAEIELPKYQWPDLIQILLDNVTVANNTNLKQSTLQAIGYICEA TDPDVLANQSNQILTAIMQGARKEETDQEVRLAAMRAMYNSLEFIKANFERDSERNFI MEVMCGATQSESSQVQAVAFECLAKIMQLYYDYMRVYMESALFALTIQGMRNPDEKVQ LQAIEFWSTVCDEELAMKEEAYEAQDAGEEPERTVHMFAERALGDILPVLLWLLTKQE EDEDEDEWTVSMAASTCLSLLAQCVGNIIIGPVVPFVESNIQDADWRNREAAVMAFGS ILEGPDNEMLKPLVNQALPALISMMRDPVVNVKDTVAWTLGRVSELLIDCIKPKEYFH ELITALVLGLQDNPRIVSNCCWALMSLAEQLGPSLGDEVPTSPLSMYYEGITTALLQF TDRCDNEANCRTSAYEAIATLVMHSANDCITVVQSIALTILDRLDASVSLESQIVGSD ERADHSELVSSLLGVLTNCIRRLSRDISFVSDRIMAVIMRLLSNPKQSTATEDAFLAV GALTSALENDFDRYVEPFTPALCAALQNPAEYQLCFIAVGIIGDICRALGPGWAPYCN IFMNLLVNNLQSPVLHRSVKPCILSCFGDIALAIGDKFEPFLEVVMMVLQQAGGMRAD ADNYDMIDYVNTLQEGNVEAYVGIVQGMNSSGKASVLLPYVPSIFQFMSSLAMDTNRS DSLTRSLLGLMGDLAETFGTHLKQFYSAEWVGHILREARVSRHYGQSTKETARWAKEM IKRACQ PHYBLDRAFT_157579 MSVYYFAIVGATDNPIYEADITPSSRSSTLIDISRRDDHKHLNQ FITHAALDVVEEIQWTSQAMYLKSIDRFNEFFVSSFVTAGNVKLMLLHDQKSEDSIKN FFNEIYELYIKILMNPFYEKNFPITSQQFDVRVKAVARRFL PHYBLDRAFT_107422 KDRAIYFANIAACHLKLGKPKDARDMCTRAIELDPEYTKALLRR AQANEKIGSYTALSEALEDYKTLVKTPNLDAYTRKECTRAERTLPDIIKVQMEKEKDE MMGKLKDLGNTLLGKFGLSTNNFQFQQDPNSGGYSMNFVNGDNNQPSKSS PHYBLDRAFT_163651 MSFKRIEHTDIPNSELVKCIDLTSAALGSSIVAVSDEFFGKAEN LISPASPIHKPDHFVDTGYWMDGWESKRHCRTHDWVIIKLGFPGSLSGFDIDTSYFIG NQASAASVEATFALDGEDVESKNTKWTTVLPKVDLPPNSHNVFVLSKSAPVCTHIRLN NIPDGGIARFRAYGNVNPILPKDPSDVIDLAFVGNGAKITQVSNQFYTPASNLLLPGR GVHMGDGWQTNRSRQPGNSDYVIIRLATKGHILKIELDTSHFKGNFPDKVTLQGTNSA EDIPPLGSKWTVLADNVETGPHGLFYFDSLVPKTAFTHVKLIIIPDGGLKRVRLWGVP EGGKIPTLPIKIPSLLNKPMMIAQPLTREDFAPYGDVIQASSGIAVTSANQGTAEKYH QVGQVINEFAKGRGRSHLSVFHCRPITEIPFTVKLLERHPYSSQAFIPMTDGRVRGYL VVVALNGEDDKPDMKTLKAFIASSTQGINYREGIWHHPMIALENETDFACLVHESGIP KDDCNEVDVEEVVVDIPGFHA PHYBLDRAFT_77121 MDTFVATPSFNKKDLEGSTLVLPTVSIGNVPQLTCDLIIHTLHL DRVGFLDDDSVLPVAGAREETGGIGVSVPIEVFQSSDHKWTVVQQRAPTEKGKKQQFL ENIREFVKVHKFSQVVLLTSIDASRRIDAQINSVPFRVLGTGKSQLSEHAISLGVPQL EQVDESEEKEKESVIPSLPGSGLARHLFRKFKESGIPATILSMFALEGDNVSDSIAFV NFVNTILELKSNKDASGSWTPPKSWEYLFGTPYNAELYQ PHYBLDRAFT_62687 MKLRIWYESISSFSIYYNTPPEFSPEFSTFFDTLLQSFSSGEIA LVAIAMLFFPSPAIASLFKKFKLDLLKLLQFGSQDYKTIVPKLRVALTKYDHVQDMFI NTFFSFMSGTWSVYVEHLSYEKSVLLPLRFKIDFDDNTTFLSTWFNIRAYCTHCQSVA ITLINALVVLEKHVYATDSIRSVTFTHYNIALERSTTLVNGIAMFLSPIILFALSPAR ILGTWRTTLKKVV PHYBLDRAFT_140620 MLLEPSGIAYLFFTIFSVSRYSFFASHSPAYCCVVLIISIVVPL LTLVKSPSRMAKLHLQSFHYVFASPNLAPMSPFLVCNLYSSDFGFIVALDPLLLSLSP TTKQERNCGAPTLA PHYBLDRAFT_140621 MSRRESNDSFTESHSTSSHKSYNIEEWKQIDTWLRHLYPHRIPL FDRTPETFNALLKLQKQNESADNIVHVLLNAQREIQKDNRIRFQNERQILNDLELFIN KTSLSRSYSALTTLSSLATILGVDKCHLSSFQTAFANLTIESMESEIEEQLLEESEYA LRERIAKLKTKKEHLQNTLEFMREAKQETEDELKETWKSTNMEKKKEIKQQLDLLKQK QKEYDDTKVEQHGLRLNAMKRLVHDTSVIRSELADRQEYLERYKDLPPDMVMASFKTQ EAEERLYELRQERERLLADIADSVQ PHYBLDRAFT_107642 VTGSRDKTIKFWDMQGRCLQTLEGGHQASVLCLQYDDQIMVSGS SDHSVVVWNMHTLQITNRMYGHASGVLDVSFDAQYIASCSKDATIRLWKRADRSLCRT LTGHRGPVNAIQFKGTRLVSASGDSVIKLWDMETGQCLRDFVGHSRGLACIQFDGRRI VSGSNDYNIKVWDVETGECILTCEGHSGLVRALHFDKHKIVSGSYDQSIRVWDIETGA CLRKFESCHSSWVFDVMFDATKIISTSQDQKIVIMDFSKGIDTDFII PHYBLDRAFT_157581 MAQREELAEFTFEQKKDLSERINSLGGEELNTVVTIIQSSMPNL DEGQEEIVLDIDALDRRTLHRLHEFVTGKRLNPRKQTHKRGRTHYSQEDADRKIQELE KTLQKFDGPKQFQAASGHASSSESDSDSSSGSDSEDSGSSS PHYBLDRAFT_104245 FLAPVDIVALHIPDYPTIVKHPMDLSTIERKLNQGEYDTPDDFE ADVRLMFKNCYLYNPPAIPVHKMGKELE PHYBLDRAFT_130768 MSTKFDRMNSPSNEQTGSPKNTRSGSEEEMAVTKKNETTAVSMM ALPSPAAEVSMGELRAAKPSSTTTTNGNKQQKSSTSTSSSLLLSSSPSSSSFSPSFYP PTQMTRDQLKYSAAITRELKKHRDAAPFLHPVDYVKMNVPDYPKVVRHPMDLTTVDRK LSRGQYVDVDAFVADVRLVFNNCYKFNGPEAMISMLCQNVESAFEKSMRQMPPSKEVN KKKLYFIFY PHYBLDRAFT_140624 MRIERHFSQNPLEQQTNCGIVFMQTSTVAIKQDVENFQSWRSMA AVPTNESREDSDRANPVSNSLRKAFFGRNKSSKRTK PHYBLDRAFT_163657 MSFGYQPSPFRPRKVSVTPFNVKRKQVNPDVLVPSSNNNRINQK SSLALFPKEKSTPDSTALQAHIDDLQAQLKKVTQDQQSWRHKCLLLTNEREEFELACK EKAAREKDIMLLEVKLLKEVHLEKIQSLSCAMAQWQNRVASLRAQLKQHSIEEGKLER N PHYBLDRAFT_140627 MLSVSNLLNCEARQQQQQQQIQNQHQHQQPRRRPAVLDISNLLC SYDSPKSPSLSPSLTPSPASVTSSYTSYPFPLNNGRNTPPPTSVWNSHKPIQEPVIWN HHHHPHHHSHQKMLLDNSRASTPMSEEDEDDDDDDTDELDEHGNLPLKAKRRRANSKQ LEVLNRVFERTFFPSTQMRVELGRQLGMSPRTVQIWFQNRRQAIRTRERQRLLRIHKT SSSD PHYBLDRAFT_163662 MILVLIGMFFFGNYYIIDDEPEEQIENVAYQCVNTGTEIDSITN KKILKALSIDDAPIKEFPDLDDISIPSLWRHVTAEHAFSLKRDTERTLFLLHEIRSPL DKARYSDILPSLHCQSVTVQSFEGWVENAETSWNMCNDKELELK PHYBLDRAFT_179595 MTNLNIVIVGGGFAGVRMATALESTIEKTKEKYTIILVEKKSHF YHSIAGPRSTVDEIEERLLVPYTNLFKNKRNQVIQASAIRFEEHKLYLDKDVKNYGTS IDFAYLIIASGTDYAAPSKMPVVNYEDCLSYIRTARKQITNAESILIIGGGPVGVELV GEIRDKFSPKQKKITLVHSGGSVGGHIMPQKSKNKVLSLFKESQVNLILNDRVILPES APLSTAFIPDEPIKTEKGETINADLVLIAYGSKPQSGWVKSSFPDTVSKEGYIDVKPT LQINKAGLEHVLVLGDVADLKETKMAYRTEPHVAVAIQTIKSLFEGKKPTKEYKSGPN MMVVTYGKYHGTGMLPIFNITVGDRVTSLVKGKTLFIDKSWASLNLTPPSSNSNDGKD EDEDEFY PHYBLDRAFT_62675 MNDLVILSDNSSRLSDEAVAEFNTLFIVGKEFPSTVAVREAAKA YGIKHNIAFTTHSATANRIKMICKHAGEYRDTRKAEKEAVQASGKEDTPLPGKERVRR KKSRKCGCQCFVYAAVDRHGRLAVRSREAEHNHTIEEDRKAYAMHRKLTPEALSLVNK HLENNDNAPTIFRILQENGFTNIILRDIENIKQNFAKKDTIKELSTLISALHNLDFFV RLTVPEIETDTDTNIGIGTSANANASTGKWRASPHTVFLIHKNDIEEYDKHLLKAKIF TLIDNVHQPKTEGDIYEQIMAYNVFIQNYCESKGIIAKPFAEDGIYVYHPYIVALSTT RGRVDKKNWLSLYSYKFPYIVSDTYNRYESIHTSLQVDKTFNNPDDNNNDDASDIIVE YDNEDHSDVITAQEPESTRSFSESACIIVRKLTKLFELYVTDTTQQEQDNIQQSIDNL IQAIETSKHNKSSSSTNNLSKRHNRSPKRKLSEVENRLDEILKAPKLPKNTNNTKTGR KKANIEQDIVGKNETPFKNNRLLESPTLAEAPILVEAPTLVKSPTPLTILAKLDRLGI KSGQVNQVFSPQTDGNCGYRAIAMSVYEDETRWPDVKARMLSTFLNHKDTFYKGRIER GDESAFENPMVQCLKDTSSPLDSKHWFGITDHPQIAADAFNRSIAVYWDTKTSYGNSL FVPFQKSPDKIEPIIIFLDNDHFFLIQRKRRNSFKWPQINVYHKAIVQSFNIDDYSLM Y PHYBLDRAFT_90548 DDVTTIFVVGFPDDMMEREFQNMFTFSPGFEAASLKWHCKDQDD DSTGLSNGKKQMIGFARFRTRMEAMEAVEVLSGKKIDQDKGAALKAEMAKKNLHIKRE SASASASASASTSASTSTSTSTSANLPVTESAPPPPPPLNMLSKKMSIHHALAYESFS PLPSDLLSPADYKTDPFIFSSPSLRASISNPADQNPPCNTLYVGNLPANSNEDELRLS FAKCRGYKRMCFRNKPQGPMCFVEFEDVVFATQAMSELQGHMLTNSVKGGIRLSFSKN P PHYBLDRAFT_103204 VCGQPLPDKGRCSHYRKSKRWFRFPCCQKLYPCNTCHDLDQDHP YTYAQRHVCGMCSREQAIMPLCTGCNHAFEPDQHKGAFWEGGQGMRDKTKMSRKDTRK HK PHYBLDRAFT_102563 VNSTPSEGKPPICSNCDTTSTPLWRRSVQDELLCNACGLYLKLH NAPRPKHLKPHSIRKDSRGEEDLIQPVCSNCKTNTTPLWRRDIEGQSLCNACGLYLKL HHEKRPLSMKTDIIKKRQR PHYBLDRAFT_163668 MDTVTKEMDGLNLTNSTISAFDKGTNTQFIGKSASGALYYVGHA SLQWSIGQVPNGGYVVSIMLDSVLQRYEKLYQKHPISLNCFFLRKTIPGPILIEIEDI KMSGKGYCLSRAVLKQLNNFDDPMPTNIDEYDPAMFNDKIQGVFTMGNMLNEQGVTVL HKPLTPPSRENLEPFKYIFMGDMVNSKIDHSMLPKSDNDGVQTPGTPEHHHLISFSDN RSVDFKSIPYWCDMFITPAANLGTDVWGGSIWCATMQMEIQFKRIPTGKEVLCSFITP HIMNSRLDLDGGIWDEDNNLLAVTRHQCLALPFSRNTPNVSKL PHYBLDRAFT_62670 MPEIVNSNCIVIVIAIVTAGCLFLFACLYQIFGKQLVDWFLSKL TKQLPSNFRSINISLPQCITGSTGHLTAEGILNESEHLYRSRNTKFKSLTAFQRSDKI RWKGGEVIVFVSSNDRNMYSACDLN PHYBLDRAFT_62669 MQAFLATVRKKVRFRGKELFQGHTRLFICISLPLGQFTFTPAVQ RASSLEYASTLVSSLIVHDTSTRNLFRKFRIMTRLLLLLLSLLLLGRRFLVGDDILII LGHKLWPCSWHGIIISGP PHYBLDRAFT_140638 MKKRPCNSTSRGSPIAHRDKEMTPSMTRTELKFNLLFLYFISIG VILSLQINHAISFYRPKDRREDLGTRTCLPCR PHYBLDRAFT_176851 MAHEARLNTVVESLTRAPRNVQFPVKNGRLQSISEIFGENVFSL KEMSKMLPKPVFKKFTEQLKGGRSMDKPTADAIAHAVKVWSIERGATHFTHWFQPLND STAEKHDAFLTMKTSYANGFEETTAIDTFSGSQLLQSEPDASSFPSGGMRTTFEARGY TVWDTKSPMFIQDGPHGTSILYIPSVFISYNGEALDEKSVLLRSSEIMIKTTLEFLNL IEPADDEDHPRAKHVYTTLGTEQEFFFIDRALYTLRPDLKIAGRTLLGGLPPRHQQLE DHYFGKIPTRVLAAISECEFELARLGVPIKTRHNEVAPAQFEVAPIFEESMLSVDHNL LTMDVLHRVAHRHKLKVLFHEKPFRGVNGSGKHCNWSCATDQGDNLLDPSATPETNYR FLLFLVAILKGVLDHGDLLRAGIASASNDHRLGAHEAPPGIISVFLGSQLDEVLNAIE EGRPVTNYDDAHMKNVRVDGTVLDLKVAALPSIARDLTDRNRTSPFAFTGNKFEFRAV GSKQSPSFPVTLLNSAVASALQEVNAALKKQMGKKSVASDADKLVVIREFIKKTKAIR FEGDNYTDAWAAEAAKRGLPNIRKSVDAFGCILKKENADMLKRLGVFSHAELESRVHI LTEKYAKDVTIEAQTLLTMVTQQVLPAAYQYRRELAESASAMKNIGVESTPEIELLNE LTPLVRNLQLRTNDLKNVMDEMLKVEELLPLAHGAQKKVIPCMDAVRSLADQLECLVS DKLWPLPKYTELFLNI PHYBLDRAFT_140640 MMQDEYSLPSMYSNTPAGITRKSPGEDSEDSLEDPNDLLADSSS PLRGTYRDHHNGDNQLAWLMLWTALSMLLMTVIPVLADIPDINPWFNGNTLWRLFDPV ITLPLNLFVITRADVMVTGGRSRNCGFLSEQSIGWLLWAIGAGIYVQGHGMHTAAALF KHPIEDFNLAHPELVTQYPILHEMYLNMEDLWEHKIAHYMYAFGGMWMSWAQIYVFRN QVHGPLPKFPKIVWALGSFIYGLLLAGVAIEFPAGLIVGLVYTTVIGSICVATILLNK RSLPHGGLLTMGRRMVPQYYLGSCVVGLIIIIGWLGKYGFKNRKESGVAT PHYBLDRAFT_163673 MELSTLSNKSGVYVRNTAQLALWCCKIEEIILYDTRIEMQRRCS YKVPGCPEFMDQLVAFGFDYKRVNLCIFSEKSQEDSKTSTNTIPECFLDSAGEIHPFI TRFA PHYBLDRAFT_140641 MSNILKDKNYSTSSYSMKNTSERRQSEALLEPDRDDNSVTIQDM PIDTPEPETDPTSLYTDPLSDPKVKRAFILRQFLSLAIVLVINVGLPLLIYYVLKMYI SILVALILSGIPPLLHVLITFYRKRRVDVLGCIFVISFILSAVLTLINGNVRYALLRD SVTTAIVGLMFLITLIPLKTRILTIRPLTFLITLQMTTEMPPMTWTDRQGEVHSQSYM EWCWDQFRWVRRNAYITTSLWGFFLMGEFVARVLMVQSTLSVDDIVLYGNIILICVIV IMTVFSVLRAMQFSKMFKPYAIEWHKNNNFPVTTTV PHYBLDRAFT_140642 MADFPEGYFYIQSRNSGKVVDVDGASIKNDGKILIWSPKHDNDR DNQLWYYQEGFIINKHSGKCLDVRGGPIVDHALICQYDRKLVADAQNQQWGYRDGYIY VLSEPHMVLDVKGNYQTDGTRLVLSHKKFALDNANVNQLWDLVPAGNVRAEREVLFEA DFD PHYBLDRAFT_179598 MNVHKTTVALSALSRASLPRSAIRQSITSFPRENLLLPVNVTVR HAHNGNCDVYRFHHVKTLTKLNQNLLGAISNKNEEAVWRVYTELSETKKLNSLTAEQH SMALRAFRLKNHVSYGSEEIENMKNRILFIVENMKSLNIGLDLRDYNHLLDFFGRAGD WQTCVHYWDEMMAEPKGLWGVSPDIHSYNFYMRGALQGKKPTEVFNVLALMRKDNMEP NVFTYSTLIEAHGLMGDIRSADAVYQKTFLDATAAASPESAPGFFSFLSFQGGKQELT NVAARAVLELLPISPESGALKPNTSVFVALINAHGRHGNVKGLSHIQHKMMPAAKVQP DIKVYNALIRWYCKHSDIESVKQVFSDMEKNNIKPTVTSFNYLFRHEALKEKQTQKAE KLLDLMKRVYNITPITSMYRTLMKIHHHHNRNEDAKRVYRDYSKANGIRTESEAESET ESGAEAESESPKLSTPTPAVTGN PHYBLDRAFT_104331 IQCFNCHTRTTPLWRRDKDGNTICNACGLYYKLHSVQRPIAMKR TTIKRRKR PHYBLDRAFT_18557 YSGYIDNLETDDHFFFWFFESRTLPKSDPTVLWLNGGPGCSSMM GLWMELGPCQVSPFGNETFVNPYSWNTLANVIFLDQPVNVGYSYGKSKIRSTKEAARD VYAFLQLFLSEFTEYAENPFHISGESYAGHYLPAIATEIIENNKDAGKHGRVPIKFDS MLIGNGWTNPRTQFKYYQEFGCTKNGEVGPIFDKKTCQGMVDTYPRCKSLMDTCYKHP SALTCIPATLYCEKTQAGPFDKTGLNPYDIRMDCEGDSGLCYNLIESIEIWANEDKVR AELGVDPKAGNYTGCSDSVGFRFGKTGDNAFDFSSDVAQTLIAGVRVLLYVGDKDWIC NWIGNKAWSLEMDWPGRTAYNEAVDHPWHSWLTGEKAGEVRSANNLTFLRIYDAGHMV PYDQPANALDFFGRWINHIPLSFWTD PHYBLDRAFT_18303 MNNHFFEPPALRKSTSAFYNGHKIINDPIHGHIMLDDYTIDFID TVQFQRLRDLKQLGSAYFVFPGASHNRFEHSIGVSHLSGTLIERIAKDQPELHITENE IKCVKLAGLCHDLGHGPFSHVFDNEFMPRARPGIKWSHEQASEMMLEYLIDDNGIDIE PESIKLIKGLIAGEPNQYEDRRFLFDIVANKKNSVDVDKFDYIERDTQNLGLRSSYDA KRLLVYSRVVDNQICYHHKEVYNLYEMFHTRYSLFKRIYTHRVGKAVELMISDALVSA DAQLGISNAVDNPEEYLHLTDDIIRGIERSKEPSLEESRQIIKRLRTRNLYKFVDEFL LPADMEAKIKQEAISPAEIISYQSDNDGLVENDVIVDWLKINYAMKDRNPVDSIRFFS KFDDNVSFTIPKQHVSYMIPGQFQEVIIRVFARDPAKSKAIQKAFRSLIKVIAPTDPN SHIEPSHALTVPTDYDTILSAKRRRSTSGLLGQEVVKKWTGP PHYBLDRAFT_107504 IWVGNLAFSTTSEDIQKYFADCGEITRRIIKIRNRLSDSNYFSF AYVFFTTPEAVAKGVEKSEAKLDGRNLLIKDAKNFERKDGTTPTAKEIKKQKNPPCPT LFLGNLSFETTAEMVKAQFEWCGDIRKVRLGQFQDTGKCKGFGYIDFMNVDYATKAIR APDKHNVDGRKVRVEFASEDAYLRGHPWLMREKKKEASGEKPAAEGAATDGKTGKTYP PREEEEWRKRRPARGDRVDKGEKGEKSEKGEYTKEYKPRDNKDKKPLRVKSGQALSEA QRQKPTVQEFKGTKIVF PHYBLDRAFT_179600 MPPKPEIRRLFKQQQSERTKTKRVVHPFAKYDSQGKLSCAVCNA TVKTEAVWSVHLTSTGHKENIAKLKAIRDRQTQVKQGRPATEELKRPATDDGETKPEV KRVRFEEESESESESEEEVSDESDAEMEESSSNGLPSDFFDTAPETEEVVEPEPVESS SHSALPAGFFDDAEEDARARKAPRPGAKLEADLEKEYEIFKEAMVEPTIESEKIRDED EEAFWLDRDEELLRQQVDFDTRVDELKKLRQQRIAPSSRESKKDSGLRVEFDDTEQEI RTGLKKGVRELLKKAPIKNARTVFDDMDEDEDDEDEDEEEWEWRAQQL PHYBLDRAFT_122014 MSKEVDYVQYSIYLPPKQDKQSNLKMLRETCALIHSQLQNYLDG YLWQKDRFNLCIAYDEKNDPSYPFLHGVARFGDCINDEWFIVFLLRQISVQLPEAVIT IADNDGDVLLIEAAMELPSWLDPTNSQNRVYVHKGKVHIIPLPTTPAEIMQIPSVGKL GRTQAITIVRQSLVPTEASFSVQAIIQERIKGYPRAAKEEIHRARCILPKQAAFVLLK SPELLPLAIEAFYLREPISLKACAKMNQFSPIHNNVDSIVKFTKTTYAQTVSQKFYAP KPFHLPPITKKKEFNAAELGMKVACGLEMLYADERTNQTEEQEKYRFDLDPAWKEFVS NLGRLGYFRGEREGSHIYRQLEEQAKEQFLQSKKNNVIAMEDLDIEDNETFSGGSVLG QISPRALIDKILKEYSEDALVELLKTEETEDSDEWMNVDPKQLEDLLAQKMGKMKEKM MGDIEQDLEEDNEDGEVPVDLEKIMAQFESFVEGSRSGVDGVEFPGGNDGSDEESDDS DDEGADRAISFDTDRFMNILKGVLELPEELKKDPVDVDGNMEDTEDMDELMREMDKEI SGHEKINASFEKSKQDIEEDEDSPVDIQLNLVKNVLESFKSQQGLPGPAGNILRQFGV VLPADNEEDS PHYBLDRAFT_130779 MWIVHLAINLSFVANIMLFAAKLFVAFYSGSMAILASAFESFLD IVSNAIIFFTVRIIRHKDFYTYPVGKSRMEPLGIVVFAVIITTSFSQVLISSVERLAD PEKVGEELDLSLSALLLLGANIGVKAILWGWCATIKGSSSVEALAYDHENDVVFSIAS TIFPLVGKCIWANMPWLDPLGAIILSLYIIYEWMSILLDNIKKLTGQAATTDDIKQLT YMAYRFSHKIVEVDTVRAYYIGDRLLVEVDIVLPPNCPLQEAHDVGEALQDALEMMDN VERAYVHLDYSSQHAIEHRRALETGYGE PHYBLDRAFT_107209 MDLAASEDAAKGGVIMSHLGNATIKAELGRSTWKLLHTMVARFP ESPTSDERAALKQFILLLSRLYPCGECAEHFQKLLAKYPPQTSSRVAASQWACAIHNH VNQRLGKEIFNCADIEAKYQCGCDAENTETTL PHYBLDRAFT_163685 MTSYPYFEDFRHKRVHTPTDWESADHKQKRCVTDSVINDMAAMS LDSTTAGKFSLSYPSLFNTGIDEETKSIISQISIQPVPGGIVQQVNNVVSIPDMDEYL SQQDLSDDENDINIDLDNKALVEANVNGSLLLMEMRPGEKKLRIPEFVLNPPTELGEG QSSLPYKYYLETLEKPVFHSIQEKPSSDQEIREEPTNSYMDID PHYBLDRAFT_107741 GSSKNTLLTVSGIHKRSYVVRGSKIGVYQSSEYGNISFNTYIKS IRASSGYEFTPSYTILHKNETSLLMLTPHDSSRVFRMDLECGKIVESWKLDPQDTVMS IAPSFKNSQMSSEQSIVGITPTTVFKIDPRQQGVSKIKESEYKKHLKQTEFSAVTTTD TGKVTVASKKGEIRLFDALGQTAKSILPPMRDPIYHIDVTAHGRYIVATCSTYLIVVD TLHRNDSRKRLGFEISFGLDDKPIPWILRLKPEHVVRMKQSIKFTAARFDTGPGNEKW IVTSTGLFSVVWNLKAICSGQLYAYTLQKFDSPVVDTCFDHGRCQDIVLAYEDDVRVL PRHKLAPATSRLFTSEGIRARHYKNPGYDV PHYBLDRAFT_107125 MTIQLPTPGNTTQRVKAGFIVLVRNEELYGMLDSMYDVETRFNS KFSYPWIFLNNEPFTEEFIQLTTKITSGKTHYGLVDESMWSYPSWIDQEKAARNRESM KSIPYGTSESYRHMCRFQSGFFWRHPLVLQLGWEFYWRIEPDVRYYCDLDYDPFLYMK TNNKQYAFTIAFVEHSGTIPTLWSTIREFVRISTAKGKNYFPNLATESLYRFVTEEDG ESYNSCHFWTNFEIARLDLWQTEAYQNMFDFLDKSGGFFYERWGDAPVHSIFASLFLK KDEIHFFNDIGYKHSIYEHCPEQESLLKRCTCNPARTLDYTDNMSCLNTYMDAQGFST PKNRKTVNEILL PHYBLDRAFT_62652 MTRSALSRILQDKFSARPYRYSLSRQGMRVAILACITLVFGIWC YKSWWSSSLNPYSLDNRPKEFVESACTGQVITSNNSPQPHLQPQLSNGESIGTQAPTP DSLPQILLEHEYINFLLPPITPDQTIPLSILDKLPVRGVLYMVVRNEQLQEIRLSMRS VEDRFNYKFNYPWVILSTQHFPLDVRGYITKITSAPVYFGKIDLEAWNYPPWISIYGS ELVMAKMERENVYRGGSLYYHQYLRYQAGLWFYHPLFRQVEYGWRIEPGSEYSCDINE DLFQTMRDKNKKLGFTLTMKEAPNTIPNLWKAIGYFSHFYQNLVVPFNQSIMPWLVYP TTGEYNFCHIWNTFEIVDLSFLRSNEYKMFFEYMDRTGGFFYERWGDAAFRTMAAALF LKREELHFFNKVGYSNLVAERCPFNQDGLTYFNEDSCTAGLLHLIDKPAIGEMADFAR DRMKVEGFIG PHYBLDRAFT_107113 MVDNLELDPISKEKTENKEEQVELNLPGPTEEEPKAPVLLSQEE QEYVRLTNTSRPRVKAAYVVLVRNSELHALRSSMRYLEDRFNRKYNYPWIFLNEEPFT EEFVKLTQQMTNSETHYGLVPEKHWSYPDWINQTLARECRETMAHNGIVYGGSESYRH MCRFQSGFFFLHPLLDGLDYYWRVEPGVKFSCDIDYDPFQLMVERDLKYGFTIALEEY RSTVPTLWETTLEFIKTYPQYMYPRNKADSLFRMVTDDNGQSYNLCHFWSNFEIASVK FLRSEGYQAYFRHLDRAGGFFYERWGDAPVHSIAVALMLSQKDVHWFYDIGYKHDTFE HCPTEPAWLVHGKCYCNPLTSFVRDSGSCTPKYLEITNSSTDQYIVTRPDKILK PHYBLDRAFT_185260 MSTEAPTQFSYRAQKELTIWSGYPEYQPLKTFEKPETATRAFQY SKYGHAYAYATNESVKVYDAGSLEQWCEIKRPNVIDLWLSPQGSFVATWERPTKLEDG SGSRNLIVWDAKTGEEIASFSQKAQNNWNFQWTDDEKYCARMVTGEVQFWESRNAGKA VWARLKLEGIAQFSLSPGKSPAVAVFVPERKGAPAIVRLFSVPSFNQPLSNKTFFKAD RIQTFWNDLGTSLLVLTQTDVDKTGKSYYGETNLYYLAVAGTFDCRVPLDKEGPIHDV TWGPDSKEFVVVYGSMPAKSTLFDHRANPVHSFGIDPRNFVKFNPQGRTICIAGFGNL NGTIDLWDRKSLTKVKSFQAPNASHCEWSPCGRYLLTATLTPRLRVDNGFKMWHHTGT LIYEESVNELYQVGFRPEPASKYPMRSISPAPKPIKVLSTLNADVKPIAKPLGAYRPP HMRSGGAPTSLAQREADMAAGRSTSGPRKIPGAPVGAKFASKNKKDGKKEAAPPAAAK PTPVNAEETQKKIRNLEKKLRQIRELKDKQTKGDTIEPAQLQKIGTEPSVMRELAGLR ALL PHYBLDRAFT_185261 MQDTAIQRGETTFGSHHNPSHASETTRQGFQSLSEPSTPLPLGP HTKRHRMASGPPDYKLSSVDESLDITNNENGIGPPFGIATEYESLVSVENHREIRPRL WARMDRGFFLQDLEWTCYRRNYFQVSASFDFENEMPDVECVVKSTGEQILSFSVNLWA HVTEGGRHIELVQHTPKRDKGPQRTPQPCTIRAGGNLDTGGTTLGAPHTIQPDLALFE RIQFKTATANNGKRRAAQQYFRLNVDLLANTATGSIRVMSIASAPLVVRGRSPGHYAE LQDKSIQPTQRHRHHHRYMSLPNRPSEPYPSFVSFIPPSQHLTPPHSRVDSSSSSPFS TLQSQAFNPFLPAYDPQRQPLNNSRLPLPPPPASSTPSHRDDMNYRQNTTPYYPIQHR QEYISHNYYYQGQPPNIKESTEEDLKSIKPTAYSS PHYBLDRAFT_90328 EREHRTQREMAIMQLLRHPNICQLKEWMTEGDRYYMFLEYVDGG QLLDYIISHGKLREKQARKFSRQIVSALDYCHRNSIVHRDLKIENILITRDENIKIID FGLSNIYSPLRQLNTFCGSLYFAAPELLQAHKYIGPEVDVWSLGIVLYVLVCGRVPFD DTSLPALHAKIKAGVVVYPDHLSKDCIHLLSNILVVDPLQRKDLSFVRNHPWLNKGHD APVDNHLPHRLPLTTPD PHYBLDRAFT_140660 MTPVSNDQVNRPKPFSPFDFLFPGHRRQALYHSLWSVVPFKTII LGHFSYESADMVATRAVMLNKPCPSKFKQSDVIHDKKYRMFTILLNEAGLLAALCYLP TQYPTVVEFYHEIPVAYRHQAIGDLLVGTALGWAVDTGYQVIPTCEFVRLHLENKYIR THKTLPACIKTVSQSANQQLINPASDQARLK PHYBLDRAFT_163693 MSFSFLHQLIFPEFDSTNCLLDTQSIEVKIFKRWWIIYAIDVEW IYFMRRIHLKQVAISTRDVKDDVKTLAKLKKKTFMATPKLKAFGVDRLKVQKKGQEKE KFKVVLIIPSLSLSENVLLRVFLYLSLYGTSL PHYBLDRAFT_157590 MKIELRPDAMGICVYTEGDVLEGHTIEIILMPSQDVPKGESRYS CNGQCIRTINTNTNTNTNINPNTNTKAKSKSKSKSNNNTLSRPPLTLEIPPLPFPRKA RKSLVQPPVELLPVPPWNTPSEKPLAVEQSKLEEPVRRNSYVIILGDDLSFTGPQLSV IVLLMAVCYYMGKFSCRC PHYBLDRAFT_122026 MSAITEFSKREFPKTIVLFDVDGTLTPARNHVSQEMLDTLKALR KKAVIGFVGGSDISKQYEQLGDNILNDFDYCFAENGLTAYRLGKQLASQSFIEWIGDE EYNKLVNFILRYIADMDIPKKRGTFVEFRNGMINVSPIGRNCNRDERNEFEKYDLERG LRKKFVEELKKNFSHLALTFSIGGQISFDIFPTGWDKTYCLRHIKQEGFDTIHFFGDK TFAGGNDYEIYSHPDVTGHAVKSPTDTIRTLKELFPGL PHYBLDRAFT_140663 MTQSDAASLHSQTSTKSSRSFLSFLSRESQSNKGAWSTLRQWSP HKPAAYSKLKRLFVSSGNTEDSPTLNSRPVSLDSASTSRTYPTPGIPSILWNRGDESE EAIAELNNRRHQRRLYLIRAQKCRPSRFHTHNNDSDIDDIEEQPRVTFDNISQHHSNS SSSSSTLTNPDVMVENNELKDMDHKVTFVIPSPVPRFRNVPPLPPSSPPYMTVDTIPI PPEMAKVERRKSLVRRIDTTQAEPTIYTPAIPQHVEPSVEEGEEASSPPSNDSLNNSP LLFPLPCFRGQSITLGLNNTHPDHYILIFKFLTGNNSTRPSVRHSIATIPMVLKRSSE APSPRDIQAERYFIKPSAGMIRTGARFPITLFLNNPPGISQGDVLKDKILVRWAMIQK NTKVAAWALELPEESRRKWLEMLIQQWPDQIVVRETKIYIQFK PHYBLDRAFT_185264 MTTFIKDRLKSRRLRKPEKEKPIPNTSTVRRGRGRPRKQSHPII KNEKIDPERELLEKGIHPVYQQLLKDIHRDKLERQARVTKTRDLEYEAARKWFEAREK QAWDEFYTGQVRARLEMTHSLQASIAKLEQEMLELSRRKDRDNRRSSTPTLAYSDLES NSIYTSPIALPESVMHTPYYKGLLDPSTKTM PHYBLDRAFT_163698 MENANAFKKYNSSIDSLDKKSVYLNNSKIVNNEARNIHEIFSLC FPPLLNHYYKEKQLAVVYHDLQIPSEECLNKYNDELKIKETIDVRFDTYISNAYAMYL YVFSNETCISILSSCFDTSAIHGSVLQSISINIDASLKILIGHAILEFCFKYLFNRAE NIFNDFVCAYRNLWVGVSKVDALDYSSINFYLYQTTKVRMIEIRISQLENKTMSLHYD LYLEVNNEAVISLFVFFFECFIGILDFVPAHQNAGIISIIWNRCTATKECLKALLTLD KAAESEVGELIDREIKNICDFCEEIIKRKAKNNKGSTDRLKTVLTTLSTFVWTNIALK WDLYTIYLYMPYKYTYFQRIVYRTLTPKSKIYISYVESNIYVNNTTPCLKVSDCNKFL VRYLISFSRSSIKIRNIFSKNNRIIGHKDKYFTELFADIWI PHYBLDRAFT_62641 MKLYLILTLFFITAISINAAPDTSSDEPLENCIEITYPVNESTL EVGTSYTVTWNVIGECTFPKSLVLGYDYSDDPEYFAEVEYTLAENIDIAAGSVDIFIS ENVPRNRHIIAVQSTTPEFDDIDSYNVVYFV PHYBLDRAFT_179609 MNTKRRQSSAHSEELFEFVDYTSVSHFERLVTAIEETIYSWGIK DGSDGIFSDECITKEFIQHELLSVGDETYKITYNYYPISRQKSQRPLVMDDLYLFTDN VPKTFHPLHRWTGFSRFLVFAPLSDSLKSKLFSSTKTVVDLQQAKSFISACAIAAQNT GCRLPVFIQVGQLRHHLYIGYMLGSDKTEIRFNTIVASAVSSRYTHLDGLRNLFRQKL EMRREDQGFHRTADLGPVDAMAVFSYNVKNWFDEDWKDSEEDLLEPIIHDKRTLERPI VHGILPCLPSLPFGPFNDPLRTLTLNAIFPLTDETAYSDSALHSEMDALTAKHWRISH EFGPSSQQRSFLSSLLTQAVYSWVKDPTNREYLAPYDNNNKGDDAETYGQDNGLVRNL LNAMGQTRPIAQGSNQITVVKSDQLEQVLSSLFQANQEHQKTAHFTQEHIRGTSLFSA HALVLRIKYGAAVPYRSFLWNFLVYSLHTLSDTSKPHAIPSYMGFLRILWIEILRQIR WHWEHLVPIPNVSPYLYQPSYANEGPMHESSETVKPDITKTLGIDLRFNRLHQKLAMI NCCIYRRLQEATKRRDATPKRKVKKTTGSQKPNRRSPSLSEKTEQDLVISDSEIFFDS IEDMEEIEDLEEIEDMEDIDEIASYQYPTKGSSTVENKPIRPSRSNRSSVSDVSDTAN PHSMSESFVRLNYSPNTDSDNYTAGLHFPHHNPSDGGYMNDVENEIQDEHSFEGRDFQ HPSLRLIKTYEPMWIPHTQNPGFMTEDMIEQQTDVFENLGTSEDATHIRAKLQSAQLY SDMQSFKAANPHSTLEDFVRWHSPKDWIKEDIPGKDDEQSEIRGQMSARMGGAGNIWQ ELWKCSRRIPWDRQKPLFNTLAEGEKALHYLESMPIHETFSLLLPTVGLIAYDTLVSH PVTSHSQPVIEGLSKFGKELVNFPWEDVRNGKCTMDSLISMVKQQETLLANAISLLRK LPRQYSLVDRLLVSSQTYVKEGEEREVVFKFFRSEQGTIAEPYSREYVLYSDGSALAM EGRTLPERQYTIIKENEVRIIEMHTTDTICS PHYBLDRAFT_107673 MLRFALCVAGIYACFLTWGVIQERVSTTPYGSEENPKKFRFFIV LNTIQSLIAALVAFIYIKVTGRHLNISSTPTSLYLKYAQVALFNCIGSPFGYAALRHI DYPTMILGKSCKLVPVMFMNVLVYRRRFPLHKYICVFLITVGVSMFMLYHRTEGGSKA ASTNSMWGLFLLCTNLAIDGLTNATQDQIFHKYPSHGSGQHMMFFMNVFGSIFSATYL LIHPYNNELWQAIEFFSEYPAVIRDVLLFGLCGAVGQCFIFYTLYKYGSLRLVTVTVT RKLFTMLISVFWFNHVLSFGQWAGVTLVFSGKIKDLKQKKTNYNSNSTFYFHFLQLLD WKPISRSKKMPRQRSNTILYQYWSAQEQ PHYBLDRAFT_107857 MDTPTPEYSPGDIGWILTSTALVWLMIPGVGYFYSGMARSKNAL SLIVCCVLSLVVVTCQWFIWGYSLTFSKTGSIFLGNLDNAFLRGVLGEPSIGSSKLPD LVFCIYQCMFAALTPALAIGSAAERGRLLPMVLFMFIWSTVVYDPIAYWTWNPNGWSA KLGGLDFAGGTPVHISSGFASLAYALVLGKRQGHGHSNDFKPHNMSNVVLGTALLWFG WFGFNGGSALSGNLRAAMACVVTNLAAAVGAITWMCIDYRIERKFSALGFCSGAVAGL VAITPASGFVGPGPAVAIGFLGAVACNLAVHLKHWLNFDDALDVFAVHGVGGYIGSLL TGIFAEKYIAALDGATEIEGGWMNHNWIQFPHQLADATAGAAWSFFITYLILIIMDRI PGLSLRVDLEGEIRGLDAAEIGENAYYHVDKIVAVDPATGQQKIVAEHIRGVNDLNTP QGDMQEK PHYBLDRAFT_163703 MWRRDHYLHHGTITNNQRSSPLPSDRLLNPHQNNRNTTTSWQGY SNQSQSNTPVMTNNLLLRKKPFSPLSGCSSISEIYGGNEFDIFGSEQSQVDSINHDVS RCQDRLIICLEKKTPTQEQVRYQLLKRKRSVSSDPPVRSGGVFLEPTASINSPVNFFK PNPKQKDNLEHQFMYL PHYBLDRAFT_122028 MDSAMTKNKYSVLLPTYNERENLPIITWLLARTFETNGIDWEIV IIDDGSPDGTQEVARELQKVYGERILLKPRAGKLGLGTAYVHGLQFATGNYVIIMDAD FSHHPKFIPEMIQMQAKNNYDVVSGTRYRPGGGVYGWDLKRKLVSRGANYLATLLLRP RASDLTGSFRLYKREVLHQLINATVAKGYVFQMEMIVRARQFNYSVGEVPITFVDRVY GESKMGMSEIVQYAQGVWRLFTTV PHYBLDRAFT_157595 MVETIDYPCDIGILASYFVLIGLLVWRIWPLVYNSLRQSTLAPF GFIALAILAFASTWTYMLAFFNHSYQTWNASNPTHIESTLNKVSHWLHDVSLFDDAWR TVNVGIWQWLWSHQLCTFTVAVWTPLLAIEGARRKIPYAWAFMLLGQVVAISVATALF FAVMCISPTKIPYQPSRKFSVVLLGSVLGGLVTVVLSPFVAETPQFMPTLLVMHALLI IPLVYKPDSDAKSAEGGDLLSIIVTIATYMLATGANLILYCQQWFEAIVSLPPKSTAM DIIHQLSATFFSHPAQSSISSDILCVNLICMFWMAVDSVKTKQSIPYQVLALIVLTPI LSASVTLPVFLACREYKDYVSHHNKSE PHYBLDRAFT_179612 MKHTIDTELSQLQISDTLRKNASFMKIIAALFYHGNQPMSAAQL VMAVRSMKLLALKGETPKSTVQGIISFSRKTARQLEEEDPFDIDKDESGRWVTYRIAS TVLNGTTLPDIKCIPQEPVTIQPSSDSSDPMEEDEKENGELELSGKRQRRTPVFYSPE TAVRTDRRRSRINKTRAKPIHRKAPVDKEPTVEKSPEVVDETILSWSLDSCPPEYTGC IEPIRKEYGIATQFAASQQTGYSYPRFRSQEKTKIRKSHCEDKFKVAEVILPINNTQV SVGRMFILADGHGGHGCAEFFVEKTPAAVRKLCAHYSPENFSSKSIHICFERDIKLMV NELDEEYLRLKRTQLQHNGQGDNDGCTLIINIFFGDWMINVNVGDSRTVLMEKPSVGK DVQSGSDCAMEVIFASQDHKPYLEYLAREIIEHGGEFVDAVQNRVIKVDFDTLKDKCN RTARRISLKHARIRPRDHPGIIQCDPFPEPKKIKNPFEKIRSREAKVPSLNVARSCGD LDFKMDDSSKIISCEPDVKFFRIARNGIREKKNFLFMSTDGTFDYMYEKSADRQNKAI ARTLSPLLTPTITNESLLYTTRLFANRESRHGFYDSTLQDYDDCTVILIEV PHYBLDRAFT_157596 MRWMLGGSQPTNQSPGQRRPIARRQHRVTPQMVEMVLAMFPDIP QPAIIADLQRTGAVETTVDNALRNGGLPMPVSHSSTPPSGSSSSSNSARKSPVHTNLI QRYNLDSKNTEKESLSEPPKVWEASADKRQEMLRKRKEFMVLQARKKLAEEQKRKEEE KKAELLPTENVAEPEKNQLKETSSPNYEDMSVDELNDLGPEQKRQHMLEALERRKLAT GENAL PHYBLDRAFT_62632 MRDSLGYPDFFMKNTDIKYIYEVYLCVPTDSYLRCNNRKAFHRW LISDRHRGTLKPVLLVHCYTKKTYFCCELIPTISHEFGCRSSFLQNGHWRLFLFQSFT LHSKKYKKANNAKYYSRSLFTSFALR PHYBLDRAFT_157597 MAAGGIAMTHLDHRYRQQDGAYYADDGLQEPYQHVNRVNEHDYQ TYQDSVPSSAPGVPSHDVRGMTQQPMYADPGVQHQQYDDYQYNGGNYGHDNYYQEQPY DQHHYGAADQEMYGGGNQGYQSSGGNPAYTSHPLPANPVGAGQYNDYPQQPPSGYSQP TAPKSNNQNPGQSPA PHYBLDRAFT_163710 MGLDGISGGLFIRNRVREFIVSIASNSSSKTCPKVLTNFYYAVK ILEHLKCLQESFNAAINQPDYPNFEVGIPLPFALKSFGKSSYPKQYLENILLFHIKKG KESLFFLSKNMANTE PHYBLDRAFT_62628 MDLENNISFTSISSSLTQHSHEEHLQSHQNTPNHITRRHQLKNH KKLSLSLNLPLENTRSTPPTRYLTDKSELDCAQNLLLNKPATDTNLRNLGSLDSSISN SARPSSSEAGTSFDWNGDISFEEQSHDPDPYREGPAQILPNLYLGASYNAAQDDQLCA NKIICIVNVASEIKTPTSQAFDIITQRSPETLQNSQTQLSESTPKTSNISEKASLVQY HHLRWTHAQSNLAYQEFDRAIRIFETYQNGTSGNVLVHCQCGIERSAALVIAYVLYLS YRPAKFNNPAKSDRDNFKNLADKRLSVSEAYEYVRQRAPYIRPNLKLMYQLSDFKESL SLGDIGSLPRPCLSSELYIKRSGSVKAHMSLANGSSAYRRPRSSSLREFRGQPKSFEI TKHKQIQMNTLSDTPKGPVLSQEQETPCVPENINQAQSKDDQCIGHSPVVMSPILFRR IFSIC PHYBLDRAFT_163713 MPRDTEEQEVEKEKISKSYIMVVHKELDLKRSEFGYTLIGQNLR FDIRNYSGNSEDLEEEEEKKQKRAVTEGVLVCIAFVFAFVSVFVLAFAFVFVYFCRRN STGSCENYWLR PHYBLDRAFT_99878 AVSSAQQLCNGYSELCAKPYDSLTYVLTHNSYAFSANPAANQLC GINDQLADGVRGLKLSAVKPVNSTSNDAADAIRLCHTSCSILDAGNAKDTLTSITAWV KENPNEVLTIMWNNLGDFGTSDFNALYEASGIIEYSHVQAYGNLTWPTLEELISSGKR VINFLDLGADQNILPWIMPQFNYVFETPYNNSNETSFSCVIDRPSDPEQPEEMMYVMN HFLYGSLVIEIPQKGSANVTNAASLLKQSKTCTTTFGRQPNFLEVDFYNKGTTLQIAA ELNNVTYTGGT PHYBLDRAFT_101025 GNSIGKGHFGTVHRALDLRTGQMVAVKRIKLNVTRKEDVSDVLQ EAHILQSLTHPNIVQYKGFIQTSEHINIVLEYVENGSLLSTLKAFNSFPEALVAGYCQ RILEGLNYLHEQDVVHCDLKAANILTTKAGDVKLSDFGVSLNLKLKDAQAGVVAGTPN WMAPEVIELKGATTKSDIWSLGCTIIELCTGKPPYSDVNPMTALFRIVEDECPPLPES ISEDLRSFLELCFQKSPMDRPTAGELLNHVWVRN PHYBLDRAFT_140680 MNSQIDHKLWFITSSFNRPFRSKPMDSSRIHPAFASSHCHRQPT TIPFDAATCSPVFFGYRTIPKPEPQDQSRSKL PHYBLDRAFT_29743 MSNMNIDSENTIDEGLYSRQLYVLGHEAMKKMSGAHVLIVGLKG LGVEIAKNVILAGVKSVTLHDDTPAQISDLSAQFYLRPEDVGKPRAQISQKRLSELNQ YVPVHVIEGALTEEILKKYKVVVVTESPLSQQLEIGEICHANNIHFISTEVRGLFGRI FNDFGEKFEVLDATGEEPLTGMIAAVTKDEEGIVTCLDETRHGLEDGDYVTFREVQGM NELNDITPRKVKVLGPYTYSIGNTSSFGDYKSGGIFTQVKLPVHVDFKSFKSSLSSPE FLISDFAKFDRPIQLHLGFQALYKFVDSIGRLPKPHNDADAAEVYKLTVALAESHEDK PEIDEKLIKELAYQAAGEISPMVAIFGGLAAQEVLKAVSGKFNPIHQYMYMDALEALP TSVARTEELCAPIGSRYDGQIAVFGREFQEKLANTKEFLVGAGAIGCEMLKNWAMMGL GTGENGGLTITDMDTIEKSNLNRQFLFRPSDVGSLKSGAAAAAVCKMNSDLEGKITIH QDRVGPETENIYDDDFFESLTGVTNALDNVEARKYMDRRCVYYRKPLLESGTLGTKGN TQVILPFLTESYSSSQDPPEKSIPICTLKNFPNAIEHTIQWARDLFEGYFKQPADNVN LYLTQPNFVETTIKQGGNQKEVIETVYNYLVADKPLSFADCVAWARLKFEEMYHNNIL QLLFNFPTDSVTSTGQPFWSGPKRAPVPIEFDPNNQIHMDFIINASNLHAYNYGLKGE TDQNYFRRELENVIVPEFKPKQGVKIQVVENENIAQDDSDSLDDVINSLPVPSTVAGF RLTPAEFEKDDDTNFHIDFITAASNLRAINYGIAPSDRHKTKFIAGKIIPAIATTTAL VTGLVCIELYKIIDGKDDLEQYKNGFVNLALPFFGFSEPIKMPTMEYNGKEFSLWDRF DITGDITLQDFIDYFQKEHNLEVTMVSSGVSMLYSFFMQKKKAEERLGMKLSKLVETV SKKPIPSHVKSLIFEICANDPEGEDVEVPYVRVKIRP PHYBLDRAFT_140682 MLVSNPASERAGINARTAQGWVKRMNNDPEWDIYGKLTNKVNCD ESQLQEEHHGDSVGDFEK PHYBLDRAFT_62623 MQILSIQKRIISKCQRRQSSTNNTTSRASTFTSSMGQCMKGLAS FKKAFSCNKVQTTVAPSLISSSSTISNDSYLSDLSDDENFSKSCPVSEKSMALDSIIF DHPSVTVRIKPAAYRSS PHYBLDRAFT_62622 MLKKENKSDPYDPYDPYVPNVQSVAATQQKNEKVNKVKAQVDAV VDVMKDNIEMAKNRGVKLEDISKQTEKLEKDASNFRRSGNEVRKRMWWKDLKWKIIIA LTILIILGVIIASIVVTQKSCAFKALVMKFGSVYL PHYBLDRAFT_94358 ETVRGNYRAALSELTFNSKPIITNLTIMAQENQYAASTIVREIE QQIRHNAPDQKLPVLYLIDSICKNVGGPFISHFARNIGSIYLDAYTLTDPQMRRSFER VLQTWKNGMPAGGPVFARHVIEPIERAL PHYBLDRAFT_157599 MLLLLLLLVLLLLLLLMMMLLLVLIMLLIMLIMLLMLELLLDLL MNLLLMLIMVLMLLTLMLLWLLLLLLLLWLLW PHYBLDRAFT_163720 MSQVLAATPQTAFVPPVPQPIRLSHPPPKPAPVNTGDLLKNLAS MGFLGNPTNGIATPSSTPERIADKSQDVFGPFSFDSKDLQMCVLEFSVYNLISKSFLL TPTFLFCRSLHHLQPTKINLYFRPRAGAVELLYSNLPLQCKQCGFRYPKTDEGQAKMD AHLDSHFRQNRRMKERVKRGLSRSWFVTESEWISGAGGEVTSQQAPAFLNDQSSGHLN NNEKSSGVHGSTEDANMAEDHKVVMPNDGRKPCPICGERFIDFWNDEEEEWMYKNAVL VENTVNTLNIIIISIVIIITGVAVAVAVAVTVVTALIYHATCHADAIKSGTLVFGDSS MDITPQEQEIDNVNNIPSSLKRKAEEGDVEMDHKVARTN PHYBLDRAFT_77095 MKKHAKNQLSGSGRMQRIVSQAGLYAAARLSSEPTESHSSPLSG LDENQESDPSNDSTTVLRARMSGALNALSISPDGESVAIAGREVLKIIAVTKSEVVET LNLRAGSHLNLNYSSNDVKWGNNATKNKVATAATNGAIILWDLNKVGRKAAERVINEH ARAVNRVCFQPENGNILLSASQDGSMKCWKLSGFQDLRDPKNAALHTFEGKSEAVRDV QFNPVIQYEFAAAFETGTIQKWDMRNPKAIYERKVSAHNGPCLTVDWHHGGRMVASGG RDKTIKASVWDMSADSRRPLYSIRTMAAVARIQWRPGYDDEIASCALLTDSRIHVWDV RRPNIAKYAFDEHETTPTGFLWLNSDTIYSVAKDKWFIRQEIQAAYRPVDLLKRNAIG WNVQGDLAFAIDKSSRENFVDEGLLPQTAAFMSKKWRRPPTKQSAVEDENCGIAHIPL FDFEAFSVFAEHYQVSGKDVAASCENNSLVAWKMGRYRTSQTWKIVALLFDSEDELSE PDDRRQQDTGPERGLLDIENEDTDSGESSETDSEESDQNTDQDKRSVVTRFSDSCSEV AELWPTWQHEGVVMELLDYYTEQGDVQMCVTLYLVLERYIHIDDNRVEDWFTSYIDLL HRFKLWSPATVMIKACRVKRVRERNENATTIHIACNHCFKVVMGTTNGSWACDKCRRL LNPCSICHQTVRGLYVWCQGCNHGGHLEHMREWFSKETLCATGCGHTCVLTASLSS PHYBLDRAFT_179618 MSSSSTNPDPAIPPQTLSNAQKSRSTTKRRSKGLHRELQFLVDD NGKRAKRDQPKCPICEHRIDPAHWEIHFNYELERLGQLESDIYNNPLNKNRGKRGAAV VARQQLEKTNHKKRAPSAYESTLEKIQKNRAHRKEVLQKLDSPRQLEDSAAAYDETLA LTRALYEEEQGSRQDSNSNSSAQVCFICNQALHGDSDAVNLHIDHCLANLNSSVEPED TEDEPMIDNTENESRENSGAGTSPLSARWEEYEWAGQTRVRATSMMEGGYRGAGFATT SKEEDVDEDLDVEDDDAAQFGESQYTERDIVVNSDDDNENASALREMVSGGMTRGSTA TASVQDGDEGEVDSPRSGFEETVSDAGWERHLTHSNQMNSNSGQSRLVVDSLKARIQQ LEAASRSVPRCLICLEGYKTPLASIVCWHVHCEQCWLQTLGSKKLCPQCQKITTPSDL RRIYF PHYBLDRAFT_62618 MSIYPTQQVRQYTLLLSVVLILSVVISHSCLCKDYSESRMPLNS NFSHFFIVLGSRGILRYYTLKIHHAHELFVYLQRNTVHNFEILQFLCECGYNYCINHY VSFGELERHTKDKHFNVDRDKKCRVTLENPTVIKNGSVGCLSIFSPLVSILPKFESIS RDQIELFYAGKKVVLCGPYGKLRIVYATLSWHEKNISESENANEKRLKEALIIGRNIT NKKAISDDLLGCWDSLSNEHNVLYSASMENGYSQYLSPECIEIATVYANHIVELFEKK RVPFSFKSSRKYYQRRSILLFGHKREKNYSRIVLLQKVQNNGTPVQLASYLGSYIAMQ WYIMFEHETEYNQELSWKELKKTGKPDKSSKQDVAHKSDLLKMFALTPEPSFKFRFIS IKPTGLKAFTTCFKKIFLAFNHNQGMLFRVVDFSKPNLKIYSVIK PHYBLDRAFT_185275 MESVNPVSIVTHPKPSLPKKLSELGSVKRTTTVNHRKRQKSPTV GSVGSISLKNTASIIQPKTDLDHSMPMTLQARLKVEIGSEDEDPFAHLRSNLNQRNLN ISKENSRDGDTHLSDIQTVNQNISKDRVQASRPRTTFGGKLPVTSNYNQHHQPAPSSR RQKRLSAEQLKLLSRRIDPAAPLASPHDSPTKPALPSLHSHTRKRAGMSILADFQAEE KQSSLFKTCSTLWKGEPHLGDFSHDSIKSFGELLKVRLTQAKFRVMGADTVNPLTEQH QSGWFSPFPIKLSSKRSQSCSLSVVGNGRRLFGTRNTGRKKRTGFRMADVLDRRRATP CMKITNTSLLTSNPEKVPKDRKTRTPKLIKKKLCTTPKRRTPAVNVSPVIVEDGTRKF VCEPCNKRYKNRNGLTYHLDRCKSRSAILVKQEIEEEKNAIIMCICDNAKEDRGMMIQ CDQCRVWLHMDCTGLNEGALDDIYSCPRCANSADTPLTIVPEPDLLENIIYRNPGRDL LQKLENVTGPEESKQEQPDPSKDFIEMFNLFGDELVQDPMVEAALKDDHDDVWGHFED DNLEYEKESQVAWDDFLFSPHQTLGNSIPEPWSQLSEDEPITTYGSALVDTPWDMHQT PSLLFSDNTFSSALDEEFMTSTPMADISSPLDHCMPMEFSSPADLPTIL PHYBLDRAFT_179620 MSTTMLQPSEASTVITVRAENISRTPSQAEQQSSKYKILFEKAK VLYFRYWFLLGLAIAIGLAWAFPQVGKSHGSIQAQYTVKWGAVILIFLLSGLGLEVKV MVRTILRWRLHLLVQAISFLLMPFVLYGIVRFLSAVNADIDPVVYQGLIIALSTSTTV SSNAVMTRNADGNDSAALLNAALGNTLGIFISPALMTVFGNDKLLFPPGSAQGVPDYL NVLKNLGLTVLLPLVVGQIIRYIFPVQIKYLAVKLKFSIINSLALLCMVWSVFCDGVA THAFSKMSASDTVAMIGVDIFMYLFGCTACIVVGRLPWPHKLMQEPAWLDRWRFSRKD TVAIMYCGATKTVSMGIPLINVLYADSSVGVVGVLSLPLLMYHICQLFIGNFQVPLLK RWVHKDKDEQDTSESIPLESTLPQFTTRRRANEQLTESTETK PHYBLDRAFT_99676 PFLLRGTLREYQHVGLDWLASLYSNGLNGILADEMGLGKTIQTI ALLAYLACEKGIWGPHLIVVPTSVILNWEMEFKRWLPGFKILTYYGNPKERKEKRFGW SKENAFHVCITSYQLVIQDQTAFRRKAWQYLVLDEAHHIKNFRSQRWQVLLNFNSRRR LLLTGTPLQNNLMELWSLLYFLMPNGISQSMPIGFANLKEFQEWFSHPVDRMIEGQQG MDEESRQAIQKLHTVLRPYLLRRLKADVEKQLPEKHEHIVYCRLSKRQRYLYDDFMSR AKTKETLSGANFLSIINCLMQLRKVCNHPDLFEERPILTSFSMTDQVQVQGERIEKWV RKRFL PHYBLDRAFT_107969 MHGHRYLRLDGATKIEQRQGLTEQFNNDKRILCFILSTRSGGLG INLTGADTVIFYDSDWNPSMDKQCQDRTHRIGQTRDVHIYRFVTEFTIEENIFKKANQ KR PHYBLDRAFT_77090 MSSEKFRINSTGRPFRHSTNNFISTFSTSSPYSIGMPYGSSMTL DESSQCEKRTAHNALERQRREHLNTKFQQLAHALPTLQTERRPSKTMIVARSLDFVSN TILRESDFKAQILELRKENERLRKQALLASQALSKKRSSPLSRRSSVATTIVAPVQKK KAKKSNVKGSNNSPTEQSKEAVFQLSPPPTPETTANESRHPNVTSSPTSTLQIPQIAN YPPIPEIPLMASLDTITENNALPAAQQQHVQQLQLQLQQHQHQHQHQPFIPQDILPGG ISWPLDTESYQAIDELAQNSMNQFNSYLSPSEDLMSFNEMSIYPHEMISLPSSVSPLP NTIDGFVYPPLYMPAEEASFVYNGSNSNLLF PHYBLDRAFT_62613 MLFKAISKLKKSASCPNNSSANSLQNSNDPFDEPAEKTDTPSFK INLPTSRCVPHSMRALNLSSLVIEPYHIYTPTEPTTPAKISSASPTTSSSLSNTESHA QHPCNRWLSRKLSKARSKLVTDSVQEPPAKNLVSDRYLAKLENVQYRLKRLIQPHKII YEIEYNRDGRWLQLEPKTSADLDAVHKKGFSKMDVRFDKNLMQHNLFEERTLKHKDSF DVQVEIWYMQSTDNPDTSDSGDGDCGGLRTRRVRWWKTTDRGMAYLPGTHHDTSSLPM TRNHVLGFFKSLQENNNNNNSNINNTNSSSSNSSNINININSTINNNSSNYDTLSIPP SLVDTDGTASTQDFSFDGQMSPRISWTD PHYBLDRAFT_179623 MVWNKRISPSTLFCLVITFIAFIRACNLYRDSTKSLRPSKSHLD NNDLDLLNARKKIYDPLVIGAKPSNIFYFIQVSDIHISRFKTKGHTQNFLHFLQSSLP TIKPEFVVVTGDLTDAKDPGGVNSYQSAEEWEIYEKAVKERGSDTPWYDLRGNHDCFN MASWSSDQNLYRKYGKSASLVEQGQGVYSWSANKTFGQYKFIAADVCPKKGPARPFNF FGYMPSTTMDRLEAEVLEGSYNHTFLFVHYPTTTVLFGTSSTGHTYHDIAQHISVYFC GHLHRLVAGLGDVLKSYDPTSDTLELEVGDLKDHGAYRIVAVDHDLVSFVDVDLPLAQ IGAFEPSPELEWPDPPIKPAPVILITNPKDAQFTLAHKEPIARIGTSTHIRMLIFSEY SPDELKVRLSVDGNRHPFPALFVGNSSLPLWVSSWEANDFNDFQTHNLVVQVTAPDGQ VGQSEVLFRVDHSRLYIKSGIFGKLVMKGQLATTLRGLSILAVVGLLTLLLVPKVWAD IYPSKGDQILVEIHKLDQVDQSPYQGLYRQCLLWYLRFVRLPQVDFLSWFMCWITILS LVCLPWFRAEFIPTGETADERLGTFYMWGLVLNQQWIPLSDTWMYATMHFCDDIAVFV LLFAWRTVDSCDLYCRSNPNSNSNDGHRSQQLYERAWFKGFEIVYLLWRISELAALAA FYGGFWPYFFESILWLWSAYVGYVLLLGAKHGLLRKRSRSDRIGVILEGCPGCLEGTP NQQQLPFTLDTQRERGGGVDRQANDNEEAAPLLVNSSVPESTGLSSGITSSSPLLDSS EGPVQVKSRKRGTRSPTEASTD PHYBLDRAFT_179624 MSHAPDSSDGQAEKGPKDTSISRPATTSGQRNDESRTYTSSTYD FETEFLSHQAAVKESNFEKAIQSFVMLQTNSSDNPVFQKYVLTIADHIDPSKDTHMTR FFASLPALIQSTIVESATGYLEEADTFRAFHVLFDYIQAYPRHAYKYLAMKPDASKKC IKLLVTELLPRLWKQRVMLARGDKQPSIKSTDSKHYIVISYKLFEQYMLVGQQYYIEQ REWEELTKFTCAMLDCSGYARLGRLCFQSHVNRFQYLKNQRSYIRLDLEDSESDSPPS DGTHYHEELHVAVAFMCEFMAVAAQFVEFSHEYYRAVCGLDDNAPNKDEKTCLIPVCA IQPSLAPHRTLLTNEYKENNSNNKNDTGVNCDNDDGDDDGNDDDDGNDGMDDSNRGEE RPKKSKPVNTLMGGDAHSTETANSIDKSRIQDENGNSENDSSKRKKARMEPATSTAAG VNLERGEGLDSYCMRGVDEALQILSKAADCLRHIVDLWEWANVAAPNTNWANIYGSWE QEFCKSIDTYKLPFDIHNAVLLVRSDLALSSPSVPGNLAKALKLSQTICDRIELQRRR EKKDSASELEFEIPFMFAFRVLYNIGVIYLLVGSLQQSTLEIAIILSVFPTPNGLDEN DFIADEKDCYTAATIFQGHEFGMMRVTQEGLMVRCIKHLIVSLDSESGQKGGMASIDS AMRWDEKAGNMIVLMQYGWPYWSTRTNFWQKIIQRMQEKKIFKNRCFLEYVYVPEILQ AIQNLHETNAVTLDIIPPEFAMRNNYLHLAASSSASVSGSTPSSPQPSSPPGESGNQD DDRSTTSMSISTRQPHLPPPPPPLYQPSFTNTILPSMSMSPSWYSASTQKNSHANWMS PSFYYSRPATSVVLPKKRRFGDHIQQDDNRPDQQSQRSFVSKDIISRCLEYRMHKYSP KMTPQRMRHVLQKFLKNMVLKANEEA PHYBLDRAFT_163730 MLEGKSRGGNSNASALQKLARWHPSANSSQADKKNAGQSIFDGP LESLEHDELKQGSLYILKINQVSVTLFEGWNDDLCCFSVLRMDATPMRWYLPGDFDAY NAFDEAGNPPVHLWTRYLRVEVPVNWFAIFEEARRKQREWTVELNRRANQTHYLHPTS KQSISQNDRSTQQQQQQQQQQNQQQAFDNSYGEYAIESGVPSSAAISASLLDPHFHQD RAYDVHSRHPYLASTISSLPTDAKPTGIMSSPLLSPGIYGQSDIDSFEYPSIAPHTLL ANVESGTLGISENSLFPRESETGPPPPPKTTNTTTTSSSSSSSAPHQQQQQPSFQTIE NKKSQSFYDAHLDFPHDSDQFSIHSEFPVPPSLHRGNSLHSISHAQQPLPPLPPVPQS NSSHSNDPLHPPTRLERSRSASIKSKRQSVKNMLWGSSKTT PHYBLDRAFT_140697 MVLQSFRNKYEKRRRRRDFYNSPKIISQKRLVEIQQHRYWHHLC WRERLELENLENKSSSKKPLILFIGDQGTGAGFQIKAFRKCSGKWKQNIHGEAVNICI TNECKTSQSCILCFSPLTSPRIPGKKEGSYKVNKGTFLCINLRCITVKNRCSSSPRDA LLALAIALVGLGSVIFGAAPSPFYNVSQITAEIIQKLHLTSVHEETAWQLRCSFRAPS TIRNYSWKYNSGSSYVKFDEGVQEFLETLFIRGTSGNVQLPSFDDRPVFVDPSSGILK YGNETYHMVRF PHYBLDRAFT_140698 MTVNKTRNELAEKRHQLYFRQMAIRSKSKLHDVKDVPQGSRAPI EKWDKDVPREENRTVASPGDFNYAGTDNGTVNMTTSILMLLKRLKFHLELFNYYAALS KDSNEDSISLNLSKEEELFLHLPSVTSTKARDDDVGCGYFRQRRYLERRKKYMNAGEK VQLIED PHYBLDRAFT_140699 MRSHMEHHPGIKNVEEWKFVSQNLDKIAEAAIGSIVKDIAKEYS YANPPNKQPLQNGSPSYYSMVTSTYYTRCLSALVNMTISELRTSDFFFDNNDIKIKKV HGFNLSEFLPFVTATEPKHTTQPLDKNLIGSKRFDTDFKCLFTSQNLQVINDTPVYLE DVKHQSPEASTVKQSVCSLICNMLKKFLPPKKQYRVIAYQIYFCIFTNDVLKYARHTT FTRALCPSTTFSDELIGSQDKALQNKHATFNAVFDMGDIQRACELYSLSFAHRTTCLP GIKTIRLLGSKIKTDGTLRSLTEEVKALESARKRELELLKDSSFRKKIKECKSN PHYBLDRAFT_62607 MTSGLLKKLPIKGVRVIKVSSCDNFKVKKKSLSTPSLCRTCQIL SGSEKYDCLHSIVDKLIAPNGIRCLNRLLNTTASLNYIKLTAEPRNPLVYLEESGAPF IIKKKRKNATKRTWTISIVVQVFRAFYEAYRIIFKMARVIFFYDTVLYKNKYKEPIGH AEFCISLCNDKKTYNNTGIVSDINIENQHQVCNIGSSI PHYBLDRAFT_179625 MTQHSTLSLSELAKALGSEGASASQVQGTSTKPLCENIFDVERG DLYDVLQRLRSAFWADSVQNTAITPTPLVKPTSQPTDLEKTSKEAKKALENIKVLSQT LASSPRKKQKTMLVTPQPHLQQQQQQPQSQAQAQAQAQAQAQPQPQPQPQPQPQPQPQ LQLQLQLQLQQLAPAPAPQLQPRQQKPALKIKKPTAALPKYVTTKYSSLWPLKAHIMR QLKMSQMNYKEAKVLKALSEYEQTLGVVQRRQLAVYLLPVATGFRKPTVEKVLQKWC PHYBLDRAFT_130798 MRDSQVISKLQTICKVTNPRQAYMGMKKIGQGASGGVYTAYSEG GSGPVAIKQMNLEQQPKKELIINEILVMKDSHHDNIVNYIDSYLWQGDLWVIMEYMEG GSLTDVVTCNMMMEGQIAAVCREVLQGLSHLHSRGVIHRDIKSDNILLNMHGEIKLTD FGFCAQLNDSQTNRTTMVGTPYWMAPEVVTRKEYGPKVDIWSLGIMAIEMIEGEPPYL NENPLRALYLIANNGTPTLQCPDALTRVFTDFLSKCLSVDIDRRPTADELLLHPFLRL ADPLPTLKPLIKAARDAARRSD PHYBLDRAFT_103888 KEMEISGPYNAKHVTHVGFDSTSGEFTGLPSEWQVLLKQSGITK TEQYQNPQAVLDAIGFYQE PHYBLDRAFT_157601 MRGELVEGGKGGEWRVRPLLEPDLLRLLDNVLERNEPEVSLVET GESGGGVPIGVPSGEFVAVLETSVPLSLAGRLEDVLRPRTERFSGMSGTFVEPEI PHYBLDRAFT_96108 ADPNGNTVYSFNPELSPEQKKAQAEESAKNKNLPNTKLSTPKAA ELKTDLDTTDTQQVKTVLKSASVKPTIKSTPDDKALDTTPGSFTNKSQNGIPEWYSVG WTAFSTLPNPGDEKAIKELSQKLGPDTIISDKYYGKWFHNGAALGAAVVSTWLLTMFG FGLLSCLIVGAFLATYYQTSIRRTRRAVRDDIEREMMLTHLDTDAESVDWMNLFLARF WLIYEPILSAQIIGTADTILAQNTPSYLDSIRLSSFTLGTKAPRIESIKTYPKTEPNV VCMDWKLSFTPNDILDLSARDLQSKVNPKIVLTIRVGKGMVGAGIPILLEDVAFSALL RVKIKMFNEFPHVKTVEASFLEKPYFDYVLKPVGGETFGFDINNIPGLESFVKDQVHS TLGPMMYSPNVYTLDVAGMMAGTSDKDSANGVLALTIYSASQLKGTDIFGSLDPYITF HIGSANQPEVGHTSAHENTSSPKWNETYFILLNNLYDTLFLQVMDRNSGRKDSEIGIA SYDLKEIVDSDNISEGLNLSVLRSGKPVGEVKCDMRYFPVSRAEKQEDGIIIIDSGIL RFTINQCKELGGGSKKSGFGLPLIAGSGVDVDTYAILTVNGKENMRTPVFKHSINPRW DKSVEIFIADKTKLDLGVKILNSRDFGDDDVVGQWSSSLTKFEDQIVNEKMDWWNLQN GSGKIHLSMQWKPVAMTGFSEGLGHGAYRPPVGVVRVELFGATDLKNVEALTGGKSDP YVRILSGMQIRGQTEYVEDNLNPEWNTAIFVPVHSVREDLIFEVMDWNDIQKDKSLGI HEFTLKSIVKESKTDYGQAVYEALEPVDSDRRRGKGRIHFSAAFYPTMALAKAATATD KASSTITEGENKIANTKDKGKSSIAAPVAESSSEDTFSRTASGDPSDLEHPEKDLHGE VIQYSKDGSKIDLLAYDAGVLSVTIYKANIPTREKVTADILLDSNDPQYRTAQIKGSN LPFNETGDAFVKEMDFSRLVVRIKKVDENKDDSCIGYWENSVKDIVRNLQDLPTSSDE SSEEVNGTDYKLLNCQGGTIRLAFKYTPVVQFKLDPRDSLENQGNLSVTAIRATNLRA VDRSGTSDPYVVFTLDGAKVFKTQVYKKQLNPIFTKDESFVLAVPGRIGANLEAAIYD WDQFGKGDLIAKAKIPFTDDVLESFLAKEFEIPLEGNSSLTVRLLWQPQLLPRKRADS NVLTATTRMLTSVPGAGV PHYBLDRAFT_157602 MSRIQPISPTSPEFKGILELNIIYEAGLDSESRPILVLCADNLP DPKAIDYNLILGFILARLDEFVESDYVLVFFSSPAKFRPSWLWLLQAYRSLDRKYKKN LKALYVLHLTKGYRIIFNLANKITSPKFAKKLHYLSSLNELRSQVPIPPNFIPQSVVK YDRQAAVKPYVPKTREVTPMQHVSSKPSLAFGRRLEDLATIEADGKPDVFVPKVVKAL VNHLRLHGMDKEGLFRKSPSSKELRVVKEAFNRGESVDLSQCGIEVAASLLKVFIREL PEPLISIDTVQEIGFIPDTESYSTEQIELVKAKIEPIYIKKPYEAALLKYLLAFLREV SENSDKNLMTVHNLAVVFTPNLIRVTENNKEHSEIDAAEVEEMVSVNAGLYLHQMNQG IGLVRLLITERDRPFGVSSK PHYBLDRAFT_107575 MPSYFIPGALVTVLFFLWGFSYGLLDTLNKHFQNVLHITTTQTT FMQVAYFGAYFVFSIPAGMISKRFGYKRAIIFGLALYVIGAIGFYPSAVTLQYGGFVA SLFVIACGLATLENCANTYIAIIGSRKWAPFRINVAQAFNGIASAVAPVIASYAFFGG DEGATDSLETVKWTYIGVACGVFVIGVLFCFAKIPEVDEEAMMAAEALATGVTIRRAS LASPHLLLGALAQFCYTGAQVCVASLFIFYGNEVGGFKDSYSSQLLSYGQLCFTVGRI FGAILLRKFRADHLMAVFSACASIINIFVIAMRTPKTTYSLLALLFFESVMYPTIFAL ATRDLGRNYKRGASMVIMGVGGGALLPPVQAAIKDSVGIHISFVIPLIAYVYVLFYAI WGCHIVRYVDEPVDVEEFGDGKPQISQIELESSSFEKTQVSHK PHYBLDRAFT_62601 MFSKKFCLLAKGQYTLLRTPNIMIPLFPFEILTKIGRLLSKQDQ AECTHVCRAWKIPFQESMWNTIEILSDAKLDQICSSTTNGMPYHKNGHLVRTLFLEKW LKAEDRQLFTVQKRFQKINRLYIREKSVINRDFNNMADWSRWKSLTHMEICISGLYLE NESRDFLKILECLPNLRWLECPQRYGYAWPYTLEEFDTIHSCIPKIEYLSLVLDLAPI TEKHKTIIAETQPTSYLKVIKLRMNKVDLRWLRYFAHKYPNAHTIEWHSDIRSDTSNA FREEAISMFSTLSCAFQHLENILIDGTSGREWWYITFLDLLCHFKTTKAKRIEYRMRY GHSNLDLEKRIIHQCAQTCPTVMSLNFISSDLDLPDPTMIPVTIDFCPSILDLRIDAL SLPIAVDILLDKCPSLKRLRLAVKSLSISKEATGTHGLRLIEFVGSKICTNVFSYLSF RCRCLNYLRLTKMEVVGPMFQGGCVCLDMSYTHLEYLQLTCVTVSASDGDDFNVSTVI NLIALDQLNRVPQQDSVIKAALATKDIYPVFSKLLPWAHMYCIWDGGYEMIKLRKLVS EEAAYVQSYYQSFEQNKETYGSAHSFKRRRSYLGQLDQEHWKEDLDRGFVLFRCGSVR NYFIDTLGYYDDEFWEKLQTKFM PHYBLDRAFT_163740 MGAEQSYLKAYENTVVTLELIKASVERIEIELSRQGSEREPARK DSRYLLGLRDEPSVYPSHSNSISHWIGQMKRYLRFNGINDEDAIYVLPLYLDDEPLEW FNEYILQAKIGSAENLYDLLYMRYRNK PHYBLDRAFT_179628 MRLVPREIDKLLLHQVGFLAQKRLARGIRLNHAEATALIASQLL EFMRDGVYSVAQLMDMGKQMLGRRHVLPDACDTVHEVQVEGTFPDGTYLVTVHDPICT DAGNLTIALYGSFLPVPDPSLFAAPASRSKTDSPGAIVVLPGKITLNPNRRRISLTVT NHGDRPIQVGSHYHFIETNAALHFNRALSYGMRLDIPAGSAVRFEPGDFKTVTLVEIG GNKVISGGNSLASGPVDFSRLPSIMSNLTVQGFKHDANTPLLPHAPAYELDREYYVDH FGPTTGDLVRLGDTSLWARVEKDYTVYGDECKFGGGKVLREGMGQSTDRADADVLDLV ITNCLIIDVHGIYKADIGVKNGIIAGIGKAGNPDVMDGVTPGMIVGAGTEALAGEGKI FTAGAIDAHVHFICPQICYESIASGVTTLIGGGTGPNTGTNATTCTPGNHHIEFMMKA TDDIPMNFGFTGKGNCSSTKELVEHIQAGCLGLKLHEDWGTTPASIDACLKVCDEFDV QATIHTDTLNEAGFVESSIAAFKGRTIHTYHSEGAGGGHAPDIMRVCSEPNVLPSSTN PTRPFTTNTLDEHVDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDMGAISII SSDSQAMGRAGEVVLRTWKTASKMKDQRGKLPEDKDVSGDNFRIKRYIAKYTINVARA HGVGHLIGSIEVGKVADLVVFKPEFFGTKPELILKGGVIIWGQMGDANGSIPTTQPVI SRPMFGAYPSSLSKSCMVFVSKLSLDSKTVQKYGLKKRAEAVKNCRNVSKKDMLLNDA MPKITIDPETYRVTADGKHCVCDPVSSLPLTQSVFLF PHYBLDRAFT_140708 MKIFFLTFTTLIASAFAAPYGHTTYGKIGSDGKTIGMLNNVAEG GLFNDNSQGSGRN PHYBLDRAFT_176859 MSVVGIDLGNLQAVIAVARNRGIDVICNEVSNRATPSLLSFGQK QRFIGESAKTQEISNFKNTIGSLKRLAGRSLNDPEVQEIEKTYILSQLADVQGQVGVE VNYLNEKHSFSNVQLLAMYLGKLKETTSVEIKGPVSDCVITCPGWFSEVQRRAILDAA EIAGLNCLRLVNDLTAAALGYGITKTDLPEEKAKNVVFVDVGHSSYSASVVSFVKGQL NVRGTAYDQHFGGREFDQVIVDKLAAEFKEKYKIDVYSNAKALLRLRVAAERCKKVLS ANPQAPVNIESIMDDKDVSTIVNRTDFEEWAAHLFTRTEVTLKKVLENAGLTVEDIDS VEIVGGTSRIPAIKTTISKFFNKEISTTLNQDEAVSRGAALQCAMLSPVFKVRDFRVN DIVTYPIKFQCEPTPEDKDTEFVVFDRNNSIPSTKILTFFRSEPFTLEAYYTNPEDLP RGTKPWIAQFNIKNVAPVNKAPAQVKVKVRMNIHGILTVESAYTVEEKVVDEEVKNKD GEKEIKKVKKLVKTGELPVVSSNTSISRELLNEYTEKESQMASNDKLIVATEAAKNSL EEYGYDMRDKILGPYSDYIHPDVKDSFASDLNAVVDWIYEDGEDATKSEYLEKLTKLK NVGNPVVERFREAEERPRAERSLRAMCERWTKEAINSDDKYSHIPPQDRQDIVDRCDR ARRWLDAELAKQAKAIKHENPVVLVRDMLKEEEAVEAFSSPIMNRPKPAPKPVEAEAA DTPMEEHAEKKEDMDID PHYBLDRAFT_179630 MKLTMKLLNAILVLALVCSVCAAPIKDVSVTSVDLSEAPMNNKG DEHLYITVTRVDDLVDDDGSLLAERVMAVRVQFDVLEQQLRCNGVPVQIGVSNIQIEA QMAANPAKLSIESAEDAAVLEDSFDIGLATVEVNVSLMDQMTTEDGLTFRRLLVQERI TEINGLEVVQTEAGQQVLDVFDNGKLTQWGVDPLTGFMLPEPQANADQKAEEFGIMSD SQTTGCAGAFVADWWNTQTTMVRSLITGTLCAALFLIAVAIRQLIVTASPYESVSQEA EDQVIWQKASIKEEDEKRPFISQQ PHYBLDRAFT_77081 MSSQINILIGTTGSVASIKLSELVLNLKQNPRVNVKVVPTKASS FFFKEKDIDAQIHTDEEEWAIWKKKTDPVLHIDLRNWADIMLIAPLDANTLAKIANGL CDNLLTCILRAWDIKKPVVVCPAMNTNMWNHPFTAQHLNILQDVLHFKVIPPISKLLA CGDLGIGAMEEPGVIASTVMTILLDSQSDTK PHYBLDRAFT_62595 MYVCIRNFVRYCYIVVASTASTASTASTPTAASTGAGADADADA DADADAGAGASASASAGYLTWINIDIYSFPFNLSVLPNLTHSALLYTVAWKPEKPINT PHYBLDRAFT_107287 MWDISSNSSSSSSVSPGPVKSKTVHQGSILCLGVSEDKSILVTG SSDSTCIVWSLPDLTPKPLVFRNHQSGILDLCLALQYCVSSSRDNTVRVWNHTTAKEC HSLVGHSGPVNALDAEGPWVVSASGDTTLRLWDVRTGECLRTFSGHAMGLTCVKIQAS MIYSGGQDGRVKIWDQNTGMCTMTLVGHTKLIRAVTCQDGKVVSGSYDRTLRVWDAKT GKCVLRYQSEDFGWIFSVLMNRTQMISAGQSKQIMALDFGLNSLTNHSNIEI PHYBLDRAFT_185288 MRKKSVDSDDEGGTGGTGGEVQDQILSAELIPQVQGLLERCLFI QENCKELEEHSLPGLHRLTKSLASEIQFLERLLDNPTRIKKKYIQTTNLTYIEAVYEA LISSGGVVEVLRTVAVPVNDNMWRSRAEMIRHRSIKIDIVAESELVWIKVFAQNARAM RYEMAGLEQLSEDEDEDSDFGFDSNGRNDGDNEDEDGFVYQSREDDINQLPIFKKARD FLASAEAHHVHFRRPAVVFAFMRIRRDDDAFVGEMMTRLEKLGVIVHMLGDSSDSIEK TYSGVVKDVDPFDLTTPVLNIDVGTALALLSEMAHHACSPDEVQGEPLQIQAAREAVV PVLPQLRQVLEHKRLCMVQTAFDRLKNIVDVIGGPLEQARFRYLLRAHFGNQKTDRDG KSGNGVEVFDPDLWTSVPPLSITVVPDAPSEAFNQLLEPPARRAKLNNGRKIRTQFSS FHANVFGSGDTYRWTTVTSIQWMASALAEAGMTGVSIICHEPRSLAEQKMKPRT PHYBLDRAFT_163749 MTDCLRYHYFIQKSLLEKYGDTRNYLPRSQPNTNTKIMFTPVSM PATCEMCGNWLPEHSSECPRNGVHPSQWALSCPDDFLLSSFDLDQDIIHNHHISFAPQ TSQLLSASQLNLLTEK PHYBLDRAFT_179633 MYKFISSVIRTDRFKNEKNSSSDAVSDVYSEDHGSNESNDFGRG NGTFITAYANIVCVIAGTGTLGLPRAFADGGWLGILILMLAWSMAIYSGVVLIRCLYH KPGQRLSNFKDVGYAAFGWPGYAAASALHFLNLFGCPALYLVLASSNLNELLQGTKGE LTTTIWTCIVGSFLLIPCLVMKTLKEVTAIAAIGALSTIMAVFIVLIQAPMDCLAHPE IEVVRNGVIWEGFPTALATISFSFGGNNTYPHIEHALGKPQQWKWAIFAGLSTCTCLY ILTAVPGYWSYGASTQSPVYLSLPDGAAKKISMIVMTIHVILAIPIFTTSFSLEFEQF TKVNERFGAVGSWFCRAIIRICTMAILVILAIFVPYFHGFMSLIGALATCGLVFLIPI LSYLKLTGFRNKPWFELAFCTLTVFLGIIGCVFGTINAIKGLIKDFQSDA PHYBLDRAFT_62590 MKVNISIKQIFYLLLLALLHRGDKVRALENDAKSDSGINAPPPP LDDESAVVLPSSHRFTVQFHSPPGGDTQAAARADQDRFLDHLAANQIQFRVRYRYTNV INAISIELTPTLTSTSTSTLDQNSLFSRSIPSSIPAAASPSSPSSFKTSKNNNTNSNS SNNNIFNENNENHSTYRINKAQAQAQAQVQALRFALASHPSVKKHWAGKRYSRPTTHN TRNFVFGNINTGVEEGIANLPAAHRLTGVARVRSENISGKGIKIGILDTGVDYTHPAL GGCFGPGCKIAYGYDLVGDNYGKEGKILADNDPIDTCDVAANDTLKNFQGVAPNVELG VWRIFGCEGETDDDIILQAAELAFNAGMDIINLSLGGSQNAWEEESLAVALSNLVDRG VVVIVAQGNEGKDGISQTPSPSIGRRVLTVASVDNVQRQTRTLHIINSNSHQYDFEYQ ISPDVSVDFDDKKYYRIMATGHSVVNSDNGSQTGAETRNTSYTFEYGCQPLDPNRFVG SVVLVHRGKCQFSRKALNVQQAGAVGLLVYNNPGEETTTIGLEGANITIPVGSINGED GGTIFSMFSSTGDGNTSFGAAFSNQMAPIKTAGLPSLFSSWGPDAELHFKPEIAAVGG YVYSTFPVNMGSYKSMSGTSMATIMLDWFLLLSLSFTSVSSSVSGSNIGSDIGICIGG NDSGGSGGDKLKFLMCGPCFMPYLAGCVALYMEATKHRNPDTVYRAFLNHARPIVGTY HHRLESPIKQGAGLVQVHNTIHSKSIVDPFKITLNDTEYFNKINIITITNTSNMTRLY NISHSAAIAVSGYNFTKSAVPLRRPHYKDNRADVAINETAFVLGFNQSRAVKLTFSPP NWTNTIDNPHLLYGGYIRVRSSSNNTETEVLHVPYFGSLGRQRDLPIFDTKKGYPYIG NYLGRRLSAPIDQSSGSTIDSQNNQNNQTNSTTKQVLKYDFSRANVVHLYLRLGNPTA LLKGELLDTSNVSVGEIPAIRQEWLSRNDHSDTNREHNIDWRGHITTLPTLFNNTTTT TTTNNNTSSRIAHVPHGIYRIKLSALKIFGNPLDDRDWEVWISPDMTIIG PHYBLDRAFT_179634 MFMRLIILESDKNSRWIKAIGILLITFRFADWPYELAYNNIKDI STQIIGEGTTCWASWGNGVLIVNVIADTLATLFLSGMFVRRLYIHINTSKSFISQQNR TIEHIARKSLWCLVLTFVVNMVMNLLKVTSFLNDRSDAFTVYFELIESTLLVEALRFD QRKTGTGAFCDNCGMEIKYLPRQNNSSSSRSRSDRKYPDDDEYFHVTSIPSSRLDSNS QSISTRPAQTKETPKNQTLSFNIPENLRMQSSFENSVSHPGPVAFRETVSSPAFGPSD RAVMVSTNSNTTNTNANANANTNINTKIINYKPSNQTSSLPVRYH PHYBLDRAFT_77077 MLPFIWRVLILSTIGLVSVQAQAQAQTPSQTQTQTQTQTQTLTG NTNSTNGVSPVSTQYNDAFKYHGGKMLYDSVNVYVIFYGNWTSDQSAKEQTTLLNFIG NVSSTPWFKQLQEYKDTDGHSVAGPLKLSAALTDSGSQGLNLTDSEMHKKIVLDAVHS GYLSVDNQIDRNGFYLIMGASDVSDTDFCRVNCGQHGYSDEFQYMFIGYPGICPDRCI PSLNQQNSPNGSPALDAAITIFSHEIQDVLTDPRGDGWVVQNNDGKIELGDFCAGSGV TQQTWFGNVTQPEGQNGTYNLVIGDAKYLVQTIYSHEKKTCGLL PHYBLDRAFT_140720 MIAATLPFELLTHISLFLSTKEKYTCSFVCKSWQAPFQESLWSL LVICSQVGLTSLFKLLSSNENILERTEDHHLQSTFQNIKYLDIRYDGVLVLDLWKSTN WNLWSNLTQMWITFNTLRAENQTEYFVNTMSYLPQLWRLYIKNASGKQVLNFTMQDLE NLHDNLPRLRELTIVKPTILFRPEDCRHVNDVYPAKNLKVLKLLEVAKVHQQWIHYFS RKYCNLNTLIFKTTHFIELSNQQKEEYESISSDHNPSFPDLNTVEISCLNDLSKMHTP FFNTIRTPSPPLKRLKHTFDFSHDNSENIENTLKDYINTYLSTLETLLVNIVKFRRPP YTLADMFYPSPNIVELEVTAQYSDMALDKFLEKYVSLRKMRISEASILLTPDLLNKKP ANTHPLRVIEINDAKANADALEYLSSHCKHLSYMRLSRVDIYGNQTQDARNLNIDMSH THFKKLNLQLVKFYPFYDQSVVDHIFTFIAISPTSQDVKRRSISNRGDDSPVDNNMNN IDNLGLSVGSKWFSVTYSKGFRNSRKYFLTKLDESDAQCASQHFFSNKENPDPVNIVK EPWPPRLFKYTCYHTRISNSQAYCNFKYGSIDSFKFTFDGIRDQKIWDKIFKLN PHYBLDRAFT_77076 MKLLPRNLSGYQATISLFTVTACLLSSVNADNSTDPCAAIARKK IATFTEAKACLQYFPFDKEAADQTLDTVHKIVTELYVFKDIAASPPKTKGLSVVPVDL EHGINIIRNQAWNSDQEFQDSISLLLDKVHDAHLAYSPYCYRQFLFWQPIQLNALIRN QRLVVNVAYVKNDVWPEAKESWVGCEVTKIDGRDAFDMVVDYAVNNNGESKDVNTCFN NIMNTKSYFHGWDDGADDLGYHRFLPAQEVHDYTLRCPSNGTSPSKEQFDEPFTVSIP WVAQVPQGFHSAKDYWTNYCYSGHSTFRKRSLSENEAVNAAVQMLDVDELTKIHNNHV FDLTPPAQNAGPDSSEGEYVHFAALEGENSKVGVIDIRSFSIANEDRDAFADNFTAGL ESFEKKGIEKIIIDLSSNGGGDACAGEFIINTFFDATPEYASDVKYSPFLERVIKKSY ETDSGKWIDYKSEKFKGAEWYTHPVEYERGGKSVNFSQPITLSCESWDSSLTAKRYNN KIWKASDLLILSDGRCGSTCAIVASRLRLSHLVPAMGLGGVRGNPMQFASFPGGESER LSGFLLELQSLGLQNDPDAPKPFPQRADMGWTFRESYRPAKDSDGPFGDSKNLLEYTA TNADCRMHFTDENADDIEVLWGQVAQVVLKGQCPLKEE PHYBLDRAFT_163756 MLRLQNKIDNMPYRYVGLVDQKRRTPSLLDDQYGNRTCKQEFPA IPNWTAFAGVHGQGYKVKRQVQGVDMVAKHPHSRRPSTTGSIVPEKTDRNTKRRPSIY SRLSDGPSTSLAPSLGVETNPTLVPLSGSRRTHSFSTTQDNAQSSERFRPSVPGPGTV TGTGIGAVPVIVPVTGTGTGTVTGTGTGTGIVPGNGNGNINVNVNVNGNGNGNGSGSA PTLRSASTTSSAPRLSIADRFMSNNYSQPKNVSPSSSASTVRSVEQDIPRPVIVSIPD QVKSSTDTGKLSVADRFMSSASSMHSNDTLSLPMRERSASNFSGRTLLNPSSLALVPG PKRLTIADTFMRSNSAQQSPSDGIGMDRTRSRSIMDELDLGHRYPHSEYIHPLEGPGS RKSAVLDNHLLNLDLSIASPSSTVKGRSSSRPWGSQETLVQSDRKKPIYAKFTDPGKH PTHSIKESSEYCPTSRSYSLDEYIVERHDDRDYDHQTSLEEDRPLTEDASSVLTLKNH DDKEDHYADDPVAMEAAHPKKCTRETHREPRTPSAEPLETPSSQSPLPPPPPPPRGFW IGCCFFSCGQRPSQSTLSRQQQQRREKEGEERQAKANSNCGRRSWVICTFFTVIMAAV ISYLLWPRTPLMRIEGASLTSAAKITQTRQGIMIGNAAFETEWLVNVTVDNRQNRIPT RLVAIQVIAKDALTGLLIGKGLHNNDPSPEVIVLPPRAISTIQLPIRVDYQARDASDT TFVDLSKACTPQPATANLTQGQRESLQLHFWITLHLFGLDWLGYKPTVIATPATGGFA CPTS PHYBLDRAFT_77075 MLPRLALLSLATSLLLSVSAKDDFATYSVIGLTTDEKHSSDTSI GVLVNGQIYALNPTDSSTILHQGEAPSGYAYRYVKLSKGTNKTVDQEPFERDPLTSSN TLNEFYGRSWTKKSLKTFSALPGYPKTYDRLDSQYHAENEIPTCHIVANQADIDRLHG YYLQDIDIRVNMTYISANKIDKVHDAKLKIGGRSSRYLTKFAYNLNLPKGQDFEGYRK LKFRSPATDPSYMREKLALDMLHSTGVPASKASYVRLFINQQAIGLFVFAEKYDDTWL TNEFNGGKKPYDYGMLYEGKGGSQSTKSKADLSYHGDDTEYYSQSGYDIEEAPKTETE PLSELISFTKFIDEQLKIQESGQIVADSTTLEIWENKLDVNGFLINMAFEFLNGYSDG YLQNTDNYYLYKDPSQDRFRWISWDLDYVMGSGPVSMKKVAEGDYKKFGGISIRPLMK AVLNVPSYRTQFENHILTFIDSVYKPSIANQVIDSHVEFLKEDVSWDSSLPRMRKGLN FIPVGPHTLENVIHNNATGGDTSIPLAISYLNAIEFIARLNSKVSLERAVNGKTRHSS LYALKKWFQVKTDNVRQAML PHYBLDRAFT_154425 MGIVFSGLWNRLFSKTEVKIIIVGLDNAGKTTILYKLLMNQVVT TTPTIGSNVEEVEYKNIKFLMWDIGGQESLRASWKTYYINTKAVIMVIDSTDANRLPV AKHELHQMMESEQLQNASLLVFANKQDVKGALSASQISEALGLTHLKDRQWHIQACSA LSGDGLFEGLDWVVLQIAN PHYBLDRAFT_185294 MQSACLGQINNRLFSVNYNKKDDTTNPTTTVQSYDIADTSNPAQ STNTVNLLTTANDEIYEPVCAFDPSRSEFYVLGKNADKVSQVVNVFNVAADGLSLGYS WQIRLGNTIKDKIVSVVPFDFQDASQCVALLDINGSIYSTCRNLNGLVSRFPYQSIGS SIDTTRLARISNQGGTAGYNILQFGYTASTIIEFNTSNARSNTTSSIAVSYHKLATDL SNPVQAYEITKDSRNLLILSKNSIELFYVNVPVGQATQLVSANPDAQPTIFGSPVGVP TQLPNVTTISSLSDRKTRTPTPTSKKPSNSVNNETEEYTDRPEPTIISLLTSLASQEK RKIKRRAKRDVTPAPQASASSDPSNLVPNRVGLPSSLNDWNSISIFRSIPGVDDNSGT LLIGGYNGEGQFSVLSEAIGSLEYPYSPPGSAGSNGPTGPSSKAGPIAGGVVGGVLAL ALLIFLFMWCRRKNRRRKHSTVSTRSPVMEELPTTLFHNKTKYNEDRSLYIRMDIPYG MLERYEPDALNGASILDPYVLSNDTFDGSINENRIPNYATRTCMDSKNGTREHPKLYT IHYFTTANYSAFVQSIRVAKALASSPHAVKHIIAFDIQTPTANYGYRYYWVSSLCTAS QTLLRMLTHDPNRDSFYEDFVSDFDFKTWSTLSILSALADMHTHRYVHLGLSLESFVY QAADSITDWRVCCFDQSLEAGTYNSTGVVLNSMSAPELFKNAILRENGHYKVSRLQAN PAVDLWSVGCIIYQLAARRPLFKDSTEANKILQQDEEQINAWLTKAFLHISSSSHTDG KRLADAFVPLLVRLLVVDPAHRVSAREISESWREEYQLNEDHDSE PHYBLDRAFT_77073 MVLLSLPSEVLWQIVSFLDITDLSVLRFVSTKLRTYADHPSHWK DLQLRPPPSSTAGISLWNLNDLKDLIGPHASHTRSIKIWGVRDTVVRFLITRCTHLQE LTICGWATLSDHAFRFPATNSTGGTSGTSGTSGGHLAGLRRLEFVGATQQSNYTALGA NTLGRILTNCPDLSELLLGCQVHIHAQTLIEELKKSSRPPLSLRSLTLATHRTWSAPH ITHLFDLCPSLEQIGLLPAAASGCDVGKDSSSVQRWLADTHSLKLEHSPESPIALDLP LDQDMVIYRPTCNTTQRTHFCTSH PHYBLDRAFT_37488 MFTGLVERIGTVTSVIEKDNTNSGGNGWTVTVGDCADILVDCHL GDSIAINGTCLTVTEFDTDSFKVGIAPESLRCTNLGALKVGSKVNLERAMDSKKRFGG HMVQGHVDTTVKIVSITPEGNSLWFKFQVPLGHKDTMRYIIPKGFITLDGTSLTVCDV DDEERTFTIMMIAHTQLHVIMPLKKVGDEVNVEVDMLAKYALKSLEGAIKNCEGLEEL VKRAIR PHYBLDRAFT_140728 MRLTLIACAVVTVVSAVSIREREQRNTTYNVVSLEGGGGGEQQD VSVIVDNKTYPLVPSEEIPILYTGSAPVATNGYSYAISSGQNNTKVEPFTRNPTNEPA TPNETFGRSWNTKNISKLEPVYPHIRAMDRLETPIHIDGEIPTIYISGNQTDFDYMHE NVFDEITVKANFSYISPKDTQVINNIDFELAGRSSRWFPKVSYNIKLKKDEEDELYNY RRLKLRALPNDDSYLREKLGYDVIEAVGLATTKFSFVRVVLNDQPLGLYGLIETFKDP WLQNEFAHGDENYENGPLYQGSYLSPVSSREGLISDLSYYGDNITKYELGQYKIKEDP AKGEPSFQLLMDFTKFISEAPTNTSDAVEVWQKTFDTDSFLRSMALEVLLGFSDGYAA TADNYYIYYEPNSSRYIYIPSDIDMTFGSGYLNFSAMTSGNYSTFPGLYSRPLITKML QVPGFKTQFEDMLLEITQKLFNPEKLNPHIDQLAEMIAVDVEWDKTLPRVSKNLFFAG AENQGLVDMVPSNMDRNTALDFYHRIGNPVPLEVAIEGPTGYISLPGLKEFIKIQSEN TLNFFNKKNP PHYBLDRAFT_77071 MRLSIIAFAVATVLSVANAKKQITYNVVSLEGGQQDMSVIVDNV SYPLVPSAEVPILYTGSAPVASHGYKYAIGTGRNSMKAESFTRKKTNETTPNETFGRS WNTRKVKTVKPIFPPLQAIDRLDSPLHIDGEIPTVYLSGIQSELDNMHINAMQDITIP INFTYISPRDVQVFPDIEFELSGRSSRWLPKVSYNLKLKKKSKNHLYSYRRLKLRSIA NDDSYLRERIGYDIIGSVGLATTKYSYVRVVLNDKPLGLFGFIEAFQNPWLLNEFANG DEDYENGPLYQGKYLTAESMMAGVSSDLSYYGNNITKYELGQYDLKEDPAKGEPSFQP LMDFTKFVYDAPTNTSDAVEVWQKTFDTDSFLRSMALEILMGYSDGYITMTNNFYIYY ETKSSRFIYMPSDIDMTLGSSFFKISDVASGNYSTYPSFYKRPLLNKILEVPGFKTQF EDLIFDITKKLFNLKKLGPRIDDQAEMIAEDVAWDKICPRAGRNLISDGSADESLNAM GPAGVDMPTAKDYYARIGQPVPFKVAIDGPTGHLSLPGLKEYIKLQSDNTLKFFKNRK PHYBLDRAFT_62576 MVGCLCGANVQLGDKTMSTGSFLQLHRYFEVHCDEQYKKHLWIR STVSLLCRIFVKPLISYKAFFIEITLVCCLELEQVSNVLGNRFIAIVPMVSQGASVAL FNMFGKESPVTASYLRPKSATILLTAMNWTL PHYBLDRAFT_62575 MFGPAENVNIHATCQLDIYTVCQKGSFLQLHRYFEVHCDEQYKK HLWIRSTVSLLCRIFVKPLISYKAFFIEITLVCCLELEQVSNVLGNRFIAIVPMVSQG ASVALFNMFGKESPVTASYLRPKSATILLTAMNWTL PHYBLDRAFT_179641 MTIDEYEPDDILYEISRKLANVNIHNMSLDADAETIEKSTLPTI RYYLYSHDKAMCLQSVEMLRRYLTQVGGSSESAQDILALDILPRINEILTWDEASSIQ FECAWIVTNIAAGSSEQTYALVESGIVDSLLICIRRNNCSPNTRAQIAWALSNFAGES AQLREMLMEKRAPAVVAEVLKTIHDEIYTQVYTYSYSYGKMHITDQEMCTNVKALVWS LANMSRGGFKTANYWSVYCPVFEVLEKLIHFDHNDILTDACWGLSRILYSMHEVIPTS QRHNISSLGVYRRSSIPIIVPALRAIINITSGPDEHSVILLKSGLLNHIPALMTPDFP AGIRRDAFLLIGNIAVCGGLLIQKIINNNNLIKCVLAHVRVPGKIYNQETRQWDPTLG YMYCEIDEEWKITSEALSIISNIISRGSSSCVREFLKDENEVPATLVHLLRSQDVPLQ PTQKCIDAIINLVERTNLLSSGSSDQQNNTDQVNTYSLELLNEGLIPLLEGQYELHDE DDSIKTRCDVLEGILEEGIETMKKIKAKQNQSKSLAGMFGMVEARNTLISTNKRRVLH GPEDGDIRLIENAIGNLSVSNGGE PHYBLDRAFT_77069 MVEVRPMPYRQLFILCACRFAEPICFTVLFPFIVPMVRDFNITD EANVGYYVGFITSSFALAQFLTGMPWGMLSDRIGRRPVILTGMVGTVISILLFGLSKS YIWALLARSLCGLLNGNIGVLKSMVAELTAGQPADKRARAFSLLPLMYGLGSIIGPIL GGFLVHPVETYPDIFGRGGFITDFLTEYPYFLPCFIAAIICSLGLIFGLFQLEETLNV SREKEEENSITEQTQLLAQPEEPSAYDTFNTTSQGNVTISPTPTLQAKPAPPTIRESL TPAVLAICTTYAAFCFQAVFYEELFPIWTSSSRGAGGLGFQTSETGMALAFSGGVTLF SQLVLLPPLVSRFGLVRLFRSVLFVLIFLYALQGLVRLLYNVPDFDGQVDTKLWVWVG LLTCLAIKTICVTISFTGCTILVNNAAPRADALGTINGFSQCCASATRALGPATCGII WSSSLGAKWIPFQLRVHISFIVLSLFAIFTYCSSERLKRLEFEGPYSREEVVSTDESE TQDQDQLNRRA PHYBLDRAFT_179643 MDNAFSENQQQQHQVLTSHEIQAMTEFTQHINWPLNILQELRDI VHVLSPKLCILVCSQASIELLGYQPSELVGHLFTEFIHDGEAEVFVREYYAAMSAMKS LRICYRMLRKDGKYIIMETKGHFKQNRFFGSARCVPTRPARILDTFLDYKMENEILKR KIAFLRLNIRDTDAEISEITEGSDGSKASRNYSLQNHVKESDSDEDSNRDNSGSDVDY DCHNDINYDDHCDNNYSNDYNIADIDNGEDEDLKRHKADEKKDNGFVQTCPTSGDIVQ ILPNSFNVPGNVVPIYDASSCQERKYSDDNLLMELRNKVLNAPNFIKLGKNDLAIENN QVYPQRAEKRRFTDTIEQKICVGCSTTNAPEWRKGPKGPKTLCNACGLRWAKASRKKS PHYBLDRAFT_140735 MFINRPNHVLANQRHFQAPSHTPLWLKGPRDKFIVSIVFAGLGI GLSGALYGSFKMAKGEK PHYBLDRAFT_163769 MSMTKRTKLQSPEFDLHRGSNLKYLDVIKECTSLLQSQTIAYNL KIVQCLKENSLQDKCDVEFINMETYITVKSSFRYSISFGFLIQLKNTGTLKYVPKFCS WILVSSTTKQFY PHYBLDRAFT_163770 MSSNSPDHSGFHQKSRHSQQQSQSAATQSAATQESPGPSSFLSL ERVVQQYGSRPELLELILSTKVEEDRRRAEEAKLRRKEIDYLLHRHQSTDSSLDRPNT NTSNSNNNSNIRSWKQSSSPKSQLRSPASHHSHPSVDEQMELAAAGGDSRQRDSRLYL PFPGRRSSLTAKTMPYSPLSPSMDYPHSQIQLSKKRHSLTHTINAFPRHAERLIDTLD DTFDDAHGTLCQTSRNLLSSGIPPPSPPIEATTFQPQPQPQSQAQAQAQVQVQTQAQA QAQAQAQVQPQPQPQSQVPVQAISQGISQALVQDQDQALDQDQEHDHDHDQTKSKIQN KIRDQALEKPKTEEKLVSKRPHHTREASPVDQTSSKRKRREMQAITTIIETREFPYND NYLWKNNGNTVHKKTGHKSIYYKCSNSAKGCLVNKTVTFRENGEHLIKYRGQHLNECS RIKRIIDI PHYBLDRAFT_107153 FFGQQHEQVNKHDESEHKGSISHEVIAGAAAYEAVKAYNDHCEK EGKPQDHTIAKEILAGFAAGALDKLIETKGLDYLDRQKAKKDAESQVKQYYDEEQLAE KKV PHYBLDRAFT_18519 MGFKLPFRYNDPHFQIFLVGFICFCCPGMFNALNGIGGGGRSST DAANNANTALSVTFTVCSLIGAPAYNIFGNRIIIPAALAYVLYVGSYLSTSDEFTIAT GAILGIGAGFLWTAQAGIMMSYPAEEDKGKAFSMFWMIFNLGATLGAAIPLGNDWSNA KGTVNNSTYIAFMVVMAFGASMAIALLPASKVIRKDGTAVSSHKFSNWRREAIEVFKL FKDWRMLILIPLFAGSNWFYTYQFQVYNGGGYFNLRARGLNNLLYWLFQIIGGGFFGW LLDQGFLGDRRRRAYIGNTIVFVVLVALWTGCIFIQRRFDRDSVHAPGFQEMDVYDSG YAGMAIEYAFFGLADAIYQGFIYWLLGTMTNDSERCARYGGFYKTIQNAANAIASQVD ATKTPYDVELYVVFALNGVGLLLSYVVCATVTTVTVEEIDNLADGHNAEVSVGGKIQT IEDGSSAENTLSVKLDEKH PHYBLDRAFT_122058 MIPRGLAATFLCFVLCTSLVSGFYLPGVAPHDYAEGDPVKVFVN SLTPMSNSQLKSVISYDYYDPRFHFCRPENDLIEVPESLGSVLFGDRIMGTSFELFMK KNETCKSLCITKPIPKKDTAFINQCIAEDYSLNWFIDGLPAAHRKQDERTDEEYWNIG FQLGSGGKESPVLNNHYDIYIHYHERTTQANRVVGVVVVPSSKDTKLEGGKPVCKISN EAFKLNPEGGSEVVYTYSVYWIPSATAWATRWDNYLHILDPSIHWFSLVNSIVIVLFL TGMVAMILIRALHKDISRYNAVEAQEDVQEDYGWKLVHGDVFRAPTHPMLLSVFVGSG AQLMVMTALTLMFAVLGFLSPSNRGALGTVMVIFFMVCGCISGYVSARIYKMNGGEQW KLNVLLAATLFPSMLLVSLFVLNFVFIGLQSSGAVPFGTIMVVLGMFGLIYIPLSVAG SYLGFRKPRIEHPVRTNQIPRQIPDQPLYLRSVPSIMMGGILPFGAIFIELYFIMNSI WFHRIYYGIGFLFLVFIVLILTCSQVTILMCYFHLCNEDYHWSWRAFLTSGAAGLYVF LYAVLYYFTKLEFRTFTSSVLYFGYSSIISVMLGIMTGTIGYLACLVFLQRIFASIKV D PHYBLDRAFT_185302 MSSIDNQSHSPDPQGGGGEEREREEGEEGPSTSFDYSPRHSEIT VDEPDRPLVEQSTPLSRSYSQESVVSTQSAPPPYELYPRARSLGGRIYNWLRKIPRFG THQAIYLPTLPTHRPFRSDSHSTASSSADSIASSNHTPWCVSFYERIYNACPTSPRFV LTGHMARLRTLLLCISFSFLFLFSFLLFCAVYFSPAPLPSPVVPDKVALSSANFLTLN IFMRPPGIQNNWSDYKDDRLDYIVRFVLPKYDVIAFQESFAFGTRRKDHLIKEARALG FNHHVESDRHFPWELAVDGGLLLLSRFPILESNVVEYPRGAHSDWLARKGALHSLIQL KPGYSMHIYTTHAQASYEPDNMGDVHIRLSQFAGLHSLMRNTAKEDNFPILLMGDLNV DASVHKVDEPITRPSKESGYEYKLMMGVLSGEGAQIKEGGGRLYEDPEWSLQLEDIVY KTHKHHPVTFGDVIEVDGEFVPAETVLTDASEMMTMESIDRMLWASRGSDRFEVKSVV LEKFLIRENKALGDDEKEAIPFTQISDHYGLSCVLEIL PHYBLDRAFT_163775 MYNNWSKRANIVSSFLHWYKIESIVDYLQSLRDYIIHPGDDHKN IKAIPTLVRTECPVGTESRFPEDGLFVCQGYGKWGLKIDIIQIFVDTIVHPKRLERSY WNLSSQGQSEEILLSLIDDLFQIIEQMSDVVDRASFEKRYGLQWVDL PHYBLDRAFT_130822 MVNQDITITSYEVRDIRFPTSKHLDGSDAMNKDPDYSAAYVIFY TDSDIEGHGMTFTCGRGTEVCCSAIEALSVKFVNKKLSELTVDMRATHQICTGDSQLR WIGPEKGAIHLATGAVINAIWDLWAKAEKKPVWKLVADMTPEQFVQSIDFRYITDAIT PEEALEILRENAPTKAIREAEMRAKGYPAYTTSAGWLGYSDDKVRRLCREAKEQGFTH FKQKVGSDKASDIRRAALIRSEIGYDSVLMMDANQVWDVKEAIDWMEDLLPYKPLFIE EPTSPDDVLGHATIRKALYPRTRVATGEHIQNRVIFKQLFQANAIDFCQIDSCRVGGV NEILAILLMAKKFNIPVCPHAGGVGLCEYVQHLSLIDYICVSASTEGRVLEYVDHLHE HFLEPVVMNNGRYVAPLASGYSIQMKRESIEDYTFPTGKVHRDA PHYBLDRAFT_37479 MPNNDSSTSSITESTSLLGSERGAKADPKAESWSDLKPYIWPLI SSNIITVMAGLNDGTFGVMIPRLKEHYDISNSTVSLLFLFNAIGFFISGFLNGFIVKH IGQRATVYTASISLMIVYLFALTGQRFEIMLGLMVFQGGSISLLDAAVNVYTANVPMA TLMLNILHANYGVGAMISPLVGTAFLVRNITWRAVYGFLAGAAFLNILSVMIGFSGTN MEQPNEHEIEDDDTDELPKARIAIPSHPIRNSVTLLSAGYILIYVGVEIIMGGWGYTF LTEGRHGDKEMMGHVTAGYWAGLAIGRLVLGYLAGRLGEKRTITALTITGGVVLIIFG YAESIVIDSIALVTIGFLIGPMFPTTISLVSQLLPRHIHATSIGFISAIGASGAAVLP YGAGLIADQYGILSIPLVCFVMLATMQLMWTFVPNPQTINI PHYBLDRAFT_176865 MSAEEIVIDTQEVEVVAEVSKGQMSVEEALQEVLRRALVHDGLA RGLKEAVKALDRRQAHLAVLCESCTESEYIKLVEALCAEHNINLIKVSDAKKLGEWAG LCKIDREGNARKVVGCSCVAVTDFGEESEAMNVLLDYFKTR PHYBLDRAFT_122071 MAPKSNSAPKNKALAAKKAALKGVSGQTSRKVRTSTHFHIPKTL KLARKPKFARKSIPHAPRMDQYRVIRQPLNTETAMKKIEEHNTLTFIVDVKANKAQIK DAVKRLYDVEAAKINTLIRPDGYKKAFVRLTADVDALDVANKIGFI PHYBLDRAFT_62558 MSYEKQRAVTGLAILLLIQAFFCDQILSPRSLISCNLEESLFMV SAQFVNIRLVVKFYLTRLDHDKLYTMCEIGHLDFPSSLVQLLVFRNLKNLNILLLVCH FFWKSCKKSNMLNIIQQRSRSSVQLTDLVGLTDPMKDRN PHYBLDRAFT_77060 MGKVWTINQWHGAARSKYALKITQMVMSDKGYTTKLNLETARSS RTKTTTLNYSNSNVVHYLTSDLPFEILSCIASYLTNDHKLHASLVCRAWHAPFTESVW KKLDIVTERSMEGLCSILSSSLTNYRKCGYLVKDLVFRSNVRVSNDQFRLIRNHFQSL YYLWIYSGNISPYFFQNTSWWILPSLTKLHFSINEDNLGKQEVMDVILSSPTLKHLEI HQYPWVSKITFTVSDLETIHERLPILEFLSLGVNLGHVSDSDIALVLQTTPAIRLTSL EFQSIQGDKLWLYYWGLKYPNLTNLSWPGDDSMKANQAHHDIPLNDILESKNSFRRLK KATIGTIKYNISIYQAFFELLSRLKVSLQHLKYTIYIDENTPAFATALIKDTMRLSCK TLKTLDVEIFNSLPDPLVIPTVVGYCSFLVDISLSAYHMDFALDVLLNKCTALKSLRL IAGTVFVRPDAFGITTQHSLETFELCNTKVDIHLFDYLSSRCRRITRLNLFNLRVTGV LCLEAGSISINMPYNHFETLEMFRVAFYSPSDGITCNENTRMSLLALTQLNPTISQPG SPTGYIQSIDPVPSTRPATRWYHRYGPLCHNVMATELRELREDDIEYAMDYFKSFEYE PVTDEQNEELEATRGSVEYSNTTNWVDDLPLGYVDIRCASIRNFVFDNPKFY PHYBLDRAFT_163782 MGDIVYFREGTPSEFSLPIIQALMILLRGMVFPARSADLSLLFG KAKSTLSDIFHEMIEKIYFKFYSALRFDYCQFRESNLIRFSRAIRERSPAMNCVGFID GTFNKMARSIVDQDGVYNGHSRGHGLKYQAVVTPDGITSSIMGPDSGRNHDVRLYHEG QLDGMMGEAFDFSSINGPCYYLYGDSAYTESDHMMIPYKRQTADEQEIAINKSMSDVR ISVEHEFAHVGNLWGFLKYSQISDNVVKSNLTRKMETFISFYICQPGSETGLKFHFFR TRIFSNFGKFIQLSIILTCFWEQWIRPFNDTIYYNIFL PHYBLDRAFT_62555 MYWDKTTTAAKLKKPMGILLLFVDGEGLKYATLCRFWVKLNRWH RGKKTGDFLLYFRDVYRYINYCSRRIAEEQEEHPDDYEDREPTAEEIQQALAQYAQDY EQEEKRVAVSLHYQGCLDPSTFSDEDSKLLFRFTPSEV PHYBLDRAFT_140748 MFPKAIADFKRLIQNQPQFQRCLERKYVANNYVESRHSQLETIY FNRARIKKLDCLILTFTNDVERVHFNNNVMLIFMIFNLLGETGSITNDYNSELHLPEL LGSPNPVQAFVFAEAVTVEQDSVSLNNKRFQEQSWTGKVVLMQMKTNIATRSVTMYHG FEDLRTLRTIPGLDQTKADTIDRALADVMIFMVEYRRNPNLGFIGSIDGTISLCGDLD DKDESNDAFLVGVDEGNAYFTIGYT PHYBLDRAFT_140749 MSKFLIALILGPPLAFFTTKYIWDTLAEWMVDLIQMCIDAVYHD ADNGIRGVYTGFKHLDMLATLYVSLFKFSFRIPIGRAVDQVMLSFCGVILAIMNVEGS RRNIASTPLSWTVVWAMIGNMCGVSAIFPVYVPLYLYYSPAPTGKNVSGYIVSPNRAN AILITVLIGYFAPTAYMIAGVTPETHLEETFIALWQIGPLWTIPMCHAFEYLFDIISP ITSNEKDKDLAERMAIVDSKNAVERLYLFLGVLCILVYYGTYIHLSWSGIKVWDALVE LVAAPVTLPTGLTYFQVGGFLASYTFFMDLFSTLLGCVLWGVLDDGYTGAAILLLTSP IVGPSAATCIYAAYRENRLLDTRKLVKKTD PHYBLDRAFT_130834 MSSKANSIISSFQEVLSRTSSRSEDQGSRSQAISPREKKLLRKL DWRIVPWIFILYFLSVEDRANVGYAMTMNKQAGHTMAQTVGLDSSQNNIGLGLFYVAY IIFEVPSNLIMTHVNPAFWIARIMITWSIVTGCLAAITKPWHFYLLRFLLGVFEAGFW PGITYYTTLWYRPNEISSRIGVTYLAGPASGAFGGLISAAIQLIDTKGGLYGWQWLFV ISGILSVIFGVATIWYLPSKPEASTKFLDEEERALIRRRLGMVPQGLPQETETRLPAK GDLHQIFVQLTDYKVWLFCVLYFTPVMAATSLGYFLPRIVQEIGTYTSIQVSLMSIPP YVFGGIMVYIITRLSDRYEDRGWFIIGTSLASFVGFTILSFGGPVGLRYFGLMIVAGG TYPTVPLSMAWTANSKEGATAVATATGIVSSIANFGALVCTFALYSGWPADAPRYVGS NMINGGAMLVAAVCALILKLKFTSLNKVIDAGGKVGSGGRDFKYLL PHYBLDRAFT_163786 MSSTIISPENTSSTPSFSRSRSKRNSLLFINSVNIAPSRSSSTS SSASFGRTAPAHLPSPSSKLALSMRKRLQRSTSEGPEVDRNPAEIMLGKTMGKEFLTE VHKIQRLLKESQENSALLETDKTNGQQKIDLLSRQLRQKCIAEERLKEEIWDLELAKQ ELTQQIQELSQSLIRSRLDQARVKRQEGLISQELELLKASHESWSKSIEKTQHNYEHE LASLRQTLEGVRQERDNLARELEQYKASIPTKPSPTVPQSISPETSSTLSHTPEKETL VSTTTNKRQNKKRTNSLLEVETLRVSLAHSHSVVQALHQKLEKERLERSEIDALLRES QETIENFCKLPRTTRLESWTDSSADSTVEEPLNDTYNISYYNDDNNLDSSIPPLLIRS RSLGDELFLADAMSRKPRCPTVSETEHIYQPVEDEEGEEETYRGSATIERIDFLDTKD KEDVKSWTHCELPAISHPPSQVVYPRPWFENEQSVKEGCGSVMVDPGTFMYESDQSSK QHRHSVSKNRSQKHTPHIGSEEQEAVSTQSIPTVARTMIGDWMLKYTRKRVGQGISEK KHRRFFWIHPYSMTLYWSTQEPGTRGGPSDAKSALVESFKVDSNPVEAQTKEPPSLTI NTLTRNLKIQCLSHETHAVWIKSLYYLLNKTRKNIPSCTPSYLLPPTSKASNNSSDYN AKSHREKQRRIYTLSQCIEVEDIRKSIDYNSTRDTTDTTDSSYLNRELNELTAVSDPI PRNRSNEKSLRCRLSRRFQDIALPKHFERTL PHYBLDRAFT_140752 MTKDQPISSPSQKKEPLIKILGAGYGRTGTLSLCLALDQLGYNT HHMAKVITDPSQDPDLFRDAYLFPEDPVDWDNVFKGYDAAVDWPAVAFFEKLLKEYPD AKVILTERDPDQWYTSASKTIREWPGVDDTWPEHILRARRMAKTIVCDGELRGKGFQD KQRMIDQFTRHSQRVKEIVRPENLLVMQLGEGWSRLCAFLGKEVPDLPWPHANKGDDF EKLIVLKRDQFIKNMELASRIEALGVAK PHYBLDRAFT_200780 MILYKIVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSYRKQV VIDDHPCILEVLDTAGQEEYTALRDQWIRDGEGFLLVYSITARSTFERIRRFRDQIFR VKDVDNVPIILVGNKCDNVAQRQVSRDEGQTMARSFNCEFVETSAKTCVNVERSFYSV VKTIRASRMGPAENVPKRKKCLFL PHYBLDRAFT_122076 MFSLIRSRTLLSATRAPARRAFSTNFPVLQQLTGNGSIPTVKNF INGEFVESKTDKWIELRNPATQEILCLVPETTPEELNEATTSAAEAAKSWRKSSVLAR QRIMMDFQFLIRQHHDELAENIVAEAGKTFVDAKGDVFRGLQVVEQACALTTQLMGEK LTVAKDMETYMFREPLGVVAGICPFNFPAMIPLWMFPLAIAAGNSIVLKPSERDPGAT MLLAKLAAQAGVPKGVLNVVHGSVDCVNYICDEPRIKAISFVGSDRAGEHIYTRGNAN GKRVQANLGAKNHAVVLPDANKQATLNAIAGAAFGAAGQRCMALSTLVLVGESKEWLP ELAERAKQLKVGYGMDPETDVGPVITVQSRERVERLIESGAKEGAKLLLDGRGVSVKG YENGNFVGPTIITDVKTNMECYREEIFGPVLVCLTVDTIDEAIELINNNPYGNGTAIF TNSGPSARKFEHEIDVGQVGINVPIPVPVPPFSFTGSRGSILGDMNFYGKSGLLFYTK PKTVTSLWKESDSTHTRSSVSMPTMS PHYBLDRAFT_140755 MNTTTPTNEVYKPYSAVPTASYSPLILSLVGVLILVTCIILNCS CICRRVTSKRINTNEPACGVLKQNISPNHVVLDIESTQTTPQSQATAPPSSSSGSSSY YVKNSDLSSSSTLNNATTVKNPVLMSQKLLHKPVSVPPFAKTPHEKVTRSPPLVSFAK SENSRNHRHDLRPNGYDRPHGPTTTYRNINTGNHSRAQHQLRQLPVSRH PHYBLDRAFT_157624 MAELQDNLSKAMQTSFASSPTLKTPLGSSPSQIGKWLSSSSGAM GSSHGSSGSIARSPMQTSSLSFEDAYLISMGGAPGLDTAYETLGFTSQQQNNLENSSL IRFDEDEEESRSSSVRLEESAHNMGEVYSPDGDNEVAYPSDSVFGEFRYK PHYBLDRAFT_122081 MSESVFAPVTILRGSSLSLEEYKARKVALVTGVTGQDGSYLTEF LLKKGYEVHGIIRRSSSFNTGRIEHIYKDQHEQGVKFFLHYGDMTDSTCLVHIISQVQ PTEVYNLAAQSHVKVSFDMSEYTGDVDALGTLRLLDAIRTSGLTDRVRFYQASTSELY GKVVETPQKETTPFYPRSPYGVAKLYGYWIVVNYRESYGMYACNGILFNHESPRRGRT FVTRKISRAVADIHLGRQECLYLGNIDAKRDWGHARDYVEGMWLMLQQDTPEDFVLAT GETHTVRSFIEKAFAVTGRKIVWEGHGVNEIGKDADTGKVRVRIDPKYFRPAEVELLL GDPTKAHKKMGWKRKVTYDELVTEMVVADIEGGLNNDTFN PHYBLDRAFT_62544 MELYYGSSFIQPDPIPLQSPFSQDFFNFTDQQPSSSPPSNITEQ TFDASAVDLAAAIFPSSPPHSSEPSPFSFLPMNFEVATNPETPHTPHSHEDLSKSPTM PTKRGYKSHVPSACINCKKAHLACDVSRPCKRCVSLNKTDTCQDIKHKKRGRPKLRDS KSSQSQGPNGKYEVMYGTIHMPSFSATSATYSTSSMYEHEPATPIPGHTSAISFIHEP LESFQTPKKIRPAIAISSSQSQSIQPQLQPQPEPQSQPQIQIQLQPHSQLHSQSEQPS QSSQPAPILPILAPAPFAAFSPFLPIEVQPSFTNTFAEPLIKEENQTVTVFMSMEVCC ARISDEVTEAWGYYPQELAHRSLYDFVPSKDSDRLACLHRLVLDNAVDVAKQSDPNYQ PTQPTERTTSDLFHRTDPILLATPAIGSSRFSDTIHIKKRSGDNELYEVIVFLGGGFG ANLHSIGTLTRLYIVAEFRKHCYKIKPFSSHPLSSTFQQKTPISPMIGSSMSSWASSA ATTTPPPASSSSLSALSLSISPSSATPPTPSIFTSKKITLSHANSNINNTNLLNQHNP SNKSNASTASTASNPNNNTIISRHIHPDIPKVNVAPMTNTMNGQEMQLPALMPHRFAP IAARMTGSPRGAPNVTHPTQQYFLQTSSSTLNAAASAAVQRTRRTLSIPSSEATMAGT DKASGNTDASGKVGMSIRSLLC PHYBLDRAFT_157626 MGRHCQLVMGPAGSGKSTYCATMMTHCQAAGRKVHLVNLDPAAE HFEYEPTIDIRELITLEDVMEELDYGPNGGLIYCLEFLLNNIEWLEEEIGSYDDDYLI IDCPGQIELYTHFPIMRRLCEQLGRWNMAICGVYCLESQFIEDKSKYFSGVLSAMSAM VNLEISHINVMTKMDLVQGDYGNRAADEDIEGETESEKKKRQRKKRAQMERVMVEREM DRYLEPDPLLIAEEAGALEEKPSAWTLKFQALNQAIVQLIDDYSMVSFIPLNITDEES VEYVLSSVDHAMQYGEDLEPKEPDDKVEYD PHYBLDRAFT_104066 RRLLFCPTLQLHETFAASEYDRRCDPNATCQRLTPALAMHIKQE LNEFKLTEMAVHIESR PHYBLDRAFT_185313 MDVTEVLAAHQAQFKPITVDKLVPLEFDLNLLAGFDSNPIDENR LTSEKNKYLTELIRDNTQLLINEIFKLPVKSTDMGIVAELPARTTPLPREKALPKEKA MTRWEKFAKIKGIQNTKRERMVWDDDRQEYKARYGYSGGAKDGNEDWLMEVPDNTDPM ADQYEKKREEKKARTDKNAKRQKRNQEEAAVAPLVSGQGLMSKSSIPVKEFKQKSKNA VPKKLSKKPIKR PHYBLDRAFT_179658 MEQAKSSDVKETLSILTEMASLLNANLDCDTLAFCISMCEKGVN PEALAAVIKDLRKEEQLRGASSNDTSMLSS PHYBLDRAFT_107877 RVHPFFTEKPKNNSMKRSIRWISTVDSVLFGKSSGNEQGRSKIA AFDLDGTLITTKSGRVHAKDENDWQWWHTSVQSKIENLHQQGYKIVVFSNQNGLNTET RINGFKSKAAAILSQISIPVCIFAALHKDIYRKPSTGMWDLLEKEANDGVIIDKSNSL FVGDAAGRQDGWKPKLKKDHSCVDRKFAANIGIEFKTPEEFFLNEPKAPFTWGPFDPH IIDKDSTLNIPELGPKPHVVVFVGCPASGKSRFAKKYLVPKGYIYVNQDTLKTRNKCV AVAKEAIEEGKSVVIDNTNPEASTRALYIRLAKNAGVSVHCLYFTADEHLSRHNNYYR ALNPPHDREVLGDIVFRTYKAKLQEPKESEGFDTIHKIPFIFEGPDLEGWQKWWI PHYBLDRAFT_185315 MASDHSIIDQPKSTMEQGETADEIQKFKRKAKKANRNKTKKKGS HLDPILQRVEQMKKELGDSYLDNAVPGDVTDLEAYRQSQDQKRAVAMAVIDELEKMYD QLCVSDVAPKTSQYKTNTPPPETNKSPEEDEEDEDEQTLTLLQFFYLVRLAQQGLFSV LATTYPKLEASAVVYVCDTLVGGALYAAKDPSEAVRAQKQAHVMEVVRHLRQKSDIAV GPGFNTTYRDIRYSLDHLMGTCLSMGGGPFHPSTALAPNLQSPPVWLIMPYTGMIDPS SFTTSPQPQFPPQSPGPSFDTGRDHDDDNDDDASDVKGSVHTQLSGGGGGGAQSEIQG DDKSTTTNTDTATPATPDATANNEGSTPPANSSPLITPVSGTTADTPEPSQDPGKDVS SANEKKQTGWEEGGWQTKSGPHQFNGGEDNAQNRGRGRGRGRGRGRPSRGVHNRGRGY FGRGDYGRAHDDNGDQGHRTKWENNRPLREEN PHYBLDRAFT_99020 RQKRQYAERKEKADTYVNQTPFRYVERNFKSRVPPPDLSHVVDF NNLDNNLKRINDEIVELHITNDLRSLSSLFGEHDTEWENRAHKAYGLKSSPGFIFIPN PFTPRAQRHLVKQCLSEYTLSPSTSNLDTHYVTPPNGFWNLYEREHLQDLKEGDADYF VAKKAGFTGSEQRYDSIPTPTACSREFEPVQWQPKPDPPPAPSVPLLSPRELFRKMRW TTIGCHYHWPTKTYHLDRRFPVPEDVRDLTQAVAHAVERVGYEGDKSRSWKNEYLGAD FKAEAGVVNYYQYKDTLMGHVDRSELNMDAPLVSLSLGHTCIYLLGGPTQDTVPVSIY LRSGDIVVMTKHCRQYFHGVPKIIEDTLPAYLSPQTAFTDTPDWEPFGTYMQTSRINL NVRQVFPK PHYBLDRAFT_130852 MSGKNQYNVLVDMDDNYTQPATIESDGLEFQDFSSSPSVNKSTG APPPLSMRAPDFFEPQQQGSSRGVGKPIWSLDYYAGFFDVDTSQVIERCVKTLYPVGD YAADTLNNQPDMYGPFWLSTTVVFLVFVCSSLAGSLAAYLAGAPHVYDFRLLSYAVFV VYTYTFLSPVLLWGATKYYGCQPSLMEIINYYGYSLTVWVPVSLLCVLPFDYARWAFV SIGFALTAFFLVKNLYIVISRADAKTSRILLLAILAAHGIFALTLKLAFYSYDLPVIT KPKDS PHYBLDRAFT_107369 MSLLFARKAFSSVKLGHPLFRSSRLVGGCLPRYIHSLPPGFISD PKYDKAVQLTELRGVNITHDPLLSKGTAFSMDERERLSIRGLVPPRQQNMEMQLKRVK RNMAHCETPLSKFIFLNALQDRNETLFYKLVIDNIKEMAGIIYTPTVGLASQKSHSIY RRSRGMYFSAQDRGNMSAMVYNWPHPDVDVIVVTDGSRILGLGDLGANGMQIPVGKLS LYVAAGGIHPRTVLPVVLDVGTNNQELLHDPLYLGLPQPRLKGEEYYAMVDEWVRAVT GRWPNVLIQFEDFKHPHAYNLLNKYRNKITCFNDDIQSTSTITLAGILASLKARGREQ EDLAKERIICVGAGSAGVGVCEGIIDCMVTQGKVKSREEAYESIFMLDQDGLLGNHSL AMKDPSNFHQSSQREEPLDELQLRYVKKDLPDRLGLEALVETVKPTVILGLTGIRGVF TEKAIRTMSRYQEKPVIFPLSNPDTHAECTAEEAFEWSDGRAIFASGSPFENVELKGG KIGHTNQCNNSYSFPVSSIGIGLGVTVSKASRVTSTMFLEAAKVIAELATEDELKQGI LFPSVSKLRDVSHKVATRVCEVAYTEGVAKATLKEGELLSDLVKDSMYTPEYVPLVHF EHE PHYBLDRAFT_107650 MPSEPNQQSGWELSSSGKYWTYAHPLEKSDNDDRQYRLIKLTSN DLEVLLMHDKNTDKSSAALDVHVGHLSDPTELQGLAHFCEHLLFMGTEKYPKENDYGQ YLSDHSGFSNAFTGLENTNYYFEVGHEHLEGALDRFAQFFISPLFSDSCTERELKAVD SEHKKNIQQDSWRMFQLEKTLSNPNHPYCHFGTGNMETLSEDPRKKGQDIRTELLKFH DSYYSANIMKLVVLGRETLEELTEWTVEKFKDVRNKGIDPPSFPNHPLTKNELMKQIF VKPVKDVRSLEMTFPFPDQRPLYAVQPGCYISHLIGHEGRGSVLSLLKKHGWANYIQA GSIHGGIGFEFMRVNIDLTEDGLAHYEEVILAVFNYIELLKKSGVQKDIFNEVQSLAS LAFRFKEKSPASQYASRMAGLMQHGYPSEYILSGPSLIREYNPELIEENLSWLRPDNF RIMLASQTPPKGVQFTKKERWYGTEYEVRDFDDNLNQYLNNLKGDDALHLPATNDFIP TDFEINKKKIDEKAKKPELIVNTPKLRLWHKKDDTFWVPRANVCILLRSPLAYATPAN CVKTRLYTDLLKDSLNEYAYDAEVAGLCYNIENLLEGMLLSLGGYNDKLSLLLEKVVQ KMCTFEVDAERFKLLKDQLRRSYKNFSLESPYQHALYYLSYFTQDKMWTNAEKLKELD DITAKDIQEFYPTILSHLHLEALVHGNIVKEDAEKMLMSALDTLQPKELLPSQIICHR SVVIPKGKRTKWVYKHQVEDPNNVNSGIEYLIQVGNVTQIPLRARLGLLAQIAQEPCF DQLRTKEQLGYLVISGVRKQTGSMGMRFILQSERDTVYLENRIENFLGKLRDLVANMS DSEYQAQLQSLISKKMEKDKNLGQEASKYWSQIHSGYYEFDQVETDIKELKSVTKESM LEFIDQYISPESPTVRKISVHLQSQKTITPKYKVNIESLHTCLISQGITRLSIDDLRD AVERGDAGEASMLAILREKLTDESKANEDEITSLMAKLTTAMGMTDNASVNGQIDGTF VSVDNKATARRLSSADAIDPVALNAKQEAVARDHTKLPKGNCIITDPVDFKSRMHLGP AAVPLAKF PHYBLDRAFT_179665 MNSKDAKLRPFVVLCVIIASFGALNSGFNTSALNIPGNSVKNCP GVEPGVVTYYPNSSLPQCIPMGDWIWGVATGMFAVGGLVGAMISGPASEKLGRRDSML VLNISFFIGAVLLSTCTTSAQFAIGRIFVGIGSGFMTVVISMYIAEISPPAYRGALGS FLQLFMTIGILIIECISIGLSSAIGWRIITVITIVPAIIQMICLPFCPRSPRWLINQN RIDEARTQLLRLRNGDIEEEFTDMILGLTKGGGKKTPVAVDGSTSNDADKDSATSGFD NTRHEASNEPFEGEVSLSFLQVMSIPVLALLTMKMMVVHASSQISGINAVMYYSTDIF EISFGDTAKYVTLGVSALNVCMTFVGLALVDRLGRKMLLLISAVGMCVFAVVMTISLI YTVSALQVVCIMMFVASYAVGLGMIPFIITAEVYPTYAVGAASSAALVINWLCNFIIG LVFPALQSACGAYVFLIFAGICLCIAIFIFFFVPETKRKSIEQLGQELGWANLDLETL LAKKEKN PHYBLDRAFT_163805 MILTLQSRYSSFCHFNTCDWIEEYFILEIRNVGIGHIRKVINAS NIRRSQKSILIKRIAKDYFWNYLIKTQILDIRTTLKEKVALTPTVVNIAPFPASFIPV LGFFLPLPI PHYBLDRAFT_122100 MSLAPVQIFQDQASEEKAENARLSSFIGAIAVGDLVKSTLGPKG MDKILQSATTGEILVTNDGATILKSIALDNAAAKVLVNISKVQDDEVGDGTTSVCVLA AELLREAERLVNQRIHPQTIIEGYRLASAAAYNVLEKSAIDHSKDKAAFREDLINIAK TTLSSKVLSQDKEYFSKLAVDAVLRLKGSTNLEHIQIIKKPGGKLMDSYLDEGFILDK KIGVNCPKRIENATILIANTPMDTDKIKVFGARVRVDATGKLAELERAERNKMKVKVD KIKAHGVNCFVNRQLIYNWPEQLLADAGVATIEHADFEGVERLALVTGGEIASTFDHP ELVQLGHCDLIEEIIIGEDKLIKFSGVAAGEACTIVLRGATQQLLDEAERSLHDALSV LSQTVKEPRTVLGGGCSEMLMSKAVDEVAAKTAGKKAIAAESFAKALRQMPTILADNA GFDSSELVAQLRAAHYEGKSTSGLDMVNGKVSDVRVLGITESFKLKKQVLLSASEAAE MILRVDNIIRCAPRQRQG PHYBLDRAFT_154443 MSQPQIFKATYSGVPVYEMLCKGIAVMRRRSDSYLNATQILKVA DFDKPQRTRILEREVQTGLHEKVQGGYGKYQGTWVPFIRGVTLAQQYQVDHLLQPILQ FVKGNESPPLAPKHVTAATVRPRKPRETRRKRAKRLEEDVSEGDISSQYSDLHDHDPP ISLETGSPSPSPLFRSTMVRDNTFNEESTSHANNSTSNHLLPPTQSSRTKRPRAAVEE ALEDSEEEEGVERTYAQRLLRYFVSETQGPPSFLLHPPRDLDVNVIIDEEGHTSLHWA AAIGRIQIVKLLIDQGADIYRVNYKGQTALMRSVLFTNNFDTKSFQLLLDLLQKTIFN IDKKDQTVFHHVASTASWKGKVHASRYYMDCLIDKLAYNRSELISILNVQDVYGDTAL TIASRIGNKKLIRLLVDAGASTEIANEEGMTSQDYLMEIERESTRNSHSHQDGDQSSP HSSGTEMAARTRLRQKVESMFKFILANDRSVPAVSQLFDGFASSYERELVQKEQDLKE KKTELDLVHKRLVGTQHVLDHTEADPVLLAQTEIQATQLAHQLRKLTQYVQKKKLNRL VQTTESMQAPLTTTDVPTLKHKKAQLLSQFVKLQKARQAKVDELMSLKSERPEKRYQE YKRLISMCCNVAYENVDVMLLPLLASFDEAAA PHYBLDRAFT_122104 MSSDAESPSVNHTPSTLPGKASQVKLVLLGESAVGKSSLVLRFV NREYVDNREPTIGAAFLTQKCHLNDRSIKFEIWDTAGQERFHSLAPMYYRNAQAAVVV YDITKSATLEKAKSWVKELQRQAHPEIVIALIGNKLDLVQGEPESECLRQVNKEDAQA YAHEAGLLFFETSAKSSENVDLVFAGIAKDIPLEHIVMQGRGAHSTRGGNLNGRVDLN QQVSGSGSSRCAC PHYBLDRAFT_163809 MTTKVILDLVSQFKADNEKAFCILMSAVKGLTEKVESLQQDNFN LKADLNALRKEISYSKPNFINDSIDDRLAVHKEYGIFTGMDFPVRFQFEPKKPDGQKM KFSWAIYHQLIKDVLGPASSKLLDSGIEACTKSVKDGRLICVVKEIVCKEHDIPLSTM WGALSAEAQNSAILHLEEMSSPHLPLRVCISNWGAKLLLSKYWNYEPRTAKKVYSETN ASTTSPNEGICTLCMQVQRWPIKSNIAYLEPISVYNTTSYLPQYPHISNDVDVYMEVM QHNFDQGEHPLNYPANDLLEHVQKKKRENPLVTYSGKFHWVFKLYCTKPVAFETEYDL PHPIAAPTRKQRSDKGKKRGANKCIQA PHYBLDRAFT_29684 MKLTASIATLLAVTLFTITDAASTSKAKPLHFNLDANPSYRRNA TRSVLRARGKYASHLTGLTEFSRPGAVAMADYENDIEYYGNVKVGTPAQTLKLNFDTG SADLWFASTLCSNCGSKHKKFNPKKSKTYKKDSTKWSIGYGDGSSASGTMAYDTVNLG GISIKKQGIGLAQRESSAFVSDPVDGLLGLAFNSIITAENFKTPMDNMISQKLIKSPI FSVYLGKQAKKGGGEFLFGAYNSAHVGGKLTTVPVDKSQGFWDIKISKMTAGKSSIGS FRGIIDTGTTLLLFTDEMAAKVAKGYGAKDNGDGTYTINCDPKKLSPLVMTINGAKFQ IPAADLVFDKIGNTCYAGFGYAGLDFAILGDVFIKNNYIIFNQKVPNVRIAPVK PHYBLDRAFT_130873 MKLSASIAALLAIASFSAVKAAPAVGVSTVPVDKTHTFTLTSNP SFKPNATRAILKARGKYARFSNDPTTFATGTIPMVDYEGDVEYYGTVQVGTPPQSLKL DFDTGSADLWFASTLCTSCGSGQTKYNSAKSSTYVSSTKTWSISYGDGSSASGTVGYD TVNLGGLSITNQGIELAKKESSSFQSDPVDGLLGLAFDSIITASGVKTPMDNLISQGL ISQPIFGVYLGKSSTTNVGEYVFGGYNAAHVGGTLTTVPVDKSDGFWSISVSGLTAGS SSLGSFSGIVDTGTTLLILTNTVAAKVAKAYGATDNYDGTYTIKCDTSSFSPLVFTIN GAKFSVPASDLVFEQDGSTCIAGFGYADMDFAILGDVFIKNNYVVFNQAVPQLQIAPV K PHYBLDRAFT_140776 MFMDTPAILTFNYPLLPSSPQSDKVCNTTVSSAIWEAHFQYVFN DIPFVSNRIAAIAVSHIRRLELEEFLRRAT PHYBLDRAFT_18562 MKLSASIGALLAIVSFSAVKAAPAVSTVLNGSSHTFTLTNNPSF KPNATRAILKARGKYARFSNDPTTFATGTIPMVDYEGDVEYYGTVQVGTPPQSLKLDF DTGSADLWFASTLCSSCGSGQTKYNPSKSGTYKSSSKTWSISYGDGSSASGTVGYDTV NLGGLSITGQGIELAKKESSSFQSDPVDGLLGLAFDSIITASGVKTPMDNLISQDLIS QPIFGVYLGKSSTTGVGEYVFGGYNSDHIDGDLTTVPIDNSDGFWKISVDDATVGSSS TGSFDAIIDTGTTLLVLTQSVADSIAEIYGATDNGDGTYNIDCDTSNYEPLEFSINGA TFSVPPEDIVFQQSGSSCVASFGYADMDFGILGDVFIKNNYVIFNQEVPEVQIAPVK PHYBLDRAFT_62524 MILMKKTDSLRFSKSTEISNEHASNLDNQIVLDADIFLSILLKL LSFVNFADSYFINTSLHKTSPFRCTNLNCLENMEGSEVMHRLWNRDLAAVLNFRHILN NLRYDGTIPVRFTRVIRIGRIRRQA PHYBLDRAFT_163814 MRILCNNILSATNVKLSVEGGLEDNRFQLLSFDALLNYQSRKKS NLPVLASTPVTISLSDNKSIFAYVNIPSEKLKLLSANPVIAKSMFSMPDRTSDQFVRL QQGEKWRTHRNFRPLIFTHNEIDFWSGYVFEFVGGSTNIHFLVVFSYDGKPCCVYLRL HGFNYLKRIKLETLLGVDSTPVNVALCYSVSPGKVFHLISRRKTLLEEPHFLKRHVLY ETGKPIDPKLFHKVRIAPIILFTDDTSGNRSKQYNPYKSWSMKCAALSYEKRYSIENI HFLSVIPKKKRASGMSLLPTIVEDFKRLENGLMMFSTQDNENVLVASPLLWVEADTPC HSELCGLRASTWLYPCRKCYVVLQRTTEKLKDEVHYMGRHDSRTKEHYLIAASTLDRS YTVPDAPLTGKTFKASKVSFRNRATDAFEMEYSNNNDSICFNLGLLNRPAICIVVPP PHYBLDRAFT_62520 MFFYMSKIIKSITPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLLLGDKAQLIRLPVASPSAVKEFSQVSSEEPAHL TTETMPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_163816 MPNYIHSAKCYLNSNKKSKQVQVLKGHSLKCSIPTLITSTMPVS TLKQPRIIELPFEIIILILSSFSEYDMKQFSMLSLDQEVWKAMYKTRFTSGKENTLRS NTNSLWKQSYLRKATLRLSAVDDMEIPHMNTEYWEIVKTESSIYGHVARLDFVCWFKM IGNLESVPPGEYKVQWRIRATGRAAWSGAINLRDSLEFIFRALMSLSINEAYEDTTIT EDTHDMPYGLYSDPSIIGYDWLVLTLPGRFVIDSKLGFSKVYFSHDDISISWKYKLDF DWVRLVPVSRTKNYADSKLYVKMDNGNHMVYVHDDSIFGNIYR PHYBLDRAFT_122115 MIDYEGDVEYYGTIKVGTPPQSLKLDFDTGSADLWFASTLCIDC GLNNTKFNPSKSSTYKPSIRTWSISYGDGSSANGIVGYDTVDLGGLSITGQGIELASK ESSSFESSPVNGLLGLAFDSIITAPGIKTPMDNLISQGLVSKPIFGVYLGKASTTGVG EYVFGGYNSDHIDGSLTTIPIDNTDGFWKISVDNVTIGSSSTESFDAIIDTGTTLLIF TPSIADSIAESYGGTDNGDGTYIIDCDTSKYKPLEFVINGATFRVPPEDIVFQRSGLF CVASFGYADMDFSILGDVFIKNNYVIFNQEVPEVQIAPVK PHYBLDRAFT_62517 MDNLISQGLTSKPIFGVYLGKSGTNDVGDDKKLSIQYVFGGCNS DHINGNLTTVPIDSSDDNLATIFFFTDDIAFNATSLYDTSDNYYSAYSISYDISRFKP GNLLFWGSTFNVPASDLTFEEDSFTCTASFAYVDMDFTFLKVGFIKKNYLIISQEISE THIVPIK PHYBLDRAFT_62516 MAYFPLASKMARVPLGLKLGLLVRVKVWVLPSRAIEALVESAAL TADNEIVSKKIAIIEKNFTINTEEPWGGQIEKVKTWSKTVEIRSPNLVQENTFDFAAR KTAQYIGEYYYRFQRFAEEISDDMQTL PHYBLDRAFT_18318 MANHKYKKYCNIPTTGSPDTGTVALSTPGIDSIYYGTIQVGTPG QTVRLNFDTGSADFWFASSLCISCNVGTERFDPFKSSTYVPTNLTWSIAYGDTSMASG IIGYDTVNISGLLISNQGVELASNAFVIIGDYPADGFLGLAFSSDATIKGFQTPMDNL ISQGLISKPLFGVFLGKQSNGGGGEIVFGGYNPAHVNGHLKHAPVNNTRGLWEIEIDG IHIGDNCLLYNSSYLSGVLDTGTTLVLFPQYVANEVARAYNATEKDDGSGTYIINCDV SSFSPLVFSISGTEFKIPSEDLVFEDADSVCTAGFGYFNAQFTILGDVFLKNNYVIFD LEEPGVLIAPSK PHYBLDRAFT_62514 MFTIILTVVKIIAILLEIAIISIIHDVSTDKTLTCPLSLDPKAK RNATHSISVARDKYYKYCNITTLDNSNHSVFPLSSPGVDALYYGTIKVGTPAQPINVI IDSGSSDFWFASTSCKNCQTSNILFNPLISTTFVSTNDSWSIEYADRSFAQGFVAYDT VMISNISIIGQSVEIAINTTALMENTTINGIMGLAFDSLTHTKGVETPIKSMISQGLI NYPVFGLFLGKESNGTNDSIGNNGEIIFGGYNPDHINGTLIEVPVDNSLGLWEIDIRA TTAGIEPFIRNIGHFQGVLDTGTTLMLFPSRMATKVAEAYNAIDKKDGTYTISCNSSE LSPLTFTIGDSDFSIPPADLIYTKDGSSCIAGFGSIDAPFGILGDVFLKSHYIIFDHE KPSVYIAPSK PHYBLDRAFT_18642 MKLSASIFALLAVATFSSVDAAPAVSTDPAVKTLTIPLTGNPSY QRNATSAVLKARGKYNRFINSASTFANTGTVPMIDYLYDVEYYGTVKVGTPAQSLKLD FDTGSADLWFASTLCTNCGSTQTKFDSTKSSTYVSSTKTWSISYGDGSSASGVVGFDT VNLGGLSITGQGIELAKKEATAFQTGPIDGLLGLAFDSIITATGVKTPVDNLISQGLI TSPVFGVYLGKQTTDAVGEYVFGGYNSNHINGTLTTVPVDNSDGFWSITASAATAGTT TTTTSLGAIEGILDTGTTLMIFTNTIATKVAKAYGATDNYDGTYTIKCDTSSFAPLTF TINGAKFTIPPADLIYYRGSTCIASFAYANLPFAIFGDAFLKSHYVIFNQAVPNVQIA LSK PHYBLDRAFT_163823 MKIVTQCVKYLVTTDTLLYGQMLYVAALLTYSFNTVVAYARKST VVVHNKLYFGHLKKQWRVNREALSCMNEENMIIRGHAKPVYVDYYPLSYNLYQCVPGI EQ PHYBLDRAFT_98895 PTSGLYTCMACQVAFESAEGQRIHYRSDWHRYNLKRKVAELPPV ESDMFQSKFDAQKSAAEVKEKPVEFKGYCDACRKPFASQNAYANHEQSKKHKEMAAKF ALQPKKEKAPVVATEPKVDQASLLVTDETTEEEMLAKIDEKIKAAPRLEETDCLFCTH KATTFEENMDHMTTVHSFFIPDIDYLVDLRGLIRYLGEKISVGNVCIFCNGKGRSYRS LEAVRGHMSDKAHWKIAYEDEDDAADIVDFYDFSSSYPQPEEGDEEVDVDAELSALTN TLRLADDDMSLVLPNGSVVGHRSLKRYYDQKLKP PHYBLDRAFT_140791 MSAGPIKYQYLISRTGDIVFSLTVGTLAYFVNERDNFRAQNGNS LRELISRKRERIIAQREQKSASN PHYBLDRAFT_140792 MCRPLYVASLAWLALTATSTAFPLTHTSTIDTLTLTNSLSDYYE NIVNQVMETMTRDIVVSAPHTFMDIHSHTLGDHSCRTSLRAFIRSLHTQLDGLQTHLL DSVRPLVESNLPSHARPSLDTAKLNDAIIILNRHISFQLDIILNPPNTARRVIQQSIT KIDDQMWDDTLVQRPIQMTSSLLEDSTLREASELTTWLAGSLSEMGGILRVEFDGRVQ DAMQSMMEDFLEDE PHYBLDRAFT_140793 MLSREEFDKAAESLVIASKEDWTLVGQPKKSSIILPNGDEVNEA QEEDEVNEEDEACGKLGLSQKVLTMEHHVVYSATYCVPVLYFMGYHADGVPLSFEEVY DWVVPKCYHSNLRLAHTSVQGSLSQTDHPHLGMPAWFLHPCDTSSLMATVGAEKLQPT GYLISWLSIMGPVLSCSVSTDLLLNSVTLKAY PHYBLDRAFT_179674 MPNESAQPTRRRAWWWKKSNKPAEEISDTELEGEQPMLILLASQ DAASSLQAHRPRSERSSAMSVVESTASIWSKPWVSQTHEEGQLDRPLVASVSEPTLPQ QRSSGSSVWPGKLKAGFGRLVGRSQSDDKETKDPSQDIL PHYBLDRAFT_107970 KNIVPLLDRVLVQRIKAQEKTAAGIFIPEKAQETLNQGHVVAVG KGALNKEGAHIPLQVSVGDKIILPPYGGSVVKIQDEEFLLFRDSEILAKIE PHYBLDRAFT_140796 MNDWAPSSILLPQGPPKPFCLIQLNQPIVHVHLFERLWANATIR LCADGGTNRLYDAFENSPEKRDKHLPDEIRGDLDSIRPEGVTVTRIEDQNSTDFTKCV CLMKEKEAKLGIKDLDVVAMSAIGGRFDQTIASINTLYFMKHEKNRHYVLVSDENLTI LLDKGIHHLQCSEYDGPTCGVMPIGSPATITTKGLEWNLDNTPCFFGGMVSTSNAIAA ECVVIETDSPVVWTSELRPENVK PHYBLDRAFT_18373 MKLSASIFALLAVATFSSVDAAPAVSADPAVQTLTIPLAENPSY QRNATRAVLKARGKYSRFINSPTTFATGTVPMTDYLYDVEYYGTVKVGTPAQSLKLDF DTGSADLWFASTLCTNCGSTQTKFDSTKSSTYVSSTKTWSISYGDGSSASGVVGFDTV NLGGLSITGQGIELAKKEAAAFQSGPIDGLLGLAFDTIITATGVKTPMDNLISQGLIT SPVFGVYLGRQTTDGAGEYVFGGYNSNHINGALTTVPVDSSDGFWTITASAGTAGTTS LGSFSGILDTGTSLMLFTNTMAAKVAKAYGATDNYDGTYTIKCDTSSFSPLTFTIAGT KFSIPAADLVYYRGTTCIASFAYANLPFAIFGDAFLKSHYVIYNQAIPNVQIALSK PHYBLDRAFT_163831 MSKIFTSSILSPKGSSDKFCLIILNQPIKHVHLFERVWANATIR LCADGGSNRLYDAFENSPEKRNLYLPDEIIGDLDSIRSNGVKVTQVKDQESNDFLKCI ALMEEKEIALGIKDLDVVAVSAIGGRFDQTIASINTLFLMNHKSDRKFILMSDENITI LLDKGVHYLQCSEYEGPTCGIMPIGAPATLTTEGLKWNLDNTLCCFGGMVSTSNVITA EYVTIKTDSPVVWTSEIRPERIEKQLYANTKSYN PHYBLDRAFT_77041 MNSPPVGCLFVTPDVSAAHQPGAQDILRDQDVLEIFRLIKQILR HDADPERLARLLFCVFYALGDSVQFSKPAHENMVLFRAYTGRSLLVHAVQLPKYSSCC PSETPTWEFSRDLFPENSWTVTTTTRIRDLRAVCTSPVETCMEPQERGFYYQDGRIQR EPTLLQRYAEQGLLTQASITRKRRKPSIASFDSLQLSVVRKKTKIPHRHGEFEQRRDT IVLRLQTVSLLELEEKAVSLPSDISLAVDTVPFNDLGPALQVLVDHANQKPHLDNGMN QYGIYYNIDYYKLYVAFEQFQQVFAALYPDQVVASRLPNPDRIPNDRERSANMKAYRP WIEPLLSEESNWAAFRRNIIVGERMVQLARLVGQGVLLMTKEISGSKLHLTFTNKEWA SFLDEMQSGKWGTPETAGADWLLSVQQKLATRYWFSPIGNPITPTERKALFGL PHYBLDRAFT_179677 MTSIGTGYDLSVSTYSPDGRVFQVEYANKAVDNSGTAIGLRVKD GVVLAVEKLVQSKLLVANANRRIQSADLHIGVATAGLLADGRHLVNRARDEAQSWRDI YRQPIPGKALADRLGQYVSAYTLYSSVRPFGCGTIVGSMTEGEPSLYLIEPSGVYWGY RGCAVGKGKSVAKTEIEKLKMEEMTVREAVNEITRIIYTCHDEAKDKDFELELSWICP ESKYKHQFVPKEIKEEAERLAKESLDDEMED PHYBLDRAFT_185328 MDLQARELHTDTIDNRNHSLSTANPSLLLQSLYAATYDYVGKPF LDVLVREIAKVTLAQTVILQEILTPEENSHETKSATNAAAAATTTESQSTQTKRISFI KTTQPIPPLPSPTFDRSGDERNHDDESPPFSQNHLFVRAVYSTSPLETIKQHTSVPLE LLQDTPYIQVLTEGSFGLQDTVEETSCYMPAHHSFAGVRISSSNSDTDGQVLGLLCLM TDTPMHPQALSVATTLLHAVQPRVSRELSRIREEEDLKRAKDAAKLDAENKIRFLADM SHEIRTPMNAVIALTDLLLQERSTLNEEQTEHLEVIQTSGHHLLTVINDILDISKINH DPKFKLESRRFSLRKCVKDALNMARHQASMSQQNKLVSVFECPTDMDENMPLSQMLAE LEYMPLPVHKTKTVLPLLYKIDADVPDHLMGDTMRLTQILLNLCSNAVKFTKKGGIRV GIKRYVPIPSQAVQQSSRMSFKQRYDAKMETIWSIVMGNKQTRRQKDGDARAGASDDE TEDYDKVILEISVTDTGIGIPADRLPRLFRSFSQIDISTARRYGGTGLGLAISSTLVN RMGGGLWVESEEGVGSRFALTLPMTIAPTRLKERTPSDSFSVVLTSPPSPSSTVSDGG GSINENRIDPLVSPAIINVGPGGGYYHTHSPHIPSPPSSSSCSSPYFNQRSSADISLP LWAPLNAPLNNTKPTTTTNHNSHNNNSNSALPPIPTMALSVSPAVDMYATHSRSIDTK RPSARPHRDIADKTKLTIEARTSRAAVAKQHYHHRKSTNNEENLAVQFPIKIMLAEDN VLNQKIAISILKRLGYHDAVIANNGREALDLMRTTKFDVIFASIMDLYMPELDGLEAT RAIINERQTSAVHRPLLNASDVYIIALTASASKQDRQICIDAGMNDFISKPFTMMEMK ASIKNCVSKRKPSSTH PHYBLDRAFT_163835 MTNDIHDTLQALLARMEALGARSAAPPVALDGSPADEDDTMLPT DHIVERPIASDLTPFPELIKAIPGMERDFFRQPLDEASRRRFLLNCPRNVLRQYQALV LNYSGVGTHTKRTDAQLADIQFRLSGLTRPIDLFAHDVLVEGSIQVTQALGFANTMHE LLSDLASVVTQMRSDNICRDANLPITPIVTNSALEPKPLLDSQRIVEQAKLQRALHDA ARPSRTRKGKRTGQSNRTNKPTQSDPTSAISVPSYQRSSRPHDSKKVFHSRPQLSKSQ TTNTPHKDMTLIIPRSKLRDIRREASRLLHNPTITLRQLSSFIGKAQATTLAVLPARL QTRQLISIRNQALYRGLQWTSPIHLSSMARQELQWWIDQLKAWNGHSFLPEVPQVEVI CDGRTFCTLDNLASPISLSAVEPTSPHTAETTTREGSRYVDNAQLVERPLVSSPPPAV VPPANTHPSSPGPSRTRLRRPRSPEEPTLEHDRVGHKLRRLEDQGFDDNANIIILNRD RNHSRRSYNRIQRAYIDWAHHHDVDPFIPNPVHIVNYLAYGATHLKWKASTCQAYRSA ILDLYSDKDSIVKDSTYIEFFSALNEQNLLSFHRPTYDIAPVIRFIHNLGPNDTMNAI DLTRKLCWLLAICGFLRPADLERVDDRRTSRDNGILRLVIVAPKEKRSGRRIERIVAI QPHEDPLLCPVATYLAYKSNIAFSVCVRPHPVLSQVTLQRLVRDVRNYDRPIGSERIS KHIQFLMEKIPRPSGVLLPKARALGPTLALASGASVEDILVHGSWASSAVFDTFYRLS RQTVSNFSTMTLTSSSGYLDTQPESLANEE PHYBLDRAFT_18490 MTADRTKRSTTTRRAYNCPMCSKAFYRLEHQTRHIRTHTGEKPH SCTFNGCEKRFSRLDELTRHTRIHTSPNKRRERKAT PHYBLDRAFT_163837 MPLCVCVCLCVCICICIWVFTCISVVHIICRLFIVIDPVILSSR FNTVNVPDCIWSCPEPKACYTSRKKRKSSEYSKISKISKKNNSHMLSFYILCKYNLVI YSQSWSVQTVQTVQFSEVQSSLVSHLINQSINQLIS PHYBLDRAFT_77038 MIEIDGQEWPTINLAEIRNSDPKILIKEYNSHSKIRINKNKLTA NRIHEKVGLSLMHLDNSKEWQEYTLDDFTFYSSNVDRPHSLNSMLARMRFKGTITDSI GRSVKVHSGEVTTRSLTGMTDDSKDLKVWLRSSTLKDEIYYLLKKPSQAYKPLFEDFL WSVRLSKIILSFLKSHPNATLEDTKYEGILNEHVYKEYPEEPWAKWNLFPHIIENALN IIDHIFYLCEDIPDEIISHQFWNNSLFEKIQNKLSGNPIKPPLYKDELTAVTPEAHTF YSPIFNNFLKLVKHKDSPKVNIKELPAASAVVIKDIFREQKMQNKYRVLDSNLWCLED PLGFRNGCAKFNKVLVDTEFIHVGDCVQLRNDNNEIWIARVTSIFYTNPKNPLITIHW LYKSCDTLLESVSNRDEHFSKKELWYTHHCECESSEELSVTAIYRKVNVKFNSNWCPD KLHHYFCQSFYSHLNAWFEGLKPTHLKVSDQCQCQPLSFEDSLAEFKKRAVGDLVYLN HLHPTLLTLHEIVSLTDAAVELRPLLLIDQLPKEHISSCLPHNGRQLYNEVVYSKKTV NYSIAELVQGAHLEYIDTAHLEFYDPYTPLPNNLAHNGAGHHYYFNKVFDPKVNILSP LTASDEFGAKYPPWKPSAKKLATLDLFCGGGSFGHGLEDAGVAECKWAVDVCDPALDT YSINTKGSHTVYYESVNKLLKETINNNPRPNWPITGEVDFIIAGNPCQGFSRMNHHNQ DPSSIKKSALLASVASYIEHYRPRFFLIENVANLTRFQYQHEKGSKGLFPFNQTIGLF VKLGYQVRWGVIDAASHGLPQARNRVFIWGAASGENLPQFPMASHVKLKKGLWGKGVS LPSGLRSDSVIQDNKTAFQKMTIKRAIEDLPSIPRKRKFPSEWADHETLSVGKDIQDI INIIPVDPVGLGLLEILKLYPKHPSLNSTYVDRLKKIKKKNPGNKFRDLKRVNPDNVI ATVTTSPSFRGVQSVPAIHYSEARLLSLREYARAQGFLDTDVIIGPLRNKHRIVGNAV ARPVAFALGLNVKV PHYBLDRAFT_176877 MSFVKTLFGGLPGRAQSVAAFHNAVATSAPLAAQQTYSVPVSML RRFQSTSTEKPADSADIKRLSMLRNIGISAHIDSGKTTCTERILFYTGRIKEIHDVRG RDGVGAKMDSMELEREKGITIQSAATYASWGDYNVNIIDTPGHVDFTIEVERALRVLD GACLILCSVSGVQSQTITVDRQMRRYNVPRLSFINKMDRAGANPFRIIDQMRQKLKLT AAAVQIPIGTEDQFRGVVDLIQWKALYNEGDNGENLVSKDIPADLIELATEKRHELIE QLANVDDNIADIYLNEEIPTAEQFITAIRTATINLKFTPVLMGSAFKNTAVQPLLNAI VDYLPNPTEVTNTALNIADNEKPVELTPYSGNPFVGLAFKLEEGRYGQLTYMRIYQGA LKKGSFITNVKTGKKIKVPRLVRMHASDMEDVDELGAGEIGAMFGVDCASGDTFTDGT VQVSLSSMFVPQPVISLSLSPIGKESPNFSKALNRFQKEDPTFRVHVDKESKETIISG MGELHLQIYVERMKREYNVECLTGKPQVAFRETITQAAKFNYTHKKQSGGAGQFGRVM GVLEPMELDEETGKDVAFENRVIGGNIPTNFIPACEKGFVDALEKGPLIGHPVNGLRM VLEDGAAHAVDSSELAFRIATKNAFNEAFAKAKPTILEPIMNVAITAPTEFQGAVIGG LNKRKGTVVDTEIQEDYFNVTADVPLNDMFGYSTELRSATQGKGEFSMEYKDHQPVQT HVQEQLIQEYKKKEAAKSK PHYBLDRAFT_179681 MLISIAIIVLTCFALSSTTFVNIPKTRNTFCKGGKCKKHTPHKV TQYKAGKASLFAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLECTACKYKMQL SIKRCKHFELGGDKKTKGAALVF PHYBLDRAFT_11683 LIVGGGNVAAQRIVSVRTADAHVILVCPAQGLIDETRYRIENKE VTWIDRNFVDSDLDGMDMVLTAMDDHEESARIGHLCRSKRIPVNVADVPPLCDFYFMS QHREGPLQIAVSTNGQGPKLANMIRTKIAATLPKDIGKTIEKMGLLRAKVREWEPAIE NSGKRMGWVIRCCETWGLETMSKLNELKGKEEENLYKVLEEYFKKNEVPPTSLVFPSS PEIALIGAGPGDPELITVKAKRCLLEADLVVSDRLIPQQVLDLVQGELRIARKTTGRS DEAQEELLEWCLEGLKKNQRVVRLKIGDPLLFGRGGEEILWFRERGYEPVMVPGISSA FSAPMAAMIPVTFRGVSDQVIITTGRGTKGSMPDLPEYGSTRMLVVLMAVGRAVELRQ MLLERDYPLTTPICWVENANCPEERVVLGILETMASVVVDNNIKAPAVLIVGKSVDVL TR PHYBLDRAFT_103163 SKVALLFWVRIQLEDYIAASIIPSVQDFSRSWRTGLAFCLLIHR HNPLLIPDILSRTDSQDKAVWLELLTLAFDLASSHMGISNYLEPADLIDVDHPHEPSV MMYVSEYYKVMSSAQKNESPTARQEK PHYBLDRAFT_157638 MTSSWNVTKELIENEKAEQHGSTIDVSFCIRATENEAKAAKTVS KPSSGKILHIKDEIVANVLWKTLEIRDFLTSSKHIHTPWGRALSVALTNISKTMGVQP TMSTQEAFLTAFELIRFDVLTNKPYSKTYSTIAGDEKEQCHIRLISRALSLLPMELKS APWSGPFNRDLLVFNSFVKALDRSYRNLCEMLTLSLFLNNGVVKEQKDYFEIADSLPY MSDANVTLGLVTKHYLEQIVTGRDPASATQSAEKTFLSCTALAADLRRGLLFWDALVK GTKVLKDAGSLSNESYQAFYQANQWLQNKF PHYBLDRAFT_140809 MLTKTSTFSRCRHFLKSLHNQKQPGLTSLCETTTMESSPSNSQD ILLKIHKSSIYVDPEEFLEQDAENNIDLCEIQSVVGVYHMETETDTENTSMHCSSPIT ISSMDFLTEIPDAAAPSSTNGYSNFYLKLPNGNWQVRCRDQHRTIVMSYEVQIYTQKP PHYBLDRAFT_163844 MGDRIPIEIILHILSYLPKKEIIKLLTINHNWFNVVSKQIYNTI VLRDKKDLFNIRNLFTATAKQSLQHNHGFYSSYNYGALVRKLDLSRLDCCNLVTDPVL RDLTLDTQNLTSLNLYNCHQVTDTIFKMILVKAIHIKHLFLAGATQLTGNALISIRSK PPILQTLNIYLIPDFFHNMSSRKLGALKPVLGNLRTFKAGKLCTRHSEILCTSFEGLE ELWLHGATDHQVRSILMQHHRNNTGCLKSLTLKYCKLDKVTFSYIPRSIRHLTYEHGP CSDYGWLHKHIQNLVTLRLTYSADFSLDEHLHQARALRVFAPPCISGICGLPHWSSSI NRLILTIPMVSEDFDILMDAYCNQLVELQVVGSGLQKSFGRFMPCLKIFVWKVEYIPL TRFQTLPRLIPLLRVLAIGVNDERDDNIVNTLEGWKYLEVYHLKVKEPSGLEYDFGHD FQYWEKHDDVW PHYBLDRAFT_163845 MKGDVINYFVNCPNKRRVILRSQNKSEMVDSVFKQTDTFQYLEK TLAERIMFLDGAMGTMVQRLRLEESDFRGTEFKNSKKDLRGNNDLLTLTKPDAIYTIH RQYLEAGADMVETNTFSSTSIAQLDYGLEDYAYRLNKEGAALAKKACDDVYKATGVPR FVCGAVGPTNRTASISPSVENPAYRNVTFDELVEAYSEQIRGLLDGGSDILLVETIFD TLNAKAAIFAIDVVFEEDKRPKVPIFISGTIVDQSGRTLSGQTGEAFVTSLKHANPMA FGLNCALGAEQMKPFVQNISRFTDTHIICYPNAGLPNAMGEYDETPAAMAKNVEPFAK EGLVTIVGGCCGTTPDHIKAVADVCRKYPPRRKPSPKNDVMLLAGLEVLRVDEMTGFV NVGERCNVAGSRKFCRHILKGEYEDALAIARSQVENGAQVVDANFDEGLLDGKVAMSK FLNMVSSDPDCARVPLMVDSSNFAVIEAGLKCAQGKCIVNSISLKEGEADFIKKAKIV KRFGAAVVVMAFDEDGQAVECERKLEICTRSYRILVDKVGINPYDIIFDPNILTIATG MEEHNNYAVEFINVCREIKAKLPGAKISGGVSNLSFAFRGMDKVREAMHSVFLYHAIK AGMDMGIVNAGFLTVYDDIPKDLLKLCEDAVWNRDPEVTEKLLDYAKAHSKDAKKDED LEEWRAWPVTQRISHALVKGIMKFIIEDTEEARQDKKAYPRALNVIEGPLMAGMGVVG DLFGKGKMFLPQVIRSARVMKKAVAHLVDHIKVEKEQDMLITGETEMKGHGTIIMATV KGDVHDIGKNICGVVLGCNNYNVVDMGVMVPCDKIIAKAIEVKADIIGLSGLITPSLE EMVIVAKECQKAGMTIPIMIGGATTSKMHTAVKIAPQYKYPVVYSLDASRCVAVAANL LDEARKEEFAEDVREEYNELREEYLDGLEDKRMLSLEQARAKRQVIDWVLNPPPAKPT FLGTKVYDDYPLEKLVDRIDWNPFFQVFQLRGRYPNRGYPKIFDDEEVGAEAKRLFND AQVMLNMILKKKLLKARGIIGFYPANSSGDDIEIYTDETRTKVAGVFHGLRQQVEKEN DEPYYCLSDFVAPKETHVDDYLGMFAVSSGFGCDELVAKYEADHDDYNSIMVKAIADR LAEAFAELLHEQVRKEDWGYANEEKLSSAEMFAVRYQGIRPAPGYPSQPDHTEKTTMW DIGKIGEKTGIILTDSLAMDPPSSVSGLYFGHEKSSYFAVGKIEKDQITDYAARKKMD VKAVEKWLGTILAYDP PHYBLDRAFT_18255 MPTTPEVPKQKACLICIDGWGVSTEESPRGDAIRNANTPVMDKL EKEYPFTTISAHGLDVGLPAGLMGNSEVGHLNIGAGRVVYQDIVRIDLAMSQNEFGKV ENIKGAFEHAKAGNGRLHFLGLVSDGGVHAHIDHLIALLKAAKEAEVPEVYIHFFGDG RDTSPKSAYKYMQQLVDATKELDYGTIATVVGRYYAMDRDKRWERVQIAFEALTEGKG TKADDPVKAIEERYEAGETDEFLKPIILSEEGRIRDNDTLFFFNFRSDRARELSQSFG ISPCPFDSKVPENLDIYTMTQYKSDFPFKIAFPAQTMDNVLAEWLAKNKIPQVHIAET EKYAHVTFFFNGGTEAQFDLEDRGLVSSPKVATYDLQPEMSAQGVADKVADAIGSDKY PFVMCNFAPPDMVGHTGIYEAAIKAVEATDAAIGTIYDACKKHGYILFVTADHGNAEK MLSDDFKTPHTAHTCSPVPFVMASHEFKYVENPKGKLADVAPTILRVMGLDVPKEMTG HSLLDEN PHYBLDRAFT_90335 YKLLAWQEHHPPDSIPTDITPPQYLSIQEKGVSAFEFEWEPDTS CFVAGRTEVQFVHGECCVQTNLPLPKQQDVYYWEAKMFEKPDTTTVSVGVATKPFPSW RLPGWNRYSVGYFSSNGCKYFSSPFNGKPYGFPFNHGDVVGVGYRPRTGTIFFTRNGR RLEDAYTGLRWNLFPTIGANGPCQVHVNLGQMGFVFVEANVKKWGLAPSQGTRAPPPA YGAERGSV PHYBLDRAFT_185336 MTDLNNSEAVQINKNDSVQAKNALDDEISKYFGESLFKELHTHT DVKLVLGYLSCAIAGGAFLYEYKTSFQEAQSVTLLCVIAFWVLQFGISIYSATVEKGV VFSGKNEKGTILVKTTMEPYSPIYKLELVYKETNPPKTVTYTLSKSIASWITTKGIVD QVRFHEDLQHSFDKALSSLHRE PHYBLDRAFT_130908 MISSSSDESCPAPWLRDMRKALTQVFRLNSFRTHQQQIISSAIQ GKDVFVLMPTGGGKSLCYQLPAILQNHAPGVSIVVSPLLSLMQDQVHDLVCVKGIAAA LLNSQMGAAHRRWVYSELKKPSSLMCLLYVTPEMLEKSKELVEALSCLYDQDRLTRFV IDEAHCVSQWGHDFRPDYKLLGNVRNRFSGVPIMALTATATPTVEADVLRCLGMQECK VFRQSFNRKNLSLEVVTKTPRSMADDIYQFIDDGRRNHSGIIYCIGRQQCESLAEELR EAFGLTAEHYHAKLTPDMRNNVQREWKEGRIRIIVATIAFGMGIDKPDVRYVIHASIP SSLEGYYQEIGRAGRDGQPAVCRLYYTYRDASVHRGQIDRGGGNSTQKERLNTNLNAM IAYCENVIDCRRFLVLKYFGETFDASGCNQTCDNCLGAMNQTNITKDMTSLAIETIRL VRSVQSAGVTLLQVLDMLRGSQSKRFVDNGFLEATSYGAGKHLSRPDAERFMKHLVIM GILVEKTEYNNMGFSSAYLKVGKKALDVEREALKVNLSFSSTNVTPSSSSSLRSAETS GEPKRRTKVVLPGFVTALSLTNKDQPNTRSTAKSGSTSNATGFTRPVVTPKKGKITNF ISPLNTRN PHYBLDRAFT_37402 MSISDDAVLELIFNPDVQGLPLDSINQSITNTSVCTLSPGRLEE LKHLEKDAIKLAEKNETGGALELLNRCIEMEPTYGSAYNNRAQLYRMVHKNDEALHDL NKVISLAEGQPKILKQAYTQRAIIKRQNGDILGSKEDFAIGAKYGNSVARNITIQDNP YAKMCNQVMLQVMSQELNIGSTENKNHP PHYBLDRAFT_62484 MCNLVQTNMHLYTISKFYFCLNAMSWVSAKLQHSVIPIIELLLC LVLLGCSAAPLSATESVSDEEIAAYSILKTVILGYLAHAKTVRPDPSTMSLSTSFKRM NALGFPTSGIYDAMGSIVKSFSADKILGIDVFNKWYKKYKKTKSNVSHAEESTSAEVV ADISDSNRILLREISSDENYTGTGTLASSNTNTNTAQPYDIQIKNWAEEYEEALREFD SRENDNAPYLAALLHHLGLDKAKKVKHCLLNDNLFIGYNDFSNVRSEPRCKYTSKDMT VTGSGSMCKYQVPVCPSLVRYLPIDMLEQLQGASNIDSTSLTEVFISAIQILYIVYEC IRGRGERWSKIIMLVFLIMSILQTLSMLALHSQVAAFSIHCNNEEAYPDSRSIPKFTY RSSIMKYWNFCFQDPNSMSFRRIDFAYDVTQKSGITPQVLERLFQDKDTKRVDISIQP GGIWELIVLVSTVAVPLLLGYWAKYDEEESSRWFVLLWIVYSFFETIVYINYYNPASF VNFRSHRGLNLFTFLLLIISAFLGIVLVIFATIFGYPVG PHYBLDRAFT_163852 MSIKIPFEILKKIASFTTRKEASICAYVCKAWSMPFQASLWEKI SILRDVELNILLQKLVENPEMYLHTGSYVQVLDLGSRDSLSDITIDKLQKYFPDLKIL KIEKGLWYRTHLTKRSDWSLWESLRHLTTCIPHCPNEDNFQELQRMLNGLPLLQTLVI KNKSPGSTSSIGWKTLEAIHSVLPNLEYLNLQSQMFRIPETDMVQIKAVLPATSLNTV KFDIENMSLEWFFYCAIKYKNIHTFQWRDSKSVGKDSSEAHSTYLEPTNNILLGKISA FLELPYFFPRLENFSLGENPAVAWRGVTLWQIFEELSFPLKRLGLTIGIDDFLPFTAA RIIRECSEACSKSLETFHIKAVMHKPNSAQIPRIFQAVPISYRRLIDLSVKAKYSIIE LDDILNNCPVLKKLSISADTILLSSVVSDNNTSHFLEQIELAKSKVKSCVFNHLSLRC ANLAHMRLSKLTVLGLISQDTGNLCLDMSNTCFKDLEIDQVVFYSMEDTNLDEYRHRN LKINIISIEQTSCARIQNNDNLSKGMLSGYTSKYTSGDTLWFHCFNPPKTASEVVVLQ ALSKEEVEYAKQYFMAYRHKSRGQLPHPSILCNDYGLVDSWYWSNDLHRGYVTLIYK PHYBLDRAFT_163854 MTLWNILTWIYSNSDFLNIYVPIKNSVIIPRLIKSVSGVQDSDK LSNPRTILKIDEVTFSKKSWVGAHVILQLVFESSEVSKGWELALVLQNIAKNMKNGDW TCQTHKLKQFSPSQGCRLFWMWTIGECCDSGLRSKYQNQTRISKDEKSEKVNVHNILG LEKYKRRQCFILLKKTGVVCSMMCYPI PHYBLDRAFT_154454 MRSMHASQVQKNVDVDEVHRIPPQLNYKYLAENAEAVEDNMRKR NYDGNSAQLLKKLYLSQIQSLSDAEQFKIQRDEVNKQIRSAKSKDERQIYIDQAKHLK EQLRVAEEKASAIEAEMVTQALMIPNDTHPDVPIGPEENAKILKIVGEKRTESYPLLD HLTLMERLDLVDFQQAALVTGSKFFYLKNSGVWLEFALIQYAMDKAASRGFTPIITPD VVRTSIAYGCGFQPRKKESSQIYDISTTSTVNTTTPKLCLAGTAEIPLAGMYAKKMIN EDELPKRMVGFGRAFRAEAGHGSAEERGLYRVHQFSKVELFAVAAPGQSDGILQEFRE LQEELFTELGLCFRVLDMPTEELGASAYRKYDIEAWMPGREAWGEICSTSNCTDYQTR RLDIRYLSSQFKENVGPKDVRVPWHIKEKWVNYCHTLNGTAMAVPRVIIALVETYQQE DGTIKVPEVLRKWIPGQPSILK PHYBLDRAFT_157643 MKVTFKETQPKKTAKNEEIAFDLNAMLAQEIEHLNRDTPEDRRV KEAVIMEKADPNKLRTDDDSDDSSSDSEAAAPPPPRERRRYYYEDDEYEDDLAVRDPE LFKITESNRQIIIEDMPAVEETKPGKQFRRPLELVFSEPPVTITETNRIIVTMTYGNS TVHTTKKSRKYLMAYDGGEESKYAMHWAMGTMLRSGDEVHVVGVINLEEDVDDMDEDE KKRLWQEMDRNSKTLISNVRTVLGDMLLYNIKIALYTIAGQTKEALLNVISETPLTMV VCGSRDRGSLKGMLMGSVSTFLVHNSPVPVSVVRPQKTEKKSKKKLTAAQKLSQSVRN GQLKVDEAEGAVPSINGHDGI PHYBLDRAFT_140823 MTKSDEIIPPEFTDHVHPWEEIRHFVLTNQITSLRRNKADQKIY RDWMTETLPKYKTIENYLLTEKLKFSDSVDGKPNVIILPNDFPYSVDPGIKHVLLWSQ VPLSKEYVIQLLEENFGSDKWEWVYFVNPPETQSVRLLPHVHVFMRPHTHTHT PHYBLDRAFT_179691 MNFLWSLGSPKKTEPVPNGLVPTTPSQQSFRPMNNAFRKGVQYN MKVVLRGDVMTGKSLLFNRLQGEEYEETYQSTPQIQVANIPWQYKDSNDIVKIEIWDV VDKAHNNSSKKGDGAIKLQHGAIPEDTPAASNTTESTELALDASTVDVYRNAQAALFL FDVTKPWTFDYVNQELANVPSNMAVLILGNFCDKSQERKVTTEEIHATLYEHNKQRID DGAIKPNLIRYAETSLKSGMGLKYIYEYFGVPFLQLMMDTLRKQLEIKSHEIIDLLVG LDSQEDVPDSMRRRRGQDNFDQPAEPHLARQREELKEAWENELEELEKHQSPLLDISH EAFARQDTPSPPIAPVKIQKRQGSLVPPTETPPPVVDHLDSGSLEDDWFGDDGGKDDS FKLPLNLKSSAADSDNEYDGNPMVAGDEDVESVEYFNDTTSHPRVERLASLQIISDDE KEEEEKQGVVSTTYRSELDDVWTMSNNTNEDIRPVDIGSESDEDILPRLNSENFGDSF AADRLRVDSSFGFGVYEEIGGDGGNPWSLDKNTRDEHSHSHEPEDLQKTVLVQDDQPV KESIVKEIGDIPKKKKPSKKKSKESSGKKKKSKRANA PHYBLDRAFT_29641 MISSSSVRVAVRVRPLTEQEKQTNGGQKIEITPNQPQISVGSNR LFTFDYVYPPSANQEQVYTTCVIPLLSSFVKGYNATILAYGQTGSGKTYSMGIGIDST NNELHRGIVPRFVYSLFERVESMRSVYHSCQIFVSFLELHNEDLVDLLSPVKREGLNL CIREDSHGQISWTGVREEVVTTPKELLSFLSMGSMARKTASTDMNNVSSRSHAIFSVI LKQTIVSKDSQSMDETGVKKLVSKFHFVDLAGSERLKRTNAIGDRAKEGISINAGLSA LGNVISALGDVSRRASHIPYRDSKLTRLLQDSLGGSSQTLMLACASPSELNTAETLNT LKYANRARNIRNKVVVN PHYBLDRAFT_163859 MAPYSGKSEYEREKDKESLTFLATNGPCTSLIVVIGLASKKPAI YMLDRLNGVNLFFYEHEICPGLVADIHFYRNGSERLLEKLRTTENLGTVIALVNCYRR QLYKRQDVFMPAKVTVKISKTMLATYSATTSSQATFLECAEYTEDNKDIQDSCSLTRD LAVTVNASVYKVALS PHYBLDRAFT_77026 MVDDSVPESSVSAETIEKNTPSSPSLNSSRRRVHSRSPTPAFHP YTNASGKVTKAKVTRDQQTRQSKK PHYBLDRAFT_179694 MSSTRLSLGVSFAMALLVANVSGPQYVYPAFGSSLASRFEWTAL QNSLVSTASFVGVSFSGPLNSWLIELWGIPNTLRAASLLGFSGFFLLAQTYVGRLPGH FVLSAIYLAMTGIAGAAGYLCALDSQSHNFKSHRGMSMGLTSASIGLSGLVFSQINDH YFKSSNSDGPDDGTYYFLLFLSVVMASGIMLGSYSLGPLRTDKEINDEYVPIETEEPI TGASLPNLEEARPLFSTKQKDISGIDLLYHPVSLTLFFALFVILGIGYVYLASIGQLL SSLTTSSSDHDSQHLRNFHISIFSLANCASRAVFGTLSDIGKTRFGIHRLWIFWLALI WLLFGVVYLVTVVNTVGGLTVCTVIVAIVYGIGFGVAPAVTAEFGAKVFARNWGWLLF APALGSQLFNVLFGAIYDYQAKQQHSHVCQGPICFQDTFRIAAICTAFCICMLSWAIY RQGLYRSQKPAVL PHYBLDRAFT_179695 MNAVNKGGRGARLPPEVNRVLFVRNLPFKITAEEMYEVFGKYGP VRQIRVGNATDTRGTAFVVYEDIFDAKNACEHLQGFNILGRYLIVLYYQQNKVTKKMN LQKKEEELKELKTRYGVGDD PHYBLDRAFT_157645 MRFVKPLPTLPGLHSIPVRFVAILDPPVPASDIIVQKLMKITGF SNEDSKIQTTTTNPSSDALSLEELLVTDIKKTTDQSSTEQESIFKTNGKLRLSVDSSK EQVYKWAGSQKTSGKLIDRIPFNHPVQLFNIIQCLRQQQMYNTLFQSIFYQTSHKYTV LPTSNQHTLSLDEILQEGSADTCLHIEVTSINNPHTLHLTLSLPPTHPLSPLVLIPLA ISIPIDTPTRPVVRLDQHDPLSGTQTNQAIGWNTFVFDEDKMTRVIQTGYSIPLLIRW LWNRIESNQNNLKTREELSLKRPCEDSLLWKEEKYMKMDISD PHYBLDRAFT_140831 MLFSKYNVNRLQNKRQKNALFSICFLISIQLLECAAAPLDISTF IDNTISEKLKAVFIVLKTVLLAYVAHAMTVRVDQSTLLLPGIFKRASSLVWPISGIYE AIGSMIKRINGDKIIGLPDRKRPEKKKTTEISHPENGILLQENDAQQKYDDLKKFAED VESLGGQKIIKDNSDALDTILRHMGDDKARVIKNSILNEAIYLGFDNNMGKQMHNITP YFSKDMAITGPGSVRKHQIQIPSSLIKYLPVSTLIQLDQAFGIEDSGHLVKFITLLQL LWTVGEIILGVGESWSKLVMIIYTFMSVLQTVSLIVLPAQVIAFSLIYDSSKNKNDLE KETGVQDPESQGIEKNTFLHPVSRIVYSSLPPKFQELFSYKNDTPYYIFRNCYPKAEN IKEICQELVVKKLRFTPQPIGIWEILVIFGSPAIFLLLGLVADYSKRNTTQQWVITWL LGSFPLTVLRIYVTLFKYVTISVPVPVPVSVFVQRNGLRCHASELLLNKRLQREDSPM NSKKPKTAIPRTDNMPHFAHLRSFIGSSKLLLCILLYNMIERCFAAPFDLGEYVDSHL SESEITALKVLKTVLLAYVSHAATVRPDQSTRFLPTLYKRMSALAWPTSGIYEAVGSI RKKMDGDNILGINKSTEKQSTKDDQSRRERVISHESNKGSNESDKSDAKHFYSQHHIE RNIRTRTYQEKHLQKSRGISVSPEIKEYPLAPEDLSAVTPTQSPKTITAYDTISSAIT VTFSDENPEQIRPNITQNNQKLSYGSAINETISENEEVDIVEKFILPKNRRRVLKKSE TLITVYADTMTLTETRAGFKEGIYDNGDHLKKILEDIGPERAESLKKAILSGSIHIGC NTVNADEEYRPFYYKTKTMTIAGPGSKHMYQIPVHPKIIRYLSKTMLNQLKEATRLEE SSHLPRFISLIQLGYTLYEIIRGSGDSWSKLILGIFTIMSILQTASLYFLPMQVSAFS IESDLERYEALCEELEVKPVYITDDELLQSTMKLLTQGAEKSERKHARIALHALAKSN VPSHLGMILFVEKETKLKGISTQPSGKWEYFVLSSGIVIPLLLGIIAGYKRHTRTEWI VLAWIISSIPFTFLRLFYLEPDYITKKSFPFATFLMVIPGITLIFVATVFSYMPV PHYBLDRAFT_157646 MLGLTQIRQMRVENSITTIATVTATTLLQYDDPSAGWPIDREGS NVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFYESKGEECSMIEAAIKTSRKAF VNGCVIDSALDHNCVKEAHNVRLQVQVDENRNIGQSYSPVYKDFFGKVVVFFEHKLNN KRWPLVLVNVYAVRLVNSIPAINNGQMKPMVVHLADVKELVGLVKSDATINTITTTAT TYAVWPELNRGPKLSLDSLADL PHYBLDRAFT_163867 MLRHVSSTVKQRAEMDSLNDLDDTDDESEDPCRTDGNASDSVTW RLNLTPNMITLETNIQTLAGLEKVLEQLRLNVNQENMEVNGRRHRKAVCSNEFEMKSF QNAMSTLLGLGMMVRMSKPDVFRQFNSIQLMQLMQQCVDAFLTCEGAFFIDSAQLLKD TQKVLTHADAATEYPIKTLLVLSICCMMIRHVGFHNHLPPAVSLGLMRNYYGQARVLL EDLFDCHHISVVHALFILSLFPRGHADFFSPSRTRSPLLQTALRMALAMNLHRIDVSG HAPGNVNEYRRRFSWMLLCADYFAESNAVGTTGWIAPTEWYVNFPDSLPGEHNASRIQ AFSYFCRVVMIRKMHFFRSTYMISLRSTKALADGLDNYIFNTLLDDGYSHLRLDPNKI SGWSKSDLECLLINSFHCNTSLIARLPFLPRDYLDSVQREAPERDRDIREIHQRLFHS TQSPPFIPFMHSNPSPSSSSSLSSSSTRVLMKNNPERTTHCILSCLEISNRYTLLLEA LVSVDPSGCHQSPVYGLLMTALVYRIFIASNQDTDIARVSQINLIRTLRLVERCKSVY GDAVLYYLEQVIPRWVVVPLEEPNEALVFKATQIINGLKSRLNQDLMANFREKSEETQ VSQESDIKPEKF PHYBLDRAFT_163868 MATNISCNCAINNAIQSHGSNITITDAQKTGSDKSDSFVLYIIQ FQSKLVMRRYSEFESLKTALTHLHPAIFVPPIPEKHGITDYAHVQKRVKDDREMITKR KRTLQRFLRRLVSHPILCHEHIFHCFLDTTVMWSTVLNQPPLSNTPKDIWGVSLPTDL SFASLAKLPYGNFSSSSIPVPSASHVLKSPDPRFLSFERTVDRQTHHLHAGLDKSQRR FVRRLGELTNDANSLGSVYNSLGLNETGPVATAIANISKATHNTGRETRIMVRTMESE VSELMHEYSQYTRIAKDVLNYWRLKKAQLELIEASLEQKRQTLERLINTEKEAALIDA AMQQRSPLATSLTSDDMGLSAQDTDDTDSHSIEDGFFAIKKSTPVKEVINITSNTYEY PTGASALAIRESKSRSKKWSSPRKLLDAVSTTIQSMMDVNPDVTRRQQISGLKDTIIQ LEKGMVKAQSEVEDVAITIQQEIERFNQQKEKELRVILIAYAKAHIVYCEENSRAWKA AGEKIETNKG PHYBLDRAFT_179698 MTMFCSSFSKIHPKDYQNTTLCIYLILCFMVVDCLAAPVVVENI LSPTEIAAITMMRTFFLSYLAHAKTVRPDSTTIRAATFYKRVSALAFPTSGIYDAVGS MIRVFYGDKILGIESFNRFLKKKMVSRNVFDYRHQPYVCSEISIDTPTLKKDFQYNSH EEHSNTTPYISYLAKDPSKQWVDQYRETLAEFNSKKNDNAPFLAALLHSIGPLRARKV RHCILNGGVFIGYNEYNGTNCMRRYRLTSKDMILAGSGAMCRYQVPVCSSAVRYLPVD MINELQAASFIDSTSYFQVMITLFQLGYTLYECIRGIGNRWSKIVMIVFTIMSVFQTM SLMVLHTQVAAFSIRCKNEEKFPECPLIHDSRCKAGKAKNCSKDAPPDTPTDTSTDLL KSTSFAHKFTFISSLMERNWVFCPSEDTDLNRVRRNAAHDVMSKSGVSPAMINELFGD EKADLVDISSHPGGWWEIVSFMLGSASPFLLGIWAGYGASATVMSSTIGWISISFGYS YTFIRTFYPTYFINFRFKDLQTSLVVLSYALMSSFGIAYIIQITIKGYLFSDDDG PHYBLDRAFT_62464 MHKCNMNRKRQHDSDVELVKAKIAKSVSTRKDRETTSKNEYKSR TRSQKSKEKAVNTRSQSAQPTHDYIDNLEQTFEKATLEEIERSDTFNTCCYKEAAYTS EDENTKVSMLSMSNIESNITEIPKADANIKKRKWINLLNNKYSHVVSSDEDELSLTRP APNKKSIAVKYKENSTNNKEQYENILLPQKLERRLKRSSSPSYREGFVRKKRIPTKIN VPSNENSERGRHKGIFVPRSPTLSCESDSQSSCVMVSKSLSPKPHIIEISEDEDETYG KDGILFTYPFHGKDQRNKILITRRDTEKLKLGVYINDTLVDFFLLWSKDVAAVKAPEA RKDTHIFNCFFYPYLREMVEKENNEFSLKKWTSNLDLFKKKHLIVPVNERPRIYLLDS IGVERKSILKNMVKYLNHEAKARYGSETTFIKPDLVHANVPQQKNGSDCGIYLIHFVG VFLENPEKCIDLLEATTTDEEAWGATSMDEKREYLRNVVRQLNEEWAKLQPDLLKNTV DYKNDKT PHYBLDRAFT_179699 MADTNELDFYEVDEAYVIQNSAIPNAGEITSAERMLSACSGGVF TTLLMTPLDVVKVRMQSRDVPKRGLTSTVTPALNGGTLTVMKSIIHGEGFFMLWRGLS AGLVMAVPSTVIYFAGYDWMRQQTQNSRFANSVLHDSSPLWAGALARIVAATIISPLE LFRTRLQAAEGKHGVTGVSKGIIEMVKRDGPTSLWRGISSTLLRDVPFSAFYWMGYEN IKHHLQSRPSYKHDTMSNFQSSFIAGASSGMLASVVTTPFDVIKTQRQISSIKDMPLS RLIKDIIASEGYKGFFRGTAPRVIKVAPGCAIMISSYEFGKLVFADRRRAKST PHYBLDRAFT_157649 MRFVKPLSTLPGLHSIPVRFVAILDPPVPASDLIVQKLMKITGF SNEDSKIQTTTTNPSSDALSLEELLVTDIKKTTDQSSTEQESIFKTNGKLRLSVDSSK EQVYKWAGSQKTSGKLIDRIPFNHPVQLFNIIQCLRQQQMYNTLFQSIFYQTSHKYTV LPTSNQHTLSLDEILQEGSADTCLHIEVTSINNPHTLHLTLSLPPTHPLSPLVLIPLA ISIPIDTPTRPVVRLDQHDPLSGTQTNQAIGWNTFVFDEDKMTRVIQTGYSIPLLIRW LWNRIESNQNNLKTKEELSLKRPCEDSLLWKEEKYMKMDISG PHYBLDRAFT_185349 MRKPIYSLSRKLGRMSWNKYNLFNIAQKELPYLTTKTLFQQKWL AKRETRAYHGDEVTERQFKSKFFDAKLPTINVASQTVPHAPVAVLTFAELERRLDFVV FRSNFCPSVYAARQLCVHGKVKVNGKKLAFPSHKLKDGDVITVDPHAIQMLKGEKGTE LNFEPKPFSQPFLFVPEYLEVNYNTCQTVFLRSPISRPGRSEIPSPFPPEMHSLAYEY YARNK PHYBLDRAFT_140839 MEKSVKQKLSSASAAVIWAILMGAVLIVLSVRYGNTTWADVVYY FDGVGYFAAMIKYLPLVKVNSKRKSTIGWSIQSIILDLLSGLLLGLQSILDSTSQPSD IIMHPAKCTLAIVIILFDLVFLYQHFVLYAYRRDFYYYHHQRKLSYSSDYDSRYSEHF SFNSNKSDNQYGAAAAVAVSAGREEEEEEEIVDFRQDEYNNSDSNSNSNPLVTSRCLV LYTNAIDITITIIINLVFSSTRGLVDRVTNH PHYBLDRAFT_95506 ACTVCRKKKVKCNGAQPTCSRCHSMKIPCQYSDPPRKRGPPKGK VEVIESRAHRIESLF PHYBLDRAFT_185350 MACLAGNFQIRTSFDYAFISDEAYFEILCTCTIMEKYCECQGSF CRNFEFQEASQQVQFGSIFTNGGYIFRKNSKGIYYDGHERADVIEYRKKWANRMMGCK TKMARFSENDEVTMPQLSTDEKAMWLVEGENPIRKKGPGMSLMISEFKCACHGTMSNG AWSSREVFHPGADRDGYWTSAHMLKQLESNAIPLFEVIHPGCKAVFVFDQSTNHKAYS QNALIANKITLGDKEVEEDDLCTLRDTTFVRDGEEQVQSMYYEKDEWFTKKSGQWVTK KVKYVKGVRTILEERGMWLEKDPYNPTSQPDFMSQKTALHEAVEVSGHIFELYPKFHC ECNWIERYWGSAKREVRLQCDYTYNSLDKNIHTFLDNAGKLSNVRNYYNRSWRYIEAY SQDMNVKEAHDSVKQIHFK PHYBLDRAFT_130926 MSDRRSGMVQSIGYHHTGSIVSRAVAAAAANNHHSSRRAGRGSR RYSVSVFYSMAAEQDIEVEDELAKAQRSLRELKTMISVQSKKNFTREHDVRFLDARIG LLIQSRMALEEQNEVVSRLEEHDEDNDGHYPGNLQMQQYGNLFYLLQSEPRHIATLCR LVSLSEIDSLLQTVMFTLYGNQYESREEHLLLTMFQNVLAWQFETTPEFSSLLRANTP VSRMMTTYTRRGPGQSYLKSLLSERINELIVRKDANLQINPLKVYAEIIDEMESMGTL PEYMPRSVTPEVAAANPQVQAMIKPRLEALLEVADGFLSTIIHSLDQIPYGIRWICKQ IRSLTMRKYPNATDDAISSLIGGFFFLRFVNPAIVTPQAYMLVDGLPGIHPRTTVTLI AKMIQNLANKPSYAKETYMIPTNSFVENNKARTSVFLNELCEVGDFYESLEMDQYMAL SKKDIMISITPNEIYATQALLEQHLQVLAPKPNDHLRLLMCEVGPAPPQVPRKENKPL DLSLFSRWEMPIQDLTMTLMSENNITQNDILYMETKAIFVQIIRTIPTLARQPLRLAF LAEAAGTSKDAQLVTKGIKVKEMLRELETVGIVDPKDGHRFLAQEITQELAHLGDLKL KVVKELESLESVYKTIQDHNNYLRGQLESYRAYLQNVRFQTSGGNKSNNTKQVGIGVE VVEAKTNTKKQAKVHVQGPFKFTHQQLEKDGVIVATEVLESIRVNVYLTISSPLPGTF IIELNYRGRDKPILEIDLKLDDLLEKQQYNIPVLDLEYLKLNVGKTLQLLTKSFLPKS K PHYBLDRAFT_185351 MYSNDEPSVFVCANPFNIPARLLSGKGRQLGVYTSSAMIACGWW CFLDAVIQSSSVVGATPMGFEDWFSGILTTFGMIVVNMIDKSRLYGEASQYDSVAWKA RLFLFMGFALMAGGLAGSICTLILKYVIHLDSTDIYFGVAGVIQNSLIMLSAMVLWMA QSAQGEFVYNVQL PHYBLDRAFT_62455 MCICRRLIDCYFENIKGVKIVRQCLEDYVQPFKIFIVIISGETC SIASSIRKNYKRDIRSVEEGHGKQMGHICYLLTRQADHTNKINIEYIVYVIGLSLHMF LKLHIGDIDNLLNSSLAKT PHYBLDRAFT_122170 MAPAKKSTGKKVAPAPYPVKGKAASKAPVNPLIEKRPKNFGIGQ DIQPKRDVSRFVKWPAYVRLQRQKKIIYQRLKVPPALNQFTQVLDKNTATQLFKLANK YRPETKAEKKDRLRAAAAAKAEKKEVAKTDKPVVVKYGINHIVALIEAKKAQLVVIAD DVDPIELVLYLPALCRKMGIPYCIVKGKARLGTVVHKKTATALAFTDLKEADKADFAN LISAVKTNFGDKWEEHRRVWGGGINGPKSQAKMAKRAALAAKEIAARQ PHYBLDRAFT_163880 MHSQLSYKQYRQLILMVSAFIIILLPFSFAAPIETILKENQDQS DMEAYFLRHFSKSVVDAFKVLKTILLAYLAHAMTIRPDSSTTLLPNMYKRLSALAWPT SGVYESFGAIVKIRHSDRIFGFNNLTVPPKLLPERSVNETFLQSEDWGSPNVPLLIDE KDLTPEEVDNGVENVEMKKHDNLEYLRNRFHSMEDDKIKRVKNCILNGSLYLGTNTLL ISKDGTKLRLESSDMTICGPGASCKYQLPVHPSYVRYLTKPMIDQLELSHGIQNNAYF TTFIALVQLFYTVYECIQGTGQKWSKVLMIIFMTMSVLQLVSVYVLPTQVVAYSIKGV AEFNDPYRYLEKRKLEHSHPLASFLLHMFPNRAKKIFTDRECIIYDVLYKTGMKPAFV KAVISNPTGANITIAVQNPGRWEGAVIFGSTVAWLMLGFWPGFMAGTITEILVLVWIL VGVPFHILRIFLFDPSYLIGWKLYLVLTIVLLVPGMGLVLSATVYGYIFGTPIEIS PHYBLDRAFT_163881 MSAYSYKRCTNRHSIGVSCCIIKMALKHVSLVKLNCRLVGNEVY KILSLRRTQDVHCIVLLMLPVSSAAPSDIEVFPDSRFTETQFIIFQVVKTILFCYVTH IMTVRPDGSATFLFAVYKRLCSFAWPTSGVHEAFGSVIKVYRSNSILGINHLSTHTIT KAAEVPGYFSTYQHSPPTAADLTERSLSNPTMRKFYSQNRWSDVLEESISPYYNGEHD NVSHLARILETMGPTKARKVKHCILNGNLYIGFNRLNELDGQPVHRPRTENMRVAGSG SVCIYQVPVHPTLVRYLPHSMIDELQQAYFIEETCYTQIFMCFIQMAFTVYECVIDSG DRWFKIVLIVFMVMSFFQTLSAIILPTQVAAYSIHCLTEGERIDPLEYQSPNNAQSSS SGFLGCMPTILRKSFEERDTIAYDVLQKSAMDSGTISVVLGDHINNGISITSQPAGWW EAVVIVASTLTPFMIGFWAGYGINTLTEWLVVAWIGFSGFFTIIRVFLFDPNFMSNAL VCFLFVILFVFPGLALVLASTITGYKLFIL PHYBLDRAFT_62451 MFSLRSSLSGIFCLLWIMSTLVQATVIRMTHNFNDPMIPKEYKI EFNKNESIAVGNYMVQSLHDEFTYAILNLENVSADENFHGSILEVRNLTAESCQALVE SVEKQSYVKAVYPEITPEKD PHYBLDRAFT_89883 RDFGLSQILQLPSSFGNIYLGESFGTFVSVNNESEYAVHQVGVK IELQTSSQRFVLSDTTATPRTTLDPQTTYDATVTHEIKELGVHILVCSTHYTTPDGKK RHFRKFYKFQVSNPLAVKTKLNHHGEGRVFLEAQLQNVSAGPMYLERMRFEPSEHFDF NDLNGFYNSKGQLKEGASVFGDNFIHPQDVRQYLYLLTPRDKDNDRIARTTNALGKLD IVWRSAMGDTGRLQTSQLTRKPPLLEDIEIQPFWLTEGQVRVCLETPFQLGVRIRNYS GQNMKLVLSAIKTKMGSVLLSGLSSRQLGDLAPSCTTETQLEFFPLTPGLQRVTGLKV TDLITGYTKDIDHLCDVFV PHYBLDRAFT_86476 EKEMAVNDKLKTFDLVQAVRSGKLPTNNQMTSFFQSMIDNPSIE TRKHLMSEDGQSLLKDMRKLLVTLQKTLAHKNSDELFQSLVYHMSQLESPIGKGFVGL PSGANQHTLRSDAESGARSMFTIGKIILLNEEFRNIMQEMMSIAREMFGDASGKVSDL SSKAGEQVENAGNQAQNMGEQAKSMSQKINQKTQEFTDQTREMADPGKQDQVINNLSN KAAHINGGGGQQMKDQVRNQSQDIKSKIKNQNQQMKSQVQQGAQQQTKDAKAYVHNKF PPEKQTELINRLKTVMHQVQREPDYQKAIDFILYLFSTWGKNVVEAGKSAGNVASNAT GNMESNGNWSHAALEIKAIFEDWAQGQSLDPLLESIQKVFYDIKNDSKLHGYYEDVTG YLKRLLKDPGYVDSEQSTKDGEKLLRTGQKVTKGRYQHLFYDMLDESKEISRAMAEDP VALEINKRLRNIHKDLWLDSEGNPAFKPQLLTDMRKTLLPAILEQIKYVPIPRIEYSD PQFDVVVENVVLSGDTMLPNIFDIKMENFSRFSPKTNVSNVDRQSIFIDMKEIQAVVE DVVFYYKKKTGFPKISDRGVASLIMGGKGISAAVRVTSNSLNPRNTFQVTYCKCNVDD LKLNIKDSRHGLLYKTVHPMVIGIVRRQIAKALEAKIIDFVEKGD PHYBLDRAFT_62448 MSVKLPFEILGIISSYVSRRDMIRCLTVCKSWRAPFEDALWETI EIDGYGKMVAICSNLTTLSTVYQKNGHRVRTLTLCGHMWIVNEELCIIQQYFHKLKHL NIRPSALSWYDFGKLANWDSWKSLTRLEIHMTELTGGDKEEEIIEMLASLPNLRHLYL DDDLPLKKEHFTWRAIEKIHLYLPLLEYFEMGSPLVAISQHDLPDIKYITPASNLAIV KINYGVMDLGWLYYCAIKYPSIHTFGWKIKEDNKRPLYLETTDDEPSDEESQQIESLP VRLARSGVEQKKAISMFSKLAYFFPHLKIVELNDKPNSEWQHTVFWKLIRQFRVPLKC VDYDFYNTDIDTESSGKIMNEHILACSNSLEALRLRAEVTALSSVLGTCADPMYPSVY SCLVDLHISLVSKEIELDILLNHCPVLRWLHLSARPIILSAGSLVNPATHGLKRIKIE DAKVDWRIFSYISLRCKYLSHMSLFDVAVCGPISQETGNLCLDMSHTHFKDLKLVNVD FYSLEDMYTEEYQCADELINFISFEQTESAHSKVFDTQGPLNTPSENHTQSTWFHLCL SSINKTHQELRKLEQHQIELAQKYFQSFHNKDHNLSTEDAQYKISGPVDKNGWAKDLS HGYVTLRCAYSLNHLISAYYQQ PHYBLDRAFT_140850 MTTPIHPSNQDCLSAFLDSPSENARHSLHHSPVSPITRRGANIQ RGFPFSPQRNYQRSPQLSIPYSPQRNLQCSPQFQRITPGTPSNRVYSILTEQDHSFGR FVEPVSSHRISETSHSIIRSAPDPLQELYFSAMDSNSYETAIFYAEKMVVLSGSYEDI HKLATAYYQSRQYERTLALLNKERGLSRFFEVRYLAGLCAIALEKWQDALDYLGRSNP FPEEQALMCCERGKVYLKTGNTQNAMQSFKDALHIDLRCVEALELMVQHKLMDEEAEW EFITTLPYEKHCGSHEEFFRSMYTMKIRRFSHISDIEEAQIKAERNFRLQNSVDFLRS KADTLLANSRFSDCLEVCQKIRREDPYYTHSIPTHATCLYELGMKSELYRLAQDLANT LQDDSVTWYTVGLYNLYIKDYAEAKYYFRVALASDKLMEKAWLGSGIASSYLHNYDAA IEEFTTCNELVTSSHLPYMYIGKQYMSLGDMDNALDNLSKSYDMCKTDPFLLVELAVY YINKKDYIGALEYLRKALALSYNRQGPMSQMWEALWCNFGHTYRYLKEYDRAFNCFEL AMSYNQNNPDIYTSMGIICHIKNDFGNAILHYQKAFEIGKNKNFIKEFLNKALSHYSK QLSTQQNIPALTPSNRFNIGQVSEPTGDQLEKFVEEEYNVAIGKTNAMDSEFAERSFC DDSSAQMDNIILDD PHYBLDRAFT_176887 MPSIFSPDFLTSEQLANLKLYKYAAVDLSFTSRYLLVHYWNWAV GFFPMRMAPNLITLTGLMFMIINVAFAAGLSNEMEDGPRWIYLSFAVGFWLYSTFDNV DGKQARRTGTSSPLGELFDHGCDALNCSFAVLLQTTGLGLGQTHSTVMLYGIAMLGFY LSTIEEYHTGILYLGYINAPTEGVILTCVLFIFSFIYGTGIYKLPVSTLVKMEGLPTC VANLPINQAIVWSIGLTLIFMHTPSCMYAMYKACRQKNKPFVRTMLNDNLPIIIYTIS FYLWATSPYSIILSHHHFFLYSITTGIVFGRIASKVILAHLTKSTFPTFTVLLVPMIV GAILTNLPRVPGVAPILTPQSEHYFLWGFFGFALLAYLRWAVVVIKSFCSYLNINCLT IPKKYSEKIQ PHYBLDRAFT_140852 MGSLVWFGSTVFLFILLGSKTYGAELTADHVGQQHNCTFNYNNT LYFYGQGLQSSPALYYIKIDSSTQPTEWKKTSFQPQDVAYCGVSDGSAVFVTSPLKNN TIQTVDLTHSTMNVTTTFGVLPETLGKPAMRSAVANGTLVLFDGTSSRDTWLLSTHVE PKIWTRLAPTDLTPPSTNLGELVVAGALIYHFSTESMSTGGYAIHVHAFDPTLGRWTG YIGSFHSPSPVIITEDGMGRVFVFSAAPNYVWNITMSEFASQPLHVQPLYSGIETPSS DTKAAIVTLNSTKQVVFYKFLNNGLTFYDPLANIPLRTSASVSSPLGTKDDENFWNTK VGRRLEIGLGVGLPILVFGLILCVCIRRKRKQNQKVHETPEIIQPDKDEMSLAEEAFQ PTPLPQHTEDAETWSRRVLRLLTSITPDRSVFSRPAARAPSRSSRRTQQTQASSITNG ARSSRRI PHYBLDRAFT_163889 MNVSEPILSLSMPTLEKFPSLGGEDMTKMWQMFSKCKQQIEHGR RLENMCWRLWHHSVGLPADQQARSPPNIQRFISTLSPVSDGWHESFSPTPMTTPHSEC SRTTTPVLDEDEEEEEEDSCDEDLDDESESDWDDDEFIIPIKRIENCFIKTQPPRPVV RRSLLSAALCDQKLCDQKENRTPFTLKHSKIASAVLTPIHPQTTSFLRHPAESIRHLI SEQIQDNHSSFTSRPGMGWLENFHI PHYBLDRAFT_122178 MLRNSTWRLDETNLANFGSDLEHAQRKEEAELEEVWSYEGTPIG NETGMFIWRVQNFGLVRVPEKQYGQFYQGDSYILLKTTTKPGSDSLVHHIHFWLGNET SQDEAGTAAYKTVKLDDFLDGLAVQHREIQNRESSLFKSYFPSLTYLQGGFESGFNHV EDEELPTRLLRVLRPSRLENSRTHNAVVISQVSLSYESLSSQAVFVLDTGDIVYQWQG SHAKGVERAKAAEFIAQLLGERGRGEMVVVEQGSGGENIFFEKLGSSGPIEEHEAEIE QEEEEEEEKEEEVTKQLMCLSSSGPFGLGHLHFDLVAKDTITRDMFDSQHVYVFDVGH QVYTWIGKQAGRKERKRGLQYAQDYIKHSGKSPFTPICRVMEGAEDELFESSLEGWQG W PHYBLDRAFT_140855 MVNHGRLIEDYNLKTGDTIRVIPLKQQLAPTNIEQTSSPVVQIN ISNDIKTEPIDSTPEFPTVVPRPLSQKATLSPRIKQPAADFPFGPTKMKATSGFSRSV ERIDLISPSGRYKRRIPVQWSTNPIAGKTGLNIVPAEHQGPLPHVPVGYCVDMRMTMS SIGVHRPPIAGISGSQSMGYVVSIVMSGEYPEDEDQGDEFVYTGSGGRSGSDLIQTFD QDLTRSNLLLAKSCNVRLNTITGGDSGDAWKDSAPIRVVRGYNLSKNAQKSGRLERSY GPSQGYRYDGIYKITRYWSEIGYTGHKVWRFHLKRDDPSPAPWTVEDKQEPNEERIQQ LLGFRSILKFLVPDPGYKKLHLTNSYRPSMRKMDQEDASEPIRRTNISSGTYIPTHAI WKAVIGDQMNKRIWMRLIDLNYQKEYNIKDHLTFIENALTQPEFQCMICNEQKCRQAD PVYRDGLNIPLVKAESLHCTRCDYNFCEKCLKPMIERKHVVCPNCLSQKSLCFNRRLS AVFAAMNIPL PHYBLDRAFT_163892 MTLKKPNTPLTPVQSPSDLFSSIRSRLSPPYLPLSSDDSQTKRL ENRHWRLWFRKSGIDIKPTTISPITPPYSLEDIPRSSTTQPMQNIVPIKPPDIQISLE HENDKEDGDREDDDETVFDEDNPNAISSHDASIVFTKQIPQKVCRKSLLTILLSQKMP TPLVLVSRSTVPNTQVSSLVSKAKLIQNSNTCLHSSLVRSSEWRSQTNAHPTQMPLLE ASLKPLLQTCNNPNRKYYESRTKETLSKKTHPQIHIHNYTQSSVVMARVCPNIADVRY ICQRAANAIISEIGPYRVSTDALQAINLFLDEFLVLLLTSSASLDLSRIKSVVFTLLP STLGKNAIVEAELEVKTFTETETIDYEAYERMRLLGQSEPFPTQTVLPCLRDKCFEFC TLADKEDQQQWMALKAKPPSDIIISPMVAIYVTTVLEHMAEYILTGVVIAAEHEDTEY VRIKEVFLALIDDVQVGDVFYRMDMREKMEKRAITLGYRPRNSMTPSRTSSPTPSRKQ NFLPDAMSESVNGSFMDISFDDMDLGYDDDRTIASRPNTPFRPNSVITQNNNNTTSTF YGVQSTQKKAYKVFKKDESNTLDVPEGPSSYDPDAPAMNFEDLIRSGSTVRVSLTPNR LRSIEVKDLAAVQPPESPSWERRSTTLPRISTPPAPRPEMPFIQSPLEMPEESIHPTA PPIIEDPTPEARFENPREAPKPPQHKQAAVVTPSRSKRKQSLDRVPEEPKKIDEPILR RGSMSSRKSRENLRRQREKDEEYQLQQQQKPQENQQDQPQQQPQQDQQSVTPSIPSPS LSHQDSPTVVVHTPVPENVISTAPEPTPTPATSTERQTKVHRKGSFKKSSKAHKSTPD LSIHRDSDTSSVDTASLTPRPERPSMSVAKRASVLASRRQSAHEDGMDRQPVRLSTAG SVGLSIKAWDEISKQKLQKEEEEPKEKRRSMIHQWREKIDSEDDSDASSRRKESAVLD KVLKFEQVSSLDDYRASYVSRRERFLYLQRDPIALERKKPTPVRQPRGIDASVQTETV VPIVAVRKTGGNFGDDDTLTSAPMLMLTTEDGNGRALDRADLDSEHGMVDGDEEWFLQ DDEWEDVQDQESAVVDWLLGEA PHYBLDRAFT_179709 MQSGNHRITKSFQSHRTRHTDKLRDLLQLSPSDSLLLDACARKT AEEVSRLLTALPELNPDTIRDKYLRTPLHIACGRQDDLGEATELAKVLILAGSDVNNG VGDIDGLQPMHMAVLANNVQCVLLLLEHGASVPASDPFRLTPLLLAKLKLDNLRLTQQ LIQRASNGRFEERRMSSSAQSEYGDLESITEVLVTHLSNKHITTFGSPRHLSSTHGLS DFLFANADDDGLSEVISGIAAQFSNLHMNDRPTTPEEAEIKVQKLHDSMNGLIEKVRQ LGINDKAKVKGP PHYBLDRAFT_140860 MDVPVFCVPRILLLRILLQLFVIPPHLTEERIGQKQVNFYGVLM DVLQECGHLPDINKFLYTCLHRTFLQAEEPIVNWGWHAARVKDESDWNDIDWKKQNDQ EPAALHFEIMTSSARSLIDLELASPAFKVENDPRFSIQDSQGKQIPLDSVLVGTLQYL QKNVSPEERQGTEHLVELRLEQFSRDRYELQRFPATHFVLIGALMKQTTTIMGTSDKW CVYMRDFIEDNAGTNWRVLEETSLESDNQKISTVQAWADIRGASIITDNGRTVEPSVF GQTYRPVYLFYCNQKVLLSNASGPPLKQDGVITMADYSFEEEQYPEPLESDKEQDLCK TCFIAEHAPGNDIYFCDGCDGCVHQLCEDPPIEDFENKIDPWYCRACLKERNLPLPRP PPDHIPQKRKFEGP PHYBLDRAFT_107542 MFHVEVAYRLIDDELALDGIPSLNLASFVTTFMEDEAEKLMVEN LSKNFIDFEEYPQTAEISNRCVNMIARLFHAPVGRSTEEALGCSTIGSSEAVILGTLA MKRRWQLGRKAKGLSTENPNIILGANCQVAWHKATRYLEIESREVPCTKDLLYMDPKK AVDLVDENTIGVCAILGSTYTGHYEDVKTLNRLLEEKNKATGLDVNIHVDAASGGFVA PFVTPDLEWDFRLNRVVSINASGHKYGLTYPGVGWAVWRNVEFLPKDLVFNVNYLGSE QASFTLNFSKSASQVIAQYYMFVRLGRKGFTRVISNLVRTADYLAERLDKTGRFIILS EKEGRGLPLVAFRLKNECYYDEFDLASHLRQRGWIVPAYTMAHALEKMKLLRVVVRED FSHTRGSLLIRDIMAALQHLDSMDKASIDNSR PHYBLDRAFT_107230 VHVYVFDTGINVNHTEFSGRATMDANFIEGEDSIDLAGHGTHVA GIVGGDTFGVARNVKLHGIKILDRYGDGTTIALLKAIEHVVEIAEPGKSIINLSLSGP RSAMIDDALTSAVLDHNIPIFVSAGNSGDDACQYSPSANEYVFPVGASSEKDSLPFFS SYGPCVKMYAPGTNIISSWLGQDIKIQDGTSMASPHVAGIAALLMSRKYYGTVQELYD TLTEVATRDILSMSPYQAGSSQNLLAYAPI PHYBLDRAFT_62435 MSNRATIIAPSPLRFLRAYGGYENPPHLSASLRNVSCREKYPDS QVPTGPIIPEHTQKEMDPILDHTDYSFAVFELRAIVGSTPYTLYEPINRRNHFDVSRE ILLFPAPSREMKWIDYDVRSTPQLTDKQLSN PHYBLDRAFT_18578 MESTWSEVQHTCDIGLNKVSPVSALCWDPYHELLWVGNDSGRVA SYYGKGLQRYTSFKAHKDQQIRQIRVTDRGIISLSPKSIQMRDRRGMVKWNISNEHTR DLHCMMATTLISGELLVAGQQDDMLVVSMARGTVTRTVPGASNIVVMRNLPRAICCGS LLGEVTLRDTRTMKVEQRVQAHTATLSDMDVSGNLLLTCGFSQRQGSLIMDPLVKVYD IRMAVRSLAPIPFPSGPMFLKMHPTLSTTCLVASQTGQFQMCDVSNLTMGSYAPPAQF YQIQTSSYITAMDISSSGQALVFGDGASFVYEYADREEFEINPYSLPLSTPDLVAPPN VVMTEESPLSSIGMPYYTEPLLSVWPSNTVYEVGHPAPQIDEEVLRNMKMIDFVGHAP NPGNMLRNQVARKKKHAHKRDVPKFRSEQERELLLANTHSGRRSSSTGDLRKADPLGL IMPQHYRRVEIQYSKFGVDDFDFGYYNQTVYGGLETHIRNSYCNSLLQMLYFTVPLRK IAKSHIGTPCPKENCLLCELGFLFRMLDDANGQNCQATNFLRAFSTIPQAAALGLFEP ETPDRNISYSTLMQNFTRFIMEQLHQESNASNENPLISKILNLDNDTLSQSKCGSCNH EISRITYPFVVDMLYPKKREQKSRKRSFTSVLKASMYRENQTKAWCPSCHQYQPTTTK KIIRGLPGVLLINSGASNSDEAAIWRQNGPNSPKNSLSSPQIEGQERKEIPIEFKGAP DTCAIYELSSTILQIKADEELPHLVAQIKIPTDELEEHSKTPWYLFNDFLVKSIRHDE VLSLKGTWKTPSVLQYTRIDLDSLLDLEKLPSEVDYSLLFKDLSIAKQPVVEPRHEIL TPEEMPKPGTIVAIDAEFVALNQEETEIRSDGTKSLIRPSTLTLARVSVLRGDGEKEN VPFIDDYIATSEPVVDYLTEFSGIEAGDLDPKTSRYTLVPLKVAYKKLRMLVDLGCIF VGHGLNKDFRIINLLVPPEQIIDTVDIYHIRNRQRKISLRFLAWHLLHQDIQSESHDS IEDARTALVLYKKYLEYRENGTFGQVLEDVYEAGHRANWLKGPKDALSRIPTTPQIES VLAPNQIFTVAGWP PHYBLDRAFT_179712 MPVSVPFFTNRQLSIALTEPVVILRGNPRDPVTNILRGEVELVL TKPMSASSITIQFVGQSRMLWPEGIGSRGMKLMHEKKIHEQELVLMSAQDDQIKQILG IGLHRWPFEFLLPNNLADTIEDEMAKTNYSLQVMVNRPNGQSKLRCRRNVLVLSTMSS SDASLESHSLPSPSITTSRHMDLCDVALCVETSIASSGTLFPITLTVNPNTKQVHLES FSVILNERRVYRLPEYDVRRSEENDFKVKLSTATSMIDPSLAIEDVSMTQLRRVVSTK NAHIKIGANSFQYRFMFTLPNCVEVNHSTNFHEILIRHRLRIQIELTNGDEERREVYF EVPITILDCRLKEDYSILPTYEEALLNPAFDEEGEKPGGFFVCPCYLAYQKKRRVNAK QEWIAFRNSSHKQNHAQPPPAYDEHTQD PHYBLDRAFT_130942 MTITETIQASHVDALKARFAAAGQEHVFHFYNELSAEDQAKLYK QLETIDVERLNVIYQKAIQGAEAAGEQDASLEPLSGEVFESVLNSSAEKLQEWETIGL TQIAQGKVAVILMAGGQGTRLGSSAPKGCYDINLPSHKPLFQLQAERILRLQEIARQY KKPGDGVDSIIPWYIMTSGPTHGPTYDFFKKNNFFGLKEQNVIFFEQGVLPCLTMEGK IILEDKAKVAMAPDGNGGIYAAVQNKGVIDSLKERGILYTHCYCVDNCLARVADPVFI GYSVSKGTDCGVKVVRKEQPEEPVGVVCLRNGKYGVVEYSEITEELTQRRNEDGSLSF GAANIANHFFSTAFLERVPTFAAELEYHIAKKKIKFVDLETGEQISPKANSGMKLECF VFDVFPFAHSLSVLEVDRKDDFSPLKNAPGSGADCPETSRRDIVAQHVRFIEAAGGKV VGEHSDDLDKLHFEISSWVTYSGEGIREIVQGKTITAPAVIETREDLIRLAN PHYBLDRAFT_140866 MEDIEQRDKVLEIFNKWKKTKAVKAYWAFRDKENQDDHHNIESL SPSVISSHSTISLPNSNSSRPADSTTATTAITDSTTSFKTIETTETISLSEAKDLIAP NIELCDNTTIDPQDIPQTLSRSKRYVFDLLNTSLLTFETHLQHLRSLSNVLLIGKHAY HLDLDKHSICDISDIRQSLYDTSGFNKQQSKFPKEIMIKVITIVNSINCESMTRIIGA TKVGELLDHIRLPLSLDELPQRIPFLDRLYNVVEIIFKRYYTKSNKRKGHLHNFGNSL ESRVLKAITERTVDRTHDNCF PHYBLDRAFT_62430 MLQFYCLVLLVSSFYISPAICQNAYVVELSSKEALTHFNAQYNT RYTYDSDLLRGVSVQFGSTDEASIALAHPDIKNIWPITHKSRPTSTSTINININNNNN NNDNYPLLQTFDKDAPAMLTKANNAYRTLGQNGSGIKIGVIDSGIDYTHPALGGCFGK GCKVAYGYDLVGDDYNGRPESIKESHDPIDSCTSNSTSATGHGTFVSGIIAADDKKYA SYYCQNWTGVAPGATLGMWRVFGCNYGGVSNDILIKAMEMAYNDGMDIINISLGDNGG WEEDALSVVADRLVDLGVHVVAASGNIGTSGIFLTAAPATGRNVISVASTSNNYSPAF MINIESPNSKFSIPYRTFVNQPVSFNQTFRITAASTKFNPTFDACRRKDLPRHIYGGI VLIRQGGCSSLLKVSNARHAGAQVVLFYTGTTGTTNFEVLKEATLPVAFINLSDGKQV FDAISHENSRLFFHRSYAQFTKLMVALPADKSSVDQIASFSSLGPTNELQLKPELTAV GGNVFSTMPIYKNGYGFMSGTSMSAPFVAGSVALLLNGLEKRPSPGEAKTLLMNFANP TQPPIANLAQYEDSPIRQGAGLVNVVQAIEGYRTFHVSPAKFSFNDTQHFNNHQVLTL HNHKSTPLKVKLSHSPSLTATGYDLTNPSSYVPTEPIGLSVSGQSSVASVSFEATTLT IPPNSSKSVKISLTPPSIFNPQSHVIYGGFFKVDSEDDQISATVPYIGMVGNMGSLPI LQRTENSEPFPFPSIGNPNGTILQRHSLGHYDVTPGSTNLPFILVRLLTGTAIVQLQV VQVDYDDAKNDKVIGDIPMETGSSGINTRVWLQRNTLQLTESSTAYHSWQWNGVYLPK PDATISGHNDPDVKPKLVEPGVYRIRVRALNVFGNRQNTSDWDTWTSPNLKLHRFSHL LPSLILS PHYBLDRAFT_122186 MASRANISEALSHSQEMTDVREGWQRIGEIDLKHTLSNPLPSGY SRQRPHAFALQQPNGGVYLFQVGSASEVLEWVETCNYWAARESREPLTGGISNMEYGW GECLDGIGLDSAGDPYYHLLQSRDTTVHEWQPPVPPSGPSTMDQHAQLGALHRHVREL NEELDKHRDMKLKMELRFLKSQGMQAMTNWENKSHYLLHEIIKYQNYCDAIEKAILAG KATPEERKKAPSVMLSHPSK PHYBLDRAFT_163905 MQEIVKLEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKTMVRLRAKMREGKP AATQKTKNKKQNKNKQEPLDPVDATKNKIKQIKQEPLDPASRPSRCPPPPKKNGFKRP ATALEDYQYDNRTSVGKRVKFQPGFPVSHEMVDDVKGGFSPTADGWCGFRVLAHLIYK DQNKFSLVKRDMLAALPKYKTLYTNTFGTDTSQLGKIIQHGSQLDYSNTNTNTNFIPV CSDASMWFNTPDCAQLAADTYTRPVCVYSDNPNTPSTTFLPFALPNNKTKQRQPLIFN HVNSNHWTTVDLSRNISRKWPTVPELFFLGCARNKIDDNFDTYWNKLKEFNKHDRRNA MLSLHSDLDQPIDLTPK PHYBLDRAFT_185363 MKIYDLCLKLNREKMTHQQQQNYNKKDIALNMNSLKFFLNTIIL SPHLGHDILPLEHDVYKLDQIKLLDAGQQVTFYEAENKMLRIVRRYSSMNRPAPVPIG NKKQHQEMLDLIRKKQQRDVTAEGDLHDDARTLKPEFTGDVNPKTGEVNGPKNEPLKH GDWSFGGRVTDF PHYBLDRAFT_140870 MEAHPTVPLASPTPKTAEQKQSDQIAYRDLVIFEERLRSNMTRL LQRKKKFEALLCFLLCCLTYFFYAVFVDPSKLFVCHLINTVALLASAGSLVFFYRSGM YSEKILFASQFVPHCNRALQSFNLQFSPRSRPGEVGFYSKIPKQFQDGFEAYRKHYYA RKRARQAKSKQS PHYBLDRAFT_185364 MSPINTSLIASTLTLTRRAAVVSFLKPAVPTAFLHVSVQQNKNV VDEAKDIGHKVNMKAGERAGKAVETVEDLAHKATEIKDQYAKKGVVGAAKTVSQQANL KAGQTLAKGIDEVESVLEKSPIEAVKQVVEKAKAAVGLGTTKAEHTIKEGSTKAEHTL KEGAQKAQHTIKEGAQKIRKDSVGATQDAESTLNSAKGTAWDASKTAEQKYDQAKNVV GEKVEEAKHVVGEKVDEVKRKLNQ PHYBLDRAFT_107523 MAHLDEEKIYPLVLDLLNPNLREQALLDLSKKREQYEDLALVLW YSYGVMSVLLQEIVSAYPLLSPPNLNGVASNRVCNALALLQCVANHNETRTLFLHAHI PLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVYCNDNPEVISFLLSTEIIPLCLRI METGSELSKTVAIFIVQKILVDETGLYYICQTYERFYAVATVLHNMVNQLVETQAMRL LKHVIRCYWRLSENPRAREALRQCLPEPLRDSTFHQALKDDVATKRCLGQLMLNMTEG MPI PHYBLDRAFT_62423 MKHHSTSAKPVETSTGSKKTKKTKPAQGTKVIAPKKAVPVLIGK PDGPVQPDEDVDAYSTKIGGLPVWLNPDQPPSVKACTCQVCGSFMYLIFQGYVPLPDS PYHRVIYVWACNKRECMRKDGSFSVIRSHLVDPGYLKTLRQKEAKKKAEEEAKAKAKA NQKQPFGVPAGFQLGDLWGASSSFSTSNANANSNLSQPTAGFGQSANKTPMFGALPAV SKPTETLAEKLSKMSIQPKPPVKAAEPKKLDEITNPVDVSVLPHFPGHYLYIADENTE SYETLGLDMSRYQQYIDMEAEILAMNDEDSNAAAEEGGTWAGETYEKQALPRGVDKEF KKFTERVACEANQCVRYDWQGTPLFYSKLQPAQQQMASRPCGLCGAPRIFECQLMPTI LSLLPTTEYAERDQVPDPTAKKVDRWSIGMEFGTLLVYVCSKDCHPTDVEQPCHIPEV AIVQYETD PHYBLDRAFT_176890 MSPVDLKTTGFGTRAIHAGQEPDPVTQAVIPPISLSTTFKQSAA GVHSGYDYSRSGNPTRNGFETAVAALEGGKYGLGFASGSSVTATIISTLGAGSHLISV NDVYGGTYRYFTKVASKNGVEATFVNLSVAKNIEKHFKPNTKLVWIETPTNPTLCIID IRAIAEIAHAHGAYLVVDNTFMSPYFQNPLSLGADVVVHSVTKYINGHSDVVMGVAVT SDETLYEKIQFMQNSMGAVPSAFDCYLARRGLMTLEIRMQRHEQNAKTIAAYLEKNEH IEAVIYPGLASHPQHELAKRQQKGFGGMVSFRTKGTLDNVNKMLGHLQYITLAESLGG VESLIEVPAVMTHGSVSPEDRAVLGITDTLVRLSVGIENVEDLINDLKQALDKAYL PHYBLDRAFT_176891 MSITNPSQSIQKGIHPGVQTNAPPVASSDIGFFQSPPQLTNQYE DDHVLRNILKRRIPSEILKQIEPDLYNLGGRVVGDIALMADDADEPNNYPRLRQYDAW NRRVDEITVSQGWKDLNTVAAEEGLVAIGFERQFNEYSRLYQFAKHYLFSPSSAVFLC PISMTDGAARLIELVDDDNLKAEFLPHLLSRDPKEFWTSGQWMTERPGGSDLGNSETL AVLSDPENNIWDINGFKWFSSATTADMTMLLARTVDPKTGLVTKGTKGLSLYVAKMRT PEGKMNGVRVHRLKNKYGTKALPTAELELNGMKAQMIGDIGRGIPKIATILNITRLYA CLGVVTALRRSLAIAKDFATKRQAFTKSLSRLPLHITTLATLEMTSRASTQILFYAVE LLGRTECLEGHPGYKQDSELLRFLTPIAKGFVCKIGLDCCSEAMEAIGGQGYMEEIGI GRQLRDAQVNTIWEGTTNVMAMDVLRVLKETKGRILNIFASTILSKIENSVSSDPEKF KAIGSIIKDALENTQTFVRQTTDSTQLEASSRQLMFALGRILAGTLLLEQASWAVANS ISGAQEDVTVVRRWCTSNSFGEPITVLDKDSLLEEAKIVFGSQAKL PHYBLDRAFT_176892 MRYTRASVEEDGRYLASTAVLMSEIIKTIICLGFLYAYLPRKQR SFTQLMAMLHRELIVQWRQSIKFSFPAILYLVQNNLQYIAATHLDAATFQVTYQLKIL TTALFSVTMLNRSLSHRQWFALGLLTFGIGLVVLPRGSSSIEAGEPTLGNQSSVQGIL TVMIACMLSGLAGVYFEKIIKAPAVRQAPSEDEERQKEAKKEDHANAEASQLWIGNIQ LSFFSVILGLVFVVALQDGPVILEKGFFANYNVLTWVVIGIQASGGLIVALVVKHADS ILKGFATSISILLSSLISVCVFGTTVSSLFISGALLVIYATYLYGLQ PHYBLDRAFT_122196 MRNLVVFGGSSHPELTDLICRRLGISAGKTKLQKFSNNETSVEL NESVRERDVYIIQSGCGHVNDNLIELLIMVNACKIASAKRITCVIPYFPYSRQADVPY KASGAPLARLPPATPQGEPPQQNTEDLSQQLLKKLTLAAAGQETNKEKAPYREWVARS GTLIADLLTCAGADHIITMDLHDAQFQGFFDCPVDNLRSLPLMIKYIRHQIPNYENAV IVSPDAGGAKRATSIAEKLCMDFALIHKERRQVRSEKAELILVGDVRGKDCILVDDIA DTSFTITKAAALLRKKGALKIYALVTHAILSAGAIENIERSTIDEMAVSNSVVSQNQR ITSKKIKVFDVAPIFSEAIRRIHFGESLSMLFDPNHAIV PHYBLDRAFT_90313 FILSHRSGKMAQQFCYIERDVLGNVNWEEMRSVYSNGGNYTRRT RRIQLARQHAHGGVEHLIERFNRVCQWVACEIVRTQQLEERVKVIEKFIRLAQKCKMY TNFATLVQILLGLQSPSVSRLQKTWSRVGVAEMRQLDQLSAFTSPMRNWKHIRDSMTT TKIKMPFGGCIPFLGIYLSDLVFNSEQPAYLDISPVLKQSLVNFRKHRITATVVKRVL TFQSLARRYSFEIDDDIYYMCSQLEVLKPETIRRLSFEIE PHYBLDRAFT_107518 MNKSYKVDSAKIDDSITESETESLPEIDPVVEKRLLQKLDRRLI VWAFFSYLTNSLIRNNMANAYTNGMGTDVGIESSDFNLAVSLFFVGYVVLQVPASMVI SRLRPKYLLPTASLLVGTTACCMSLVKNPAGVFALRLMLGLFDAIFVPSLVFIIGSWY TKEELAKRTAFYLTGNEISGAVGGLIAGGVSANLNGALGKAGWRWLFIIEGSMAISVG LTGYFLLPDYPSNTPWIRGDERACALLRLERQGRKVAPTKIGMHTAKNLLCTPYIYLL SIAYVCIHISNSIPLNFVIIINKMGYSAAYSNYLVTPIYILSAICAIVFAFISDHYNE RVWVIIAVESWVAFWYMILFVVNQGNSPFGLILAGAFMVILGIPLFPIFFTFVNEIYS ADSNTRALAIATVSCVGNLVPNFLSIAIWAVTDAPVFSKYHNSKTKKKL PHYBLDRAFT_62415 MSFRVYRCACVIPINKKTKPILTHRAVPTPKGEMKTIIAFLFLL LMAALWRWNNCRLRHLTSRLVNLNIDSLDLALIILYNIQDSWLKLYDICFKKNAAILV IDDIVQVYFRTELQNGLESRKMFTASRK PHYBLDRAFT_163919 MSYELFQIYQMIYLSDISGIQFAVFYYRLTIEASQKKCSRLYHI RTMYTYLIQTNSYNVEIFENTIASYFIYIKRANFRCNIFLNSVYNDGYKCRVLFARRT TTDPFDFFKRMENDFNVEKVTEWILGKEMPSTPIIVMVAQYD PHYBLDRAFT_62413 MSASKSKFIHTQTSNLEDSVELYRSVAGIILKRHPMLSTKDNVI IETEIHEITRRPQECLYLLIKKPRKDHAWQFPQGGSEPGETVVEAALRELKEECGSDL KVKVNTKDIIGAYKYRFPVEFILSQKRSKKYVGAKVNFISAEWVSGQCQPDQQEIIDF GWLTREEITKYVSKEYRDAILPMLN PHYBLDRAFT_140882 MGPLFFTFDKMHGLCHGIGKQKLAWQWQQQEKQFQQHFIALEEM YPSTVKLQSWNIYLKDLHQKDKIEITVFTINQNLLQHYPNIIGAFGSSRAYSTRSVER AIGKYSCTIKSNLAIGMNAGNIIVWLARIRQLLTDSEGGKQRGVVLQYEDMSAGWPIT SKGECADADSNIKF PHYBLDRAFT_140883 MSSITNLLPYECQLCHTHYSNKAIAVTCQKLYLGKILKAMMNGE VSEDDSSSESSQFMLPAISSLMLEENTNMISNEISDISNNTDLDEPMYDIEYESNMEE SVDMDGSKSATSPVVFDFSQPSPVPSNNDAKNLEFIRIINDFSISYQAHEKLAVHLNS ILGMSTEIMYRVCTPYLGKELLKCFSGVEKTLYDVCQSGCMIFNKAEEVACKHCGEAC YKSNKTDKDGMPIAEKTMVQISLTRQIALSLANSSIRHEMLYHHSYEQKADIFDRHAY QSIKHLFSGENDVAISLSVNGFAPHNVPGSITILHATVLNLSPMVRYEKSWMLQIAMI SGPSAPLEFWSFLKPTLADLKVLQEEGMVVVTSTLTICTKVHILMVTDDILAVARLAC LTGHMSKSGCQICNAVGQTPGRGQYFRSLPDTTMRTLESFQNFDPEN PHYBLDRAFT_163923 MQSMQRAPHQFKKVKSCRAQCFKNHHRRHNDIQTSQTTPVPGQV SVVLNTVSNDTINRERADAIEDQIMNTLNSKDNDDPIMNIFSNDDNDESMGEIDLLPT PLKSKKYTSVFQG PHYBLDRAFT_140887 MNNTDNNSISLLHTMYNEILSLKVGQEKAKLEMKAQIEELRLEM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTICKLVSIFCEITLKHLFK IISEDLGIEVISNKKATFNMCTKLICDDMAAHPLMIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWTSTARVAHLWRNRHKRL PHYBLDRAFT_140888 MNNYIANASITSLNDESQKSELQKDTREDSQSNNKDFEMDEEEC NQEINILEIEIEIDACHKKAAEKLIKVLENPKTKLNNIRKVQEEVANTKERWVAFCDA QEMMSSPSAVELCGGPVCQTNKAVHDSIAAFLNDFKVQLRAHNLDFDQHWECLFWLTC DERQRVSFEKTRARRGLKWKEVCQQLESEHSNPYHLWIKKHEVHCMLQKPGELVRAYV ERFLVSVHAANLDSSDELVWLFTFKLLRPHYGLVVPKNIHQVIPLIMATSGEETDFLF QEERTTSGTKRYQEDNHEYTGSKRECGEFCRERCKNNHNNRGSCPLHPKGRHSKDKCH ILKSITSTKNKTFTPPAPRPAPLCRYCHKVPYFNGHKCPEFQLAKAKKPVFANCSTRT VNSEDALDSRIELDLSQLNLQAQDKHLNIHHLTAPPHNDGSLYVPIIVQSSWVWALVD SRANTSFISPDLVFSLSLPITKCSSKKQHIYLASENSLAEHLGTVENLSLSYMSHKLN HNFVVMSLALGTQMNIGTDLMPRLGMAITNLATTWDDQKSDSLRENTPDDVPEPNKSP AGTSEKQKQFMEAIEQSIRSNKLIPKTSFCTIHWFLLANEPGKLTISGTVTHQAHVHY TLIQCKPTITQQGFELQGFE PHYBLDRAFT_140889 MPTSPVPHIMAHSWTKPPKESTVWYLSPEIGQSARLAQSVERET LNLKVGGYLLSVRVDIGNENRDLQCRS PHYBLDRAFT_163926 MSNNQVKWSCSVCSLNGRYSVMISFQTHHRYFVDDVQRNFHKQS LLPIEAVGMVNDDANDIEIDAETNISENLEYNYCCGVSTVIMTAQFCLLRKNFVSKFD EVLTLNYHCYLYCFGSGKLYDWDQILRSSSTVCARLTNTTVYVDGGFRK PHYBLDRAFT_163927 MKETHSSSHSDAPSSQQSSRLARVNEHPSYEHAISQEFLSHATI IAMIISWSAKKFFAFVKEIIILCFTVNVLFLCLFVLSTSNKIFHPKYNKLSEQEVAEN IE PHYBLDRAFT_73864 MTNTRCECAIAFRPVEITNQQLMEILSTVRADMSTIKGQIGNVE QTLTNMNGRIGVLATTSTNIISVIDSLTRTPLAAPVRAKLTVAAPVVNSNHEPTHEES NAVYAHIHNLM PHYBLDRAFT_163929 MIKEFPEYQDVLLSVIIKELDLKRSMEVDTEIILTYQSNSVEAI DGQANSPISDAVSTFDNDVFVGNDYNGDESDTTDDNDSDDNGEEDTAKIYLEEFNSPF AASGMPENPVHRFITTFTVLFASHYVVNKGSVVLIEFINELFKIYGQDFQLPKSLAGL HKMTGFLSITKGIKRFVSCPNCHCIYEENMSVPPHCVFTNVGARSPCGLRGTIIDPMH NLFLGTPKRMMDRWVDKKTIGAKEFAAIEKIAETMVLPRDYTKLTSKIGKGFPYMKAD DWKSWVLVYSPVLLHDVLPFEMYNNWMNFVRACCYLIKPSITFDEVNSAHDYLEMFCK KATKLYTSTILTCNMHLHLHLRETIRDFGPVYGYWLFGFERYNGLLKHIKTNGKDSFE ATYMRSFVQNAFKGDYDNAVLKSSSHVSFFNILSKLSPKFTPTTTVITLSSCPFRLQS FLLASSNPHLPPKGNKPLPPSTFPLQLKKLSLMDETDYAHLLQHYKTSYDLPDLVSYQ YATLTNSFVDNEITKLKFIDLLGQQYRGKNGSASCGSLVHVMFVGSDSRNTLVYAGQI QYLFTHSFTHPSNSNLHLTRMVHDHQHVFAYIKWFNTSSDRSHEDDGLEFCLPTFSPD SCHCIVPVHHIFLEIATARITTSRNVSKMLVIALPKKLYA PHYBLDRAFT_73863 MNPSNKRARSPNDISSYIYDVCNLDYFTSKQLQNHKQIHKQNTG STFSASSSTHVHLENEDLSSLVDDYMTEDISFDLFKPRTYKASCNFEAGDEGHVYNDN IFTENIFTTSPLLSIELYDIITSFNISTECHCQLANLMNTVFWDHDKLSKEYSPEIFQ TGPVNTLLKNKAAIKTHTYVICVNACKLYNNTQNKEECPHCGSKRFMEATDDTLTHLV SVKTMKMMLLGDQLARLFDNSDTREKLHYRAKRQLISSELSDYFDGEEYRALKTQHFF QSPDDVAVALFLDGFVNQKKSKQQLTIVYAMILNYDPLIRYTNEYLIQLAIIPRKPVD LDSFLLPIIDEVISLGKYGLIIKKFDGERIVVKVYMVMTSGDIPQVTKYCHHKDHNSR YGCHISEVLGEAPLRGRGMYFKNCCVPLRPMIDFVNDNPNTSIQESNIIARLHTFTGS SFYSLDEMHLIGYGIGNTLEK PHYBLDRAFT_62400 MNNISENINLSTVRTVVCEELSDIWASVAKIAETVTSEQSSTQA RMSYLVNHPNDRGGTEVNISAEDQKLIRLQFHMYTRQCQRIMHEDGIVETNWKRLSSQ QKLYYSLRLEELIFLYYQFALHRCRDQWAAALLLQEVMKAEPHTEKWQIEYITFSLMQ DIALILFFIKKYAGKVERRSSKRRVKSKFCLYSQLLELVNEHT PHYBLDRAFT_107208 MNNLPREERMKPENIILVGVMPGPKEAKIDQMNNFLEPLVDELV ELYGGITMKTPEFPNGTSIRAALMCVACDIPAARKTAGFTGFASTNACHICKRHFTVV AGTISQTKEENATETEMWFCAESDAERAVLEKQHGTRFSELHCLHYFDPVRCTIVDPM HNLFLGTAK PHYBLDRAFT_163933 MSSNTQQSKKTKKTTTKKSVQQTDGTAASTRQWEILPSLTVSAE LDGTVLSTLSTMSTQLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYC LPTVLRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADGKSFARKDSSTKSDLSTPVQK EKHYKAIHLADKANLESKFGETVVDLLDYDMLSDIESDEEKNKTRYTPRNRHPLVDEY FTVLKKQRLVNKGPDVIGNSVYPIILRNTKLSNEKKVRVAAWIHTRQQ PHYBLDRAFT_163934 MSCLNRDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSRSSFFDYTPTLILYRQTNNVIVFCVCQLFCFLNCLAKKACRSKQWNTVGVDCTRGR PMDVRKE PHYBLDRAFT_140896 MLETAYAWLEVRFETRFEGGEAATEQPVQGNPPDVNRLQPVVGG NTIPRPSPQGQPNSWVLSAGSVTAALVLHFIRGNTKEAQLLYSAIGRLARQLVQVIMS RYRTNGIAIPSWGSLAPNQRNILVRNLEERAARRNIALDRFENSWVSIFVLSQRWRTA VSS PHYBLDRAFT_163937 MFPFTQIHTLDCHCIKCHNSHQKSSYAAKHTETRRNKRARVEAA MRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFNDNVEDEVNEIE IENFNSEDQYPFAAPDMPENEVHQFIDIFTVLFASRHVVDKSAAVLIEFINNLLRIYD QDFQLRTSLASLQKITGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSA CNCNLTKSISSSALVAKREYVYQSIKNTLSVFFHRPSFKAKILRGTIIDPMHNLFLGT SKKLMDWWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADKWESWV LVYSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNACNVDY TATILTCNMHLHLHLHKCIRDFGPVYGYWLFGFERYNGILKNFKTNGKDGFEATYMKN LVQNTYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYT DPYNLPKGNEPLPPSTFPLKYKKSSVMDDSDYLHLLEYYQVAYNLPDLASYQNTSYNC PALDNQIIKLKSIDILGQHYRGTNNSTISCGSLVQAKFVGSNGNIIFGFAGQIQYLFT HPFQLPPTHNLHMTRMVHDHQHVFAFIKWFRTSSDKSREDDGVEFCLPTFSPDSYHSI IPVHRILLEVATATIVTSRNVSKMLVILLPKKLYA PHYBLDRAFT_163939 MFLDISPKIFSTAKHDHQHDEQPSPKHTMDQKLLSHAAVIDMSK ESKFHSFTLYIIRKISFPRVEHYRVESSTHGQENNFLLAQRLSNNLTTPLNQLTMVCK LNMHTHYDNKLVINLNKFGSYWFFASHVYNGHY PHYBLDRAFT_140899 MNPNQIAIIKRRKRNGLQKVLLIHVKNMIVEKCYIEESMTQAEA ARAFDLLDECYILTLGQMREELFRTFSELQEQNLSISGLHKHIINNIRFTLKRTKPVE KKRNDLKTIKLRKRTRVVQERQDQHCENEVKKGTKHLNSCQYFLPGCENVQVKLTSGG TTGPIFVEFVKTIMDSLNHSNAAPHNFIKDNVSIHRSHLVTELFANS PHYBLDRAFT_163940 MTERLLQQISRFCDMCGISECIYCLTSVGVTIMTSSHKLALGLP TKLAPYRSDTVYPAMIATTLSQYEYVLICDKFNIRVSGSPQHVVVQKSPVIECLLLLK CFLALLQNNKHFLYIPKCFSNTLKQINY PHYBLDRAFT_163941 MNNIDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKPVSIFCEITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRNRHKRLQSHFFML PHYBLDRAFT_163942 MSSNSILDTKSCRAQCFKNHHRRHNDIQTSQTTPVPGQVSVVLN TVSNDTIDRERADAIEDQIMDTLNSEDNDDPIMNIFSNDDNDESMYDVELGNDMNIIE NETSPLVFDFSQPAPTPDKDDAKNLEFLKIMKDFGISRNAHEMISMYSPSWQKLLKRF SGVKETVHDICQRECMLFTSPSQTECSNCGQSRYKTRRGETEGGDLVAAATMIQLLLA RQLALATLPSTNIRTLESFQNFSQASASSRKGLNGQSPLATLKVFSGPLFFALDEMHG LCHGISKQIWGLVSGTYGTDHCFALSSGVRKEIGTAMYKTRNTIPTSFHGNWRDVYKK PGSFKAVDWADFLLFVVPTLVAERIGDATARNTLLGLVQACNLLMSWELSAEEQTSIK SGKIKINIFTINQHLLQHYPLMIDAYGPPRAYSARSVEQAIGEYSRAIKSNSAINVNA GNIMLGLAQIRQAEAGATVMITEARTARHLQYEDSTAGWLLTDEGERVGARSDIEFWG PLRNRTIRDSFEGISCLSKLLEDFYKSKGEECSMIEAAIQTSRKAFVNGCVIDSALDQ NCIQVDENRNINSAYSPVYKDFFGKVVVFFEHKLNKKRWPLTLVEIAAVRLVNGIPVV NNGQMKPKVVHLADVKELVGLVKSDATINTTTTTTTTYIVWPELNRGPKLSLGSLADL PHYBLDRAFT_140902 MSNPQLAEWAKETFGLQKAPDASTISKILKRGDQGLIMQANVKN RKRVRKQIEEAVILWINIAENNQIPITWELIKTKATIFAERIGVKNFSASQGWMEKFG KQLLQIDKAAIKEKIERYSARDIYNFDKTALFYAAPPRTTISHQKFSGWKDNKKRLTV GLLCNADGTNKWSDVLMIGHARRPNYFNKNNKKQEASDHGFSMYHYNSNAWMTRSIFH VFLRRFDHAMKAQKHKVLLILDNFSGHIVDYTPTNVELLFLPPNTTSHLQPLDGGIIR AFKAYFKRKQYAKAYQYIGMIQNGNQDKIGPIDKIFEIDQLWAMKWIREAWESVLAKT IENCWNATIFCFIEDEDSEDVNQAMIQQSLAEKVLVEGLQETLDKIAGSGLLSLEDCP TNESDPLYESQCTHRVVNENEIADIVIEEYDADENAANNSNEETTEVESAVSFKRTYS ASEKFECVCTLLDILEDEDIDRDFVSKVEGLRNKFQKTANSKQTKVTSFFKSF PHYBLDRAFT_163944 MQWVNNKNNSSCTYVSEEHFDNMEVDSIDNDNNNDYDYENKSKG EYADENEEQNIEFDQEVDLPLSQEEFIFTAEDTITGVFVVDGNKIEEGNTGFDFEQEE NFDETSGISIVESVCFLSFDNMPLYIRFVAVFIIIFHLIFLMESGGLILIEFCNTLLP LCDMSGALPLTINSLKHKTEFNMATDGIIVYIAYSQCHSIYPQETSQRVCTFKKFSKS AICNNNLFKVSTGNHSLSAMIYPFNSLKYALQQKFSKPDFRMIQIWRKCNCINEKNQL TMQELANGIVVPCGYVCIIKKIADGFSFMKADEWKSWCVIYSLFVLKHVLQAKNLKNW ILFVDACHLLTKPSIKDKEIDEAHSKLQLFCTRFQTLYEKSAMTPNTRKTYGNYHVQS PHYBLDRAFT_163945 MSTISELYNEKCHCAECSQNDLGYSFVARRTAQRHNKRARLNAI RCERGASMSDTEDVSVTNDVISNGDNDDSGSNSNEISEDESEDNVIELDDNELNSEDP FATPDMPQNPVHRFIATFVVVFASHYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPG LQRMTGFSAMTKGIKKFVVCQDCHKVYEESASVPSHCDFVKLGARSSCNCQLTKTSAS GALVAKRSYLYQSVLHRLGYLDLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDF AAMQKTAETMIVPGGYTSLNSKIGKQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFEN WINFVDACRLLIKPTITFDEVNTAHQFLQTFCTRCDELYNAKILTCNMHLHLHLRDTI RDFGPVYGYWLFGFERFNGLLKNLKTNRKIGFEETFMKKFIEDVYKDDLVNSFLQSTR QTSAFPLLTKLTSSFTPATIPSIRQRTFCIQSFVEASEDPNVLVKGNEPLSPSAFPLS LKSATTMSEIYYVHLLQYYKVAYNNEQLVHFQQASESPYFVDNTITLLKYINILGQVY KGKGESGSHGSLVQAKFIGSTSEHIVAYTGQIQYIFTHCFTPPPTSSSLTPLLHTHRR PTQLLHNSQHTFAFIKWYTPENDKLREYEHVETCFPTFSPDDFQCVLPVHRIMLEVAT AEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_163946 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTTMEESLKTM DSNIGIVIKGNKDSLEILDSIADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSAAPSVAPSAAPSVAPSVAPSVAPSVAPSVAPSVAPSVAPSVAP SVGPVVLTGANTGELSKQDRTRVLALIRGELKKHNFKSNKPELVAANDSKRSWDVNVD YRLPPNRQLMHDLHAYLAPKVVGTSVRQADINAESRNPMKRAKRQIIGQEKQHFDRHE LTYHTFKAEIDMKVGKSCDGLLQKEAMSEGESEDDMPGVSSNHAIRTVRPSWRSDEYN HFLAVVDDFMRNHMDFNLRQILKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_163947 MDFLDTRVLLPSDASPSQCPSRLAKAISPKLLSTIKHGYEHDEP PSHEHIANQELSFHTSVIDMTILASPMYSLGLQINPFASGSILSTMVRIHDAFSFCLW PHYBLDRAFT_163949 MSKPASYTCKNYLITSQDQLAALHPSSTASTFSLSDFVEYSLNP CYSALGCEPLPPSVFPIKLDQRIIMCKRHYECLLEFYRHAYGSRNHFDHYSNCESNQI FVNNRIEKMTQIFLLGQEYSSGSYFRAYYLENNSEDKAAFPGHILYLFQHLITINKTV ITHTFAFVEWYSSYSSGSYQPMLNEGIELWNEPSSVLNYEYIIPVHCLYSLIAITKYR FTITS PHYBLDRAFT_163950 MSRNLNNNSVNNAFGEKPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKKARLNLESDLSGRTRNIHDVYEKLDTMNSVLNTVLKNTSSEKAEATASNTV EQDMLPGHQPTLDQLLRNYLSEKKFEGNRLVLKSVTDYLRHQEEGKKSCERRQSVLKA NRAHFVNSFGENVDSIFHADYMSDLESDDERDEEEQDSFSEKHFFWRFHPSWRSKEGD RFVDELDVDYEAAHDKKNNTRLFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_163951 MGNLTLRGIGSKISQETWGTTLVPRDTSLGRLTVDVNAHESGIT RHGSPPDQTTEGIHRLVSLTGNWPKPDLSLTHVTIRAVQFQPSKLKVGVRFPVDAFDY LTFVLSFAIVVLSFPIWVGLPFTITNHYTAVNAKEDITAHKEVLRLTIFRFYRFIKIM SNSFRSELFFQCYNTAKIGQTHWELKSLFFEKVDKKQIDHLKLNLLIDKINVDCI PHYBLDRAFT_163952 MSSNSILDTKSCRAQCFKNYYRRHNDIQTSQTTPVPGQVSVVLN TVSNDTIKECADAIKDQIMDTLNSKDNDDPIMNIFSNDDNDESMYDAELGNDMDIIEN KTSPLVFDFSQPAPTPDKDNAKNLEFLKIIKDFGISRNAHEMIVKHFNSILETSTCII YKACTPHLGKKLLKHFSGVEETVHDICQRTLPSTNIRTLESFQNFSQASASSYKGLNG QSPLATLKVFSRPLFFALDEMHGLCHGISKQVWGLVSGTYGTDHCFALSSGVWKEIGT AMYKTRNTIPTSFHGNWRDVYKNPGSFKAVDWADFLLFVVPTLVAEHIGDATARNTLL GLVQACNLLMSWELSAEEQTSIKSKLEIWNMYLESLLTSGKIKINIFTINQHLLQHYP LMIDAYGPPRAYSARSVERAIGEYSRAIKSNSAINVNAGNIMLGLAQIRQAEAGATVM ITEARTARHLQYEDSTAGWLLTDEGERVGARSDIEFWGPLRNRTIRDSFEGISCLSKL LEDFYESKGEECSMIEAAIQTSRKAFVNGCVIDSALDQNCVREAHNIRLQIQVDENRN INSAYSLVYKDFFGIVVVFFEHKLNKKRWLLALVEIAAVRLVNGIPVVNNEQMKPKVV HLTDVKELVGLVKLDMTINTTTTTTYIVWPKLNRSPKLSLGSLADL PHYBLDRAFT_62381 MNNTDNNSISLLHAMYNEILSLKADQEKAKLEMKAQIKELKLEM KTSIEDLNLEITALQSQLENRNISNQHTSPSVFAISSANTIRKPVSIFREITLKHIFK MISEDLGIEVTSNKKATLDMCTKLICDDMAAHPSVIALGPNPSWWSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKILQSYFFML PHYBLDRAFT_62380 MHTEYDSESIECKRNKGEEVLAKEIVIRDILHKNYGEVKTIWED ILKTVNDVDRGAGDVVLISIKKKYNALIKKFKERQSCVPKLFHLQMALSEKDRLMMEL IDLIINT PHYBLDRAFT_140912 MPFNSHINNIAKVLELSEQAFCHLDISTVPTEECKCLFRFTYTE IQSMCVLFEMGDKVYIERGSSTVLSVPTTEVLVILLCKMSFPCQLLDLSLLFGRNSTD ISRISNHVVRLLHLKFGIAIIFDYRQFRPENLVKFSNAIRALGVPVEHCVRFLNGTFK ETAKLTKEQKTKVHGFNYQAVVTPDVITSFFYGPVAGRRHYMTVFYESGIEMHMCKVF DFRSIGGPCYHLYADRGYTSSEFVMRPFAEIEKEFGHVGNLFAYVNYAQTQRILQGNV SSYYIVATLFKNLHVCYNHGNQTSMRFKVSSPTPMEYIAGLLNH PHYBLDRAFT_140913 MSTVNITPMNENIYTLATISEALECSSIPGVMTLRLNNTIRTIC NSNKRRTDITAEEAKNSGIKMCFSQKYSCHCSGTYESKTEMRVVQKRAKKNKCPALLC VREFFKTPEWYEITLTKDHADHTPEQSSKSASQIRIDMLRTIDRYGRSSDCKVNYYNI WNLMNKNQMISFMIWINEKLAAMNFSIFKTNTSYSPSLNLFTCGFMSPIQQNKMKNAV SFCLDATYGISGKIDEILYTLLIHNEEIGRGWPVAYMITNDRDVGPIVQWLQFLTSSL LLVNPKQITIDCCLAKVHAIQTTFFTTQIQFCIFHITQAWNRKLSDSVKISGSLLSEA RLLHDKMMKSLQEIKNWCTENKFKLWSRAYFECQFSHMFTNNYIESWHNQLKTVFMKR FRNKRLDKLIFIFVHNVEYYLSQKYDRVMSNNEAMSAFTRE PHYBLDRAFT_163957 MQISPAKLFVTFANCIVFLNDTANLPTNAVEKLRLELQHRKEFE IRFAKEFNLQQKVVENMAEAAYNFSNTEMKNKRKLDRENYRRKEIIDSYNSMGPLEKG RYYEMTKENSTTLHQESSVPDVNCAAKSILTIMDTMKLLMNYDSLFLFWKSDTSFSRK IDHLLSGDTSSIFFKCIEEDEETGESRKSFPWKAIENKKDTIRAVGWPEKLPFVPLSL MKDIEKEMLVDALDKELISFSCSFE PHYBLDRAFT_140915 MQSEPMFESITISQHCSLNLAMLKSTFWNINISPGVYLFVAKKR AEEEVTLLKCDAFWLQHCAVKERYFFLLESSSSPSSVPSASSSSIPFASSSCIPYVLS FISSSSLIVEDINFPNDGEVSITFVVEGDDDDDNDNDDNDDNNDDANENE PHYBLDRAFT_163958 MYNPSKYTIKRRQRTAVSQFLLDHFSEDAPISGLNYIPTKKPSV SEVEFNSLRLQYTYARKKRARTMAVAPIEMPSKNIAASQTDLDVEIDFNTYEASQTQK RSLYNKWVDLLLQLADSFLRYLGRCKDGCLDKKVIAPLSHFLYSCTKFSKKNIYMFFL HFSGIFEVVFCRCKSISKQLVKMGMLPASLNNVQYAIHFGLLEFIRDMQDVLAISGQG LADLYNKINLGAEIRKKLYIKTDLKGVDSNVTIKDIQFFAMDSNFSLKCERMENADSV GEEELEQVEAQLNQVWIGDDVVGHFDSSFHAGSSDMTKSRKYPIKDLFAASCACHESV IKLVDMETGSDGQSADNSPNINVIYDVVCKLAKSLKANFPGLMEKSKLAVLIFHVYAH VQHCQVKLNPKYRDGFDLTNEMGQANQRLVLYRAIKFCNEAKKVEMGLMLESKYVKAK HIIEESRKALERFDYVVVEREWKQYVDKVEKPENYIDIADLLESGHKVQGNIALFLVK FTLLHQLRELTNDGNGNHVKDEINRLKQEMTKLKTKIQ PHYBLDRAFT_140919 MKGDKSSKKRDLLDKLSESLDLIALKCITHQEVKNETNGYLEFA KENCKDQGKAASAFLEKKTKDKENWVNMYVYKHAHFGNCMSDCAESAHASLKHSLGTS SGKLKTVTLKVTKWYDELVADRKHRLMVESLGEGTKIVFDKVNAARLNDIRLKCKCLI QYNYLLPCYHTLAKFDTIPISCIPRRWRKNSLEGEIPPNINNIKPITPKFNYALELIC KHFANAQSKQEQINIYQWIEKTLKQINAQKLKNLKGPTVVEAIKGRPKNTKRKMIALG HCINTEKEKITKKIKTEKEQKKQKISSAKEQKAIKNIINLGLLCDPTLLTNLTIAPKH ISTIFSPEADGNCGYRAIAMEVYQDQEEWSKVKDKMLETFLKHQNNYYHGRMEHGNMP ASNNPLIRSLQDKRSPLPQQHWFSTIDHPQLVANTFSRAVAVYWNTPIETGDCLFVPF ATLPEKVEPIIIILDFFNLLAFACLISVQFVGNTPKSQL PHYBLDRAFT_157664 MTRAVPEVSSKVQWISEMDVDIEPTAGRKSSVIGTIGPNTNSVE MITELRNAGLNVVRMNFSHGSYEYHQSVIDNTRTSFELYPGRPVAIALDNKGPEIRTG NMRDGEEVPISAGHEMTFSTNEEFQDICDGEVMYIDYKNLTKVIEVGRIIYVDDGVLS FEVLEVEEDSLRVRAINNGKLCSHKGVNLPGTDVDLPALSEKDKADLEFGVKNKVDIV FASFVRRGQDVKDIREVLGEAGKRILVIAKIENHQGVANFDDILHEADGIMVARGDMG IEIPLERVFIAQKSMIAKCNLAGKPVICATQMLESMTYNPRPTRAEVSDVANAVLDGA DCVMLSGETAKGKYPVEAVRTMHDICLLAESVVCYPAHFNELRSLTSLPTETTETVAC AAVSAAHEQKAGAIIVLTTSGDSARLVSKYRPSVPIIVITRNAQTARQVHLYRGCFPF HYKKASATPVTQSHFLSPFGGNLSHLSPAETAPWQEDVDARIVWGMEQAIKYGLVQHG QSIVAIQGWKNGLGNTNTLRVIYAP PHYBLDRAFT_62370 MSYLSADKAESELAQSIKKATSIEETAPKQKHVRKCIVYTWDHR TSAVIWNILKIQPLLSDEVQTFKALITVHKIIKDGHPNVIKDALRETGWLEACARSVN GDGARGYGTLIRNYVDLLQHKLQYHREHSEFNGTFDYEEYISLKNIDDPNEGFETIND LMVLQDRIDHFQKVVFASFRMHTNNECRIASLVPLVEESYGIYKFITSMLRAMHKRTD AIDALEPLRQKFNAQHYNLLKFYYECSNLKYLTSLINVPKLPPDPPSLIDSNTPQLPK RPVAAIQYEGGGASSPAPPPPVQPSPEPVIDFWSEQQAKQRREYEDQQRPLEQELGQV NSNSQLRDASKDELIRSLQNEIQMWKNKYEALAKLYSQLRKEHIDLLNKYKQLQVKAN AAQEATEKVERIQADMRAKNVELADLIRERDRARNELARIQGSQRDEMDRLRRELDEA RSRVQDAGRSKGDEVSALLTKFNREKADMEASLMEKQALIDEFLKQLEDQQSEADRIR QEKDEEIAVLQAGMDECLQQLAAMQQDDKKQNLEDELERLEYEQSNKLNQILDAILST CIQKINDGVYELELPNQHGNENTTPELTLSMIERASITSVEFMSAFSKFMDGSNSGDY TVTITRAIGFSDTIIDVLVHSKGITRLVLEDEDIEEIIKLARQSAESCIQYFSSVMSN YLKMLSPAQRPEVVIQGDMRVQESLTRLSQKTEDLILTGATDLNKMADGEVGDLVEQE MSNAARAIEEATSKIQDLMRRPAHPDFSATDIQVHQLILNSVLALTNAIANLIKCAVA SQQEIVSQGRGSSSKAAFYKKHNRWTEGLITAAKAVAVATNLLVEAADGVISKTHSLE QLIVASNEVSAATAQLVAASRVKSTFMSRAQERLEMAAKAVKDAAAELVKQVKQLVAQ KANSDDLRIDFNKLSVHEFKRREMEQQVKILKLDSELVNARRVLAEMRRSGYHTEETD PHYBLDRAFT_18392 MQVPEPIPLDDHVFDFAFHPKESLLVAGLITGHVHCYRYGVEGN QQLWSTQLSKKSCRGVEFTPEGSVLISISRDRSIQKVDVQTGRLLMRMPKAHKYPINK MCLMDENMMATGDDEGVVKIWDQRTQKAVKEYKVHEDFIADMIFTDGYSNIQINRGDG RLSVHDLRADQPTGPPEPVEDELLCLSILKNGTQVVAGSQSGALYLWQWGEWKTKTPP SGPDRWVGHPSSVDSQCKLDEETICTGGSDGILRLVTIVPKRRFEGVLGDHGEDFPVE RVRMTAQQDFLGSCGHDLQLRFWDVGFLFEQETSKPTNTFYHDLT PHYBLDRAFT_62368 MPPLIVQSTKPEEDPLVINGGPEHVHTDGVACFDQTENRSPVSA TATVNKFNPLDVNLDGLLDNNRRWAAAVEQEDPDFFKHIAVKQEPKILWIGCSDSRAP ANQIVQLGPGEIFEHRNIANVVNHSDLNCLSVLQYAVEVLKVEHIIVCGHYNCGGVTA AYGHHQYGLIDNWLRNIKDVYRLHRKELEEIKDETLRLRQLIEFNAISSAENVCHSTI VQNAWSKGQKLTVHAWAYDLESGIAKKLNWCRSHIQDVNNIYMAA PHYBLDRAFT_107716 RRSDLARHLRIHSNERPYVCHEDGCGKSFIQRSALKVHSRTHSG ERPHICEDENCKKSFGDSSSLARHR PHYBLDRAFT_62366 MPDLIYKPLAVNRQTPLPLPLACVAANLSSGNDRQLYTHVIALS STIQARIDDLKSKQQSRTRTHARTRTRTRTRTRTRIRTSTSTSTNTCTQSHTDIDINT DLDADTSEYFNSLNQTHIDTHSDTDRLSGATLYESSSLSSPKLKDQSDNALEIKPLLS SSLPCFGILKILENTPVQSIQPTPVPPYNPTYQSCTYPQVKKPLEIVLESSTSFSTSC SLTPPKSSTNQSHCVQITHVKKKINGFIGLFNRTHQLSHIIISSTLVPPGLCEATDIL GTQKKAVLKLTLTTFNCPPQSDFTFHWSNKTPYYLSSSFSSSSGSSSYSSYPSVLKKP SADTKLSNLSDSLVRSQLIKWVDEQAILPPLKSASDLFRSKLKIYVVYDHKAGTRQLF GRAQNSNNFKKPMYSNNELWILYYLGRDTTHHHFKADFSERYQNQTPPCHSELNEPLD KSREQKFGTKCEFAHQIKCTLLTNLLCYRDIGLS PHYBLDRAFT_140927 MINVTNTTQDASIHPPPPISPNLLIDGPDGVDPRVKHGLSSKST SARVACEPVVRIPVYYWLLSVVSSGGRGWYHLFWSVASASGTFLPVGLLLPTGRPFPP TSGPVISRQWTFSYSRRLPVAGCQLPAACFTSTGGSIYLTGRRHLSHWSDICFLLLPV ASAGEPDGSISAAKLSAMLCPQDTSSKADKAHQKPNMGACKKATSAHKRGQSSKCAPE KGQTSPQERPKYVPEEKGKPAPQERPKYVPEEKGKPAPQERPKYVPEEKGKPAHKKGQ SRRQSKHQSGLQERLIRGAFLSKSKIWVVPPSLKAKSISKKGSNANPPLQKDQKKSEL EAYTKSSTPKGSNPKTEA PHYBLDRAFT_140928 MINVTNTTQDASIHPPPPISPNLLIDGPDGVDPRVKHGLSSKST SARVACEPVVRIPVYYWLLSVVSSGGRGWYHLFWSVASASGTFLPVGLLLPTGRPFPP TSGPVISRQWTFSYSRRLPVAGCQLPAACFTSTGGSIYLTGRRHLSHWSDICFLLLPV ASAGEPDGSISAAKLSAMLCPQDTSSKADKAHQKPNMGACKKATSAHKRGQSMRQSKD QSGPQERPMLQVRTRERANQPTRKAKDKPVRKKGQSRRQSKHQSGLQERLIRGAFLSK SKIWVVPPSLKAKSISKKGSNANPPLQKDQKKSELEAYTKSSTPKGSNPKTEA PHYBLDRAFT_62364 MLCPQDTSSKADKAHQKPNMGACKKATSAHKRGQSSKCAPEKGQ TSPQERPKYVPEEKGKPAPQERPKYVPEEKGKPAPQERPKYVPEEKGKPAHKKGQSRR QSKHQSGLQERLMLQMRAREKKAFQRPSRSLSRFKAKSR PHYBLDRAFT_62363 MANGCLISAQPATFYDHNGGFEKANDRATEDPDYYAALFTHHHF KADFSERYQNQTPPCHSELNEPLDKSREQKFGTKCEFAHQIKCTLLTNLLCYRDIGLS PHYBLDRAFT_157665 MASPLISENLYNDLPNEAIMEYYRPRSDWKIRVRLSTSAGDITL EVPDKPPYITVGGLRKLLLEHVDHSYNIQWMHLGTHLDDSTLVVPVTEKDPQYNDACH IGNEGILQAMVRKVQPAEA PHYBLDRAFT_62361 MNSLLRGRLFSTSIGRMCYKYHARPLHPSIVPGQPEKNPSLPLP LLMSQPFLAMNPTVASEVALPSVLAANQTILDTIHGAGLPWWATIVVATITLRTSMTL PIAIYQQRSMSKMIELAPMVQSWAETLKVSVARESRELGYEKYAQELNKQYRKKVNYL YAHHGCARWKVFLLPWVQLPLFASMSLTLRHMSGLPLPWWGQLTEGPIQGLDSGGLSW FVDLTIPDPTWVFPVLIGAGNLLNVELNAWYARGTATRTQKIMTNVLRCVSVAFVPIA AHVPMALGVYWFSSSWYSVVQNLTFRIPSVRHKLGFPILQKRK PHYBLDRAFT_157666 MLLATFGSGCFTTRSHVNPCCITVFPPHFPPLIVRCVERKLTLK TIFSTHAHSSFLSGTHSGSRISAFPPNPLTFTMRYISFPSLPPLIPLHILPQSLAL PHYBLDRAFT_140935 MASSAIQPDPPTGEHLINGHTNNSPTITTPTPGLPLTYLTALTN TAPTFRHAIVLGSNDPLTKPRTWRESTSQFSVYYTTPPETSPEFIVFFDALLQSFLPG EIFGLNPSNKAGTLFELHLSSKDVCARACRVGFRYNNETVLASPAIASSSKLFKLTLS KLPRFPPQEYATLDTKLRNALTKYGYVHDISINTLFGFMDGSGHAYVERPPYEEGALL PLRFKMDFDDNTTFLATWLNMGAHCALCQTMGHDRDNCPTRPKETRSCYGCHQVGHLR SKCPRAAEVDNSYKRDRKVPEPHGPHRTTATRNTTNRPTVTHSGSHMKKTLPPSFTTA NPYALLDPSLSSAGSQHNPAKTTKAVPADSRTKSPKTPPLPFEANLVDRETLPADDPT LTDDDLAEVEAYFEKNCEDDPMKGIEETIPQ PHYBLDRAFT_163974 MAYLENLNNAPNFSRVSIQILRKTVLEIINFSGLLARRDQCLAM AFISLKCTEITMGDPHSINNISPHKKQILEKNDSIYIKSTLYFALLVCRRFISMEYNP ITEQVIFIIVKYDTEQRSNNNEISSIVSSSRFYLDFYPVFRLLAKSHVPRIHFILSN PHYBLDRAFT_74196 MIITNSNSSYNSYSNTSYDSYSNTSYGSNSNTSYDSNSNTSYDS YSNTSYGSNSNTSYDSYSNTSYDSNSNTSYDSYSNTSYDSYSNTSYDSYSNTSYDSYS NTSYDSYSNTSYDSYSNTSYDSNSNTSYDSYSNTSYDSNSNTSYDSDPDFAIASSGVP GDYSSCYHQKSNPRTADAVITPATVSHFLVPFPTSSGSVGGCGCGCGCGCG PHYBLDRAFT_140938 MRLQSVWTKACKTSSNTKSNTNRTLVFGQKAIYRPFPKPLSDRI MLIKLAKTIYKPVPKPLRDKMLAKLTRLNAEELIGTIIDRKINSAMRIDLPRGVKRQL EEKRFVPQGLEEEDLLREVEVGEVGEEEVVEKDDEEAEKDDVGYEADDEY PHYBLDRAFT_140939 MAVTWQYDYSISSSRITLLIAMGTCPQPICYKHMDRLTHRSPAP IRPNHHGVTRHCIPHIHLLQQWIPLTLSHNGKSGNKMPTSFGFHLIKSVVVFDNTHSG LKHL PHYBLDRAFT_62356 MRVFYICVSITFKRGYLNRVFFIGSIFDHMKVYEVDTIRIATLG VIDVVGVLGYCYQFLWGTNPERRFLRYSKLVCAFLVQRNKPAQQMLRRMITSDSLLEK YAPVADGKMNPRVSKRCSPSNM PHYBLDRAFT_140941 MAISLFAPLKQPYKFNSNIEHVKSITSYFSLLANSPGNFTIVSI GDQRNQCRSFPNDITRFIHDIPRSLISGDMVQAVVDLVGIPPFSFEWQRSELIWNIKT STHHKDRVLEKRYVENVEDYCYYINTFTEGIIETTSSKDCFCQYPQMG PHYBLDRAFT_185376 MRIGRSSPKKASLGDEEKSHGLLEDMELQETSKRLSTSSDVDVR PDMADLGSSSRSFHHRSHQRQKSRAQDGQYERLGQESDRPNVPLRSTAHSRRSSTGTN DPRDESPVQPENEINNPMKRSLYLLLEDPSSSNAAFWTNVVVSVLIVFSAVTTTIETI PAFRSAESNRVWFNVESAMVALFSLEYILRIFAHSDSLGMLRRFFLSPISIIDFISIV PFYIELLAKRDTVYIYYFQVTYEFRFTILRLFRLLRLFKTYKYSSSLVMTLEVMMVAL RRSGDALSALFFFLVTSVVLFSTLLYFAERGVWDEALETFVTPDGNPSAFDSIPAAFW FVVVTITTTGYGDMVPATFIGKLITFPAMMFGVLLITLPSIIVGRNFTIVWETMRRHQ YLAELANSSPDLAASGPDTPNDEGEQQQGQHQPQQQQQQQQGHRQSSETRRRSSLLEE SFAQFAPTHTSGFGLLNTGNEAVMDQLQTLLTITQQNQEAIQKIFNLLERQQNQQNQQ NQPHYSNQQHNSHQTPAKRVSFDIQSVPENEHRPL PHYBLDRAFT_176896 MSYIVFPLVQSQYLATPLAKTNGRIAIEGCCHGELDNIYGTIKL LEQRENCKIDLVLICGDFQAIRNTSDMECMSVPPKFRELGTFWKYYSGLAKAPYPTIF IGGNHEASNHLWELYHGGWVCENIYYLGHAGVINYKGVRIGGISGIFKSNDYRKGHYE TSPYTPQTIKSVYHVRDYDVQKVLQIQKPVDIFLSHDWPRGIERFGDVGELLRVKTFF REEARTNTLGSPANEQILTKIQPAWWFAAHLHVKFAALVDHENESPKITKFLSLDKCL PRRQFLQVIDVPSDDSAHGFSYDLEWLAITKSMHPYLSVERQEYRLPSNEDIQIRLSI VTYYLPIVVQTIGLNL PHYBLDRAFT_140947 MVVAIGFEGSANKLGVGIIRHENGKVEILANVRDTYITPPGQGF LPRDTAQHHRDCILQVLKKALEDAKMTPKDIDVICFTKGPGMGAPLTSVAVVARTLSL MWNKPLVGVNHCVGHIEMGREVTGAINPVVLYVSGGNTQVIAYSQQRYRIFGETLDIA IGNCLDRFARILNLSNDPSPGYNIEQYAKRGKNFIPLPYSVKGMDVSFSGILSHIEQI ASDQLPKGLVTPEDLCFSLQETLFAMLVEITERAMAHVESTEVLLVGGVGCNVRLQEM MEQMAVERGSTVCATDERFCIDNGIMIAHAGLLSFKSGYTTPLEESTCTQRFRTDEVH VAWRED PHYBLDRAFT_130970 MSKRDSRRQYPLLSDTTPLLQETGVQAYNGTTPTTPGAVRAKPK QPNRTTKISQKLKLFPDDDDQVLMPDDIDADVYTQLAQIPHGSARIEAERLSKINRDE LSRVTAYCTASAYRMDELTKYLQTKKRLNGAAPKRFDECVYTPYALKFPISPPKENAP NDVTSAAHGLPEMFLFDYGVVVFWGMTLQEEQRVLKELEPFEDEKLESDDVETEAFNY HYNEYYQPRIYNDIITLRHPSNYLVKLTIAHAIAQSVKMTLFERLIDDTINETKYIPQ VMAESGTIQMSRTAITKKIGQLFIMRINVNLVSNILDTPEIFWSEPALEPLYSAIRSY LEISQRVELLNQRVEVISDLLEMLKDHLNSSHGEHLEWIVIWLIAMEILVAVITTSLD AFSLSHK PHYBLDRAFT_93028 PFVFKVGAVDHWPAFNDHPWSSIDYLLSIAADRVVPVEIGRSYV DIGWQQRMMRFEDFVEKHLIGSEVGYLAQHDLFYQIPRLSNDLIIPDYCFVSPPPTST YTPPLDVLQNAWLGPVGTITPLHHDPFHNILVQVVGRKYIRLYAPDQSEILYPHDGIM ANTSQVDTSCPDLQRFPRFKEAVYTECVLSPGEMLYIPPKWWHDVRSLEMSFSVSFWF PHYBLDRAFT_122213 MPITDRHDKGWVLDETLESAPRATSKVFSQMHTDWVNDIVVCQG GESVVSASSDRTVKLWRPYSDTPNTAHTIGLHSDYAKCLVYASEVGWVASGGLDKKIN VWDLERGVASLTISTGPRSLHTNSSDNLAHHDESKSSIYAMATTPTGSLFVTGSPEKV VRLWDPRTGNRISKLTGHTDNIRALLVSDDGEQILSGSSDSTIKLWSVRAQRCLATYE THSDSVWS PHYBLDRAFT_76988 MDPYEYTSNGNDNVPADENLADDEIARLEEELTPKGADYYGILN ISRSASEDDIKDAYKKLCRFFHPDKHNTDETKKIAESRFQVIQRAYEVLTDAQKRAIY DTYGEAGLTAKWEVGPKFKSTDEMMAEFEKKERLRREKELESLVRAHNDFTLRLDATQ ILDPYDPPVFTFGQPTQVRKKHPLEFLTRGRVEQLFMRHSFETQIGPQTQAIIGGSMV TQGGMGGGNLVGTIRHTVSPKLWGEFSATILHPRIFTLKTNYNVSADSFVNATFVSRT LSGPPVTSVTAGRRLFKSTTGYITYRTGEWALGSWGDSSRASDKSSVSLGLASAHKQG SYSVELQTGIQASHLAGDYTHKLPNHMVLRLSSSLSSSAGIAVSIGSDHKVSEHIRFG MVLECGLASGVMIKFRASRLGQKFSVPIILSQGLDLRVALGALIVPSSVALALDRYVL TPRRKLRIKEKIAALREEHQEYLATRKQEALDAQLLMADIGQRKRNQEEKKEDGLVIE EAVYGNLNGQDEDEKVDVTLVVQTLVNESRLTIPGGHSKTSILGFYDPCLGEHKQLKV RYRFRHRLHEAIVEDTAHLICPMQSHLI PHYBLDRAFT_29595 MDSLAETTYSKKHKIFFVIADGMITGEGETRSTPEIVTGMMDLD PTMANPKPSSYLAIADGEKQLNMAKVYAGHYKNVPCIAIIKCGTEEEKDTPKAGNRGK RDSQLILMSYFQRVMFNDRLSELDYELFWKMTWLMKGVTPDKFELVLMVDADTKVLPD ALTYMVAAMANDITIMGLCGETRIANKRASWVTAIQVFEYYISHHYAKAFESLFGIVT CLPGCFSMYRIKSPKNGAWVPILANPDIILEYNQNVVTTLHEKNLLLLGEDRFLTTLM LRTFPKRQMMFVPQARCKTVVPDEFKVLLSQRRRWINSTVHNLMELVLVSDLCGIACL SMQFSIFIDLIGTLVLPAAICMTIYLIINTSISSNPQWQSLALLLAILGMPAVLIAIT TFKFIYVVWMCVYICALPIWNFVLPVYSFWHFDDFSWGATRVIAGEKKDKGHGDAEGK FDSARLVMKKWEDWESERTGQRVNKNKFALKTPHDPPAAFDGKNTVTPVTPGFGTIFN DRKMYGSSPSVNLS PHYBLDRAFT_163992 MYFSMRIYIFQLDMLDHSILSEELRCQTTALNYYHGNFLYKHLQ KSSCGITEASMQNKKSRDDEINEAHYDKEFPVTNKERLFNFLNLSFTKSLTPHFVVEV VSEEPY PHYBLDRAFT_176899 MRLNWKYNRASRKKLYGHTNHAVTCLQFDEDKVITGSDDHSVNV YDIKSGKLMRILNGHSGGVWALKYVGNTLVTGSTDRTVRIWDINAGVCRYIFQGHSST VRCLTIIVPTPSISSTEEHSPQPSQPLIVSGSRDFTLKVWRLPDLDEPVIDTDQKEST YLLHTLSGHTQSVRALAAHGSTVVSGSYDHTVRVWDVEHGKAGHVLTGHTQKVYSVVI DPKRNHCISGSMDSSVRIWNLEDGTCVSILRGHSILVGLLGLSGDCLVSAAADSSLRV WSAETGECQYLLAGHKGAITAFQHDSQKIISGSEGGLKMWCTKTGTLLHELIDDISGL KIFFKEWVAKPHGHMLLV PHYBLDRAFT_18447 MSSILFKVSSYLRVLGKYIGPGFMIAVGYLDPGNWATDMQGGSE YGYRLLFIILMSNLVAIFLQNLTIRLGTVSGYDLASASRRFFPKWINLILYVLAEIGI IATDIAEVIGSAIALNLLFPKLPLPAGVAITAADVLLVLMFYNEEPESEGSQTESSSA RLVRYFEIFVMLLVLAVGVCFVIELAYSDIVAVDLFKGFLPSKEIFTDAGCLYSAIGI IGATVMPHNLYLHSFITQGRCQEWRSRRPRGDIKPLVVDPDTISISLERDTSQKVDVP YLARYIESNMKNNLHYGLVDLVFALCFAFFVNCAILIVASSNFFYGPNTQRQSVQDLF SAHDLLNTYLGPPAAIVFALALLCAGQSSTLTATLAGQVIMSGFLGMSSRPWVRRILT RLVAIVPAMVAACVTGREGLSTMLVGSQVALSIQLPFAVVPLIIFTSMERCMKLDLVV EHKDLQPRPYQEKSHKWPKPIIVKNGLITKIVAIILSTILIGLNIYMVVALGLGI PHYBLDRAFT_140955 MSGLTAFLQTELLSLSSEARRKHPEIKEAAERLSAILRSFKERP GYSIANELSKSDDALRPFVLACETKQVKLVTIAIGCIQKLISFHAIPETSVRVILRTL TDISVHGVEIQLKILQTVLPLLTNYRSVHDDILAEALLICFRLQDSKIAVVNNTAAAT LRQLVIFVFDKVGKEDLLGLREEDANHEIQLGAGDTIKLHPCAKDAFYLFRDLCLLTS GDPPEYLRLHHLSKTFGLELVESVLANHYALFKEHKELSSLLRESVCPLIIKTFSEKH EFPQTMRLSRVVDILIRQFNEILMTECEIFLSMFVKVLEPENPLWQRVLAMEIFRGVC SDSALLCSIYKWYDRQAGSTDAFRDMITGFGRLATEKPQLIGATQGGRESIEYGSGAA SHIHHMTHPNPPTSDNSGPGLSASGSSMRIQCIDQLDKADPPVIPETYIFYLALLCLN SIADGLAGFVLPMFSPGKSLSASTKATSDEESRSKSGSKADTTDNSKMSLVTDMTNVA WPGLLAAMSFYISSNLDEELFQNTMRSYQNFTNVCGMLDLVVPRDAFLTNLCKNAIPP SPVLSSGFFSGKNTGSSTSIATITTNSLAVSYSDLSVQQQQVLANISLSDKNLYSLRV LLNIAMFLGGVLGPSWYLVLETLQQADLLLINRPIPKGTNNPPGSASTSHTVRRTLSG TPSNGMSSVSGHQNTATGQSMNNQYMDADHTAIIQTSLKRLFDNSKYLDNDAFVAFTT ALCRLSAEASGVHFSGGEEISTSKSSRIKLFNKTSFAIDQLCYISTLNMSRLISSEGD PVAWDLIITHLIDTANFASTPASIRTQCCEVISTIIITAMNQIIAEQKEADNKAQPRL LAALSQCINYSEEKSKSWEVNNEKGSSGVKAFGEVQKMALETLNKILETSGHSFTCGW GIVFDMLRHVTVTSTAHPDYTDEDDEDKSGDEDSKHERASIDTTTSSLVTANAGHAST NNKASGGLIKVAFTSLQLICTDFLSLLSPDCLRQCIATLGSFGMQCEDLNISLTAVGL LWNLSDFIQTKRLDSIKDLESDTSDEAIEKESMNIDLPITDEESPQVFSILWMLLLLQ LSHNCTDWRPEVRNGANQTLFRTIMMNGNLLGPHMWNACVWQVLFPLLDTIKMSAIRA TRMMQSGKTSLTTSSPDRDTSGFMLHHSRDTADKQWDETKVLVLTGISKIFRDFFEKL QALDNFKQAWSLLLAHLEDSCLRSSQEVSLASIKSFKNIVTLPPDDKNFDKSIVSLWR SAWRSWEIIGNGIMMVPSTAEEDNEETQENSYRSTLDSELLPLTLSLSSSSAAQISDD FTQDTLTAYIRMFVDLYRVIAPGFSLEDIGSLLGVLRNMLVYSTSPEYRPDIDNLSPL QEGVLEVIQTLDMTVPGVPPLVLMDISEYMTLAFLSPPDDAQKTKGGYIPPSQRKFST VTYIALNKRCSTMVAHLFKAHVNNKALYTEGVFERIIGAFGLPMKLKYDCPPSHKHGD DKTPLWKSATLGLLDVLEMIRSDMCIYAIILIEVPIERFVGVWRALVDIFEGSLLSPS TPPSSMTIEELDVDEHFDISVLSVIQNDVVVYMGEPRVPIEVIQKLVNVIRESSRLYY VDANENHHRREEADQESPRELKEAYGRKSANYRSSDIIGTTGTIVPVMKESFAYAALR TLFSLCSSEKQDHLEVRKRIAQVTIPVLLERCETILRNYTADAPLLGRCPFPRVRKEE IIFLLQQSIHLKLQKNIIKDESEEKGTIRHLVLSGPRAHLFYLYPSLCNMISCDDAVV VELIRECLQTAGTEMGLMLH PHYBLDRAFT_140956 MSLSSIMTGPIYSPLSPSRPTRIISNKNHQISPRQSFSIALQSP PTTPEERRIASTSPPSSPHSFSSGLSSVSLSLVPHRSSISSISTDLSSVAPILTLQER RLRNKTASAKYRQKKNQQQNEMRQMIGCLSEQNAVLERQLQELRTENERLKAITDRLR GKIVAKKMLKQWMGRQKELPQNHSRHALNTTFVRSHQQKQALRETQNLHTILINSSAS SSPPPPPPHGSQNISFRHSDDEELLSLVDSDQCEGELDSLVSD PHYBLDRAFT_76985 MADLAQIGLSIVMVLGPVIGYVDQYFIIKRKKSSAGFNSLTCGI LLFANILRVYFWIGKRFDTTLLVQSLVMIAAQLILLEIVVRYNQPSHQATRYDSISST PTTSTSSISSANYSNDNNEDDASTSQENPAVGFNFLPQTFWAWNHYLSYINCILCFTT VVGVLFILLSRYSSFVETIGVISLGIESTLPLPQCISNWKRRSTFGFSLLVIGTWVLG DSFKVFYFLHTNSPVQFIICGSIQVAIDSFIVFEFILFSAKVKKWLGISRPLLIDGQV GDEASEPLLG PHYBLDRAFT_29590 MAPDLKNYDEEQARLMEEMCIIIDKDDKPIGADSKKTCHLMDNI NNGLLHRAFSVFLFDSQNRLLLQQRASEKITFPDMWTNTCCSHPLNTPSELVEKDQLG ARTAAQRKLDHELGIPAHQVPLDSFKYLTRIHYLAPSDGLWGEHEIDYIFFVKADVTV KPSPNEIRDIKYVTPDELRAMFADPNVPMTPWFKLICNTFLFDWWKKIDTIETEKDTK TIHRLGF PHYBLDRAFT_140961 MATPKKAVVFYSNSQANSTPSTQALDDLCTRGCSGQLIMEDLGG KEIVELAKSLGFAVSLALKSVPTSAEIIDILASVGPKVDLLLVDISTQNNSWPLINDV VKDLMADTPTYLKVIVAPRDESASEPVLADKNWWDSLVPEQSHVKKEGRCVSIEPRHG FVCSYLHDKSTRRDNATKFTTKDIIENGCNGKILAWHFLGEIGHKLGFVPKYGA PHYBLDRAFT_164001 MSLTKGNLEKLAFENMEAFKRYNTGLYIKQQKEIQDQSSRIKGR SGKSLSYILLLAYFVNRIIFRAMSLPDIPLNRHHNTRFKHTRKLGEHDEFSMVDKHKG KETPLKDTTTTATTTATGTQNQNQIHPTVTQINPKVKRTFKPTNTKNSISHESALRLL QAFSSSNIKTTRITQKPKENTQLGLFNKGKSSAKGVLRKKSDLVFSEHEFLHPRYHVR YTSNQSVISEEASDQDRSSFMTQSHQQQQQQQQQQQETVSRFFRTRSDKSDQSSVESD TFKIRQPQNQGHQQDHHLHQLYQQKQIQDDEEEDEEEENQKDISTKIVPELQDRYIGA LYQSPDTSFGKKQDRWTNEKPDQSSETNTWCEKTTLSEDSHTFDGSTEAIERLLNECQ AVPLPDFCNTPELHQSFRPTKWPECGADQDQSLYYSDRPWEIRSGVHTIPENNDCRST IFSQCDIQEILSDCTGMTDLTNLWGCEDSGSVCSTTTTTTKNSKIDSSALGSTNTTDT SHHLEAVNYFWKRRIIIVREIKRNFIAKNREE PHYBLDRAFT_103752 LITAVTMKNMQLDFTTKNPYAPPASSTLVEAQLDNPFGFPLGVS SLNMNISATYGGNGVAALNIPDNKATTSATGVVSTSFSDVP PHYBLDRAFT_103466 PIGVQLGTIKMSIAYSGVTIGQVSAENIVLAKGDNNIMLKGVLT PQNDTASLDKVSELFSAYMAGRISQTSAVGISCAPNGVDPVGWLSEGFKTVSLNVALG SSEAMKVIHGINMGYLDLVFNKDAPYAP PHYBLDRAFT_140964 MPGSINNGRPLAVDDPNEQHYQHAINDRGIEEGYVEEYAEKPPL PTKRKFYKKKKFWIICLSVGLVIFIVVLLLILFVAFPKIAQSIMNKANLSVREANISF SNPQTTGTITKRDGEIDTNSTFYMSMVTDMSNTGPFHADIKFQNPIEVYYNETMIGSI TLPDSKIAGGKGVLEAVTPFVIADTAYFAAFTREMLAREKFTWTLKGKLKITALTRTA TIDLNKDIVLEGKFPYHNL PHYBLDRAFT_164004 MFTARNIDSRYDLQIFKAPNFGIGCDMRILSLSKYGWLAFSATE DILKIRVEVEVKVVNKLSESLLHKEPFCIHKIHRGHHTGPLTSRENNRERKVGCLYYS TNRFGRLRGNGKNYKHTIGRT PHYBLDRAFT_179739 MPLLPFHLHCHFRLHKASFTVPPLSPGNTAENVSIEYNYWDDGK HNPKDVFIGQSSKKTDISTFMTFSDDC PHYBLDRAFT_62328 MAEFYLESMMLSVCSDILKIPMDSLEVKAKKPRSGYDTLWRLIV TAWSFQRISWSLYIYMNYICVNGHVLKYRFFSEPNRWLIIVRYSLFNFQYAFRLLTNK LPKFSMDNVCLVNTLCNLDYNYNVST PHYBLDRAFT_157676 MDMNGQGVSESDLIYHSLFIIGQAFQVILCIDALYQKNTAQLIS LILFGLLVVGYGGIQLQQHLILEQIGCGSSEAWSPIGSEWEKSENGQEAAIGYFEKKM RPIEYSIIGLIPAFFFALAFFAWKIRKQFAWDNYRNFSADMRVRSALITTSILVTLLK LDFYFVFSFGAQLIPSQKLQYEETITETILVFVLGAAILCLALVAVYRENKYLMGAFL VCGLLSEVYFIYSLVKIAKPRGDGNDPYQFTRHFLVFTTTIAAVLCLVTISVAVKCAM NWHKDILIFDSQEKKSRKNVDGNTTHDLPIDQGSEEFELDTSFQPHSKNALLNNDNRR VSKTDVWTIE PHYBLDRAFT_185387 MTTLLFKEIQRKLATTQTWGLDSRALDEWLENDLHQSGIISRTL QDNQCSADTCIKKEDTYDNSVCTSVKEDNTHKNIKTENTTPATPAKIDNLQSLGSLDA KRKLLALRPDLLPLMRALSFIGQLQRNSDQRKSIGSNTSITTSLTKRSRSSPSLKNSD GSDDNRGTALLKRQRNTDAARRSRLRKMQKMDTLEAKVKELEFNNQQLKLQEAIMESK RNAAELKARQTRERVLALEAQLAESHQTLIRGFKKEDVDPISEADGQNYADKA PHYBLDRAFT_140968 MESNNYLRTRNEFVDYDHTEPFTYDQWVSTISENGTKYQFCDTR SKSLIGEYRHMLNTTLKYAIEKMNQKALYSDIIVFCAKVLALCFFKVPGVASSLIRIS EIQPNIIRRLRLEMGGLNDCAQYHSYLQYAFPQHLHSIMRYDSQVTQLNAPAGNKKSP TEVFGNWVRRWKSDDSELFFSFYRQYHATLDTFISAVFPTLHQQTLHQRNSMLAVSPG YVYIVSFFTSKVDSLLHREINSVTTMHPAQVSKESSPQPSTTTHVKNEPFLTSESELQ IKQGTCTQTSLEQPSNDINAYSDAIKALENKDMSDSAIMDAQAAILSFGEQARQNQEP VAKQFLSSNYLPQHIGKPPPLEVTTKRYAECLAWTTIVSSTSGLYQDMINVLLRTVIK KTSLSCVESVFCLLDFIEATIHEIKSIHLTPSNLPIDMPFLNHTIRILLVQSDHSITL LRTLSFVYMHFSFLTPTAELLDFLAVQILLEPSTFERLLLHWGRHVRVFFLRCLMWRV GRVWTSMSIRWGNAHDRAMQRGGRGDDVLCDGRECLKVWMKNNNLLKSKGIHPNASIQ QKLSYDQCALEVHLVLEAMLESFHNQYSHLQKHLGTTDESTRFDKIARMYEYTMPKPV SFPPFCSKLVQRAPLKTVPPTSLNKRNKSVTKNKLHDDKENISLSGFTKIPSKKERIL RVFMPGSKMRDTSGCNDHDDIMPHSSLETTCNEFYTIPSLYKDIISSQPDSLKRSNST NTPTGTTYSQKPSSNTESAEFPKKYKASSKVGSLLENKEHVQSILLPHNRSPNKAPIK TCDSSSQRDITLLPLIHTQDTSKNPPVILTLDSSPAAPPDRLSLWNHTLPYFTPLTRS NTTSTGSDGRNCQSLNNAKLGNNIPRNTIDFTRDQDKLGGHQPSQLPKRVLKAHKWRY APTNHVYADKIVEESRIFVHDYCAWAGRFGYENGLIADNFVPGLSLDWPKNWSYSQM PHYBLDRAFT_140970 MVQKNKYVIAHEVVETNNDDKHLHELGKVVRESKDVLDGLLNIG KLKLPTSTATMSDFLDTFSSILLFVSKLEKCAIDIKCAIEQLENRRSSIGFTFNRARP LIEGRARPEWMRPTWYSPPASNKPSRNCLSICLEEKHTAANPRTMSNK PHYBLDRAFT_164010 MPNKTKIVPCEGCRERKKKCSSGNPCERCINLGIECYYIQPAIP PDVDYLEMISNQTTALDVYKLETLVSEMEHEIVKLQQYQQTKRYKRGNSEETDDNDSI LSSTTVSLTESSVSSVDVLPPEDLNWKLSIGKHGMRIDTNIDSYLILLQQLEKIKYGS YIPLFRQPPVEPADDFLRRGILSTTLRTGLFKSIQRCIASIAHKQLPDIDKLEDCGDS ENPKPIALTLLDAYFSCNFFRLVICQKTAFYRLFVNEKDPESSAVVCAVCAVTLTIRC RHALCKVPYNNHVKTSEYFFNRARRLVELQFDDISLETMAAYLFMASYKANMLRVEEA KTYLGMAIRIKNLIQDDYIPKSAPNNLSEEFIQDREMFKRLHWGLYDTGSFIDFCYNK RGIPVIASRGQMNRGISCNLLDNVSLKDYLPVPTPDESPDIARAVIKDSYARQFQSIL NSYLRVIRKPGTGIVPVSLLIATQEHLTEFYYKTIEPEYRLSLNIFEDGLTDEEFQQR LKKDINCDRASITLALRFYSSMLSLYEPYLPEVPQNTKMTKSPLTVLDPDEEDMFVLS PPELFEPDIDSSVHFPHPSVYALRSQEICFRSAVIVVRLLEYQLSQLDICQVIMPCLM AAWDVHMRNACLGLSNPDKSGTFLTSRTIKISREYVLRCINVMRKGYLFNSAEHHMWK HYQRIEQNVFNILYSGVTNTAPFWEPLESSAW PHYBLDRAFT_122226 MCDEITILEATRSLEPIVCRPSIYPPWLIIAAHAVASSISTPMF FGGGPIDMLFGLSLGFVVVIGSLYVSHRVTRLATIFDILLSGVVGFLATVISTRLPSS DACFYALSIGGVVNLLPGYATLVSILEIADGAIASGTLRLTTTLIYSLLLGFGLAIGA NTHQLIFPSLALESSSAACKSTMSPLYHIILVPLYAATSVIKLKGDPQNYPVMLVLAA ISHTVHTITLQNFAAYPHMATILASFAIASASNIYARLKPTVGFADMLTGLMFLVPGS IGVASSLDTFGEAISSSSPMSEISVILNAGQQGIAFAAHMLIIAASVSVGLVLAAVVV YPLRK PHYBLDRAFT_164012 MAIEGQYNTNNGGSSTRMRDNLAGPSHGSRTLTVEETYESDQDN VASNGSEEEVGVLRLRGDMTVRRPRAIQWDENVVDNEHLGRKKSKICCIYHRPRAVGE SSDSESSSDSGSGSSSGESSNNDRARPCNHSHHRRNKKKNPRQVSPNAYEKQPVYKGV PHYBLDRAFT_140974 MRSNGCTLVSLRTAETLVYTQTFPVQCLMSRDVHSLGISNVRMW HAYSHYLSVPKNVLTVALSKIHPSRAVLQWERLTAPEI PHYBLDRAFT_185390 MTHYVSIANQKVPITGSTPEILNKVLKFQPFKDWIQTFNKQPNE MNIHSIEIQSTDIFGSSKLGFVKFKADVRYKETGKNAPGIVFMRGGSVAVLIILKSEG KNTEDKVILTVQPRIAVPSLEFPELPAGMLDGSGQFSGVAAKEIEEETGLIIKDDELI DLTELAYGTSWRGVYPSAGGSDEFLRLFVCHKTLSQSDINELEGKLTGLRDHGENITL KLVDLKDAWKCAPDSKLLSTLALYDALKRENLV PHYBLDRAFT_140976 MSSRSLFPQGEHHSPCYHCCKKKSSKKLPLNAAIECTVDAVVMS TQTEVNNTRVTLAKQISLLSVPICDLVNSHTSTLILPVFSKHVQSLNNPELSRKDKTP QTKIGKIVFTCSKTATSLLTDHTQDLICVLCKTSSGVALSPLLSLELIPILNDDRAVF AKVICVPSSFDPFYVIFLYVPSSPASRHAFYSGLQSIATLNYTATPYFMSSSITSNGV PHYBLDRAFT_164015 MATETIDHFSILLNGDTDEFLPRVEEILREIFNRFDKDKDGVWN TKEIQDFAEATNGRPFDDSVIDEITESFDVDEKDQLTYKGFYQMYHIQTLSEPEETLN DFKKHGYDNNLELVTSRTHDETPKTPNVAEE PHYBLDRAFT_140978 MPGFALEEPFPLARFQNSIGSAHLSKHFVVGLTKLETAAIPSAE SEADNLVESDHIAITVQGEGVKLYSTSDQKCLKSWTTPPGIVFAEPATHRAGGRDADS LDYTYALVAAGPDVTKDEENKIVWMWKDTHGGEDGDSSKISKKFETRIQSIHISPTMT SNVILVNENGSINIYSKDLDRLTATHKESSEARVVWSNVFVTSSAHVRPCCIPNTMVP ALSTVVMTVSNIEGSDIYTVRTYYMNEERRSVDLLAKIDMKHAETPVGFTFDSVDGRM TTLGSAGTWAVWRMYMKHGSANKIAVHLTKHLSVQLQGYRFYDETLGAVSAVTPLSDS YVAMVASRIKKNAEPEHVVSVWDVKYGTLQAEQVLKVTEKSISSKDKCVYNISVLSNS HLAITISTVDIKTSKSGKSQKSVTNAKSVVMICPYYCEPMSLMAAMGKMRSTVEFLGA QQDTAGLMRSGMDAVGQSVFPNRQKGDAEFYRKWVTKQESVQAEEDLAMKSIFGEDLN QDEFTKAFMAYVFPDQMEEDTLIDTKTILAQTKAYRKIMAPYLARDTRPKSESRELSH RLVSSVVNKCFSKDEEFWPIKVILFLLAKRRIRSHLVKGGLISALIERKKWALIPIVL EQVKDIPETDLVVLIKALIKNTEEEPAIWKPLFSRYVKMIIDSPRNDIFMQQALKQLT PTELPFILDLLLIWLEKDSQPLTEQQEAIYARNPVSLAVETPLHNNTLEITSAILDTH FPTVILETSLYETVQKLAAIVKVEVDLADQLEQLRGVLGPFTRKHKHKAARRAEKKDE IEDESMDDALARSRKAHKGGRFGGEQGIPVYRVESFRF PHYBLDRAFT_62315 MLGKVVTAMALGATVVTAQLSNSSIFSILNTSQLSPSYKFAQYL QSSPDFQPVVDLLSQPGNLTVFIPSDEALDQAIQLANSTNSNSTTGHNTTSGAHNSTK TGTAHTRMIEELYVVRPDILQHRNNVIHATETNETQDNSTETNSTQWTSPWNSTLLKD QNFTLIELIKYHIVNNTFDLSEIPVQDNLTYVANSMVTNETVNKFENGLPLVIDSNTT IINNTLWNTTSSSSTTPSNTHISVASSGGQSFYNVTDYNSTFVTVLNNTSSGNKTANS TYTIPVGYRVGNGEVTANVLLRDIIASNGRLNIIDKVLVPPVSPTNVIDNVTSTSDFK KLFLQYPQIAAQLNSTNNFTLFAPTDKALNGFDYKKYDNQTLMNLMQTHVLEGLYYTT NFTEATSGNGTAQVSAMNGAQFPVTVSNNGSVITLNNTAHIVKSNVLFNNGVMHLIDQ VLQPQAQTNQTQATQPSQNAQSSQNVQPTQSNGNVQPSQTDVVPSNTADPNQPMQPSQ SNQDDQPSQPNPETPLPQT PHYBLDRAFT_107085 STESPKQYDNILVETKGKVGLITLNRPKALNALSSQLFVEINEA LRSFDADKDIGAMVITGSEKAFAAGADIKEMKDNTFIDNYKKNFLGHWAEMTEIKKPI IAAVNGYALGGGCELAMMCDIIYAGEKAIFGQPEIKLGVIPGAGGTQRLVKAVGKSKA MEIILTGSVNLNATEALQYGLVSKVVPADQLVDEAVKTASKIASMGQPSVQMAKEAIN KSFDVSLDAGLRWERIFFQALFGTADQKEGMAAFTEKRKPTFTNE PHYBLDRAFT_140981 MSDWAVNLLSSRLLGYLHMECQDAACYGVVAAHKVCFAIVIFHM LLGLLVLGVRDSREKRAVIQNGGWGFKVIFWLGLVTGSIFIPNEFIFVWGNYISLIGA FLFIIFGLLLLLDIAQAWTEICLDKLMASENNRWKYMLIGTTLSQFSGMTTLTGLLYG FYGTNGCTLDLFLISFNLALTLFVTLLCFFPAIQEASPQSGLSQASIVTFYCTYQVFS AVANRGNDESCSPQSIGLEIVAVVLGTVISFAILVYLATRSGSQEIPYDGERGTSREY LVQSVDHGTIPRSNLDRYDEQEELSGTVYSYSFFHFIMAIAAMYAALLITRWNKIVVE TQPTELVKIEHTYAAVWIKIVSSWISYALYVWTLVAPIMMPDRFLVSSSL PHYBLDRAFT_140982 MMHDRERRPNTFFTLRYLVTVTFLICCVLLVKIPLSESMGIHGL SSFIQEHSSLGKHKSWNENDSEDSCFIVDGNAYVYHYALQCKTDWTYGADLVRSHVIA LRQARITPVFLFDGALPQDKEATRIKRYRNYIEKVVSTFNSLKQINDSNKTGEENPED AIQYWGDLYLIPPLTLEVVVQTLRELDVEVIMCRGEADGMVAELAEERDGYVVSKDSD MHVYPHLGKGYLPLDLFSIGKDPIVSGTLYQPQVLASFLRIDTSMLPLFGTLLGNDYF DIQMVRYPIMEWCSAHGFGLKSQSSAQWPKYVAEFLRTIVPKNGIDKEVIGAVVKELK PIILASSMNQRQEKADGLEQAIICSIERYDSTSPLITTDVEAKQAIELSSLLQAGQLS RQILDIVETQTFWSFIFLEDLSKESSCVASQSLRQYLYTLVHPNATPTVTEYLREKQH LATVEVPGLSLEAIGNIIHKPVKADDLLKNYKLRSELFLSLHNTTPAILAKANTTLHS LILCLRYLITSSHENINRQLANHEVIGLLVAGMKSLGPHIGFAPSDMPSPDRSKPSLN KRAIHLAAQYQAVLYSSYLLGQLVYGPGQYLQVTGVLASSYDGLEIHTSLQHARVGAH LSRILAGSSTEFKTMFCHLWAIVTDKLEHAISPVFDYGLPSLSQGPVPAKYWTRQTNL KKRAKSSGEPKNDRSAKRTTAKSSPGQAREPNKNVFNVLSFGCSFDDE PHYBLDRAFT_76978 MNTTFQLTSLLTCAVCKEYLTDPISLSCGYTVCRTCLPPSTLLR KPTFVCPVSQCTSKAHLFGPQLFTDACVHGLSQLTRNTIDCYSRPPTPTSMDDGKNSL AIQNIQQGDHVIQSSTAMLQCHCCSQTMVNPITTHCGHTFCRLCVLQMKVTTDKCLNC KRPLPKFASLEAQAPNYLLESVKRGLQSAGAIRSLFANDSPFLSVTQWHETDIPLFTN GSLVLPNQPCRFPIFTAPLLRQMRHAIVSSSRYNGLCMAVVHQGLPSMAQFGTIVKII SVEHRHDAIIIDAIGLDRFKIEKYHQIEEDCMVASIEVLVDDEIVPRVDDHLHCSKRI TNNANDDYAQALIDFGFMPPTYDTASTLHQRILDMVQSSPTPSLNISTEGLLGPVWFE NMQQIYGPLPSPKEASRLCWWVASVLPGSNTERYAFLSTDRLSDRLETAILWINQLES QWTRCRSSAVHAISQAILQQQ PHYBLDRAFT_62310 MWTDTNTYFSYIVSADNIDEFEEKVERKALVKGHRIISQFIKKI YKRRIYNAGRAISLGELEDLEILVVETAVVFGLGDHTKAVFNNSKGTKVYPAMARLEE TIDFVAALKTQHEEEKLYDSENDDFVTLSDIICPTIFKFSLNTHK PHYBLDRAFT_164023 MALPAKTPPPLPRDPSPPPGSPTPSLNTPSTPTGSPPLSPSYVA AAVKSVDNSCTSRIIGSIAGNGAPRIWKEGSSPFSVFYEVPAEGNPLRPLFFEALNTA FPLGVGRGLTYASRTSRTSFEFHLVDQEACSRACQVGFPFNGRTVFASPAIPSTFKLL RLRVSRLPLHGYADFDELAENLRRCLAIYGQVQEISLNLKYNYPDGTGTIHMLRPPNP DLHLRHLEHEIKYNETTTFLATWARMGTHCTFCKEMGHEKEACTKRPKETRTCFRCGK VGHLAHQCPRNEEAESKRPRKTARSPTHAPAPPAAQMYHGLLPSETIYGSQHAPQNIP LPPLATESLSKPRAAPGAIPGFLPANHPDFLIEAAASTGMDDNVQLVKTSDGELGNEE TKKSDDEEYYSDDDIDEVAKYFAQMEDDPMDGENDGGQDPPNPALTL PHYBLDRAFT_185393 MDIQNTFNMQFRTTSSVWSQHCGLVCLTPMISIVNPLTSVCGRC ISATVEHANNNFSPFQICVVYAQATVGQRYKFLSALLANSLLLPTHPSRFILLGDFNH SYHTRSPRPRLAPHTWLQFLSDHLFDCVTMPDSTPMPTFHRGTTSSTLDYIFSSSDMF SHRISSSVDYIHPQWSDHFLVSASFLFDSGTVLGKGLWRANPRLSYNQHFCLQLDSHI HSLVHSLPTSLSVQEHSIAQRDAFCSSLLTTIQSSCAIHLTRSLSIRGHATVLNTLIL SRLWHVLRVISVPVSFLDKVKSVMGQFLQHRMFPPIKLSTLCLPLRSGGLGVLDPSIQ QGALQLRWLRPLCLSPHSTSGLVPPWLSFLLRYHTSGTDPQLTLLFHDLRPPRSDWPC RLPHDFSSLAPNIATCLALPLRSVCLPATSTTSFPPSWQHLRVEDAFLVDPSFDVLCR RAPADFPRNPLILRKFFKRVDSRDILLQPFLVRAFLPSHILQLNNPSIPSRSGSSINA SPFVCGLLPGIPWSKLKPRMYRSLCSSSVSPPLSSTLSSSQWRIFWNLPIHHHVRNIW YRGLHHKLSSRSLLHRILPGPFPTDSCPICEASTDTPDHFLFSCPLKIDVWSTFWQDV FGSHPTLPILHDAFYNLSFPYTRPSDIHAASLFSCALLAIWRHHWSTVFDNTPFVSST VLSTASCLVAIFKAEKSLDDLACSLAT PHYBLDRAFT_164026 MFRLAAFLCLFLHYFIFAIATDTNHDSTSSLYYPENKNLLAKPD PTAAAVYFPFKGGDTASLIIQQAGDSAVDFSYYFDSQLSDGACLAFTLIPDDTLFSKK FKCVNSQLTCEGCLEPTRACYHTLVNKTCTAGIGVSTGCHDGRFSSYNGDLASYTTQK RLLVTQETIKGVSLTPRFGVVGLNGRIIVGILNYRNNKPPQAMCAFVMPGVGTYGNFS LAPSSDAQETRSVSLLTGLSLLISAILSLIF PHYBLDRAFT_164027 MFRSLVFKSPRGTQSLGTQKNGLPFFDADQFSNLLIKEGFSPAQ SRTVIHALDDVVNESIITTSSDLVTKDDQQKTIQGFKQNFSRLKSEIQQKERRDVDEI KTMNDQLKSEIAKLRKSLQQEIVRSQAGVRLDLNLEKGRIRDESINQHKRLEKTDQKM EEEIKALRGQMRGIKLQILQYLMGTITGGGTLVLGYIHFAS PHYBLDRAFT_185395 MSLNIVPPEILVEILRFLDPSSVYSFSLVNKYLSATATPLLWHS PILHHEDQFTQFLSSLAMTTKQLGHHVRELNLDTPHCPTDEEVLFLIERMPHLESFSS ADSHQLTNSSLGRLSHYCPDLQELSLCSAGISYRAMHHLGQCKSLRSLSLKNCEELVP ISLLPLADCPLEYLDLSGCKWLNAEDTAYDVRAFTKLKVLGLMCCQGVTTEFIQCLAQ YPNPELTVFSITGCDISDDGIVSFVKAHPNLEHLTLMDCTITDTSLFAIQTHLPVLHY LEISYCNRITKSGVRHMIRNMPCLDLIGLKNCNIKLRDFPEVESSPNVYWASGIHVDR FTVYELELVRKAESQPPTPETDSGDNTDIETETDIDVDVDDEINISYPHFHSLIIFPQ QPLVLQQRALLLAHHQQQQQQQQQQSQTQSQHHFRPRSEPEPEPEQVHIEEPYSELAE NIHENYSYIQDYLNTL PHYBLDRAFT_62303 MKLFGVFLSAVLVVSLANAFPSEPSTCDLLAISTYQENTKANPN IQALIDDAVDKGRSVVKMGSGQWILPSDYPIVLPPGVSLSGDPKNPTVLTVIDKNTTG TILVPALNRGWTIKGLILDNVNILIDPHENDDETSILGNIFINGGRGSIVSNYGEQLY VDGNVFLRDRSHAGTHLIPNYNTTNTGVLFQTQKNSVISNNIFGMDLRQVDNLSPWVS SQLQATLNKLKFYTQCLGRNLDDQQGYLASAVQLYSTNDITIKENVMNATFPDIEMTE QDHGVSIVGSNQTYIYQNFIAGWQLGDFGGAMRFTSAVDGYVVSNYLANTAVMLYAAV HADFMQVSNMVVADNFLYRFMDPQRDLNSPVYGWLYEGITFFDFYTARNNYTIRPPIW NSSVPISPWGWNIEITHNKFAATADLDPNVISLGNLDLKEASVDTTNCYVTRPLDPKA SVPGQVPVLWRQTYLLDRHGLNGARIPMDLYVRPEEDLDNAVPAHLRNLSIPPFWRAF TLKNNTQPMMDPSTPCI PHYBLDRAFT_62302 MATSHSQLLVPKDPPSYDSIYLSIPQSSSSISSSTDSTPSCTHA IRRFLHRQSAFFQRLAAATFVAATISTTVMLLLWQLQNLTYPQDPDGPWLRPITEVSE DQITITIFIFIFIFTFIIMSILDQGFWAY PHYBLDRAFT_140992 MVEQEMESFVMNPIDLNNESTLFVIDCSPAMLTPRNGRVPVLQV LHYLEKIFLNKMHDSKEDRVGILLYNTGLLDASADKHACFFYDLNYPSTKLINHMSDL STGWLSFDEIYGSTRDGISLEQVLWMATDAFKSKGTGAQLGRKQIVLITTQDDPHSDQ PEERTEALRYIESLHQSLVTKRAESSRHDDRNSDIEDPNEFGHPDIFGGYQSSQSNVI QSPSSILKKVLPMVTQNRGLRNTAFHLPLHLTEGATIGVTGISVARRQKRPNLVEVTK NEGGVELVEKSTYYEDMDTGQNLRLDTISSAFEYGIVVLGFKPRKAHLPHHTIGRTYF IAPDESAYSGSTEVLRALHTTLTNSDKICYTFCVFSGNSAPRVMVLMPQKSPSGFAGI LLPYTEEIRALPIESTPEVSKPLLESAERLVGHRKPNDDDIWGYPDPSIERHRRLLDA IALQRDIQEITEQPLTEPVDRTLEPFINQFKATVTRENNL PHYBLDRAFT_185396 MPHAKEDATFTFNIDPSFTGVMTGFANDESEGCTLSGTCVATVH RPVKVRRLLVWFEGRCKVTLKSTNYGMPNPETSERRTLFTKDARFFGDDGQIHTLMPG EYSYPFSFDLPSNLPSSFRGKRGYIRYRLQAAIYRPMFANNISAVKEVCLRRCLMDDL VPFADTLETVHGKINTHKLNYSATAPNMVYREGGLIRLNVAMSVIKPETQVVRSVTCA LRERVHYRTTDHQSNTVLHKTDDLYPLGYSTFYPSKNADYDPAQKHDYNAVFRVCPRV NLDTNCRLIKVSHAVIVNIVVEDSAPRDDNDRGAETDEETAYYTSEDERPSAKHFESS IPSPPTSRPTSPSLSRSSSSSSISSIFRRSTGFHIHHTDPDQEPIEALQGIRKKSSGR RSHHKQDEHSITMCSLEIPVIVTAREHIWDGDMPSPPSYATADAPPSYGLSIEQLPPA PTYADNSNSNSNTNNSSAVSSAATSPSASPPILSNSS PHYBLDRAFT_154482 MKRKTVSLKSKKEFAASEIDACNSWQVPDFSIKDIRNAIPAHCF ERSTLRSFGYLFQDLLFIGLAGYSASWIDQTTSSSSPVRFLAWSTYWIVQSFFGAGLW VLGHECGHYAFSSSKFISDAVGMMIHSSLLVPYFSWKYSHSQHHKSVGHLTKDRAHPP PLRSELGLAAQTHKHKQDNYTNTDTDTDTDTDNGTHITGPHSHFEDSPIYILLGLITY ISTGTIYYLLFDVPCEMNKNKWVSHFNPNCYIFTKDQYWKVHQSTAAVAAVIASLFYA GKVLGWLVVLKYYVVPYLLINCWVILVTYLQHTSQNVPRYDSSVWNFQRGAALTIDRS YGPILDYIFHHVADAHVVHHYISTIPHYHCVEATKHIKAVLGEHYMSDNTPIPKALID TWIKCRFVEDKGGVRFYKNH PHYBLDRAFT_140995 MNPLNKETFVADGIQYYRVKQPLPTPPPNGYKDMAEAVGKMQEY ALSNNFALATKDRKPTRVYLKCSKGGRYRSTRKIQECDRKKISPSRLTGCPYLLKLSY KKSIQGYMPLKPTREIEGYHNHPLDEKCLESTLKGRLSKISIDDVKEIAKLIDNNAKT RDIQRAINDDSHAGHKLYVSDINNIKAAFARISAPTQDAGTKLVKVMESKGYRVINNV NQN PHYBLDRAFT_185397 MPFGIGHELVCQISRAALWSFFSDIKLEDVQNVPTEGPLIVAAT HHNMIVDPATLSVTYPNHRRLHYWAKNTMFKHPYASQFLTNCGVVPVDRTTKNNAQLY ASTFEVLRIGEAVAVFPEGTSHTLPHLGIFKDGVSFAALEYARLIADDPHPNADGSLP QQADVLPVGIVYPEKSKYRSVAIVRYGKPIKVEPYMDLYRKDPKQGAKLLTKDLKAAI EKLTVNAPDWESKDAAEMARWLLFPGENGLMNDYVDVTQSLICAITELSKDKPEIAQL QESLRVYKLELEALALKDSHIAKYSEKDITTVTTTIELLRRTAASLLDLPLFLPGLIA HVPLYVAGYFGGKFEIYEEVRAQNKIFFGLVLVPFIYVIAFVWAWSALFDRSFFGFFI ALATLGVFVWYHVASIDERYENFKDLIGRWRLFDAVVLGRGMWRRRERVLELKKLRDA NLAGIRQMTKTYKSQNDHVHRVWLAVRSRVRKFGDVSKLHGRRKALAKQYEWSFEG PHYBLDRAFT_176903 MTLAVDLLNPSVEHEKRSHKLKRLVQSPNSYFMDVKCPGCLNIS TVFSHAQTVVLCSSCGTVLCQPTGGKARLTEGSSFRRKNN PHYBLDRAFT_62296 MFMRSLGGWRDWPIYGCAIALRLVLFSISSVATTLGQRVELVTP VTSFKRLTEGVHLYESNVPPYDGGLVHQSPLLLALFSFLNDLPSITIPLLYSILDVVI AHALQKICKAKQARDKGKPKLAVETGPSISPSAVSALYLFNPFTILSCVSKSTILFTN LSIVMALLWASLDNAVASMFWISMASYLSFYPVMLVAPLALLLKSRATSVVSLFMGWS VLLLAVSRLIIGSWEFMRETYGVIILLSDLTPNIGMFWYFFIEIFDQFRSFFMVVFQL HSFIFVAPLCIKLRDSPVFVIIVLCGIMSVFKSYPSVGDAALYLGLVPLHDEVFKYCR YGFLVSNLFLYASVLAPIFWHLWIYAGSGNANFFYAITLVYNLGQILLLIDLVYSALR RAFDVAHPEAIGKQVIRR PHYBLDRAFT_62295 MSLRRLLRRHSAPSIEPFDRLHSLYPRPLPMLPPDVNTPPSVRI TQDSPLRYRGHDAHEQRAYRDCRQHLLGHILSVMRLCEDFRHHHNLAGVQTAKPSSGS LLFTTPTDTTLTMRLLDERLGQCLRHLDHLRTRVTDSASKVLVTGDVNAGKSSLVNTL LQADIVPTHEQPCTSALCEIVDCMQNGGVEEVHCIPDPAHYDSHYSSTYHPIALRHLP KAMEQGLYDHFRIYVRDHRPPEKSLLKHDLATMTWIDSPGLDADSNKTSAIYAGQDDI DAVVFVVNAQNQLTLSAKTFLQKASMEKKPLFIIVNRFDTIKDKVNCKRKILDQIHQV SPSTYEQAEDRVHFVDLPLDAPPASFATLESNLRTFAVEHRMHTKLLPAKRYLVALLT DMADLARSTHELTIEHHQQALQSLARDTFPFMQLLKAREPVLHQVEVMAEHTLESIQT HALNVLEQALENPRPRFSRPPLFSLWPYAHEYRDALLQAWTEEIEDVEREAMEETKLC VQAIQRLGTEHLGPSPWQDKANLKKSYYKPLTVTLEPVDLLGVWPPRKRTAWAISLGL LACLGVRWVDRSGKFGGGWVSIVSLAGSSLWLGLSEIRTGLESKIFQKCKRAAIKTSL ADTHARRVSRISRKILQATGRDLELKIQTAIENKARRRSELENQAHDAQNAGAYYTHF IEVANLVLDQVNAVSTDIPTKAPTRVL PHYBLDRAFT_62294 MEPIPNGQRPTAIRIDIPTSQALPVMINYNQLLAEKKEKIPLSA MELADRDMDYEFRRLMEEQAAQNDYRDDDDDDINSDDLDSEDDHGDGERRIAEHEYDV DDPFIDDSDMLLDNPCEISAPERQGYFVYYGAWKGDPNEQKEEEEIEKKAPKKRSTKP KAPPAPPKKDKPTKAAPVKRTPETIELSDDDDIPGLEYVDDMEDTQPTPGGSTQFNKK ERSVEEDRGWDVTLDRKSSTPTQEGGSHEKKKKAGKVALAPLSPEVQALMDKLDEDVK HESFENKARFPTSLRPAVLEAGAIVFRQLNMIDDNLVGHLMRILPYNRFTLRKYIITK AGPGRLAELQREIDTLEAQLKAKVDKAMPEQLRLHQEKVTASRAEGLPDRPEENPALV KKFKWTDEERQIVFEIIKNVNAITTITNEIGISSFAAKPPPTVAEVSARKLMYLRLLP CWPDGWMATIEMSRQLSQYKVKEGKKVQQDETRLSQNQGAAPQGVKRSVSMTFGPEPP RQHVSPSLSTEPVRKKGIPIIRLSYNAPNTFDCSLVSPFVPSKGVPPITSQDRMLWQK SPSMKIESLISKPAEK PHYBLDRAFT_185398 MAQSARELPSLVASPTNTLTLQDVVLDSPVWRANVIHLEDQMEQ FSKWIDRFIRMIKQYIESIEKHNLETSNLAKWTHPPGLDGSFLDPHVAGTVINAFIGT LQSDLAFKQKLASDLEETLLLPLQHFIKTELKDFREAKRQFDKVLDKYENQLSRYSIL SKQKEPSALREDAFQTFDVRTAYTRACGDFFSRMIKFRSNLEHQLVQCFSGAMQAKIE EVDEIGQACAQIRLLLPGWRLWLEENKESCAFQLQKIQKSCSVLEDAYIRQTRPHRSL KRYSTVPNRRRSTIISGSPVLGESPDDRATIRPPSLSEHRMSLEDQNTFEALLPDDSS PRQGYLYQKCAIGKSTRYTWTRRWFFLQDGFFGNCTVGTINKVKGSLVVDERIKIQGC KGKINNDIDRRFCFEVQNPSSNITFLLQAETEDEMMQWLWAIEKQKELFSKPGSPEGS PSKLLQHKYKQQQEEEGGEDEEAEEATLPQALLSPKPTLPVKRSPAEKLTRTVSSSTC FPLRREDLLNKNQSLTSTLSTAFSLTSLMIREGTRKVKTPAPSESSSETPGQIDIVPQ PSSSWGMPWLMSGINALANNGDAASISDPISSKSPSTNEAGQVVVWPTKLETETPKVK LTGYSSDLAQKQKELRKYFANVSSSEVVLQGFPASLYLQQSKQKSTDELSDRGSSTND QASLSSQEMSSDENGFGYNGYCYVTQKNLWFYSCIMMTCVNTLVIPLDDIKFIQFDRA SSATSHMFIETTSLSQRHCFGLWLESAEKIGEELRLIVETAKSPGETQLQALYDNIKS ITPGRKQKTPVSHFTAVSALNAAVTPLNVQAHPSQLLSQEPMVTTQDSTNSMISSIGR RNSSTTTSVDIRGRKTSAATGALTAAMEAASPSKLSRSPPKSEKYLSQLSKTNINLPD EVWPDNIEKPTEPVTCGCQDHLDKKETEVPLHISAKRLFDMMFSESQSGEEAGSKSIW KSFNKERGNSDLKISGWSENKEGNKERILTYTMPVTNPMVKAKETNVIETHQILEEKD HLSYAVIISTRTPNLPYADAFIPCIKYCITYTSPSSCILSCSYGIKWLKSVMVKSMIN RAANKGLVESIDLMIAQVKKKISLAIGASGQASSGPTSGEPSQALDISATANESHSKP DTANRTRVISLGGFKKPAMYILAVVTLWIITYISTGVYYRQTHEFSAPNETISWRGVY LRDLDQELAGQVSVPINSNPRMYQSFQDSRIEPMGWKYTWFSSRHRRMAAELTYTRER LGALRYELLAAFRMLNSIEQHIVESEYWNWLLDRNLQCENGSEQGVDGCEEVRKELSD H PHYBLDRAFT_154485 MSNSDRKRRRDSIGAARSEAVDSPGFSQPPPSSPGFFHSEVDFA PEAEEGVIDDPFDDVAGSDDDEGEDLFGAGMEKDYANDVRQDQYEEDGVDDVFYEALD VSDRQAAEMAMRRRDAAIQQRQGRMANAFLDPMDEEADTMAIPTRQRRRHMHDGQNDD LENMGAAEMTLDDLRTIKNATVSEWITQEAPRRTIKREFKDFLQTYVDEHGTSVYGER IKDMGERNRESFEVNYEHLTQSKAILAYFLANSPIAMLKIFDDVALSVTLLQYPEYER IHREIHVRITDLPALNTLRELRQGQLNCLVRVAGVVTRRSGVFPQLKWVKFNCAKCGE LLGPFYQDIHNEIKIHTCPSCQSRGPFNLNMEQTVYRNYQKLTIQESPGTVPPGRLPR HREVICLWDLIDQAKPGEEIEVIGVYRNNFDASLSTKNGFPVFATIIEANYINKKEDM FAAYKLTDDDKKMIIAMGKDKRIGRKIVKSIAPSIYGHENIKRSIALSLFGGVPKNIQ GKHMIRGDINILLLGDPGTAKSQFLKYVEKTAHRAVFTTGQGASAVGLTASVHKDPIT REWTLEGGALVLADRGVCLIDEFDKMNDADRTSIHEAMEQQSISISKAGIITTLQARC SILAAANPIRGRYNASIPFSQNVELTEPILSRFDVLCVVKDIVDPEQDHVLATNVIAS HIRSHPVYNAGEDGLAQPLKEDTEIIPQDLLRKYIMYAREKIHPKLQQVDEDKLSRLY SELRRESLASGSIPITVRHLESMIRMAEANAKMHLREYVRSDDVDMAITVALDSFISA QKFSMMKALRKRFAKYIVNLHDRDELLLATLDSICKEKRRLYQLRYKRLPSDISVAMS ELENKARDMHIHDLQPFLHSRLFEQNRYKLEPSKGEIIKVYTEPTH PHYBLDRAFT_76972 MKHSINTTNLQHSHSHSHGPLTPPEDVLTSPLPTINEPQKPRKS SVTFDPALQFKNEEILSPTATESPQPMAIDLNAHAVPSSILVSLWDRENEMRDLVKHN HMFFDPLRTHLPNWSRFENTLYCPRSQLNDEAWMSRIGKALEANPSLLDRFKDLVGYI GNEPDDINGNQLNDDDEESIDAPEFDNVDLVNIRNFPNRLKKFPDSYPQFFINCQQAL DSNGPANAYEHFKETLFCPRSQMTDDMWESSLNDQLKVAPILMTQLKEIIAYEAEEEE EEEEEAEEEKEKKGRGVESELQEDV PHYBLDRAFT_62285 MSLQSTRALFILSFVVALVFGIQCVKAAVGCAAEANFQGCKSIE ETQLKSCGPSDYACQCAAQKLIQQCYNLCPTYASDASIQQGTVDGICAAVPVTTTTTV ILPTSSVAPVVLPSVIRPSSSSFVSIKPAAPTASASHPATSSGSQSRATFKTLTLVAG IGLGLSVGLGWVGLN PHYBLDRAFT_141009 MKSPAECQRTRLQQILSTPNLLASFEVYLQRMEAHENLLFIEAL SQLRHEQHLSNVESIVHRIWRTFIALGSPLELDIKDAKAIGSAIEAMRWAILTKEKAL DIFSEAERDVRESLEKMVIDFDKIHIHPVTSSLATRSQKKVAIIGGGFTGFTVASILD PMPRFHVTLIDTKDSFEYSPGMIKMLVRPEDTSSLRVRHNAYVKNGRVVIGCAERIEQ DATAVRVNDELIHFDYLVIATGSTYRSKLKSFDISALYRMSELVNEHNDLKKANSVLI IGGGLVGCELASEISLHDFPPPYRRKKRVTLVESHDDLVRRSSSKRRERAFDYLTRLG VEVICGEKIVDFDTGEQNTYLGSSGHVYRNYDKVYLATGTIPSSGLLQGAGDVGFESC VDLWDRIRVKPTLQLDHWKYQHIFAGGDVTNVDEEKTGFAATLAGVCIARNICRIEKG KAPLRQGAKGTAPAPLKPLHGIGANGGIGRQQMSSIKRTFAFLNPTWAALKSFDEQQF LRIVQGEAAASSLAIGKKPKKLDLTSHQRHRTDSPHGSLSQSSSRVTVANLSGIDVLS TRGSDDSDSLRTYLCANNLFDLDMTFEDFSESTVNSVYGDDTSYISEANKSKAVDCFA MANVAAAATAAATAAISRSGKSSAKSSTKSTRSSISGSSLLEKKLQYIC PHYBLDRAFT_62291 MNKILMATEVFGIVISLVSQYWEKNFIFTFMWFKSAEITMGDPH SINNISPHKKQILEKNDSIYIKSTLYFALLVCRRFISMEYNPITEQVIFIIVKYDTEQ RSNNNEISSIVSSSRFYFDFYPVFRLLAKSHVPRIHFILSN PHYBLDRAFT_164047 MNGPNEKGCDMQNIPKILKAFVTETVKGIQWVVEAHLNQLGYSN TWKLQRNTQLPERPELDQDQLQNILQNTKFPICQNIDVIVPQKPRTQSQELKLRRFLN VIGDPCEKQPGAARQEVSPVRHDSFVRDDDRRAYEIEHFISTEENYVQKLRALVNSIA LPLRAQAHSRNPILNSFKHATIFINCDQLLEVNEVFLAELKQLNNSTSDDCFGDVCAR NMNAFDCYRKYLSGKRGSEELQKKEYAENQAFRSFIVRAKEDPRAKALEGTLSALLME PVQRISRYTLQLGVIIKYTPKTHPDWIGLTKAHAKFDEIAKMVEDDLTKLANMLHNLY TSIKDSPCSLINQSRSLVTHLDATEIHRETLKPIRPVTLFLFTDKLMVASRHSHQTKS MEVCGMNDDKSSTSGSFIPKKLERIRPNQMLRFKGWADVEQVELFEGIQDRPDSFLLR VSSAQEQQPQDQQCSDSTAYFQKCARLFAAIPPKESRTSLEASYVETCQEFIASFQKT QALSRRYEPTDETFSRSWQGLDVYSSFYTPNSYKTAKYRNNIAIAYVEEGSDIEIESL ISQVNTPWIIGLVQSDTKGYRLSLSSKINLAERDLDRAASGSDNGNKENNEFVSVFWY NVIMCERKLRHASGFTMVHDKTTEEELEKRSRSRSRSRSLSRSTSGVTLSKIFGSRSR STSPSRSQVSLSSSLSSPLVHNVAPPKKRNSFGPIIRPRAKSLSVDSAPLIKPRPQSQ IIQKTNGSTSDVSLSDLQPYDLVKRQESASPNKKDTKVILDLSLKRRSMPENYWANSH SVQKKPLENSSDFPQTHSPLSYSTNEKAKSPGDADIQDLNSRPSSRSSTTSTVSSNQH TNNSYAHSSYNTLSSLTSQSTRSSLSLEDLEITKDIRTPSYFTNSSTLFSDPVDNDDY ASQVHEKYLARKRTNSNDRITRKFLHERPALATIIAPQVISSQDAVHQLTKEMNASLC QLIERCNAMELQARRVTDQIRVPSTEGDVLQDICKELRGDTYAMYHHLNTKLGNILAM LETNAQQPTNTPRPTIASATSTDDDSKESLDRALSERDYWHRRAK PHYBLDRAFT_62281 MNSLRSQFVSTTRQLVRCTIPKRPTILAQTRTLRTNINTLYRIE RKETGQYSSNPYTESMRHIKSQAKFVAKITGCTVVALAASAALVWQAYHLYIEWYLES TPTELGYQARNLLHGAYVREKVSPDYEVAAVYVREVLRLALEVEKLEETSPCVIALRL RLANDEAHAGNLLDAIAEYNRAWHLLLEQKKAEDGKVTEAREMLLAQTAKHMGDLYLR VADYAYAEEFLAWTLHVVGKTEKSQETKEIWDHLKVTTTCSLGSVYAVQGNFGLALPL FLQALKAIPESEKENTKWICLKAILQNQLAETMFGLGKLDDAMGWAQASFESCSTGYS FENPSENNEKDSKDCKECGAVVSNNLGSLLELKGQFDQAAIYYKQAMAYASALEDNEG YKQYSENELRVEKKESEAPVTTVKAVIEAKEPVTEPVQENKSSWSSWLKRK PHYBLDRAFT_76970 MLMEVDEPQSLQFKEKLLQGSKAVKVSDLIKRLSDLQTELKALQ QETVEKNSLTSVAKDLVTNAILKHENKTVKAITACCLADILRLFAPDAPYSNLELKRV FEFFVSQLSLLKSNTDPNFHYYFYLLESLSTVKSIIIIADLDNAEQLSSSLFNQFFSA ISADLPRNVQVCMTDILIQLVEEVGALSQEVIELILDQFTAKEKGASYAMALDICFAC TDVLQRRVCQHFTDTLMAFSRSDGSDEELEDLKMVHSLIRHVHSVVPNLLLNVVPQLD EEMKVDDDHVRQIATQTLGEMFAEKTSELYQQYPIIWKTWLGRRNDKVVQLRVRWVEM CGPILKNHKQLVPEINDCFKAKLSDPEEKVRATACRVIGSLEFDLEPTSFDKSVLEQV ALRCKDKKQSVRKEAMSALGAIYNHEFDSISSNNEDLIAKLGWIPETLLNCLYVDELA VTSALENTILQYILPEETNDLKRTERLVTVVGSLSPRSKTAFKALIQKQKSFINDMQY FVDMCDAARDNTETDTATQRKTEELMKHISKQFADKSRTLNSLRRFVEAEDTDIVKLL RTCINPSQDYKRIYNAKKSLLKRLEQMSPAMSEIFELILNRACPIVLNKSNLPHLLKI LRATRGRKNTSGEERRAIAQDLLKDTTSNYPNMFEKYAHELATNITKEENDEVVIDSL ELLAEVCKAQPRLFVFDRETVEGLMEYTRTGEAKEAEHAATILGNMDNGEHACELVVE YLCQHLRVSNKHLLTLLSSLKEFALYTPRLLSEHIGNIVGFVDRDLVQKKDSMQGDVN PEWVEYNDLPYLAQLKIVGVSTLVNYLLGAAEFTDNNEAITAHLFNMLWEFIDTTCDE AISEGLSAAETSYLRLAAAQSIIKLTHLKLYEEYLTVPKFEKLGLTLQDSCYYVRSEL AETLMKGLQIGEIRPRYYTLLFLCAHEPEPELLKQVKYFIRKRASKSESGQPSPLEMS FVRLIHLLAHHPDFSVSTEDLLVFSQYIKFYLSCVVSADNVSFLYHVAQEIKSSQDMV TAELSKNSYVLSDLACLLIKRKCHTASWPLNAYPQNINIQSKLYRNLPSGAIQAEILK TSYLPSEFIKSIEDESGNKGSDKRAYSRPWATTATKRPRVA PHYBLDRAFT_76969 MDPSEFPTLEEANAVNDSKHSENDPSPGLEASWAQVVKEDGINS EENGQPGAKQEQNNSVPVESVIKEDVSFADITKQDLPENQEFPTLEQSTSIQPPESVE PESAGVGDLLSKSNESSVQDSTIPPHPDRSFATVASNREFPSLDATTKAEVPVSNDLS DLPDVKDMLKERSVKVPPPPPSQSFAKIASKEPPPTEKPLSDRAKQLMAEQEKLPESP KTELNHNNFPTLAQSKLMAEHHASPTGKDKELFTEISRLHEAAEAVEPEEEPTSSEKD TSDISSDMGNSFVKIDPSFAKITGSNLENAPPSAYPKHVPRHPSYDEDTIMRERTRAE ARKMRQEPHGEETTEEFTPEMKVMEQKKKEIIKEKIEEEKEAKVEKKQSGNKAPTSKS RGVEQDSTIASTAAAAASTAAAAASTAASTAATLLSEAASSKDDIDSEAKEDVDDEIK AVETRIKFFDKRGRGKITMLDTFCSLRGLGYSFIFAIPATVLMHLRLSPLTSPHGFPF FYRSLTDLLTLPIYTKRVAQALTYNTPMLAQDEGQVNNMIQAYGHKAQGSSLLGLSFW DGFRAMRANEKKTLRWWQFKQWGIHRLQWVLAYTMLHDPETKLVTQPTLNSLHRQLIK PHYBLDRAFT_179759 MMGSPRTLPSADSTFDKTHPITTDTPSLPRYAQQTISQKLKTTQ QMRMPFAPMGPLTPPPNDMPLFTRPNAKRRSSDPQSKYVQTDYSLPHKMRVVSGNDLG TVAIPAQWTTFHGSTVIHDPKNCMFKMVLDNHGSIAALCYLPTRFQAIVEFYHTEIPI TYRNMGIGDRMAQEAFLWLEKSNRLMIPTCPFVLRYLERHFQDRSGGSWAHVVRSEQE GIEKLMKGYACANVE PHYBLDRAFT_62277 MRFVLLYCRLTAFDPSGVKDTARATSLQIRNINRKPEYLDIHTC ISFTGLGKNEKYQELDTFAQFYDSAEDVIIDSKMMATKSDRGVWRVKAASSTKDSLYQ RKRLE PHYBLDRAFT_101010 REQRTVREMAIMHLLRHPNICQLKEWVSEGDQYYMFLEYVDGGQ LLDYIINHGKLREKQARKFSRQIISALDYCHRNSIVHRDLKIENILITRDEDIKIIDF GLSNLYSPSSQLSTFCGSLYFAAPELLQARQYTGPEVDVWSFGVVLYVLVCGRVPFDD TSLPALHAKIKSGIVDDYPDHLSKGTKI PHYBLDRAFT_130994 MVVTEHHDVKIAVIGGSGLYNLEGLELVEEVNPETPWGFPSDKI IISKLPSGTKIAFLPRHGRGHYLTPTEVPVRANIAALKHLGVEAILAFSAVGSLREEI PPCDFVLPDQLIDRTRGLREATYFGNGVVAHAGFADPFHAGIAELVYAHKDILDFGAK LHNKKTAVCMEGPAFSTRAESHMYRSWGADIINMSALPESKLAREAEIAYQMVCMSTD YDCWRVEEEAVTVETVMQNMVNNGANAKKLLMAVLPALDKAVRENTLKPDLKGSMKFS GMTAKEKRNPETVKKLDYILPGYY PHYBLDRAFT_74103 MSEVEEEDRALHFSRKCAERPFENIISVIAKYPWHQSYLISTMH RDHIFEMLKLSIESLRAHLSKDYVHIDPTLLERLTRAGLMCPAFTERQKLTLLQNARM ECPVDLYHVIWPPVNVLTPSLSTSTTSPLFNTPTGEYFPNFPTQSMSNAPQYDYFAPK P PHYBLDRAFT_179761 MQDNKWSPAQESSNYMALSNYPYSNEYPPHPAGSNSSGSSHLAM SQPIQGMLSMSQPTTPRPDVTSPTSPDSAKMSGPPNLIRKRPPLPSRAASFTTTTFHS NPYPNGAPIRRRRTESSMFTFETGPGFSNTKQLLEIWNMDQTNGYHLQIQVKMDRGFF RADQDWTCYRRNYFQVSAAFEVHGLNYLLQSPEIPCLVKSENQELHQVDYFTIGVGAR ISSGEKKIDLVQHTPKRDKGPQMVPEPRMVRAGGNLHLATVGSNHNVVTFERMQFKTA TANNGKRRAAQQYYEIVVDLYAKTTSEKTFRVATCVSAPLVVRGRSPGHYADSHTRYQ DIENGAGGVGGVGVGGGGPSVAGPPPSAFGMGMGEEQRYGGGPIHSRYMSLPTSPVND FGYSSGYQGYPYQSYASVVSPTNLPSSARSDDSNQSMRADVPFMVNPAPYSISQQQQQ QQQQQQQQQGPSGSNNTHDGAYFPSGHPMDTSMAHSRITSSSSSNNSQSVYDRQEPYS SIKPEPIGESMGADKYWPGPPIQRQYPPNDVNVRYAPQHHHQQQQQQHHHHHQQQQQQ QQQQGYVGSGYPYANHHDNNGPFPPVLDPSINTSRPMSPDKHFSAS PHYBLDRAFT_179762 MFIRRLVQTTQRHYSSNSVRLSFDKYSSSSDKSPLLICHGLFGS KQNWKSLAKAMATRLDRDIYAIDLRNHGDSPHIKTHTYDAMANDLITFIAENNIHKPV LLGHSMGGKAVMTTAFKAPETVSKLIVVDMPPVSLKVSSNFATYVEAMKEIEAAKVRK QSEADALLARHEPNVGVRMFLLTNLRRQEDGTMKFRVPLSILGQALSGVGGFETVDRV YNNKTLFIAGGKSPYYPPFLEHADQIKQMFPNSRLEVVENANHWVHAEQPEQVLKLVS GFLNDEAK PHYBLDRAFT_141020 MVNNQSNQITPNFTGWRFTGSKNDSRSTNVPNQTGKEHTNQSQL ILDLSYPTNCVQKVPPKLSLLAERNPFETSFSQVLSPTSRQPWQFSGRLNKKTEAEKQ TLKRQLAPTEPRPDLSELMKHSQTITKPGSQSQSQSQSQSQPQPQPQRKRYRKSQTPA YDPKYELTQEPTPSPTCPKQALSSKQPIDPSEKEKRASFLERNRQAALKCRQRKKQWL NSLQDKVEFLSEDNRQLQLETARLKEEVMYLKELLAFHNCQPSIE PHYBLDRAFT_76964 MTTIAKRLVLGKAAERFNKPSVVATDAEIKAAIEEAAHSKRRHW WQSKATPDIILNERDRNVLRSVKRKAVYLDKGFDCCCCQIGLDPIVGLIPVVGDMISM IMALQIIRIASRADLPRSLLLQMLGNVMMDFLVGLTPVAGDILDVLFKCNWRNAQLLE EYLMVRRRDEIRAEKGLLVHADNDSARSYAQVAAAAPPPPKQSSSTSTSTSAPANQSH GIQTHLKHTQPHTQSTTADSVAIAIPPEQPQKKQYGTFFTWLRSSDTSS PHYBLDRAFT_62269 MTDMEVTPIYSQTKFASDNDLNDPKVLEMYLISADIVNTVLPLV ISKVSPGISVSDLCQFGDDLILASTEGVYKNTERGIALPTCVTINNLVQYYSPLPEND YNLKPNDLVKIELGVHVNGYIATAAHSTIVNPTPSQPIGGRAADVICATYFAHEAAIR MLRPGVKSSEISRVISEIAAYYRCKPAEGTFSSLMKRFVLRAGKDIENQLNEEMTVKE LENLDFEIEANQVYQLNIVLTTSDGKVKTAEDKPFLYQRDVNASYQLKMRSARLAFSE INAKHTVFPFSTRALSGNQARLGLVSLLAHHLVTPYTVMRTQLSSDLVAQYKSTVLVL ADGPMQLTAAQPLPYVHSQYCIPQPTNAANVLSTPAVKQARPTKHKATALDVNFGERR VDVQDVDMD PHYBLDRAFT_122243 MTDYIEEQQNELEALESIYPEEFEAISEKEFRITIQPDEEDEET ACTVVLHVKYTPKYPDELPEYNIEVVDGELPESYVERIDLALKEAGEDSLGMAMIFTL ASIIKEELNQIVLDAQRVRDEVEEERKRKEEEIEQAKFRGTKLTVERFMAWKAEFDKE MEAKEDKEKIARMKELKNRLNGRQLFEQDKSLALSDAKYMDEGDVSVDVSQFDKEERN HSEDEDDTNAVWRQLSKED PHYBLDRAFT_122246 MKDICKQDELIIPNNVKVIVKSRLVTVEGPRGTLTKNFNHLNIE IKKVNDSLIKFTVHHGLRKHVACIRTVRSLINNMITGVTKGFEYKMRYVYAHFPINCI INNGGKDVEIRNFLGQKVVFRVQMREGVTVEASKNQKDELTLTGNDLEAVSQSAADIQ QSCLVKNKDIRKFLDGIYVSERNVLEASD PHYBLDRAFT_100871 IASGATAVIRLVKHPDTNVVLAVKEFKKKDKTENERDYMKRMQN EFIISKTASGHTNIVTTIDLVLDEQDHWCTVMEYCQGGDAFSLMTQEHSRMSLDDNLC LFKQLLRGIKHLHELGIVHRDIKPENLVLTAGGTLKIADFGVADVVQRPLEEKARMCS KWCGSEPFWSPEVWELTSDESVYDGKALDIWSAAITFYCIRTNEL PHYBLDRAFT_62265 MSWKHDSMDIICSQKLKVSYENGCIWHSSGDKIQKKNVIGFWCR FLWCCEIDKTIIQVIMPPDPFLSHLLVFGFDERINSDPLRVVFTLRKLFLLVFATIQI HVVRWLDYMPDIVNLPVLVVNREHCLIEVMDFTLLNFGVYTIAMFTRNLDQLDQIRDL HQSTVKIILSRYNFSMFSIHESIFFCSLFLLLLIFHQYNITASDDLKHYPFL PHYBLDRAFT_141026 MPIQESPSVLHILFLCFKICLRSWEHLTYTTSGGSAQHRDEGRL SVKKRSWRNALKPSASYSESWTPPELSQGPAGHPVGLNLEFTKVLAHSNELARWTAIF FWKKNMQTKHVSIFSCQVVENMERLFKKEKGENMFKLAYDAERDTFELALTCWWSLHS LLTWRKRRQT PHYBLDRAFT_179767 MFSSHSTTGGTLSVTVIEARELHGEDLVGKNDPYVELWLNEDYK QRTSELSNTNDPVWNQTFTFLIDEGSSIHKLYLKVLDKDTFGSDKIGEARVDFRQALE GQPIDTWVKLPAKLGLSSHGEVHLYIQFSPN PHYBLDRAFT_164063 MSTKRVNIEPLRYQRLPQDTKQEQQCDDRNSSEFFNIFIPPSRS AVPELVANIMSSTPIPGITEEYFLTETDVHDLVSAYIPDDIVASDDLSTWMSKDGSWD FTWDDLSDIQEYRSLVKSSMDTKCTVGFVLKSNTNEPDTTKKKLVNLQIYKMKSKLLC EAVFVSFNTSANDLIADRDVTTLPYTFDDYSGNTQDLITKITKSARQIRLVVIDHSGL TTNPDNIRLFISLNKSIQELVEDIGHKVEVYSRYDLLKNIKILNKF PHYBLDRAFT_164064 MDLLIFCAKQEQEISLLCRQNQCRMPEKDFPVEGINNILLTGGV KRRKSMEPGRVRALIMEESDSQGSIGEQPNKRRTMPAYHMVSNLDERLARNNSRPLTG PDPELAALLQKEEEEEREREREEEEAATAAEERNAEKGPDYKRRRLLNHKQSSNKENQ DASTANLSDPRRLELARLKNERGQEIKAQIKADFYIGDIDAPRPERMSLPATSGGLLR RKRRSLGPRLSVGGQQILASLSEQPQYIVFTNVTETEKTQLKEIVRRLGRFEVEGRVS EHTTHVIVGEKRRTQTVTLGIAFHAWLLTPNWQVIIYNSNNNSNNNMAVRLRDSAEHG AYQKEENYEAIEYFPRAKASRKREPLFPSNLQVFIFSLQVVDRPLAETLITQGGAKVA RRMEDADILITPRPLNVDKDLAIENWLLECIENWQYMPVENYQPTKVKSKS PHYBLDRAFT_164065 MNPTKNTRNTKSRLSLASEPTRHSFTPPNRRHTHALDTPRLSRS RSTQSSMSNFVRPIQNTNSLPLVPSISSPIPHTPHTPQLPHTSQSPHTSHTPHTPQTS HTPQSTTPNDHTILSGVIAYVDARTDDGDDVTESMERRVENLGAKVWNARHQKREQLD GLIL PHYBLDRAFT_141029 MPRVSERKQVIAALEQQFIDTTSAMTEDIRLLLRMSEIINDEDM VSYYKDVASIYEIYLAGEIDVYNDMKAKIESSRYLHKGKNDAPKFMTKEESLDHLLDL DEEGFLEEFRMSKASFYKIHDLVKDHFLYRSTAKSMQTDTRLQIAVVLRRLQANSNTL SLNALSNLWGVGKGSVNNFTNRFFNVILSLEKEFVHWPREHEKQEIIKENKKPLGFPD LVGFLGGCTFQLAHVQSWKPERFSGPDSKYYVNSIGICDHKMRIRYFAQPVELGNSPD LRIFSDCELGRFPKRFFFGDEYVLASRGGYKPLEYLVPVKTKEPGQERLPKSDEVFNS YISIMCLKINHAFGILKERFSSLNEIPVKVKNMDDAKLVNEWIRVCIILNNLLMDQED DKWTIQVKAEWAEKGKADIKRIRKEVSGEDC PHYBLDRAFT_131007 MLLYTDIITGDEMFSDVYEIKEIDDIAYEVDCKMIQIKEGADVD IGANPSAEDGAEDEAEDGVKTVNNVIYNNRLTETSFDKKSYMTYLKGYMKAIKAHLAE TNPERIPVFEKKILAFVKGIVANFKDYEFYVGESMNPDGMVALLNYREDGVTPYFTFF KDGLKVQKL PHYBLDRAFT_76958 MSLSENEADASMHRFMPPPPSRRPYRHSPNRHIPLSKQPEPSPG PPKFPVGVSWPILLAIAPALGAFLGGTAEIWSDFIMILLILYYVYSWVTVPWSYYEGA RSRRMIHENAVHSSPNPAEAERRAAIADELHRHELLGLIWVLVSPAIAGYTLQYSRYF LHHYERYINSFNVAVFVLAASIKPLSHVMNLLKERTVYLQSEIQVTESHVDALQKKLN LMEEELFGLRRAFVTKKDLGQVTKEINPTIHQLAKSIRRFERKESAMRQWSEECFESI NSKVREFDDFICYRIEQDQRSSHGIFVSLVLLPLNIIFWVAKRMTGIFPIPRSLLSYP NKTTCPPSPTHQLYHWQRVGNEKSKAPIGPLPAPIQFLPAAVSTTTTTTTATNNNNNT TNPSMAPNSQDTR PHYBLDRAFT_141032 MESDLSLVKPSSPRLIPHANHSRYLNYGVSHGIMSHGGIGSGIG SHSSNGSHGSPQSIKDFGGRSPRPPFGRMPSHTNAGFQEADISDSPADLSLRKQASTS SLQFNHHPGYCNSQPDLLNKNPISPALSFSIPSPPLPITSATSLLPPPPPLLLVHDLD ITENQDDTKMLQLEPDDLARFRKWVIGFCVVNFDLEIGQALDYVYPPMELSPDEEKNI CFSAFPDSNVFEVGDQVYSVRVRASNSGFAVSGPTTNAGFLYGYVFFRQKKDPSIRRG YFQKSIVLLSQHPWTGLFSRLIGILGPAYFESGQPMLEAACMNIANWLSPVEGQVFDL PFLGNLLQVELHQPFKPQLLETTPFDMNKLQPDLQIMASLPIRGLYNHFKDILPDLWL LWELMLLAEPLVVFAPDPTVCSEAVVSLVDLINPIPYCGDYRPYFTIQDTDFKYFITK NKPASNLILGVTNPYFHTAIEHWPHIVRVGRQQLRKPDGTPMTAHNSPLNSSRKAKPG LSSKPNTTFDFVQGVTSKRKAVIAKDRDLLRMFAEAELRQYPPDWVLNNMLRRHFVDL TENPMTTEVRPAPRLKPFQTDQFMKSLKEHGPQLPFKSTFKTRTSTTDPTKELYSQFL KCGNFATWLQHRTTEAQVEINKQYSPSFCS PHYBLDRAFT_76957 MPTFAPFSRVRSVKLPTFKRHPSPPRPSVSTSASTSSNTSPSPR SHTSRNPSLSRGPRSPKDNISEAAHRPNPRLRTASSPTSNSFAETLRVISKEAQSGRF SDVFDAFFFTDKRCQNRAFFMAARLKQTLLPLLNSSTDNNHNDALWRLITYRLYTFNY ILFVLPATCEDRLDLLSRLETELAQQGDGQDLHRSGGMEAHFAVRYALCNAKRHRQRQ RRRRTLLEDAFEAEKAQQQQSQLSGEEDVDYLVSRARQTLEHCLKSESKLGPTERDAM YVFHGMRVGLHGAFEPKIELGPNESQLSQRLHQAVIDSQAIANLEYVNPFEDSNCIVT EDEDQNEDQDEDKSYTMIDVDNNHQAAIRPRSFVDSKFYEVQHQQPTAVRYAS PHYBLDRAFT_141034 MPGPGTLFTLGAVGVAGATMYARRNSGTEQNSSTRGPAPPQLSS LTSSSTTGPSARAVRAERKAAGPSSATTTTAFNLKSGLKTGEATINGAAATAGTRAAA RAEARAAQLADDSSEWEGQISPHVMARRGSRGTSNDLWDSDKQAEHHWRRDYGINFGH NSHPKFPFNHSQK PHYBLDRAFT_179771 MISSRAASIVLVPVAAAAAYATYVRNTVQPSLAYSSIMSQGSNP SAQEQWRKVNKGLGLVDVGRSCGAVDTNGNFNGNVDVDVHVKYLFENEEEIVDHQVPC GTSVDV PHYBLDRAFT_141036 MFPSKYSIGAALLAFCVVTNASLAPSVPEPGTVWQAGKEYEIIW EEDNIKPSISQAWTDFRIDLMTGDNDDQIVLDTIASNMSGVDSMSYKWVVPDVEPHAN IYFLMFTNDKDEAAWTTRFAIVGPDNIQQKPENTLQPDGQNIPWGIGKLVDEEQTVAS VSVVSAVGDAAISAAAAVPSVGGVVSENTSNMSIMPGSQTAPSASNVQATTTITSKAQ SSQTSGDSAHTASNVSSASALSALANFTGLLVSLTVGMLFL PHYBLDRAFT_131008 MAMPITVSSSETKDLLRMERVGTHSHIRGLGLDDKYEPRASSQG MVGQLKARKAAGITLAMIKAGKTPGRAILIAGPPSTGKTAIAMAMAQELGTDVPFTSL AASEIFSLEMSKTEALTQAFRRSIGVRIKEESELIEGEVVEIQIDRSLTGGSKTGKLT LKTTDMETIYDLGTKMIESLDKEKVMAGDVITIDKASGRITKLGRSFTRARDYDAMGS DTKFVQCPEGELQKRKEVVHTVSLHEIDVINSRTQGFLALFSGDTGEIKQEVRDQINS KVAEWREEGKADIVPGVLFIDEVHMLDIECFSFLNRALEDDMAPIVIMASNRGVTNIR GTKYKAPHGIPADLRDRLTTISTSAYEEKDIRQILNIRCVEEDVEMSDDAKDVLTRIG VETSLRYSIHLITAANLVSRKRKATIVDVQDIKRVYSLFLDEKRSVQYMKDYEDQYMY NDQSEKVVEGTPMEM PHYBLDRAFT_141038 MVYTRITGFFSPKSIYPLAAPSSSEKEWIFTLWTSTTPPRPILC KMPHSCYMSLKMEPIFRDSIYDISVWGYILKYGSDRCLESRTLGTNTLVLMTVYTELK PKRCMLTAMLLIMRNPMPTIHCVERVPDRKTLTVIVTVKVAVRISKESNPRSVSSTPV MIYVILSAPENKMTPSPSSLLPPALLPLPSLQTPRFTHLPIIKSATKPSALFTLWPVT HQVKKIETSPFRCTNLNCLENMDGSEVMHRLWNRDLAAVLNFRHMLNNLRYDGTIHVR FTRVIRIRRIRRQAEEDLQEGRRFRQRLTRLQRR PHYBLDRAFT_141039 MELKPPENQVKGKTLESSEDERIILNNDENLSHRKIVSRLSSSS AIKPPKLCEEYKNLVVVIVDAKPDIVLEEMMEKLNTQFMGPEIEKSLFHDFLTNK PHYBLDRAFT_141040 MDNAPIHKYEDIQLSIERRVYGCVYLPPYFPELNPIEQFLSVVK KNKFSSARAQNKGLSSYLKSLDLKGKLSPKQTESEHNTTSKMENLDQTATTIDRTYTD VKEVEKLNHGTNCHLFFPFLVAKIRREDLLEQIEPKLDYEDLF PHYBLDRAFT_62247 MTMLNTTNNHSIFNSCMIILNEILYVSRFLNQSYTVLSLSIGHF SGCAQHLGLAIKNTISIASYAEDYKEFLSLKCNAILLDILLFTGLLTTSMKAAHEATN WSHQKQRLKSPPFSRQKLKKSRHAKILSEWV PHYBLDRAFT_164077 MVYTRITGFFSPKSIYPLAAPSSSEKEWIFTLWTSTTPPRPILC KMPHSCYMSLKMEPIFRDSIYDISVWGYILKYGSDRCLESRTLGTNTLVLMTVYTELK PKRCMLTAMLLIMRNPMPTIHCVERVPDRKTLTVIVTVKVAVRISKESNPRSVSSTPV MIYVILSAPENKMTPSPSSLLPPALLPLPSLQTPRFTHLPIIKSATKPSALFTLWPVT RKTTKEYQFKRKSHIYSNNTGSRVIIKIQEND PHYBLDRAFT_141042 MHCPEDYIQYKSPIKLEGNQKFFSTIRAADWSFENYFHKTHLEV DKSNIKNCDRISKDYIKDLESVSRRGIPIGMYMYVNNLLLDRQKFDTYRPSYVSLAAV SDYENELKETEEEMKAEMSDIDSVKNQNEVGYSKRLESDGMTTDQELFKFSGKEEQML FFYRVQDYKRAGIVRAHCQPPNVWLVACWCPGWSLVCCFLLLSQRTIRFFWGRFLWHH FCYKLSFFLSPGPNLEVHPEYDLGCTNRVRRRQIQVP PHYBLDRAFT_164079 MYEPNFKDEYKPKSKSKDNFNVFYKANYKYKGRQEKKTNRTTNT NDKDKDKGNPNSNSNITINIHIIVISLILINKNDLRIFVLGLSVGTAQVNGKATFITS TFCYIEILRESTAVCVKSLNIYFSTAYFNWVPNCHRLKYVRESEIQLSNSIVNRTILR VSWARVINELV PHYBLDRAFT_76956 MPINAGINGFGRIGRIVLRASLENSNVKVVAINDPFIDLEYMVY MFKYDSTHGRFKGTVEAKDGALVVNGHSIAVYAERDPSTIPWKTHQADYVVESTGVFT TIDKAGAHLKGGAKKVIISAPSADAPMFVVGVNLEAYDPSMNVISNASCTTNCLAPLA KVIDDSFGIVEGLMTTVHATTATQKTVDGPSGKDWRAGRGAGANIIPASTGAAKAVGK VIPHLNGKLTGMSFRVPNPDVSVVDLTVRLERPASYDEIKAAIKEASDGPLKGILGYT EDEVVSTDFIGDSRSSIFDAKAGISLNPHFVKLISWYDNEFGYSTRVIDLLVHVAKVD GNL PHYBLDRAFT_107947 MYTLLSGLYNYVTSKEEYYVLIIGLDNAGKTTLLERIKSVFMGV PGLAPEKIAPTVGLNIGRVDIKSARINFWDLGGQRDLHSIWERYYTECHAIVFVVDST DKKRLEECKDTFEKMITNDRVEGVPILMLANKQDLSGALRVEEIKEVFNKIAVKLGAR DSRVLPISALEGNGVKDAIDWLVVRLQRNKLSRPPVHR PHYBLDRAFT_164082 MGYKASLKQLGGCCKVIISRGLQYKNYLAYESQGISMLYECLIR SVMRTRSVVIEIRMHLHMVYNYHIQSFLLDFGLMGEIDVSLLETEAEVFTPKLVSTKP TRGYGPLSVQWELLSRGANEIPPPIWPTPCIGSGWTWLGSNAVHRRRTSPKPSIEAFS SLACEAVECSSATLASILDLRLGDERRTDKFGERSSKSYGVCCCDILFQATQPVRETY VWGGPNLTIKVGPEFGRRPS PHYBLDRAFT_154493 MDILGFDSQDLRQARENALIEASQAPLFSSNYKTKAEETYPHVY QSAKSGSMLSLFGTRYALPAGTVKEEFNDYEETIIPVPTQAPIRAGERRIKIEELDSL ARGAFKAYETLNRIQSIVYPIAYETNENMLVCAPTGAGKTDVAMLTVLRCLYQYCSTP PQKGSTEIDFTIAKNDFKIVYVAPMKALAAEIVEKMGKRLKFLGVSVRELTGDMQLTK AEIMATQFIVTTPEKWDVITRKGTGDVELAQKVKLLIIDEVHLLNEDRGAVIESIIAR TLRQVESSQSLIRIVGLSATLPNYLDVAAFLRVNPYQGLFFFDGGFRPVPLEQHFLGI KGKANSVPSNERMNRACFDKVSDLVREGHQVMVFVHARKETVKTAQMLKEEVLNESLG DYFDCSAEPKFVGHRRDIAKSRNKEMKELFPYGFGIHHAGMLRSDRSLTERMFADGCL KVLCCTATLAWGVNLPAYAVVIKGTQVYDSQKGSFVDLSILDVLQIFGRAGRPQYETH GVGYILTTHDKISHYVSAITQQHPIESKFIENIVDNLNAEISLGTVTNLSEAVTWLSY TYLYVRMKKNPMVYGMDHSEPAKDPLLGSKRHEIIVDAARKLARCQMIIFDETTGYLT PTVLGRISSNFYIKHTSIEIFNGLMKPRMTEADVLSMMSMSSEFDNIRSRESEHKELK GLLEKACACDIRGGTESTPGKVNILLQTYISNAYIDDFALVSDCGYVAQNAGRIARAL FEIALNRNWGPTSSIVLAINKSIEKRLWTFQHPLQQMGLPKDVMQKLEDRSYDISIEE MRDMEPTELGDLIRHPRMGLTISRCVDQFPMLMLDAKIFPITRNVLNVELTITPDFVW NERLHGSSEPWWIWAEDSDSVEIYYSEYFILHRKQLGETMKIVFTVPIVEPLPSEIYI RATSDRWLGAETVLPVSFENLVLPQLYPPPTELLDLRPLPVTALRNDVLEAICAQKFT HFNPVQTQIFHTLYNTDNNALIGAPTGSGKTVAAELAMWRALGQHPGSKVLYIAPMKS LVTEKAQDWNKRLMGPMNKRLVELTGDVNRDVKIIESADIIVTTPEKWDSVSRNQRSR SCINKVSCVIIDEIHLLGGESGGPILEVIVSRMNYMGSKFDKKIRLVGLSTPLANAQD LADWLGVKKSGLFNFGHSVRPVPLEIYVDGFPGKLYCPRMATMNKPIYAAIKTHSPTQ PVIVFVSSRQQTCLTAQDLIAYCGMEDNPRQWLRTDDMEMEMILNQVDDEALKMSLAF GIAIHHSSLTDNDRRIVEELFLNLKIQILIGTSTLAWGINLPAHLVIIKGTEFYNYKS GRYVDYPITHVLQMMGRAGRPQFDNTGITRVFVQDSKKNFFKKFLYEPYPVESNLHKS MDDYIHAEIMDKTIKTKQDALDYITWTYFYRRLQQNPTYYGLDDLSQRGLNTYMSNIV NEVTERLESSGYLEIQNDFDLILKTPKE PHYBLDRAFT_164084 MSSIPFSSLLHQLLPSSNAIGGTKKGKGKSKAGSQNFFTGAYPI QQIDPEESIDAFCKLVESVKSEAKDTITTSTYGNDIEFCLPTYPFYEESEESDENSQD EATLYSSYLHDDFPTEEDSQEKIYDRNWLLKQCRNHIIQHNVEFTEQQLCTDLFTILR SEETDDSIQQALVDILGYEGIDLIMDLISNRTTLVSNIMKMSNYTGQKAKASLGHSNE PKRPVYGTQFTVQSEAEIKEMKQMRKDQKKALKALQKAPGKSNLIN PHYBLDRAFT_86209 MKQRFNTLDIRATVANLKERLTGLRLQNIYDVNQKTFLFKFAKP DDKELVLIESGIRIHTTQFSRDKSITPSPFCARLRKYLRTRRCTSVRQLGVDRIVDFE FGGGDNSMGYHIIAEFYASGNIILTDQDYRILALLRIVQSTESKMAVGEIYDIKSVVL QDFKPVEIDQLQTVLHKAGPKDTLKKILNIGFEYGPAMIEHIILEANLNPNMKVATEF DSSEGSPMMRSLHSAFLKGAEIVESTNNAVPKVNLIKCFYDEFHPYLYKQHESKKFKE FPTFDGAVDEFFSAIEAQKLELKARSQEEAALKKLESVRKEQESRVEGLLNQQTTNTR KAQLIELNLAMVDAAITIIRNAVASQMDWQDLKELVKDEKRRGNPIAQIIDGLKLDTN QITLLLSDPDELESESESESESDSESADDDDKNESEEKKDNIPKVFKIDIDIGLTAFA NARKYYDQKKSTATKHEKTIAASSKALKSAERKIRHDLKETKITASINKIRKPFWFEK FLWFISTEGHLIIAGRDMQQNEMLVKRYLSKDDVYVHADLHGAASVIVKNKPQEAGQP IPPSTLYQAGTMSVCQSKAWDAKIVTSAYWVYADQVSKSAPTGEYLSTGSFMIRGKKN FLPPVQLVYGFGYLFKLDESSVGNHVSERLRLEAENGDDNVDHTFSETKQQQDNNDDN DDEKTVSSIIEDANNEKTNSPNDNESISDEDSDSSDDEDAFPDTQLESVPSAPPQSKT WNKYDLEDYGEDETEESRLGSTKKSITAKERRQLKKDKQGEGIKESSPAAAPQPKQNG KKPTKTTAKDIKSAPIPPSRGRKGKAKKIKEKYGDQDEEEQRIRMELLGEAKEKAEKI EKAKEREDKKKMQDQARAKEKEEEDEKASVTKDNAFVDGVDEKDNEAIRQMLKEENIT MLEADEVANLSVLDSLTPNPLPEDIIHFAIPVCAPYNSLQKYKYKVKLTPGSLKRGKA IKQAQNVFLTSTDSTQREKELIKSVPDMEAINTMMSKVKVSA PHYBLDRAFT_141049 MYWAFFGPLSRIPGPFAEKFISGSELFFGTKPGQRFKKVITYHQ KYGHVVRIGPKAISVSDKDIIKQVLVTEDFEKGPSYTRFQNGTSASMLDTTDKIFHKQ RAISPAFSIKYIKSLEPLFETVTDDFIKRINREIAANKQDTDFATVDIWWLLRCLAFD IIGETSLGGTFNMLSNNDHIMLVSVGKLLRGIDFVINHPWIAKLILLRKVKKNPDLVN FVTNLIKERVKNKQRRDDIIQILINTQQAPKEEDRLSSDDIVVETTLFLVAGAETTSN TIGFSMIELCRNKSALNRLRQEIDAIELEDGKQVFHQCQLRNLPYLNAVINETMRLNT IPSNGLERLVTRDIVIKGDIYVPSGTTVRCYTGIAQTHPDYWSDPMEFKPERWLPNAD PKPDMGAYNPFSAGSRNCVGKEFAMNEMRLAISTIIKHYDIEPISEEMEVARETRYFI TLTLASSSFKIRMKHRNS PHYBLDRAFT_76954 MSLSLNTFQTTNSRVDVTYKLTGQPEPVQLSGVVVRPGTPFNNG TIVITTHTLPHSTKGIVLAIDIQALKELELVHFEAKYSVDLTEQRMLANGFQSWSQAR EMDQNDKIPSIRSSIAWYTQYNLQGDYDFFPHSGEKGQIHSSSYTHFRDIHNVLRFFG SISESLGYTYFKSDYNNNILSVYKDVFGKTIAPNQTLELIRIFIGESNQEGALWDMYG AFFEDKRCLKGNTAQRHVNGWTSWYNYYGDVSEEIVFENVDALVKHKYPINVFQIDDG FQTAIGDWLSINKKFPSGMKSVASKIKGAGFMAGLWLAPYAVGFNSNVAKKHPDWLIQ DPITKKPVVAGPNWGGFYALDMYHPQAREYLREVFHVVLEDWGFDMVKLDFLFAAAMI PRLGKSRGEIMWDAMEQIRELVGPDKLILGCGVPLAAAWRKVDYCRIGSDVSPWWEDT KLKLLHVRERVSTANSLVSTLSRWAMSDRMFGNDPDVMILRNKKNKLKPSERYTLCVL NNILGALVFSSDNVGDYGKDEHLLYAATFPKVVAHVESVIEFRPEMFLVHFTVANKGG EPRHYTTISNMTGESQTCYLPPSDDETHLYFATNDSMHMTKPDKREPLFYHPSSRFTL EEHETKTFLHIPPPSPENNGKVTLMGSTSHIVPGAEVELLDLAPDGSVQIKFRKENQR QRKVYICLGNQYFATRAAPSACKVNGVMVPVEIHPISGNGEGRTETEVAVAVVEA PHYBLDRAFT_164088 MRTAIQSSTQRVESLFESIGKPFKPYLPAMSRFMVVATFYEDAF RIILQWHDQKMYLEKARHFPSAVSPLFLGFNVMAMLMCSTCIISKRAVGPSVAVLAAV VAAQAIAYGLVFDMLFFLRNLSVTGGLLLCLSESILRRRSSKSVFASLPQLSESERHK YFQLAGRVLLVLLFIGFIFNGTWNMLRIIVSVMGLLACGMVVVGFRAKWSATFLVSLL CVMNFLVNNWWSAHHSNYKRDFLKYDFFQTLSIMGGLLLLISIGPGGLSYDEKKKEY PHYBLDRAFT_131015 MNNTSSTDIVEWNQLWHAVRENPEDFQSWEQLIRVAELTKGTTT LRNESQVETVYDSFLTKFPLCFVYWKKYADWKMDIYGEAEAEKVYERGVSAIRNSIDL WNHYCDFAQNQPGKDVRELFERAAKDVGLDFFSHPFWDKYLEYEEIHGTSSLSVLHLL DRIVVIPMHQYARYYEKWRQLRSTLLLEDALGPDVLEIQMETIKKEKCDISEDDMKKA LRVRMEDDEQSVYNKTQEETNKRWVFEAEIKRTYFHVKPLDKPQLLNWKKYLDFEESA GNSQRIKALYERCLVSCAEYEDFWLCYGHWLISHEFLEEAKIAYERAVYTFLASDKFK IKIALACLLEEEDNIEEARKIYSTTLEKYPNQTETILNYIYFEGRQNSQQFEPLIKKY IDSATLDNSSKSYFIVQLARHYQQNGQSDKARDIYLSVTQQYPESKYAWLNYINFEQN YFDLKAKEEHIQLAFDKARNCKSLEPDFRADVLHRYKKYILERGLSVRLLNRVSPTLS QLSGESGIKRGATEENRYIPYPKQARYDSTPTSSANITVLASVPIPNDISSTKTDPAS YYSGAHTYGYPYQAQGSYGADYYGHSAANWQG PHYBLDRAFT_62232 MDHIQDLVRLMSIILPQVLNGNLSVMEGLSGNFGLTAIFDRFRT DSFGFGLVFSLFLMFSWQAMMIACQVQSPYSRFWATSAVGSLEHVRVNKIPVRVSHGS GFELMGKSSFIFKGFRSLGILMLIFWSKANHILIVTVVVDEVSVV PHYBLDRAFT_141054 MSLRINYHGVQNVINVRLNRNSGLNAADKTSRPMTALLNISQEE EEATLRIRVFQFASSSLMLTGTRPDRE PHYBLDRAFT_62231 MDVCRTISLLEDRRKSLTEDSEMELALSTRDNAPNAILLLPTTS DCDIKRPSSQGKPDGWNQSVKCFKKVSAAHFTGDDLIKAEQVYRVVGKIAQELVHYSF SEYSANNIAIPSWGSLTDDQKAIMSSSLEKNATLKSIALHRSNNS PHYBLDRAFT_141056 MSCKEKWAGYLTNKLKHFDCVTTQRVESGHHALKRSILALQSLD SLFEQICLYLLQFEGDYQDRTLDEKLVTDAKILADERLCGLVHSVSRMALFTIRAELL EEIIIGEACYCRVKTMFGLPCRHTLPRNRALTLADIPERWVLSSALPFYNKEVEKLER EQQICNLMAKVNDLINNAGNLKDHREVAFPLSSEVKAPGRPKHVKRKTALPKDFVRHK HRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQEPLKEAK TTNFAKKQEPLEEAKKYFSGIKRPKHLQDDYWYNLPSPKKQNKNVHDFALPAQIDQAA ISLTFNPKSNGWCGFRVFAHLKEGGEDQFPLVKKKMLATMATHGKLYKHNFGIDVAKV TEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIADTYNKPVCVYSDDRSVLPVTF LPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHVHRSWPEFPKNKSYLLPSTTTTTI TTTATNSPTNSPVNSSNIIDLTHI PHYBLDRAFT_62229 MNIKDLLNHNSKTRTDGHMLNDLFVVEHSNRIEICIIAQARRKF NNRNKGSITAPIDFAKFLAAGNKTTPRHLRVRNLDRVYNVPVEHFTDYENLVPTCVSN SRAQTNNVSREVYDKCIKIVNKYMAELGSNGCCRFSTVEERDFISEDRYKVEKASAKT TQTFWIVPLSEQLRFKLAHPEKRAKIAYDTRCLADRCEDRYENIFSRDAVRWLLDCGV VGQNDVLFNTFKNIKRTSSVIHIINLNIDSKERYKVGNMIQLAIILRPNHSKDITSFL EPVLDDLRNLGANGLQFQTDSEPMYGVKGPNIFKDLPTMINIAFFGLGEIHLLGHSTG QQLYVALGGKFCPWASNSGRSAHGIHLQDWLKHHRYPFALDISLEDIDKAICTSRTNI PADFTGTAIGCWHVFLNCEIVEKRLKPTIFVMNQHMLIHLGYMIWEMDPLWAYSCRPL ERAISVYSAAIKLRKKPGKNMENLLLRKAAINHYLGCQFFICTTNDRRASNFEVASND VAGPQLWFRPTQSSLVELAATIGIECQNLVRSLIPFWAREGAVSIEENDEVVCINKMW KVSVVYRVLFSVDSRHGRANNLAVLEDAREYGFIFKFFSQTVNRVTRLFVAIDCISDV QCVNQGLFSAWDSLDSGVVKVLDMKFIMGIAGLGHDTNNETMQHIIWLSPKYYQ PHYBLDRAFT_141060 MAGANILNPDELKLTPDSERDTLHRLNRSEIEKAALDVLQKGQL YNDTNKMGENATTKRQQILSKVELDMQHLTDTSLSERKGLDYATVKSSEKGKGKESEA ETVECLSLPLRIVRARKILRGCEYVLLKPEKAIGSHIGVLPEPGKDIQ PHYBLDRAFT_100673 EWGYVFRKNSKNIYYDGHEREDAIAYHQKWAKRMMVYKKKMATF SENEETIVLPVLRSNEIEHVLVTHNELTFYANDGKDTMWLMEVENPIRKKGPGMSLMI SGFKCVCHRTMAGGAWLSQEVFRPGADIDGYWMSADMLKQLKNNVIPLFELIHPGCKA VFSFDQSTNHKAYGQNALISSKM PHYBLDRAFT_62226 MLLNVIGFIDETRQAIAQPSEPKEIKKTFYNGWKHIHAIKFQDI VTHDRITSSILGPYFGAKYDQYVNSVSKTEERLEKYLKSASISEASYALYGVPAYMEA ACNKSMFKVRVAAEWEFGEIQKYFKFCKYKYAMKI PHYBLDRAFT_62225 MYRLKSRTIKSQRYGRKLKGGKVKSKFALEVVEKRGSSGKGSRG DKRNVIKYEQPMMAPYSQQRAAKIEAAEREELVHGENVKDCKPERHETKHCGPHEAGG RTKTDKAGEGQVWGLWGVQNWSGNCRVGGKTGWTNNVKGCRGQEPSRKDTHQQLYWKA YLAKETDRVQDKRASVETFEEPVKDNRTTEYAVEGALGKHAKTRIYFIGVGNLEIAPC RWKGRVVWLCSSEIGERQRETGGEVDYHVREVVREKVNGSKTPKPPSQIGWQKVPREM GGKEQYCINLLMIERSRWKKDRGTVTTLRTCQSLERMRELSTVARPQIEREQE PHYBLDRAFT_141064 MLGDFNYSSYANTSCAGLAPRLWLHFVANYFVDCVPLSNAQSMP TFHCNLSSLTIDYIYVSKDIASCHSSSTVTFVQPLCTDHCLVHTCLSFPMLSHIGRGL WCANPHLANIPSFRSSLSDCLSSFIPLLSPSISPQSQWDLIKVEVARFTRSYSRTTCP SLATLEVKLQSKCDHLIHRFCHQPAQNFQLPIVEHQLQQVQQECTKILALRAGKHWRE QGETTAGLCHPFTDNLCTDPDDLMEAAAAFYEDLYTPNPPAQTTIDDLLLHLPPDLFL SETTFTILLKPFTLDDI PHYBLDRAFT_164098 MYIEDLYQNDKIKITVFTINQHLLQHYLNMISAFGPRRSYSTRS VEHAIGKYSHAIKSNSAIGVNARNIMVWLAHTQQLLTDSEGGKQRGVVLYKGERAGAD SNIEFWGPLGYKTIVDSFEDISCLPILIRDFYRSKGVECRTIEPAIITSCKAFINSCV IDSSFSQKTLREAHHIHLQMQVDLFTNVRCQYTPVAKDFFGKVILFFEHGNSVYNVIL VVVNGQLKLKVVHLADVKELVGLVVSDATGNTTTTKTKYIVWPELNRSPKLNLALPAK VLDPPIGFTTTLGQANITTPQPSTTTSTTTNTRSYLDVATATPAPGQVPVVLFSNLPT STDCVWHESISCHSVFFTPPTDSTLTSEFWTALRASVPTACTLGIPFAHRQLLIHELH LTNSTICTKLCSKGFLVGGQTYFPSMGIAPGTKILHISLSQLPYLPSPLLEEAIKTAL AAYGTIHKVSGNRWVFTVIIVNLWAMLLKTVQTTPKIPDAASLVTRLVTCNMPALEHP PRMPALPRDPARFPQHLNSLITHARLLPVHFTDLQPRNRSPLVSANRFAALGRLTDNS HTGALFDPTLPLPQTHNTQYDPVFHPLHNAFLPSFYTSGSTKDEEEFHPSAFDGDNDQ DSQNILTDDEMADGNHS PHYBLDRAFT_62221 MTGHKRSNHRSCPMNPKNCTLFISQKRTSNVVSTEVEYPAESTT NMRVRRESPEDQVVLQETTSSVIPETLIEKPTIAEAQDIISEIESEEIESEENDTVDT DIEPSDDSEESDNDKEEIVVPAAIVRFCPICHRESYCCNTNRLCPFNSRYIRNDSSNQ RLATENIV PHYBLDRAFT_62220 MKAELTTSESTEQSMEQVFHNNDHTADLTLHLVAEGPQDQRRYN APNTNEVTVLIMNNDTCTTRDIVLHIHTSNLQSINEYHRSYDALHYVLMFPYGKDGWN LGSTSLSGKPVTVMQ PHYBLDRAFT_164101 MNNYIANASITSSNDESQKSELQKDTREDSQSNNEDFEMEEEER NQEINILAIGIEIDACRKKAAEKLIKVLENPEAKLDDIRKAQEEVANTKERWVAFCDA QEMMSSPSAVEVFRANIEKKAGKYRGNNKADSFVPPNLPAFQLRGGPVHQTNKAVHDS IAAFLNDFEVQLRAHNLDFDQHWERLFWLTCDERQRVSFEKTRAGRGLKWKEIKKHEV HCMLQKPGELVRAYAERFLDSVHATNLDSSDELVWLFTSKLLRPVREKAWQTLTQHYG LVVPKNIHQVIPLIVATSGEETDSLFQEERTTSGTKRYQEDNHKYTGSKRGRGEFRRE QRGNNHNNRGSCPLHPKGRHSKDECHILKSITSTENKTFTPPAPRPAPLCHYSHKVPY FNVHKCPEFQLAKAKKPVFANRSTRTVNSEDVLNSRIELDLSQLNLQAQGKHLNIHHL TAPSHNDGSLYVPIIVQSSWVWALVDPGANASFISPDLVSSLSLPITKCSSKKQHIYL ASENSLAEHLGTVENLSLSYMSRKLNHNFVVMSLALGTQMSIGTDLMPRLGMAIRNLA TTWDDQKSDSLRENTPDDGPEPNKSPAGTSEEQKQFTEAIEQSIRSNKLIPKTSFCTI PESIIHIDTPEGVTSYRRQYPLPVVYEPRIQETVNTWLADDEVQKIGKEYFTVGAVVA HRELAKGKYEYRVRWEGYKEKDDTWQTPESFSSPKPIADYWDRLANAALRSPHLHAEA NAQDANAQYAYVQHDNVHDANARCYAQEANMVRSV PHYBLDRAFT_164102 MTINKFQGQTLDKVGLYLPSPVFSHSQLYVALSRIQKPSGIKIM LNHTYDPNMPQAIVYTENVVYNEKSHYTAVNAQEDVTVHKEVLSELTLVNKIGSYKQP TFKIVNILVEDITGQQASKTYYHSYSFN PHYBLDRAFT_141069 MSSTQCRCAACHMLGHSRSTHKQCLMNPKNISLHIPQKRTNVDE YPAESSQTAALRIRSEPVQDQNLDIETLTSISVSELTEFPLANETITEVLEAVMEEEI EETSSNEEVTRREEEVEEISTVNRGSILPHCPHCNGTDHHQITSRFCPNNNTISEPAV DNRGDMDIECRFCGAMMWAHEKNSRSSLRSPTFSMCCNKGKHVLPQIEPTSTGIAELL NYRTRDGK PHYBLDRAFT_164104 MSFTSLGAKIDTSVGNNINGAYNFRIHGTICHRIGSILPVTESD IAHPKFVQIYIYDSAAQIDQRQYHSPQLERSVLEKIQSILMETNPFVHLFRTMDQISW EKGQSIDLTLRLVAEGPWDLRRYNAPTASEIAVLIMNNEEGTSRDIVLHTRANFQQNI NEYHRSYDALHYVLLFPHGENGWTIDASSLSGEHVTVMQWYSNRLIY PHYBLDRAFT_164105 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAELTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMSSKYALAVKIGSLRIVC LYLPPNMPTHDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSRGLALCSWIEER SLSVVNADLAPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLL SLSFTYDLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQDIVQNP PLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRRNQCYKKW RLAIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRR RQPQHTFQHDDGPAIAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPFASDDSPFT SPIVEEFMQFMPNRKAPGPDHIRAEMLKPFAGNGHMFQSIATHRRSGTLATMATLNSV GACRSGFSLLLSSRLYKIFVRPKFEYGLAISTLLKQDINVLESIQDKCLRMIVGGHAT SSTIVLKHICNLSSMKFRADALIAKFCIRSRFLPA PHYBLDRAFT_62215 MQVLIQACRPVLGVDPILFLPASRMERGRLIRWRMGWLPGKTKE CPCGSDHTSRRHLLDCPLVPVALFEQLPQPDQDQIHRIDFAITSLPLSSQEPRPAYWI PLLTILWHIDIICNPDGDYSHETEHDFKKLNITSFSITIQTINTNATAQYTEFNAAKG GYEFAIFSDYIK PHYBLDRAFT_164107 MQSEEFFNTEVVKEETDVQMINVSEISIDKSDVMTISLASDNDN SDSSDEFENKSKVEVASVEDFEDMIASKILAFVVTSLKIYEMSQISQFMTLFGIIFQA FYLVQTGRTALLKFFRYLLIAFDKNINLPLIIDALKTMTGFNFMTKSIAKYIVCNKCF AIYLPGNHQPNCTFKKYITTLSTYCGNPLFSDTKADCPIPLMVFPYNSLKNALAQHFA KPGKCMLSNLYHNESSSMSIVLMERSGKNN PHYBLDRAFT_141075 MSKNLNNNSVNNAFGKEPSVRRPPRNTNDIRMIMLQHLQRTVSN QRPLASKRAWLDLEGSSSGRTRNIHDVYEKLDIMNVLNTVLKNTSSEKAEATASNAVE QDMLPERQPILNLKKLYDQYNINENKNREGNRSVLKSVTDYLCRQEEGKKMDLPTFRT KIVQHIGNRKLQEKKTGEKKQEENRWACLSNQAHFVNSFRENVDSILYAGYMSDLESD DEREEEKQDSSSEKSFFWRFRPSWRSKGGDRFVDELDVDYEAAHDKKNNTCSFKHKFK EIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_141076 MQELANDIVHVLPAKNLNNWILFVNACHLLTKPLITDKEIDEAH SKLQLFCTRFQTLYRKSAMTLNMHLHLYLGKCAHEFEPIYAFWLFSFERYNGLLKNIK TNQKGGFESTMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQLAALHPSST ASIFSLSDFIEYSLNSRHSVLGCEPLPPSVFPIKLNQRITMCKGHYECLLEFYRHAYD SHNLFGHYSNWESNQIFVNNRIEKMK PHYBLDRAFT_107213 INALNNTEQTHLEKENGTQWSKLHLLFYFDLVHCTVIDPMHNLY LGTAKQMIQI PHYBLDRAFT_157699 MLTLNIDWFQPFERQIHSSNAIYLSINNLSRSERLKSENVILVG MMSGPKKASTDSMNHYLKPLVDELLQMYIGVEMI PHYBLDRAFT_141078 MTHHDKSPDKSNEHTDPLYIVRIGLVVMIRRFHRRDRGSIPRCG IVLPNTHNLLSFPIWVGLPLMNFGERHQENFDKKTLSQFEIEERVQDLLPKELVNYYK KNRRVPHIPDTGEMTLNTLV PHYBLDRAFT_62937 MSSTSELYNKKCYCTKCSDNQQGYSFVSTQTLQRHNKRARYEDM KRSERNISVQRNLMDIDFETTSNQQTGPIEAMDGQTNSPVWEGAPISDDEVAFSNESN GESSDGDENDNDEESNGGEESEDNEENIVEIEVEEFDTEGQSVLIN PHYBLDRAFT_157700 MTSILSSFISVTSTAVATSKCMRCTGLKFGHCCYGRKLERVTRA YQNCCSQYMFGQGDQLISIL PHYBLDRAFT_74131 HLWDPKFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVA YLERNFVGAGLRKSDVRDFVYTNFTSRKRAANKSQAKKKSDNARNRRSSREKEHLKRR KTAYQSNKTAIDDEMKRDCSGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPGWRSDEY NHFITLVDNKVVADLGLNSHQLLSRAFGETVEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_164112 MYSLCNGHPDYSRQYRQKYSLQLNKTINMNIIFITDECSSAKHA MNQEPLSLGVVIDMKLYLTPCLELSKFYFYLLQSLYLSVPKITTEYEGLQTLYIKHCL I PHYBLDRAFT_74084 MRNIHNSMPEFPVYVSPRIKHLTTKVSNIPGQSVETTGGHSIKI NQKVTLTLSLNGYTDSVDAFIFPTKFDLILGRTWLQTAKPVPDWHRDNWSLYRDGQEF VLHPMATAP PHYBLDRAFT_164114 MDTAISDDPSLVSDGAIPFLRNLQQARINADARVATNSQQDKER WDSIMKPHQFAISEHLLLQHENKFGLEYNWMGPYIVIDKNADKNIYKLTTMEGVPYTS WVHANRLKIAKSNDFDHIWYHPTPARAQMRRDLALDSSSALPFSLVDSSGVDRGLSTV LGGGDVGHYFNESVKSEDTGFIHSIGAHFHLISIHFHLIRTH PHYBLDRAFT_62206 MDKHCEMVSHSGSADQNQFSRIAFDPLCDFNQEIVNEMHGEVVL RSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTIVAQKPKTNLPE KVDPDSVPTAESIGRGSYQKYNQNQVNKLFSLVFSENQTAAAAAREMRINVRTAQNYV RLAREKIQADFDAATVETDEFNGLETMEVEEVSAPKERKHGNQKLFQAHSAFFFKFFE NKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTLKKLEKLPEKRDDVNTIEM RRDRILEWQQLADFNYLSNCVFINEAGFNMHIKRTFGHSVSGTPAKTTVPTQRGVSIT ILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEMGSMDRGIIHNEVVRGSITVVE RIHNCLYKFNENWSCRATRGQLRLHTLNTLVRNTGKN PHYBLDRAFT_62205 MNPNQIAIVKRRKRNGPQKVLPIHIKNMIVKKCYIEESMTRAEA ARAFGVSWVSINNIITKFERDATVEPKKRGGSRAESLKITNEHSKFIQDLLDECCTLT LG PHYBLDRAFT_164116 MDKHCEMVSHSGSADQNQFSRIAFDPLCDFNQEIVNEMHGEVVL RSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTIVAQKPKTNLPE KVDPDSVPTAESIGRGSYQKYNQNQVNKLFSLVFSENQTAAAAASKTGINVRTAQNYV RLAREKIQADFDAATVETDESNGLETIEVEEVSAPKERKHGNQKLFQAHSAFFFKFFE NKPDATLEQARIAVMEEFSGLQMTKSAIQKHLVKKCALTMKKLKKLPEKRDDVNTIKM KRDRILEWQQLADFNYLLNYVFIDEADFNMHIKRTFGRSVSGTPAKTTVPTQRGVSIT ILGAMCERGIISLSMKRPTAVATKKKRKLDIYTNVEVNGQIGTRTQHYWFYPFNWRPF PSN PHYBLDRAFT_62203 MLLHFAKQGHITLPLPSEAIKIIEDQLKSGSSCRSTRISVLRQI DSWGVGIRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAEQNYCIFEIYLS VYNDGKKQFAFGFQSPMQVSIMRISQSFCLNATHSISSRSDEVLYTLVTGHPQTGKGF PVAYMVTNNQTAIPIKLWLDHLRIKSSFVPMNITIDCSIMEINAIKEALSHATIHYCD FHVLRAWQHNLDSKIKLNASYTSEQLGNYKTALKNYLRHILIESNEDVFLRAIEDFKL MVQDQPQFLKYFEKKWTENEELLQKDGGAHTLANNIRDIRLDRLIFILTNDVEFYFEQ EVERIHFNNGKMGPIDNELVRNSFVASKIQNDMLPSMILNPLGETGNSMDDYNGEWQI RSFVTEDKWYTVNISNDLIQSCTCPNFLTRQIPCKHSHLLKRYCGAKFSFIEQREIAG VVSNRQDAVNANENEVEEEVEEELESGGTVEDRGVYVFDEIAAYSAMMHYGFEDLQTL KTIPGLDQTKADLIKRALADAVRLMDEYRSENPSYFRNLNTQR PHYBLDRAFT_62202 MFIMNKSTIAKINKRRPPGSQLVRLGLSTYTTARLGYIECVPCP LKDSPKIGYLYCTRKRRTAASFTENASQSNMNSQLDFEHDFEAENHEVESSKKRTIYN KWMENLRQLADSFLCFVSKCQDDCPNKMDITLLSSPCSCKKFVKKNIYIFFLLSSKMF EVVFCGCKTIPEQMVEMGILPASLNNVQYGIHFGLLNFMIDMRDFLATSGQGLATLYN KVNMGAEREILKAFCQNLLPIYVRLMTIVESKVEEAASGFEELNGCPACSDQSIEVVF LYQVDSNVTVDDCQYVAIDGNFSLKYERRKDVESDGGKELEQVEAQLKQVWIGDDMVK TYEKERTEEGIGRFDSNFHAGSGSLAKSIKYPIKSLFCGELCTA PHYBLDRAFT_62201 MEKSKLAVLIFHAYAHVQHCLIKLNPKYRDEFGLTDGECLERLW SYLNRFVTMTRKMGQANQKLVLYRAIKFCNETKKVQLGLMLEGKYVKAKRIIEEARKV LEGFDCVVIEREWKQHVNKVEKSENYVDIADLMESGHKVQGNIALFLVNFTILRRLRE LTNDANGNHVKDEINRLKHEIEKLKAKIQEEVEGFQEPDEENTNLIKYIEENV PHYBLDRAFT_141089 MQLEPMFERIMIPRHCFTNLAISAKQITYLVQAFNLKFNVYLFL AKKRAEEEVALLKVLCGMQFLLARKLWLSMSWERAVFAQTSFLLESSSSPSSIPFASS SSVPFASSSSVPFVSSSSVSSSSLIVEDKNSPDDGEVSIAFVVEGDDNDDNDNDNDDD INANEDE PHYBLDRAFT_164120 MRQEIQRRKEFEISFSKEFQSTENEFKTMIQAVYDFSDAVFVPK KIKASCCNIYKKEMSKERKPNGSKYTAEEIITSYNSLSHVEKERYSKIAENVSTLHEP RNFEIKEGVKHVLMWYFRALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIR VSGLPHLGLMNAIYLALGYESLFLFWKPDNSHNSRIGHLSAGETALTLLDMLTQEKFN KTFFESTGFTKIWRNGTGEVRETLPWKKLASAQGTIRVVGWPTSVPIISLSYMTKSQL KLLIDSLDKRCIPFCCSLEGYV PHYBLDRAFT_164121 MYINLTIADRFTGSFWQKYCSKSGKLENSEDVEMNSELRNLNDT NDILDIRTRNQPFSETDCVFGPEDNVQYTSDTYEEEEEYEDESDVEMDNDEDSSLESI SELNLIHQFIVVSVALFVSLYVVDEGAVILIDIINKILQFLFDLFRLPMSVADLKRLA GFEALTSGVKKYVACSECHAIYDNEASPLCCTSPNFGTAKRMLERCIVDGLIDDKKLV AMQKAVEKVVLPPNYTSLGTKIVKEFPYMKADEWKSWCLVYSPVILRDVLPLPEFKNS IEFVNACRYFTKPNVSEEDIEKGHKCLEEFCKGCETLYDLDLLSPNMHLHLHLCQTMI DFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQFIEELWKGDFVRRLLKPMHA LACFEIFDKFTTNNNNTNINTNTNLSHSFSISKYLEASQNLSMTIRGNKPLPPSALPL KTRPLSFMPKHEYDCLVGYYQAAYKNPQISGCKDVIDDSPFVNDCIKMVKSVDLLGQS YKGCIGMNGCGSYI PHYBLDRAFT_141092 MPSNSSRKTDCKGKEKASASISTSANRVLAGCVGPQEIAPSFSS ATIQDQQYMEIVEMFNKVNNSINSVKDDIAAVNSNMTAFKNRMGIVVDTSGKTHMAFA DFATAYANDQTRMASLEPSLMPSYVPQTSLSDAEVSVIISEIFVEKLWDWKFESDDPA LVAENESKKKWNLNEKINHRNNVAVIKYLKSYISAQTRLAGTHPQVISDKIKNRYKHS HRTFHESPEQKAKKNSKGRANSRTLQMSIRRKSTYMDNWVAIDAAIGYKTGNPVEKAY LKLFQKDAMSDGESDIEIVDNLPRRCLHVPRPTWRSEEFNRLLTMVDDIDRTYHVSNA DMGTKLRMNRYPATLLPCSVPATLSQSLPCWAINDE PHYBLDRAFT_62196 MVEQKTFLMAVPQRDAATLLQVIKMYVNHNRVIHTDCWTSYGRL LSVFDMNYTHRTVNHNVEYIIFDGLWNAINMSCKTRLRTRHMMPWMLIEFIWKKYENR LWQEILKMLAEISFGSNVKNPIFITYEYLEDDKNEEYTDFTMF PHYBLDRAFT_164124 MAMVDELVDNAGEIIDHPNFVFPLASKIKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKTKTTNFAKKQEPLEE AKKYSSGIKRPKHLQDDYWYNLPSPKKQNKNVHDFALPAQIDQADISLTFNPKSNGWC GFRVFAHLKEGGEDQFPLVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIADTYNK PVCVYSDDRSVLPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHVHRSWPEV NTLYFDAIRRESIIDCFSKS PHYBLDRAFT_62194 MFMKRSRNKRLDKLIFIWIHDVEYYLTQEYERVMSNNGAMSSFT RQQRIREMEAEEVDDDARETMIVASVSAENSSWQVQSFVDENTAYVVEVTDRLIMKYT CFDFERRQKPCKHMYLLKMHTAFSLHFSTTPLNPTYEHNVIPIPQPIITNNCSSLFFN QCIQTNQTLHQSHQDLATLAHDLVNYESSYFLVSILGDGGFLAMGMGGNGTTQFKVTA SPRPGYKVS PHYBLDRAFT_62193 MNFSIFKGNMSYSPNTNAFAYGFMSPTQQIKMRNAVSFCYDTTH AISGKIDEILYTLLVCDKEIGRGWPVAFMVTNDWGVGPIVQWLQFLKSSLLLINPQQI TIDCCSAEVHAIQPTFPSTQIQFCIFHVTQA PHYBLDRAFT_164126 MSTVNIIPMNENIYTRATISEVLECSSIPRVMTLRLNSTIRTIR NSNKRHTDITAEEAKNSGIKMCFSQEYSCHHSRTYESKAEIGFFKTPEWYEIILTKDH ADHTPGNICEDNCTLPLAKKYLHEPSQQLEQSSKSASQIRIDMLRAIDTY PHYBLDRAFT_62191 MDNSAVFVQGYMVYILEGGQFIGIEVESTSIKLETLLGVDLTPV DVALCYSVSPRKVSHLIPRHKSLPEEPHFLKRHVLDETGKPIDPKLFYKQYNPYKSRS MKFAALSYKERSSIENIHFLSAIPKKKGASGMSLLSKIVEDCKRLENGLVMFSAKDNE NVLVASPFLWIEADTPCHSELCGLRTPTSLYPCRKCYVHLQRSMPNLQSSSYYTGRHT ARTKAHYLAVASTSGHGSTIPDAPLTGNALTASNLCFTNRATDALLELQSFDSSTDTS VEVLHNILLGVAKLSKALKGYENSQGISRKFTQELRHCGSFLGRDYKVLLQILPTILV TEFANNSILSLITPSFVRLGRLCSLVFVKAVRYDYNMYINEVEKAVTSLIQERHHYVI TCEIKGHNPYSSKPKVHLLTHLPDDLQRFGTALHYETEKGEQFNKHIREHLMHTNRLN TSRDVCLKFAKQSAMRHIIDGGSWVSKDKMREKYGNSTAEFFKENFNNNVKNILFGRS RDFADNNNTDDITAKALCDNTFAVFMLKESRDQHVHPFIGKVSSLRVEHY PHYBLDRAFT_141099 MLSSASNTSSSLDADLNLLREATGSHTTWNQYTSDTHPFPDLQS MVLLAFVDGDNDMKSPFKLPRLDALLNYQTRKKSKIPVFPSTKVDIQLLENNTTSAYI NLPSDHVRFLAANPKKARNMFSLPDRTPNQFICLQQGEKWRTH PHYBLDRAFT_62190 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQWEILPSLKVSAE LDGTVLSTLSIMSTRLNESHSLLEKVYHNMGAINGQNNNLNHSPIGQALTTGEYIKYR LPTVSRLIHSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEVKSVSSAVVE EKTRRHILYMLQRAKALPEKIARQNRISQRRSRKRNTVVDLLDYDMLSDIESDEEKNK TRYTPRNRHPLMDEYFTVLKKQRLANKGPDVIGNSVYPIILRNTKLSNEKKASVAAWI HTRQQ PHYBLDRAFT_164129 MNSTTKIYTVMCTCSSCTKNAISRILQNAQTFKCHNNADKLLDI GPKNRVNTEVIKEETDVERVDVSETSIDYEDNYSIISAETTIQSVPFLREDQIFQFEE SDVETTSLASDNDDPDSSDESEDESKVEVAGVEDFEDMVASEILAFVVASLKIHEMSQ TSQFMALFGVIFQAFYLVQAGRTAMLKFFCHLLVAFDKDTDLPLTIDVLKTMTDFNFM TKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTLPTYCGNPLFSDTKADRAVPLMVF PYNLLKNALAQHFAKPGFEHQIENATEAEMWFCAESDAERAVLEKQHGTHFSELHCLH YFDPFRCTIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLIRMQRLADGILVPPGYAIL STKIESGFPYMKADEWQSWCLIYSLVVLKDALPEDDYKNWTLFVKACRKLTGPSVTYS EIDSAYQLLREFGKECETLYGESSITPNMHLHMHLRESMLNFGPVYAFWLYSFKRYNG KLKNIKTNCCNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGVAQVKSNSDS SSPLNLDAGHPPALPFSLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDYY QWLFEFYVKAYQSTSVSFCVVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKRG SFVRVLFLERTNDNVSEFPGQIEYLFTYTIKIGGVKRVSTFAFIKWFPAYHSSSHQPL ADQGLQSWDKGFMEEDASCIVPVHHLHSCFALTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_141102 MSSLTNCYWLVTVSTILATESQISEISSQTTDFTVLRLMFSETL FMFSAFSAGSSTHISLEDKDLLSLVDDNITEAGDNIFTKNIFTTSQLLSIKLYDVVTS FNISTECYGQLINLMNMVFQDHDKLSKDIVGPVNALLKKKTAIKAHTYDICENVCDAS TPFVSVKTMKMMSLSNQLARLLGNNNIREKLHYRANRQLISNKLSNYFDREEYRVLKA QHFFQSSNNIAVALFLDSFGNQKKSK PHYBLDRAFT_62187 MPFNSHINNIAKVLELSEQAFRDDILGETLDQADDQTEALELLH IQEAKCVVISLCQQDHLGIHTVPTEECKCLFRLTYTEIQSIYVLFKMRDKVCIEKGCS TLLSVPVTEALAIQLHLLLLFGRNSTDISRISNHVMCLLHLKFGRVMIFDYCQFDPKN LGKFSNAIRALGPPVEHCVRFLDSTFKETARPTENQKTIYSGQGLNYQAVVTPNRITS SFYGLVAGRHHGMTVFYESSIEMHMRKALDFRSIGRPYYHLYADREYAFSEFVMRPFA ETKEDSPEYIGNQDMSSARVVVEKEFAHVENLFAYVNYAQTQRILQGNVSSYYIVATL FKNLYVCYNRRNQTSMRFKASSPTPMEYIAGLLNH PHYBLDRAFT_141104 MHTKYDSESIEFKRNKGEEVLAREIVIRDLLHKNYREVKTICED ILKIINDVDCGAGDVDDKSEEHIHQVSANKAEIAKVYAEKEHTIQERSTGAIYSVTQV PVSPVVPPTSVAHLFSSLKEQVADVISIKIGGKLDKVLEEMSSMNTEIRDGSLRVEKA IEKLVAFIAAFVSSTTQPPPQ PHYBLDRAFT_62186 MPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDSQNRKIVVRA ALMCVACDIPAARKTSGFTGHMSTCACHKCQRQFTTIKKTSKLDYSGFKYSNWVRRTK TMNLEHAVSWANALNNTERTRLEKENGTRWSELHRLSYFDPVRFTVIDPMHNLYLGTA KRMIQIWRECNYINEKNQLTMQELANGIVVPCGYARITKKIADGFSFMKADEWKSWCV IYSPFVLKHVLPAKNLENWILFVDACRLLTKPSINDKEIDEAHSKLQLFCTRFQTLYG KSAVTPNMHLHLHLGECVHDFGPIYAFWLFSFERYNGGFESTMMKRFLEITYIGSFIQ SFVNHLPQFAIDFLHRISNSQDQLAALHPSSTASTFSLSDFVEYSLNPRHSALGCEPL PPSVFPIKLDQRITMCKGHYECLLEFYRHAYGSHDLFDHYSNCESNQIFVNNRIEKMK RISLLGQEYSSGSYFRAYYLENNNKYVTPFFQVILVCPLLQTRVYNIKYQSNGFLFYR EICYEPP PHYBLDRAFT_164135 MHLIGHGIGKLVYNHFSIWHQFLQAEITKNNISISVFSLVNHYL THIGYMTRKVRNLWVYNTRSMERTIGRYLKLIEKLTAYYTQSKCTFSIDLRYTLETVK LAVRAWINNHVYDSEMYMQKRSEVHHRNHHVRFNAIYQNHNCWYVDSVLFYFAHCPFD DESCRQFLVLVSVMKKHSAAEYDSNIPIVIIDTNLVTQRLVVISLNDIQNKVGLIQSV ECPMKYKVVTSYYIFNEDIKSTAGKLRYIKL PHYBLDRAFT_164136 MNSTTKIYTVMCTCSSCTKNAISGILQNAQTFKCHNNADKLLDI GPKNRVNTEVIKEETDVERVDVSETSIDYEDNYSIVSAETTVQSVPFLREDQIFQFEE SDVETTSLASDNDDPDSSNESEDESKVEVAGVEDFEDMVASKILAFVVASLKIHEMSQ TSQFMALFGVIFQAFYLVQAGRTAMLKFFCHLLVAFDKDTDLPLTIDVLKTMTDFNFM TKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTKADRAVPLMVF PYNSLKNALAQHFAKPGFEHQIENATEAEMWFCAESDAERAVLEKQHGTRFSELHRLH YFDPVRCTIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLVRMQRLADGILVPPGYAVL STKIESGFPYMKADEWRSWCLIYSLVVIKDALPEDDYKNWTLFVKACRKLTGPSVTYS EIDSAHQLLGEFGKECETLYGESSITPNMHLHMHLHESMLNFGPVYAFWLYSFKRYNG KLKNIKTNCRNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGVAQVKSNSDS SSPLNLDAGHPPALPFSLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKEYHY QWLFEFYVKAYRSTSVSFCVVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKRG SFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRVSTFAFIKWFPAYHSSSHQPL ADQGLQLWDKGFMEEDASCIVPVHRLHLCFALTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_164137 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYR LPTVSRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEVKSVSSAVVE EKTRRHILYMLQRAKALPEKIARQNRISRCRSRKRNILADYKAIHLADKANLESTFGE TVVDLLDYDMLSDIESDEEKNKTRYTPRNRHPLVDEYFTVLKEQRLANKGSDVIGNSV YLVILRNTKLSNEKKALVAAWIHTCQQ PHYBLDRAFT_62184 MSCLNRDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSRSSFLIIHRLLFSTDRQIIFYRQCHCILCLSTLLFSELSVNKQAKKACLSKQWNTV GVNCTRGGPMDVGKE PHYBLDRAFT_18419 MPSHIRASWRNSGKKNDVAILLWPAKSPDLNPIENMWSYLDNKV RDRRPQPTTLKELDVALLEEWAEITASTCVKFCLSIKDRMILVRKKHGGAINY PHYBLDRAFT_62179 MGFNVSSRVYTRVLAESPANCRNGSDSNKYMQEYQRRRQQITEI EVVEPVEAENISNSHAEYMQEYRHRCQLTAEIEVVQAEVVRAQVIQAEVVETEVVETE AAETEEENHNS PHYBLDRAFT_141113 MPKKSISKKSNKAARATTTTITTTDNDNGSNIDSAFRLHWVMAI QLMRFTKKISEVNTFLHRSKKTITSEDIEAEASKAVEQALSPQRYPVLDLYEKYDKMQ SAYFETNRRIIKSVVDYLCNQAESKLITPGNIRRKVLHYISSQKLKGKKTEDQTAEAN QVECFSQSCVQGLADFLHADYMSDLETDCEVADNSSSTNRVFGQFCSSWRSEMIQFF PHYBLDRAFT_164141 MHLHLYLGECINNFGPIYAFGLFSFEIYNTLFKNLDINQKRGFE ITMMKRFLKKIYAGNYIQSFEEKFSASTVNFLYSITRSQLWDVNLCHQICCHSRLSCK LSSANSITSVYINIVRTCTTVTIFFVITQIAVLSSRYPLLINSIFLVLTFKSFLMKRK TYQKTFNH PHYBLDRAFT_164142 MGLQPDFVSPTVKFEGGFIMVWGCFFCKSVGDLNVFKNVKGRFK CIFLHMKSIYISVEMRKLKNIETLFSFHCSQVEQQHHLPDLVWPTETGCQSTLALFKS APCAWSF PHYBLDRAFT_141115 MSIKKKDETFEDPALSMVRMQVYLNPLNNMPVFIHYMAIFIVIF YLVFLALAETKIDIYVSAMWDDIKDNNSKRFVDDTQSLIERLKLENTILVDTMPRPKE ASTNSMNNYLKLLLDELLKLYNGVKLSDNSNENITICAITMIPKTTQVDFSGFEYSTR TKRTKAGNLDYANKWKEAKNAAERTRLERSNGT PHYBLDRAFT_62173 MLGHSRSTHKQCFMNPKNISLHIPQKRTNVDEYPAESSRTAALR IRCEPVQDQNLDIETSTSISVSELTEFSLANETITEVLEAVMEEEIEETSSDKEVTGR EEEVEEISTVDRGSILPHCSHSISEPAVDNRGDIDIECQFCGAMMWAHEKNSRSSLRS PTFSMCCNKGKHVLPQIEPTPTGIAELLNYRTRNGKKFLENIRSYNSTMSFTSLGAKI DISVGNNINGAYNFRIHKTICHRIGSILPVTESDIAHPKFAQIYIYDSAAQIDQRQYH SPQLERSVLEKIQSILMETNPFVHLFRTMDQISWEKKQSIDLILRLVAEGPWDQRQYN APPAKTLCCTPEPTSNRTSMSTTDLMMLYTMCYSFHMVKMVGPLMPARCQEIEYNRLH FITSNQNRLRVDLYSGIQDAIIYNDCNLANLGKRAILLSSFIGSPWYMAQLYQDSMSI VRRFGKPDLFITFTCNSKWPEITNFLLAGQKANDRPDLCSRVFNMKFKELMIDLTKKI FWGKFWSLYMSLNSKSADFLMPTFF PHYBLDRAFT_164145 MEVASAPSSISKNIDEKEFSAHQIEKMFAKEQEIMKRKQEKNIE RLIALHSESIAKGTISLLPSESTISELGIKDVIPINKVSISDLSPTNSPSTPLSSPTF SFSPLLFSAIILCKIIVSWFHIFAGLATIAKYACNILNAHSTFFLTATCVFAKF PHYBLDRAFT_164146 MFNFNKTKQSTILPCNSDFMETFEGNTDNHDLNYRPIQTRPVKE SCSALVEKPVYMEGVSTQDKVLDPEDLIMLGDKPKEKCCYQSYMEEETLLVLKKYFVD EITMAEAGKSVDMPRSTAGWVIRRIRSRLNLPARRSRMHKYACLEEYEKTLCKYVKTM LLQKKL PHYBLDRAFT_141120 MNMIFDATTMDIVDDYEERTIDTMTNAVLHEWKVTYPNSGNGIQ TLPRGTYHKYMPEQVQGLFELVIENGWTAKMAAKKMGINVRTGQNYIAQYRKDEEQRL PGSTGKRSVSTPPKLLQQHSSFLIVYFENNVAVTLQEARTALLEKFKDLTITLSGLQK HLVNKCCLTLYKLEKLPAARNSEWVIQLRKDKVTEWFSILDFDYVRDCVFINEEGFNM HINITILEAISQIGVISVTLRKPQAVVSSKKCKLDKKVEKINGHVRT PHYBLDRAFT_164148 MFPSTQTHTLDCHCIKCHNSHQKSSYAAKRTETHRNKRARVEAA MRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPKNEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKITGFSAITKGIKKFVVCQDCHIVYQDIVSAPPRCVSSKLGARSACN CNLTKSISSGALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHNLFLGTSK RLMDRWIDEKTIGPEEFASLEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSWVLV YSPLLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNACNVDYTA TILTCNMHLHLHLHECIRDFGPVYGYWLFGFERYNGILKNFKTNGKDGFEATYMKNFV QNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDP YNPPKGNEPLPPSTFPLKYKKPSVMDDSDYLHLLEYYQVAYNLPDLASYQDTSYNRPA LDNQIIKLKSIDILGQHYQGTNNSTISRGSLVQAKFVGSNGNIILGFAGQIQYLFTHS FQLPPTHNLHLTRMVHDHQHVFAFIKWFRTSSDRSREDDGVEFCLPTFSPDSYHSIIP VHRILLEVATATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_62169 MKADLHLSDYKNINNNTMAIQRRQLALRPVEITNEQLMEILSTL KTNMTAMGTNMAADMSIQNWCSSTETITAIDSLSRAPLVSPTNTMANVSQPAFNAPSE FSKKASNDVYAHIRNLMWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLL ENLKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTRLRRAGRARDNHTR RLLAYTDNKEAIDLQMKRDCDFTMQMAAMSDGESADEDFENRMKSIIKIVRPGWRSDE FNTLIKLVDEYVIEAMGSSASQMKERVFTSVSNTAVPDDITPKFPQWALRDGY PHYBLDRAFT_179777 MFLFPSDASSSQWPSGLAKDISPKIFSTAKHDHQHDEQSSPKHA MDQKLLSHAAVIDMKIFHPKYNKPTEQEVARDIE PHYBLDRAFT_62168 MLTLNVDWFQLFEGRTHASGALYLSMNNLPREERMKPENIILVG VMPGPKEAKIDQMNNFLESLVDELVELYGGVTVKTASFPNGTIVYATLISIACDIPAA RKTTGFTGHASTNACHKCKRHFSVIAGSSKIDYSRFDNESWVTRTKEMNAIYANMWAC TELSAERADLEKQNGTWFSELHCLHYFDPIRCTIVDPMHNLFLGTAKHMISSWKDPGY LPAAVLVRMQCLADDALPEKDFKNWTLFVKACQKLTGPSAMYSEIDSAHQLLGDFGKK CEILYGNSSITPNMYLHMNLRELMFDFGPVYEFCLQVTFMRVFLEKAFNSTFLYAYFT NLLPPIIQFLESIAQVMLVSALLPLPCLENGHPPVLAFNLPMFLQVVTNPWYNVTRSE ALPPTTLPIKLQPLTMMKDDHYQ PHYBLDRAFT_62167 MNSTTKTYTVMCTCSSCTKNAVGRILQNAQTFKCHNNADKLLEI GPKNRVNTEVVEEETYIGMGYVSGTSIDYEDNYSIVSAKTTIQNSDVETLSLASDNDN PHSSNESEDESKVEVFGVEDFEDIVASIASLQFHDMSQTSQFMALFGVIFQAFYLVQA SGTAMLKFFLHLLVVFDKDTDLLLTVDALKIMTGFNFMTKNIVKYTLCNKCFAIYLPG NHKPNCTFKKYTTTPPTYCGNPLFFDPEVDQPISLMVFSYNSLKNSIAQHFAKPGFEH QIVQ PHYBLDRAFT_141125 MEASGSNEQSDESKAKEFYLAEMGTSFSLEHCYNILSKHPNNTD EDEVSSQRPVGRKCAKGLEADTRDYTTHMEQLYCSHSDYIRKDDERNTILREGLEFAH INMESDNRHTMAMEREQAMK PHYBLDRAFT_62165 MSNMSLTVSKVMIKRFFWPVNKDIYLGRVYKMVCFTGLYLGPLF LIIHRLLFSKDRQIIFYRQCHCILCLSTLLFSELSDIHLFSCLTLFNHNIIVHSWLVS TVQLYFQHVDFYGFPHFLAFVEVMKEHDAAGHDSSVPIVKQWSQSTHTLGHQTQPTYA VISVNDICHQVGLVQYLLNGNQFYVIAPYSIFNNNMCITKGNLSIL PHYBLDRAFT_141127 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYR LPTVSRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEVKSVSSAVVE EKTRRHILYMLQRAKALPEKIARQNRISRCRSRKRNILADYKAIHLADKANLESTFGE TVVDLLDYDMLSDIESDEEKNKTRYTPRNRHPLVDEYFTVLKEQRLANKGPDVIGNSV YLVILRNTKLSNEKKALVAAWIHTCQQ PHYBLDRAFT_164155 MNSITKIYTVMCTCSSCTKNAIGRILQNAQTFKCHNNADKLLDI GPKNRVNTEVIKEETDVEMVDVSETSIDYEDNYSIVSAETTVQSVPFLREDQIFQFEE SDVETTSLASDNDDPDSSDESEDESKVEVAGVEDFEDMVASKILAFVVASLKIHEMSQ TRQFMALFGVIFQAFYLVQAGRTAMLKFFCHLLVDFDKDTDLPLTIDVLKTMTDFNFM TKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTKADRAVPLMVF PYNLLKNALAQHFAKPGFEHQIMWFCAESDAERAVLEKQHGTHFSELHCLHYFDPFRC TIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLIRMQRLADSILVPPGYAILSTKIKSG FPYMKADEWQLWCLIYLLVLTSPSVTYSEIDSAHQLLGEFGKECETLYGESSITPNMH LHMHLRESMLNFGPVYAFWLYSFKRYNGKSKNIKTNHCNGLEVTFMRVFLEKAFIGSF LRAYSTNLLSPLIEFLEGVAQVKSNSDSSSPLNLDAGHPPALPFSLVMFQQAAINPWY NVTGSETLPPTTLPIKLQPLTMMKDDYYQWLFEFYVKAYQSTSVSFCVVGRIPIGEDV FVNNRIQKVKKISLLGQEYCSGEKKKRGSFVRVLFLERTNDDVSEFPGQIEYLFTHTI KIGGVKRVSTFAFIKWFPAYHLSSHQPLADQGLQLWDKGFMEEDASCIVPVHRLHSCF VLTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_164156 MYFDDISTRLRPLEDFKVGNPSKNIYQPSIYTQLSTFSKSSFFA LDELHLIARGIGKLVYDLITVTLTKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNC ITSSRKYIPTSFQGSFDNVFAKIDGTRAVDWLDFLLYLIPTLVVPYLPNRAVKTALLS LVKGLLDEMESYFKHWHSFLYQQVQNNTLSRSVFRPVQHYLVHIPYIIKQQGPLRCYS TRSMERVIGIFSKLIKSKSKGGRNASFLVERFAIHNYTSTAISICDEINLIRPKPYGR ESYMDLPNDPSGAQLWELFHQFVSLNDDSVEGVGGPSVKHCKRRGDPVEFYFLNFGKI WKNISNTIVFQYIFFLRYEFAIFSDYIK PHYBLDRAFT_164157 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILNDVDMYHDKNDTSNEDESVSNSEYTMESMKLDNTISYKCTCNFEDSE GEAHIYDSSRISTNTFTKAELISIHLSQLMLRYRIARAAYRDIVQFINIVIRDHDDIM MEPGAKISHGKTVDALLKSKSSVKGHEYDVCSSGCQLYGINDNQKSCVDCGKPQYKTD PNQSQTPATSMKIMSVGDMLSQMLADPATRELLCYRANQESVAGQLTDIFDGDNYKQL VQQGLFSNSDDIAIGLYTNGFVNQKKGKNSYTIIHCIIFNLDPSIR PHYBLDRAFT_164158 MSDINTTLLNSIQKIEVDLAEIKQALRKLQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLEYIAKSVKRAQLTEYPDQLGLSCGLKRIEEYSREKQGILHDAF GEVGKEWWIAHPPIFKSDNQTHKRRMAEKNKIQRDISDSLLSSSDMSETGDYESPIMA DVL PHYBLDRAFT_164159 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDANTIEMRRDRILEWQQLADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKT TVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQH YLDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_141132 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDKTPKKI KEGEQIQMAMGANSDGNGRKFNFYGSQSDVNGSPPLTASVEPARKRSQRS PHYBLDRAFT_179778 MLMSLFGILKAETSFSNFLVYMFLHSLLQSIPVYVPAPNSLPMS DTHVPVDHADHADHADHADHGAMNIPELIVTFTTALHDSQLPSLYAAPISAHINISLF MSVQYDSWTSYYITLLCNCANAWWCRMEDNVTRASDSHRFTHLLNGNLHPIKSMLPRI PVHFCEPLDVGETARTALGYKIECCSARLARPDPIPQYFRDDEPIPMDLDSITGEAPP TAPILNGTSKMPRPYCYHPPIRRKMRQGPRM PHYBLDRAFT_164161 MSEVTLTRREQQALRKAALGAAPVRTLRDIGSALEPSTIPNVMK LKSNIVCVFASEWELCKQEIEEQCCVKWIISRPNRHSSAVDITKKKRVLFSQVYSCHR GESYEPESREKRPIQRKSKKVGCEATLIITCHADKPHVYMFDFIGNHKNHIPGDIQTD LGLIPLTRGRVNDIVARLTASPGSSARKIRLEILRDVDRQEYSLNERKINYFDIYNKI LAINRTIFRLHEDDFKSMKMWFAEKLSPKNFIIFEGNLQAYTNDESLYACGFASPFQQ GKIKAATTFCMDATYIITQRSDDILYTIVIRDEELDRGFPCAYMLTNDHSLGPIVQWL KHLKDNQLIVNPHNAETNALAAIFPGCQIQYCLFHVSQEWYRQLNLKVKTGSTVNENR LIRGEIMAFLKHIMYEEHIVAFLNKIVGVIEKYEHTQPDFVKYFETNWCTMAKYCVWS RAFHQLECFHMLTNNYIESWHNQLKTRFLGRSRNKRFDRPIFILTNEVEFYFKEEAMR INMRSSPMTAAQKQQRKIEMSAEAVPPYMRANMIVSPSKAASLTSISDDTDDVPEDGF WFIDSFTEDGITYQVEVNNSVILSCTCYSWARYMKPCKHMHLLRIHVSGFTFPSVPFA VNNMLSVSISIEQFVEQNSMTIGSRTETTGRGYAIEAFEYTKKCSLTTRHNEQDLYQL IQYATEEEAEAIRAAYAAPIKAFQAVKAKYETHFRTLTTQRP PHYBLDRAFT_179779 MLLSRIKAKLTGKRVSSEFSLEKQRKIAIALEKYIPILSIILFV VAVIWLFLLPYEGYNKRTYISENALLPGQANVNYGYNDMRAAEDYRSKIIRIQDKDSE MRASFIQEELRRIGFTSALQHFSVNGLNETGVNAFAIHRAPRSDGKEALILSAPWVSR TGDYNTNGIAALLSLAKLFKRNIYWSKDIILLVTDKDLSGTQAWLDAYHGITQSEEID KAGFSSVVMPRSGAVQGAINLDFPGTNDYESLGLFFEGVNGQLPNLDLINSIVVIARH TAQISVVLHDTTSNSYEEKSWGVYAGSLLHMLRTMKYQALCHPSSDAGLYLRYKIDAI TVHGIHGSGDLNHLFGFHRIGVLVESTFRSLNNLLEHFHQSFFFYFLPQPNRYVSIGV YMPPIIVFACSLIFQSLVLYYLPLETTGNLNTEYPPAFTVKKKNVSFAFSILGATHLA GVLIFYVIQPIFGQQFLRSFPMAEIVHGQFIIACLIAFTTVTGLSLWVTKNRSTTHSG AILKSFCLAQSALIIATVSLLNFSLAVATAITIALPYSIIRPSPNSAARLAQWLIITL TSPAGLVTLFTIITGNCLTSVLTALFADFQVAQSWFLTYICIVYWPINMAMCILIVSN S PHYBLDRAFT_89637 KKLEGYEFYEKTLGSPKHIIAPMVEQSEQAWRILSKRYDSHLCF TPMFHAKLFSDSFSGPRYRKDQWSTNEKDRPLIVQFCANDPYILLNAAKMVEHQCNAI DLNLGCPQNIAKRGRYGSFLQDEWKVIESMISLLHRELYVPVTAKIRVFPTVEKTVAY AKMLENAGAQLLTVHGRVREQKGHHTGLADWDKIKAVKDTVKIPVFANGNILYHEDVK SCLDYTGADGVMSGEGHLYNPTIFTPQDMPPLSWVIAQEYLEICRDICPTRHSIMKSH LFKLFHSALPLHTDLREKLGKSLNWQHLWD PHYBLDRAFT_164164 MASPKDITAHGNAAVDQTIYSVPAKDSGDNDMAINEQSLTDATT MDLTGIVNKNPTYSMEVNESSDDDLLDNQISELDDPAAIQAQKTLEALEQLPQVMEQL DQDNTRFELHVRLIEILSLLDFPDQLESARENMHELFPLPESMWLDWINDAKKNLDTE DGEAKLRLLYNEAEKDYLSIPIWKSYVDFILARFDSVWGDDETGLNNEAEAEMVIENT REDLMKAVRATSYHVMESHEIWNKYSGFEIRVLKRFQSPERFEKVKKAFMERLSTLHI ACEQTFSDYSGLISTFDNENYESNMVEANKIYAKTKEAAIERDYFEQQLTQHGYSLDM FYQYIENEKITTKKPSLNNVRGLYERAVSMYCTDVGLWNDYVLFFFERVRVQIFLEAT TLRAVRNCPWSGILWAHLGRVLETGKKSHEKISEIFDRALENKALLASLEDLVALLRA KCDFERRRVDWEEPDEETIMDLRVAFEEALVYISEADRGYTAFGKIGDPYYRIEKYYA YIEGKKLGNVKKAREIWEGVIKKHGRQSEAWIQYIDFERSAGNEHRCASLFKQALSKN LDYPERIMDAWMTFEHEEGTVDSMEDALIRINKGSKLLTRNWQANLAEQETAEGKKKE KEKEKEMSAKIKKSAHRRKLKETKKQSFELSMAPSEKENKVHSVPTVPILKRKASEMN IFENDVFKKPKPIQKISAEPKVEKKAVANVETKPASGLGMIPHGMRNARGRGRGKGTR LAISRNQAKPAEQATSTHAPTAGPTAPQTITPKSNDDFRALLLGKK PHYBLDRAFT_36305 MALSVVPKWMGPVTEWKKQLDMIHSGGYNMIHFVPMQKRGSSDS PYSISNQLAFADDLFNSKDVKKSDKEKLAIVESTLRRIQKDYGILCLSDVVWNHTSDT SPFLLEHPEAGYNLHNSPHIVPAYELDTAFIELSVNMEEAGLPRNITSERDVDIIMSY AAKHTIPALKLYEYLVLNVTEQKETFKKALLEKIKCDPRKYLGRRDLGHLSLKEQADI LSEDAVKNGSPGKRFNKSVDLSHALSFVLALNGIHTIDTVGGQEDKLVEKYGHILDEY NLPYYKIYDENVSAAISNIKSRLSYTRLDQNGPKFGEITKSSPIVESYFTRLQDKDNK HPPGSMQLANNGWIWNADPMNDFAGPNSQAYLRREVIIWGDCVKLRYGKSPKENPWLW NHMREYTEQVANMFQGIRIDNCHSTPIPVAEYLLDAARKIQPDLYVLAELFTGSPDTD NLFVSRLGIHSLIREAMQAWDTHELSRLVHRHGGKPVGSMDEDMTWRCVPYEREEYDQ VYYIPVSHGSMPRALFMDCTHDNETPFQKRLAEDTLPNAAIVAFSDCATGSVKGYDEI YPRLLDIVGEHRHYSTKNTKYAGIVEVKSKLQKLHLDMSIQGYTEVHVHQENDYLLVH RQSPGSQEGYLLISRTAFPNASTGISPIRLRKTQATFVLGASLKIDGHKVVDETILSG LPSHVETIPSPDFEHYEDEKGSYTLVVLPQSFTPGSIYVLKTSIGDVYKNAREIIRTM DDAVVKDLDLLDCNVALYRCESEEMDATGGDGVYNVSGYGKFVYAGLQGFMSALTPII INNDLGHPVCNNLREGKWMLDYVVNRLERQHEQYPHLKPLSDWFNSRFDVVKQMPDFL VPKYFAMTIQTAYDKIYTHALACMSPLVQNGDQFLKQLALCSVQMYGQVPSAGLHPTK SGPCLAAGLPHFTTGAFRTWGRDVFISLRGLLLVTGQFGAAKNHIVSFGSSLKHGLIP NLLDAVRVPRYNARDAAWFFMQAIQDYYNMAPDGPSILTTKVLRRFPKDDRFVPVEEG YQYASTIGEIMQEILQRHAQGIHFREYNAGEAIDRQMKDQGFNIDIDVDWETGVLVGG NEFNCGTWMDKMGESERAHNKGFPGTSRDGAPIEITGLLKSALRFVLELYKKKEFAWT SVQVNGKALSYQHWNDLLQKNFERIYYVPKDPSEDHAYDIDPRIVHRRGIYKDVWKAA KPYTEYQLRCNFPVAMTVAPELFDEEHAAECLRFTKNILVGPLGMSTLDPADREYHPY YFNSDDSDDFLVAKGRNYHQGPEWIWPLGYYLRSVYIFNAMPPQSIARILRAHREEIA TNPWRGLAELTNKNGEKCWDSCDTQAWSASTLLDLLQGMIEGA PHYBLDRAFT_122264 MSTSEDLIDSVADVAVIGPSPTHEPVSNEEETLESMLHRHRLEQ RDLQSTISNMRKSVPKSDKRKKRAMTSKAAELESELRQKQELELTQLKSLLSGKLIGE EETPDDGISLDRLTFLTEEEEPVAPMPSPALTTPKKKKPNKHDLKKQRREEELQKIRD EAEKEASGQVDMGELESEAIKELLAPMKLKVQEISPDGHCLYNSIAKQLEYIYNEETD YHKLRKEAAQYMREHPNDFIPFLYKDDGNIFSADDFKHYCDDVENSPRWGGQLEILAL SKAKKVPIYVVQMGSPVLKVGEEEFTDKKPLIVAYYKHLYSLGAHYNSLLKV PHYBLDRAFT_154498 MPPSNSFTAACCIIGDEILNGKTRDSNAHFLAKSLFDIGVDLKR VEIIPDEYKAIEETIKRLSSQHDIVFTSGGIGPTHDDITYAALAKAYNLPLELDLETC KQMEEKSSHIKDWVLNDARKRMAIFPKPSKLIRTSPELWVPIVVVNENIHVLPGIPRL FERLIHSLQPHLISLIEAKTGRSTPEKYHRIQIATAQPEGKIAQCLTAAQNQVKDYNI KIGSYPKWGQDSHGTRVVVSIVGKDEKAVQALAKDISDQIEGWIYVP PHYBLDRAFT_185425 MTDFKIAIERINSLQTNASLLEKLRKAGPRANELSLPEMRDYFK RIGYEVKTFDKLNVIHVTGTKGKGSTSAITQSILRNCSDEKPIRTGLFTSPHLISVRE RIRINGEPVSEELFAKYSNEVWDRLESTKEEALIKAGLSEKDLKASLRDQRQHPDKPI YFRYLTLVAFHTFIQEKVDVAILEVGVGGEYDSTNVVERPVVCGITALGLDHVGVLGH TIDKIAWHKAGIIKNHVPAIAFEQLPEAMQVIEERAKEKEAPLQVLHARDIDALKDVE IGLAGVHQKYNALTAIALCKIWLKHCRQIELTETVPAGFRKGLANVKWPGRGQQLCAT ETKYASQIPNPRDVTWYLDGAHTLESLQVCVDWFRQVAKDKKDIKDVSRILVFNTSNG RDGPNLLQVISKIQSFVGFDHVIFTTNMTYREGDSADNTNYTVSMADVLSMQALLAES WVKQVPSFNAENVHVVGSLEEATEWIVDYAKSAPETKKVQILATGSLIMVGNTLTVLG ITPQ PHYBLDRAFT_164169 MAQYSAKQPQQADFTANAHNAENPSFKNTPVSPAESNISGSTEM RTTEWRQNQDSISKNKSNAESVDLSISHGSAFVPVSQHGIQWADTNMTSPMPNNQTDL QITKDSSYSHQNSNYHTTKTIKSIQTGLTDQSHRSVVPRVRLTTTMWEDEDTICYQVD LKNVCVSRRKDNNMINGTKLLNVTGMSRGKRDGILKNEKDRVVIKVGAMHLKGVWITF SRAYLLATRYKIHDSLYPLFVEDPSIFLYVSPFQHSAGKLDSSGQSYRRLSSFGNTSG VVKGSGISSADTHGPTTTSTTTAVTTIAAQQPDHTAQHDKTHHNNNVTLEHSSRHTQQ FYETVNGISDTCHPLESSSTQHVFSRNSLSHGSNTPTVLGQQSQYKDMYSKGCFINNP LQEYNHKYTVHEKSNEVTTRYPSYNVNMNPQPSGLAVIQGAEPYMGPMFSPLPMEADT RYNGSSSSHSTYRETLSIDTYSRGVHSRFHPYTSPGKHSSDTRSLSIVSSNTDDGYIL SKPNKKTLH PHYBLDRAFT_131023 MDIQSNWKLGRAAQLSFPATGTGLITCLTIHDDTIISASDDSSI TITDINTGKFIKSLQGHKGGVWSMEVFGNSLVTGSTDRTVRIWDIQAGLCTHIFTGFT SSVRSLALVIPALLKGDKPEPLAPLIVAGSRDASIRVWCLPDPADPEYNDPEPDVWLL HTLQGHEKTVRAIDAIGNVLVAGSYDNAVSVWDLETGQLAHRMEGHENQVTCIALHSA SNRCFSGSLDNTIRVWDTVTGECIKVLKKHYDNVHSLTLTTDYLVSASADGNVRIWSL DSLECEQIIICYPLGAKHLFADDNMLLTGSIQGVQMWNIKTGRLVKDLITDIDCVQHM VVDERRCVTVTCTNDIIGFRVLDFGAEPKEVELEDNTDEAVEFTDIVEDSKSSKETKD TEKVQVEEPAEEVEARDVNDIIYQDENKPNTIIFNREEIAV PHYBLDRAFT_141146 MSQPQFNESEQRELAQFLEAENAKARIQQTVHSLADTCWDKCIF KVNNKMERSEEACLSSCVDRFLDTSLFIVKRLEELRSSGI PHYBLDRAFT_60388 MFQHPLDVAPNDELAEEPNHSLLTEEDNLMPQWHHSIPDLHSHD YVVRSSSPFGRRYLKPVHGIQTKGFARSAQRRSSVLTLGSIERLQHFYAKRELQVNKL GTLGFKVVEEPDEFDDLPTPKAPPPSWIDLDVETDLDVLLEVCFNDIQSTLTTWSMVT GPHLVHETASDSDSDGSFQILPLLSSVTKMINAVKNYTLNRHDLSDSAMSKLRHASLN LLESMRELENQHRMEVDEEEDNRSEDGYIYRTSDFNMLEKERLAIDAYLETVEKYGFN PPHHIGSPPAMFTPEIKALMNRSSFLGGSSTSTAGSTDTQTAAELDLVQKRAQNGRSL GIPSWLERGSFAGDDMGRYYALLKDNRQSAFEADAGTEVLIPDPHEDEDAFLQSLVDG ITLCNTYNNIVKRSRRPFGFINKVHQDTRRTYRAIENLRFFSAACKFRFDLQFDAFDP SEIARKTDRGLSMIKTTLKAFCDCVIREMRENADMASKRSLPSRPPLEDTISEYMEKQ LFISQDEA PHYBLDRAFT_122270 MDYDYLHNNDSEIADTVDDQVSSDKPRILLMGLQRSGKSSIQRV VFGKMPPNDTLYLESTIKIQKEDITRSFIDFQIWDFPGQVNYFDMTYDSQEIFGTVGA LIFVIDAQDDYGEALHRLFYTVTGAFRVNPSITFEVLIHKVDGLSDDYKIDTQRDIQQ RMGDALADAQMEHIHLTYYLTSIYDHSIYEAFSKIIQKLIRELPTLENLLNVLCSNSG IDKAYLFDTLTKIYIATDSSPVDMPSYELCSDMIDVVIDIECIYGVADRTQSSSPEIG MDDTRLDGRELMQSPTSGGSSMDRGSRGGGASEGSSSDAAQHNRAPSPMEAEASSLIK LDNGDVLYMREVNRLLVLICLLRQDNFEKHGLIDYNFQCFKEAVTEVFEFSQKRNTQQ RQLSVTDGQESQST PHYBLDRAFT_179786 MEQVNELKTGQVFVIGTGDAGELGLGTSMLERMRPMPVKALDDK SIANIVCGGMHTMALTKDGKLYSWGCNDEGALGRSGEEYEPGLVENLEDVKITKVACG DSITLALSDNGRLYCWGTFRCASGALGFSPTKERQTTPIIYPYLANEKFVDIAVGTDH CLALSTSGRVYVWGNGQQYQLGRRILERRQRNGLIPETLGLRKIRAIGCGSYHSFAID HNNRLFVWGLNNYGQCGLDSNVDIITTPTVISTLEGKGAIKSVAGGEHHSLVLMESGH VYSFGRADSSQLGLPKDMVKELSGQDGHASESSFKMAVGTPTLIPDLENVDSIACGGN HGVAATKDGLAFAWGYGSQNELGNGTGCDEETPSKITGQKLEGHKVIRVAAGGHFTVL LATL PHYBLDRAFT_185429 MIPTINSQSQNQEIYPGRVHNVLSRMLTGLRDRWKNSLVIENQL PLFASVFVDVTEPIENPEPFSFKSHSFIGDNMLKSAVSLYLSRKYSWADHADLERMLI VIFTNSKFQNLIGNKFKLDEFCDDTDQRQSVPDIVNGFVGVLYLHHGIPTLENWITPF LDLLCPSLLKPLPKVLYNKLFGLTNKETERIVAQSAYVQFTAYVQRGKGEIVINESTD PLLGQSLEWGTDVLYKLNPTSEWYIHSRQATNKKRARDLAMQDILSFYKSNPNLAHIH QLPSVNSDRSTPNQLNISPDDYTEDFESNFLALSTPPPVKRDVRQVDSLRHKNRTFLE ADVDEDAMMIALMGDVSMAEIKQEPGCENTNISKNGVHKSKKQKTKVSLLGGSASPDS IRPPPVYEPADLEAIKQILYQSQKLESILREQSRYGHPKSHIQQIKNFYGYNIDISYE KSGPLHGPVFDATCRFKFQGFTIITNGRASKKSEAEMQAYGDLLVLLRE PHYBLDRAFT_122276 MASIGTISTYPNNARAAKAVIAGAYNGVKVDLKDFDFAKDVTPE FLAKFPMGKVPAFESSEVNLFESSAIGYYAALAKENSGLVGKTAVEKALVMQWILFAE NEIAANVGGWVYPLLGYQTYIKPNVDAAQEKVKRAMAALDKILLTKTYLVGEEITYAD IAVVCNLSLPYTLVFDKAFRSEYKNVTRYFTTVAGKDHFKAALGEVKLCETPLKFVPA KKEKKETAKKEDAPKKEKKEKKKEEVAEDPMDDTPKPAPKVKSALDLLPPSKFVMDEW KRMYSNNKTDVAMKWFWENHDSEGYSLWRADYKYNDELTLVFMSCNLIGGFFARLERA RKYAFGSMIVCGENNNNNIAGYFLIRGQTIPEEVYDAADFESYNFTKIEPSQYEEKKD EIYKYMAWEVEGCQDGKIFK PHYBLDRAFT_76314 MNPFENIVPFNPQLYESGNVPPSTDRLFSYSGYTAGLITGMHQN VGPSAPPPPQPPQPMFHGMPPRQNMPVSVPVPVPIPGPISLPEATGPTNPNEVGARYS SMYASTGFDMLSILARVANRPNPQINLGPVDLSCSFVVVDAHQYDAPIVYASPTFEKL TGYTPSEVVGRNCRFLQAPDGRVALGSRRKYTDNTAVCHIKTHISQGKESQASLINYR KTGQPFVNLLTVIPVAWESDEIDYFVGLQVDLVEQPNLIFDSMKDGTYMVNYRSLVIP QSLQPNNPMNQIENPSEVVQEWVRPPSPKPVAPTIPNEALMDVETLIKEASTDEGKSR RCWHELLLDQSPDFIHVLTVKGIFLYCSEATRPLLEYDPNELVGKSLKEICHPSDITT VMRELKQSSSDASEPVNLIYRVKRKNSGYMWIECYGKLRNEDGRGRKYVVLSGRERPV YQFPRNFLSLKKRVQPFDQQQQQNSGAMSSGTDDHEFWGKLSLDGLLLYASWTCANIL GLPPSQVIGTSLYQLMRSNRTTDLTRALAEVKEGKIVYLRHALVNNTGDEIMVVSSFY PDGMSSLQDQPSFVLMQTKVIFDDMVPVDEPAFISTDPDVKKKGRADAVPVLGDNADS TTTTTTATTTTTTTIAAARAQRQPFMCVGEKVIEELDIQRDANWQYELHQLRINNKKL RDELGLLLTKAKKKSVEPMDKICSTCLRRLPGSTELLGNNLDTPVFCNTCTMRRL PHYBLDRAFT_185432 MSDQETPLLGPRATPFGPKTKQDRLAGYSTHLGIGLFTVLVLSV LIRLPLSVFTIHPVFMTIFVVSISEGISLLQPTHTPEQKAQGLKKHAAIQTISYVAAI IGFTAIFYNKVISSKPHFTSGHAQLGVFVFSFLFVQVLFGIIIAVLPRVYGDQSNAKS LWKYHRVSGYLLLVLIWTTVQLGVRADYMFNNLWSPQLIWLHWLVVVLVGYGVLRRIR FGKWGL PHYBLDRAFT_60396 MHNPRLRDNVSTVKASSPLSGTTRKPAITATTTANTTNSSSSTS PDHVDQILVQVRRQIERWGEHARWHINLVLLDPPNSKPGSPLPHKGLSPVPQKNSSPG GDTGARLQSLFQTQYGTGAGSPQPPALSIFGGGATGTHSPWCILIDISVVCICALCFY LKWEYSIPSTSYSYTRPNLDDAQQKLQDAYDRQHHLESIVQSQADQMKLLGDHPHDTE KAIETMRTVYLMTENEQKLRHALETRMLQTEIDTLARKLRRVGTTLRTVENIELSAEE TSMDSKCLLEERKLMMRKLHLAELRLSARDAELDYLHETLRAYNAHAAHHEPPISRQS PTLQKQFRKGPPYLFQQQYSPKIRSDLRPPAQQSHLMSGLDSLGILADQMLSNPDFEK KETQGDTIAGKNTSTDLETDTDTNFAQSSPTTWSIPAEPRHFDLKRSKRSIDSANTLV AMPSLAITSSDGRESNHVNYETSNNNSPIHTHNHHNHNHHSSNINNNNNNNNNNNNNH NNHNHNTTVDVRGSPSDSHFTTTSTTTAITAAIPSSSSLLLLSSSPLSSPSSSLSLSS STAKKARLDSVTWTLEDDLALRQAVDGLGTNQWEKVAATIPNKTLQQCRQRWSDLCFQ LSSPPQPQPQPPPPLSLSLSLSLPQSSLPENFSPSLSSRPFNARRTPSIAALLDSNED MQMRQNNYSFRLGSPLSRHETNPSYCRSPPHPPQGTGHIQERP PHYBLDRAFT_76316 MDSEASPTNTEETVLSVHTYWKNTINVCEAALSMLSVYENKDHA LVDATTEPLRQLIRHGRDIKAMMEYKPTPRDVNTSPKKQRKPVQINSDYDSNWEIVDS STVNVTLSDNSVVVCKAISTRKELEEIIPEIRQSRCVALDCEFLGIKNSSPELKLLQI AVSRTRGYAVMINTIGNRVAMELLGPILTDNSINLVGWAFNADGRAIENAFKGLLVTS VLDLQAKLRSIVVENLSLANGVTRFAKDWEGYEEFQKAKQLGEMFHFTGENCVWMQDP LPPSALVYAVFDVVSLVALFEITEHEETNEKHFWPFSITLEYNRKALDKWHRERASNF AEGNNNVYIRNTPKPSLPQPAQRTSENSTSVVPSNGETQSNENAQNKSEKTVEQPTKK SFETDHVAPAEISPQQPTSNGSDNILSHTKSDRRIVSDEQTNYHELEASHSEDSYEYQ FAPDVYEESRKNTKAPVIKPGGWSDFTRNLPANKQNTNSNGNVSGSISGSVSGNVGGN TGGWGPLTNNSAVSNPSGWAALANNSSNRRSENSGLGLSSLPTTNQSYPSRQPQSPQL RQPQSPQSAQSPQQRPIQAQKGPASLQLQTNPLPVKQRVPLAEHYSEKPDPQRPPQSI NKPAALLVSSTPSVSDSMFAPVSPSTSASLTATNPTQSNLRPYSAPPQTIQPSNFSQN NIKSNSSNDSGVGKASSQDAEPAWVKVKRGLDNSAVNAQSAAFQYGGQGSFEWQEPVE GEIDDEKWKELMDNSITHWRQGKDMGLDMSNYERKKAEAAKNINRPTGSTKFNTVIGT SGDQRRGEKDSWDDVTVRPNTMQMALKGGPKIKYKPKGPRFYNAFDDADSDSDTDNDG LSSDSDGGGLDRNMAVGAKANRMGTTATSSSSAKAGTTEITGAAGSIGASGASGAGAN AGASDDEIFVEDFYLVDGTYLHLYKINEVKHLAHIPKSLPDPSSPLTIAFTYYAYVPR NRRMDAGMILKALQIHFPTGNTYTILVEHIYNALHTKKNNELAYLLTHPRVNRVTWAI GFMAKTIEETLGIKLGSALDMSLKLGGTDGHQPNFLQCAEKYIKDWPNLGILKAAKDD FESASNRNFSNSIWDRENVPIMALQYSSLQCAALYTLYTSTEKTIPDTRAFMYPDYQV AQNH PHYBLDRAFT_185434 MSASSKLKSFTVNTIYGISDYFAPSPIKCFKHVQQTHSFILEFY GFLFIRIIEVKHKEVGKEELVSVFLLDAFNILDLFSSLGYTQDTAAQLCDKRSPFANV DLLVTPPPQDKLIGLERKKMSRSKYCMD PHYBLDRAFT_76317 MGSGLSRSSQTSSVRRSHRNKPARDIYPEKRTSTAQGQRIVEGR IYHSEQDSNYPLPMDDREIDRLHEEHFLTKELLGCNIMREATKRLDFQGGELHILDVC CGPATWLCQTSLEYPNCHFSGVDMSTMWPQIIRPVNLSFTRANVLHGLPYPDQYFDFV QLRFVALAFKSDEWTRVILEIKRVLKDGGMFQCIDLDMTISKGGSDIYSATSEETKVH PEDEFSRKKDTVASLLHDIKTEVSKFDKFCVLKGLDKASGAKIDMMLSDARMTILQSE YREIPLGWGGLIGDAYYNVYEATLEGIAPLMRQSFDNPSSPEPSAVCAPKKDMMNTKS FIGLYAFLAQKPLDD PHYBLDRAFT_164183 MQNLTTPDEPTLLYIGNLDPRVTELTLAELFSPFGQVKNTKVIS DKNLTHSGPRYGFVEYVHPMSAQQALLKMNGSLVFSNEIKVNWGIQRAQKEDNTSQHA VFVGDLSGDVNDDMLAKAFGVFDSITEARIMWDPQSGQSRGFGFVGFRDRAQAEQAIA TMNGERLGARAIRVNWANQKNQGPQRLITGPLSANYEKVLNESPVNNTTVYVGNIVPY TQQQDLLPFFQSYGQVTEIRLQPERGFAFLRMESHTSAAAAIVSLQGIVIHGRPAKLS WGRSRPQIRDDNNNNNNRQSIYNQSTPANGWECTYSYSHSYSYRYSHTQPRTVTVTAT VTTSGPAPAPASVVVVPATRVPTTTTNLAAVTGSETNKSSGQPNWDPFYPQYYENRAS VPQ PHYBLDRAFT_164184 MLSHLGVSDIYLEQFGIKPFHRYFAVMIVFYNSQKLTKACIDGL YRSPEEFGFATLRVALIVSRLVSMSIVPRKNSVGIARKETGYRCLVPDVFSRLSLNFP GFNRSSSSYFIM PHYBLDRAFT_164185 MPRKTKSEVPISNVLRKWHKATSALLSLLHSSMKLFTGIREFVK TTRLVGSCLTQRQELKTSSSLVHNSGQSKYYIGSNFGAFPSQTIKSNTKSHFGFFSSA LNAARIQSTIIKCVKYRNYEINLGIGT PHYBLDRAFT_141158 MLATLLPFEILAMTASFMTQRQRFTCTTVCKSWSKAFQHSLWYS IEIVSEEKVVAILNPPITQENAYKNNGNRVRDLTLKSSLLLTNEQLSQLQSYFQKLLI FRAGFGSLDPDVLNTTSNWKNWSSLVELKMAIMCMDKNEPEDKLFELLVLLPNLKRLD FTEYCTKDTHYYTWETLEDIHCVLPKLESLSLDIRLISTPLDNIKMMINISPASRLRF LELRRHIMNFGWLYYISLKYPNIESILSSPKLGVRMPDLSVSDNETMMLLKNNTHVLS HLNKVDITHDIASRDSFQLLCVIYKDLFKSVSNLKCNVEVSGDLLENPVTVGVELMNS FPASLESLHIEITSPGCLESYKLPAFQIFPHLKYLRINIFNSTVNIDKILDSFPSLTH LHMKGEFITLSSPYARTEKLHGLRNLQLQDAIADSVIFKYISYRCRDLVVMLLYDLDI VEKNSTKAYKVYMDMSYTHFQSLLFNQVRFFVTTETGSFDEDSPTNLFVVERLVRFPQ YNNPNLREIPEFYKRIPGPDGTMIWAHFYHDKEDIYKPRKMSILSYKDGQAIKKSFAS NIIVPENPETVHSRRKRYSGGLVLQRFWRSDIPKGCARMRCGYVRLYYIDRVCVNIEA KEI PHYBLDRAFT_93447 WTAEDDESLRAAVAVVGDGNWQQVAATMGKKSGQQCLQRWRKSI DPAIRRTRWTRDEDVALKSAVVLYGEGHWAKICLHIPGRTDMQCRERWVNILNPSIKR DPLNDEEKNILSKLVAEHGQKWSLIARLMPGRTDN PHYBLDRAFT_17398 MSSFQVQALPRSISLANVTERNIDQLVRLHVKIFPIVYNTKFYD LVLKAGPFAQMVMFNKVCVGSVCCRIQENPLDPSLSSVYIQTLGVLKAFQRLGLGTHI LGEAARLNNPKITSVYLHVQTCNSVAIDFYLKHGFRLIMTAKNYYPSMECKDAYVLSR EVMSQNQNQNQNQNQIQNYYGCTTH PHYBLDRAFT_108829 MLQEISLLKRSRQQVEDLDEQQAAIDREKTIHAEIKKNIDDGDA KKYSEQFETLNAEYKQLNEEGFKSREARNKLFDERTRLRGLLDEEFNALRTLRDDHRK ANDEYYNFIRQLREHKREQERLRKLQAEAEQRKEVAEQELELASMPAFAHEIALCENL AKYLGGFVNNGPIAASAAAAAPVNAPEGMVLLKKTDLEETYFMGGGKKNKNNKNKSNG NSPTGAAGADKASVLPKKSDALKLPLSTLEDFFLVKVTVPTKITEVAVTLEKLKERRE YYLSEQPKVTESNKQKAIAKIAAMEKEEEEKKAAEEAEKEAEKEAKKEEAAKKAEAKK EEVKEEEPKSEE PHYBLDRAFT_12373 VRIILACLFMTSLTIPYVRRFVLPALPIFTWLITFYACQFIPLD YRPKHIFVNILPTLERILYGANLSEIISKHTHWTLDLLAWLPYGVIHFAFPFVLSLLL FVFGPPSCLKVFGSAFGFMNLAGVLTQLCFPNASPWYENIYGSSPADYSIKGEAGGLS RIDDILGLQLYGSTFGNSPLVFGAFPSLHSGCATIEMLFLFYLCPKLWPVCIAYTMWM WWATMYLTHHYMIDLVGGSIYALIAFCIA PHYBLDRAFT_60407 MGVLSQVDRSNSCVNLGQWYQFVVEDTKRQKYKFRTKFIDFYSI YRIIFAHSDFFFEPTLPVFFFHDKFPDFMRFLQGINCLLLCTIFWHEKDKNSIVLHIK ICPHRVCAGPAEFFQVQESVIACIL PHYBLDRAFT_164192 MYKRTMLFCVCIKHCTALCISRAKIPIGKIGPGWTDSHQFCSTH LCINSTKSAAVNYPPITFTARKKVNICIFIYFLTTVDIAIAIAIAIAIAIDSGIDIGI ATAIVIAYSISLSVQVYRCVCVWACVFVCNSKASGENLTLTINSN PHYBLDRAFT_108349 VLVLGASNIRLVIENWMKYGLLIRLPHSYVPLEDYGYFLLAWLS VPMSLAISLLVEYSMAKLATKARETKETRPEFVKKLATLERVALATHVTHLVFLLMFT SFIVYTRIYHPMAGSSALFISLITFLKLSSYALVNQELREAYVEGKPDEFYDTDAAYP KNISSRNLLYFFFAPTLCYQPSYPRTPAFRTTFFLKRVGELVVCLVMMYVLAEQYAKP TLANSLQALEERNLVTIIERVLKLSTTAVVIWLLMFYALFHAFLNALSEVLRFGDRTF YLAWWNSGNLATYWRLWNRPVYLFFKRHFYIPMVRRGLPPSVCQLLVFLVSAILHEFL VGVPTHSINGYAFLGMLGQIPLISFTRLLEKWRGKNSALGNTVFWITFCVVGQPTIAL LYYYQWTANHKQVAPQ PHYBLDRAFT_179796 MSSGISELDQMALDIEEARRQTTFPVELMTYYLHQGKQNTEKQR EFQRILLKEPLFEQATYTFLSRDERLYRSTNAAKRLLELSNEHGWNDEDREDFQNRIG TGNPLTLHFQAFVPVINTIGTPEQSRKWIGAVKRHAVLGCYAQTELAHGSNVLALKTT ATFDRITDEFVINTPDLTAAKWLIGGLGVACTHAVVQAQLILAGKSRGPHLFIVPIRS IEDHKPMKGVTVGDIGPKAYGGFATVDNGYAMFDNVRIPRENMLMRFSHVTREGLYIP PIHDKLSYGSMVRIRVDIVKSGGWQLAKAVIIAIRYCTIRRQFGGQPASPSSGNKATL ETQVMSYSGVQHRLLPLLSLAYGCIVTGQDLARDYGELMDGLAKGETENLPQLHVSSC ALKVWCTRRGADGIEECRKALGGHGYSIFSGIADIFAIFVPANTYEGDNYVLSQQVGR YILKQLQGVIKNGKVPSPTVSYLETLLDGTDNPFVFGGPDASVLNHDVLLTLFGRRAA RLAVDLAKQLESGRPWSDVNMECWNICLAHAEYAVLKQMISRSALLESSSEYAPLANV IRQLTNLYALSTICETSTATFLSTLTIAPADLGAIQAHYRATLANIAPNAIGLTDAFD FTDFELNSALGVKNGRAYEALWAAVQNNPINTEEGRQKFQNAVKKITNVNDNIEFSKT SKL PHYBLDRAFT_141166 MTDYTPGTLSSSIIGNSVDTTLNDLFQKSAGPSQLLTKPAAVYV RTPKGINATKQAKAKSKTQNAQALAKKAVEAANKARSLAAMSPLKRKRNESIQPGTVA KKSATDKNLAPETPEEKRAKDARTVFVGNVPVECIEKAGSKELKAKFAECGNVESIRF RSVAFAEPMARKAAFITKRVHEDREAVNAYVVYKTKEEATKALDLNGTVFMGKHLRVD RASNMKQHDRKRSVFLGSLPFDCQEEELWTFFKDCGEVESVRIIRDTKTNVGKGFGYV QFTDRASVEAALSLEDKTFRSNHTIRIQRCKVSASEGGVPSAPRKAASARGTRSNGRP QRGNKITGISGKLIPSGKLHEGTRASKNDSQKLKMKKKNPTAKKSNNKKAKK PHYBLDRAFT_131051 MHFAVDKRGPSPRQSLRSGKSMAIMQKSRSEPNCASSAANSFAL TIAHAHPKRKSTGNLRRTSSFSNAAKTTDSRSTCLKDIWRPPGCYEIPDILGNAYLKP SPPVGLSLRPNDPSKQVTKRPWYPPSPHYQVPQLPPLVRAENKFEVKMRKMLPRVSIR SSDPRARYTDFKEIGTGVNGAVVRATYRKKPSVQLAIKRCKLDPDQEYRAAILRELRI MSSGHANLIKLREITLCRDDIWIAMDLMRCSVFAVLCQRGIPEEYTVYITCETLKALT YLHSKGLLHRDVKCENLLLGWNGEVKLADFGLSARISRRNRDRLGTTKWMAPEVIREE YYEEKIDMWSLGITIIEMMDRVPPHYLIKDEEELFDVIATEPSPTFTYSYPSMYMRGL VAWLLDEEPGTRPSARDVSLEIDAHVKANLLQCSTAHGLTRFLGQVLPQY PHYBLDRAFT_108773 MNVLETWNVSVGGVLLVILCTYILGGKLLRLYLFDSSNSFLRHR PVVQVVVLGDIGRSPRMRSHAVQLADAGCIVDLIGYVETHPTSRITTHRSIRVRRLRP AFSLPEKFPKLIYILWAPFKAIWIALQLAWVMNCITQTPEYIFIQNPPAIPTLAIARW TSCLRGAKLVIDWHNFGYSILKVKLGQSWIVRIAQRYEQYFGNTAYCHLTVTDRMHKE LTNWNVKGKIVTFKDSPPYHFKRLSTKEVHDFFVGFRLQDIVKKETLDANEFLGRQPY SPNETLMTLNGNECVSRQDRPKLIVSSTSWTEDEDFGLLLKAVEIYEEKAKKDKGYPK LLFVITGKGPLKSVYEERISRMKLQKTRVVTVWLESNDYPLLLGSADLGISLHTSSSG MDLPMKVVDMFGCGLPVCAVDFECLHELVEDGKNGLVFQTGEELAEKLEV PHYBLDRAFT_141169 MGNQTSRAIEKTRERRIKPKKHIRRHSTATVGCTPLSISRPSSP HSFRNQDWLDSLTGSFSSSYTNSTDHDPTAAAAANTINTIASISSIISTASSSKASSS TSTSISLSKTTASRLFGASQSKPTSPVSIHCTPHDPRELDRLQRQHYLLKLARKTNCW APCPPQCATVLDIGTGNGIWAFEMAAEYRDAKVIGLDLLPPTTQLGCPKNLYYIQSDI HQLPWPIESNSVDRIHQRDMGQMIQGKQWAGVLQEMFRVLKPSGTIELVESDLWYHNP GPMQQTFHGYLESYYSTLGLDVNYIQTLEKWIVQAGFGPPEVRTLDLPIGEWSKDGEL KQFGFIHRETQKAWLKNKKSFHVTRWNITSEEYDCAVKEVLDEWDAYQSFSRYYCWVA TKPTRMNI PHYBLDRAFT_122297 MSSSAHVLSLYRNFIRFGNRFQSYNFKDYTIRRARDAFHENKLE TDPSKITQFIRKAEHDLEVVKRQAAISTLYATGDRLVIEKAPRRH PHYBLDRAFT_141172 MSEDNDSIWGSSDEDDVRYERTIAEKEWNRMHQNHGNEGYKDGI IEGKEVVMQKGFDRGYEDGLGIGRSMGYLRGELSTWILFYQQMVNNPEAVRVLELLLD EVNKVDVHHIYTKEYFQDNSKSAEYVSPQDFVKSLQDKVSSTLIALQDH PHYBLDRAFT_76327 MLFTPKNHAEKNSWLQPESPATKVKNRLSLFLKRKSTDRPVSQA TAALECPAFESTYSTSSTASFSSSASYRSSPPLTPCLAMESVPAEFSAPVEPLTLTPA WELKDMSLGFQVRHLLGTAFEETDQIIDETWTESRRELTNTLATPFPLQYN PHYBLDRAFT_96992 IEQVVTKLLRTTKALLEALTQWSQMNMSTNEILEIHETLEIQFY SVSRVFEEANVSMGDLGWIPKQLRECVSGAMEQEQSIVTLDQHLPRIRDVIVHLLHGL KGKQQQLRERDHAAFSRDQQHRTDSWHSTVPQAVLARNASHQRAYNNGATSPPPMPSD ALAALKRQENLARRSSVRRASMFRTGGNEYNVKRGLDAPPVPALPNETTSKLSNKLTS VPEAAENLVEKETRQGKFVCLTLYLQISKDVKKIHYTGEISVPALRMLFIEKFGYTSR QSDFPSIYIREPGVHVFYELEDLSEVIDKSVLSLNLNDTPKHQGTVLRDLVQKMIIEH RPTSVLEGSEGVNGVLNGAGTTAMPPSGMSREAVEQHLAEVESLRRDLAVLRQLQGEM KETTADVLGGLKAKAVSLREQAKEPTTANVSAAAVANSNARLFIEEGKEQLLTSSDKI TTRLEDLQDTIDQLKLDVTLRKSSPSEAQMTHCSNETKALAQEIDQFGAYIARVKPTW KKTWEQELQTIVKEQQSVKEQEGLLLDMKDDLAALLEVFEQLEKICVYRAKARPVLRE FRVAPAEEGFEGMASVFKQVTTIDVDHERRLKALEQAEKMRQREILNKVDDFEKELGS FVEAKKLKKTGGAQEIERIRQQKDKDLLKAMY PHYBLDRAFT_141175 MPKTIYRFRVNVPELTTGFRVHLYAYQNKYPSFSELTDKIKAVI NETSLENYSLTLKNDQGHYSKLHNNSTFQNALKLLSNQSRLEVTLHRNEPGQSRYIFN ALDRQPEQVPIIKPTVLRWDPSCDSFNGANADRKDSGVSIEESGGTRKKRVQSADPIL FRRTLPDLSFPPQTRRLSSSFHPLDPQKPLTAPSSPDYSRTITLPAISSLTSAVDPTY SHLAPILPPPAIYNFHQHQHHHQHQHQQNKQISQSSRSTSTSTSMSTSPPLSVALVPT TPPPISSQQLTLPRHNLRYASPGQFVCEHPMGVSGRVCGQTFRRSYDLSRHQTIHLKN RPLCRCHYCGKKFTRMDALRRHERVQGHTKISSSSPVSSSSLSMSV PHYBLDRAFT_157718 MSHPGNIDPNSAVYATDEYGRPFIIVREGQTKSRLSGIAAIRSH ILAAKTVATIIKTSLGPRGLDKILISPDGDITVTNDGATILDQMAVEHQIAKLLVQLS KSQDDEIGDGTTGVVVLAGSLLEQCEQLLDRGIHPIRIADGFERACNVAVSHLESISD TIEFSKDNVSNLKKTAMTSLGSKIVSKCHEQFADIAVNAVLAVADLERKDVDFELIKV DGKVGGSMQDTLLVQGVVIDKDMSHPQMPREVRDAKLAILTCPFEPPRPKTKHKLDIT SVEEYEKLQAYETKKFEDMIKQVKDSGANMVICQWGFDDEANHLLLQNKLPAVRWVGG PEIELIAIATNGRIVPRFEDLSPEKLGKAGIVRELTFGTTKDRMLVIEECANTRAVTV FVRGGNKMIIDEAKRSLHDAICAVRNLVRDNRVVYGGGSAEIACSLAVSKVADEISSI EQYAMRAFADALDATPLALAENSGLSPIETLAEVKAKQVLTGNPRLGVDCLYKGSNDM RDQHVYDPLISKRQQFLLATQLVKMILKIDDVITTTGDEY PHYBLDRAFT_60422 MEKTYLATSRHTSYIEAFFIFIKLRILKERSKTFWKTFIRRNTF EAYGHYKSSLELAGVKREKAYINNNEDYEACIIKEWEQMDRAFRANHVVSMSDRIKVI IFYLWWKHQILKRKSLLAFIKHSMCFA PHYBLDRAFT_164207 MPNISIVCTEMKMISRRKFELVYVKHAFHEDTDRLWSFVVLLLK NKHLLLVIRLCEIRTHALPAKVGKTPVKPTKKLTIDRDGGKKSKDKGELYFFRQRRPY KVWRPRLFRLG PHYBLDRAFT_141178 MDPSPPGRRPDLTAKGKKELVARFPRKSGKESRGEVKQRCTSAY TIPSQQVLSRSTVSTPHRTQPQPTRLDTCAGNCQVARKAEARSSSAARQHTPFQANGC FQNNIFRTTLRITTTNPSGHLRRKLPVKYDNKDRPEKRNVSSPGNETPRESTLVKKSG VENRGEVKQHCTSACTIPSQRMFSRSTVSTPHRTQPQPTRLDTCAGNCQ PHYBLDRAFT_28607 MSEDLFNVPIFFIVFRETTEAAIIVSVLLSFLRKVFEPGTAVYK KLRNQVWIGSAIGLFICICIGAAFIAVWYTVLNDLWGSSEEIWEGVFSLIAGLMITAM GLAMLRTERMQEKWKVKLAIAMEAEQKKKGARAWLQRYSFFFLPFITLLREGLEAVIF IGGVALDVKAKSIPIAVIMGLICGCLVGVIIYRGGSLLKLRWFFVVSTIILYLVAAGL MAKCVGYFEQYAWNKVIGGEGGDTIAYKVTTAVWHVSWGDPEYNAGENGGYQIFNAIL GWNNTATIGTIIMYCLYWIFVAGALVFMHFKEKRDAILRVERGEWNDGDEALENARNY VGSDGVIIGKNQDDLEGHVQHEKIETTTIKA PHYBLDRAFT_74441 MRFLGTLLTGLAATASIAQAARVVYDWEVTYTTANPDGLFERQV VGVNGAWPPPAIHVSLNDTLVINVKNSLDIPTALHSHGMFQNNTVWMDGPVGVTQCSI PAGHSLTYEFNITQTGSFWIHSHYMGQYADGLRAPLILHNPEEPYKYDEDLVVPVSDW YHDNSANNLAIFMNVNNPTGAEPVPESGLIMDTINPNITFVPGKTYRLRLINMSAFST FFISIDGHDLDIIEVDGIYTERKTVDSIYLTAAQRISVLVTAKNSTELNYLFHADMDI DMFDILPEDLVTNITANIMYDASHSNFAESQDLGMGSEFDDIDLPPLYPASAVEPDQQ VNLTFNFDVTTDGINRGMFNELPYLTPKVPTLNTVLSMGELATDLTVYGPQSQAIILD HLNMVEIVLNNLDAGNHPFHLHGHVFQVVARGDGVFMGDRSTVEWNNENPTTRDTVLV PAESFTIIRFRADNPGVWIFHCHIEWHLETGLAVTFIEAPTVMQERMTLPEAFHEVCT AGGNPSTGNAAGKEGLDLKGAPGGITLIPDAFTAKGKGAMAACIISALIGMGAIVLYA SIDPEKKAKDMIAAQK PHYBLDRAFT_60425 MHIFTVQNGFATTFCKRKSFNSHCGTDHTHISQKDDHVPDQSLV QLIKKAIDSHVSFFSSCANRTFNCLRKHNSNKNQNNNNSGNSNSNDRASSCGNSIAMS TLAPHGSVSSYKSCVSTQLKSEAEIERITNLYTNHSMNLETLIFDHPTGLGQLDLFEL FFFFVRRWGGQSKNVNLYTSYRRVHLLKHISPRLLVLTVQVLIGYTMSEIKEVRFLLS IKTPIIESIISQLCWLTSGKYGLKEKECSTINLKQPNLWILESGEDERDNKEV PHYBLDRAFT_60426 MTGGIYMAHNQLCDYTTVSKKIIAKESGLVRRYNGSSASGHAGV KKTSTPRMLSTEDMTSSEERLVFERQISWGVYGASHNAVAAPVIPSESVYKWFVDLSS NVASIWIPHLVKDMVKVVSLWLGLSHQSCWVHVWRGKKDNCINVILEFITEYWISGKL RVGAPQPQPLSCFVVGHELKSIHYQAIIR PHYBLDRAFT_141184 MTGVKVAKGSRRATILLAISLQAVNGAHPVTQRRKWRGGAVIRT HIGSSSKKPKDHHHMRVALLEKKRVGQKYLVCQIQESMDREYVGAKVDRSPG PHYBLDRAFT_141185 MNVVMKTDDHRTVTTAGTLPQSGAIRHGSWTGRHCCEARSLAPS VVVPLVKA PHYBLDRAFT_60427 MPFFLIILLYNIVGHLSLRVTVYKEVDSSKLIVNENFKVFKTLF SCIINKISVCLYASNVAGKNINIFSKPPKSSSHMFSSNQGSDGSYSVSDKRNIMEDML EVSVPNGPYCAFQNTIGGQGQLYIPLYQLFMFYSFKTQTLYPLFQFLPSLLSSLHISL KILQFVDSLSISFHAFVTHSWLSQRSSAVPLPATMMCSSSEAGPSTLLSVISHNFYSQ KPKDI PHYBLDRAFT_141186 MPRKTKSEVPISNVLRKWHKATSALLSLLHSSMKLFTGIREFVK TTRLVGSCLTQRQELKTSSLVHNPEQSKDYTGSKLWSFSISNNKVQNRIHFDFFSSTV NAAKVDPSPSGRRPDLTAKGKNELVALFSRKSGVENRGEVKQRCTSTYTIPSQRMFSR STVPTPHRTQPQPTRLDTCAGNCQ PHYBLDRAFT_179805 MSPFSGRTTKESTNSKGSNSKQFKLVVEESTLEPKFPIGQYDYI DGRNFYRTEIKNNYLLPCDDEEVERLEVNHLLAKLLFGKLYFSPIEEQLKQGIRVLEV GTGPGWWLQDMAKDFPASHFTGTDIMFFPNNPPTNCHYRIADVSKGLPFADNTFDFVV QRDAMYRFSRTHWDFVLNELIRVAKPGAYLELVESSGTINDIGPNSSVWLMRLTVSLQ TRNIHPKIAPQISQMVIDTGRVTDVVGSHRSIPIGWLGKLGDVALESMERLFDSMKPR LCEDWSMSSAKYDKVTQSASKEFRDFKSWSNFHYVSFRKMTDQERSETATPSSTTTLT PDDTDVESKDLRLKADSLKFTPKLLNNPHSCPLDMASNLP PHYBLDRAFT_141188 MADVGSTTWVDTVFAHNFISYTSDHNQTAFHRVQATSGRKNDKL SSFADVQFSNLCGTVYTGGNLLFTPDGNSVLSPVGNRVSVFDLVNNKSYTMPFDMSKN IVCMALSPQATLLITIDQDGRALLVNFPRQVVLHHFNFKEKVKAIQFSPDGKHFAVTH GKNVQIWKTPGFTLEFAPFVLHRTYTGHYDDIVNINWSPDSKYFMTSSEDLSIRIFTV DPLPDFVPPVLSGHRDTVMGAWFSADRQNIYSVSKDGALFHWKYGTYTGLRDLNPEDM DVDEGNEWVDKVRWRIMKKHYFMQTPAKVKSCEFFAPSNLMVVGFSNGIFGLYEVPSF NTIHTLSISQKNIDTVTINRTGEWLAFGSARLGQLLVWEWQSETYVLKQQGHFYDMNS LSYSADGQTLATGGDDGKVKVWNTTSGFCYVTFSEHKSGVSAVEFAKQGKVLFSASLD GTVRAFDLVRYRNFRTFTSPNPVQFSSLAVDPSGDIVCAGTMDTFEIYVWSVQTGKLL DILAGHDGPVSSLAFSPTDMLLASSSWDHTARTWDVFGRNKVIELLPHQTEVLAVTFR PDGKQLATSTLDGQICFWDVEESKLLTTIEGRKDISGGRKLNDRTTAENAASGKAFNS LCYTADGSSIIGGGSSKYICIYDIETNVLIKKFQISENLALDGTQEKLNSKNMTEFGS LEEMEADEASDLEDRLDNTLPGTQSGDLSVRRTRPEAKSKAVRFSPTGRAWAAATTDG LLIYSLDDSIMFDPFDLEIDITPESVMEALEEKEYLKALCMAFRLNEKTVLHDVFEGI PPDSVDLVARGMPQKYLQKLLQFVGVHMETSPHIEFHLIWVTSIMTAHGRYLKEHRGE FQAVFRALHKGVNRVRDDIANLCDSNTYTLKYLLSQQGVTSRAEAMAE PHYBLDRAFT_93050 GTLPEDVLEGEKGMTLLKTIDKIMDLHALAFGSTRVSKNLTAEA KTAMDARQIGLQNVMYEKRHLLEEIVKCRDFRSVYQDVDLIPLDEFNAVAPPAYRQDN SNQHIEMINRLKFEHEARMRQEKLQVERVKLIKDNRKAQEKLDRFDK PHYBLDRAFT_164216 MNVTRTHPVFLLSCTLAIVGWLITLAGLCASRGVIQTIYWCTVV FEFGLLAFVCAVVFTNSLPIYYPTILSLIVLSVPYGIDEIQYHLMIGQPALSASASGF IVLMTAKFSWLFLFGIQPNLNEYYSFKDYQSCTSSNNQSYDTVVVPNPVVEVHKKKPH YNLPVPVQASYPRTMYSHEGQGIVPIYHSNPDVCHLMENGRHVADPRDPDELSFEKGE KLNIHEQEGNWWKAQKADGSLGMVPSNYNQNQNQKLIQELKLIVVLIYSTIL PHYBLDRAFT_179807 MLQRRVSQHNPPTTKTSMTNLVTNGREILATTKDNRLRATTAGG LLGTKRTSLGNLRKSKSSTTADHHNQSTRQSELMTAVDMTMKRRSTGCIRQNSFNTSK NNSTITTTTATTATTANQNTKIWRPPGCYEIPNILGSDCFLKPDPPTAQEKTYSLRAY NPTKHISKRPWLPAGPRSEIPQLPPFVRPENAFERNIRKAVPKIQVSSLDPRNKYGSF KEIGTGVNGAVVRATHRYKKNLRLAIKRCRLDPDRDYKAAMIRELRIMATGHPNLIRL REVTIWRDDVWMCMDLQRCAVFAVLCQREIPEEFAVNIACETLKGLVFLHQKGFIHRD VKCENILLGWNGEVMLADFGLATRTTRRNRDRLGTSKWMAPEVIREQYYDDKIDMWSL GITVIEMMDRVPPHYMLRDESELFAAILNDTSPTFTYSYPTMYMRGLVAWLLDENPQT RPAARDVLQEIDAHVQSNLLRCASAIDLARFVNNVLSPL PHYBLDRAFT_141193 MPESFDFTSTPPDEKTPWKNPIKHRGEDAWNGSKEARTHPELCS TGYGTIDIDIGADSDTGSATTTGLSKSSTKNPAPHPDQQNSNSNSKQNETKSESDDLK EHDGFGHTVHTKPSPQNYVHRDLKDI PHYBLDRAFT_157724 MFRIRAIVRTSARLLRPAVATTTRFSLAFKPTTAFQSMRAGHGS MGALHTSARMQACQSETPSEHPLLTKLKANPHILHKLAGFTAFLEAKGIDMSGEQPSM MQVAKVMSDTEVREKIKDLVKDMQEAEIELDMSTISELQASLGEWTKEVDDGKKDKN PHYBLDRAFT_122316 MATPTLAHFKLPVIENEAMLNYAPGSPERAKIEHALKEMIANGP VEIPVVINGEKIFTKKIATQVNPSDHKKIVCKYHEADAALTKKAIEGAEEAKARWESL PFNDRVAVFLKAADLLAVKYRYKVMAATMLGQGKNIWQAEIDAAAELCDFLRFNCKYA EEIYQQQPPKNSPGTWNRTEFRALEGFVLAVSPFNFTAIGGNLPAAPALMGNVVIWKP SPGAVYSNYLVYEILVEAGLPAGVIQFVPGPAEEIVGAAISNPSFASLHFTGSTHVFR KLWKDIGNNIDIYKSYPRVVGETGGKNYHMLHPSLDKDGVRHAALQTIRAAFEYQGQK CSACSRVYVPKSQFADFRRELLAEHAKIKQGSVEKFENFSGPVISQFAFDKIKGYIQH AATAEKGTEIIAGGKCDDSVGYFIEPTIILTTKKDAKTLVEEIFGPVVTIYVYEDAEF AQTCKLVSDTTPYGLTGALFARDRDSIVAGSNLLRNTSGNFYINDKCTGAVVGQQPFG GGRASGTNDKAGSSSLLIRFVSTRSIKESFVPIEGFAYPSNLV PHYBLDRAFT_16736 FLLSFSTFLIGCVDYRAIPSHHSLSEVVSFGGLLSLPFPIALVL TIFVIWWILQAVRFVWDISSLMEIHDFYLHLLTIPDQDMQTVSWDKVVKRMIAIRNTN PNTSERSSVKITVHEVANRIMRRENYMIALFNKEVLDMTIPLPYLRHKRIFTRDLEWN LSYCVQSYVFDARGQIRKRFMKQENRPLLVAGLRKRFIFMGIVNLVFAPFILVYLFVF FVFRYFEEYHKNPSELGSRAYTPFAKWKFREFNELPHFFHARLCQSLEPANRYLNQFP KEKTVLLARFVSFVAGAFAGVLGLLTLFDSEALLNFEISPNGTVLFYLGIFGTVFAVA RGMIPDEHLVFEPKKVLAEVVEHTHYLPIEWRGKLHTEEVRAQFCQLFDFKVGMFFQE VLSVIFTPLVLLYSLPRSTEAIVDFFREFTVHVDGTGYVCSFAQFDFARHGNVKFGAP TQVNDDHLVSRDGKMEKSFLNFKTHNPEWVPNDEMGSIYLSRVAAFQQSGPGGVLGGG RGGFGATGGSRKTKMLNKYGVTGVVPSHLGDSFVGSQQMGWQEQEHVFKTDEYDEEDE EDEEEDVIRQPGMKGLLNQFYTLNNPAST PHYBLDRAFT_141198 MFKRMNFGSKNHQPVPDPSSLSIQQCHDPRRISQASINSNISFN ESKSQDERAYSHYNGHESLVDPTTIGLSPSKSHPSLEPAFYDSHRPKSSSATLPPLMI PETPVPTRREGQPLLTPGLSDTTSPSLKNRSSYSSASSFQEQKHVPTISEDESWVQQG IQFHETGQLEKATNLFRQAAQKENPIGMFLYAVSLRHGWGAQRDEFLAFTYLQKAAEI AIQDLATLSDAASKSASKNELVMAIYELGVSFRHGWGCKKNKERAVQFFTIAADLGDA DAQNDLAHCYYHGHGLKKDHYKAAYYYRKAEKQGQGIMGNSWIHKSKYDKPKPKH PHYBLDRAFT_164226 MASAKLMAKLHADQSAVAQLAEFHSRQGSSVVGKSASRKAPIAR PVSQPQSTEPTQRLSRRDEKDLIQRHANEPPSLVLHLYPTYFKFEHEDGFFSYKSQFK ILIDFLSSIKNKQLPADLMDVFDEASCRYYEGCLIVEIHDHRSPKKFHTNGQNGSQSD SETQVKRLAMQPTAESLWTDIHLLSEEWGFPWTEQVAVEVEAKILLATEEPLCLDPSF QVSRISNAMEQWNRVRRPKKKQKWNSLEREQKLAKKAEDVKAMTIMDTRAKREFPFEP SFGKISFVQDWRSKKHKQSEEPLGAVEVKKSKGRKVLVEPPAGLDGRKCVRTIRFERN EDHRKVYTVINIYAQGETFDGVFCWGTTPDTSLNGGNIE PHYBLDRAFT_157726 MPSQKTTTPHQCSHTPKPQTFMCRITSGFCTCCGVDISRFSHEF WCRHANS PHYBLDRAFT_97149 IEQTVTSLLKSTKQLLMSLTDWSVGTTTSGSVLEAYDLLSLDFN QASQAFEAAAMSMTDLASVPAQLLESLREALSQQPTALDAHLPAIRDIILQLLKGLKR KQACLRERTETEAIMPRSADRQTRAPLSPQPSVRPLPTPTNSSEQVKTFTSTHQNQNQ NTSTNTNINPNPNPDTNTNTTANVNPSINSTTHNNHFNNNNNNNNNNNHNNGEDRWDA LDMVKMSLFLGLGDHVKKVNFEGVVSFEGLRVLFLETFNSIDTVSMLKIRDPATLIMY ELEDLEDVKPNSYLSMSLAQTQAQAQEPNSDKTISQCLTQAIQSMERAILTELRVNRG PHQIENTKSVDPEPMVSTTGAVNRIKDQQEEIQRLKRDLAVLRQVFREYKHEASKVLG DLQRAAEKLKTSQTLLFRPPRTLIDQTRQRSEEAAVELGRRLEELQDTIDELKLDVTQ RKCRPSAAQLDYCKNEVRLIGERRRELAQQLQTFKPMWKKTWEEELRTIVKEQGFLKE QESVLMDMEEDHGAVVQVLEQLQKVTEIQRKHSLTQAPPLHVPLRTTDTTKVMSSVLE QVASVQVDSSRRVKALEQAEKFRARELANRIDAFEEELVTFVDSKKLKRTGGPEEIDR QRQQKNAAMLKEMF PHYBLDRAFT_164230 MYSEKSLLLSRLNQDIYSIIFKYLDNFSLVVLGQTCRHFYALVS RELSFTSAIWREIDCNPVPNGPPMFHNRCLVGIDNKLYLPWMTKEPVCFVFDVSLCCW ENPISLEIRSTLYRPFVTPVAAIGSKVYMFGGREVSSSALSNSLWVLDINTRIVTHLD VNGIPPRPRFDHSLDVLEDRYLIVFGGMCADSPGESDVFVFDTLTNTWQEPPVDGRLP SARFGHASVMVGCYLYVYGGCLIQTQGNTVHDLLYRLDCSTWTWYKYDHPEAYLYRHR LNVPNIAEISQSLQEGFVVETTGTPPRDRFQCTMCAVGRSLLVMGGQTIRQDVNDTNE LHVHSLRTIDVFDSRRKHWSSITTSTNVYPDSLTCIQIQNCLKGGHFMIVLGQHKIFE YQSKDKSRQIPDEEGYLDTTIYSHTFIQDDSQAAYDGTSSLKHNTEHICLVLSLNEYS I PHYBLDRAFT_108245 MINGKPCRVCNDFKTWTKAEKKNTKTSTAKSVNTDPGPKEDEET WRRNNCPADVATLGRSTWTLLHTMAAYYPEKPAEEEKKSMTRFMESFAQHYPCWFCKD DFQKHMAAEPVQVVSRDALSQWLCRRHNEVNVKLNKPVFDCTKVLERWLTGPPNGKCD PHYBLDRAFT_164232 METHTNIHSLAPEILFQIFSFMPYNEVYRVRSVCKQWQHMATEH IYLSIKATRQTVTVKLGERSHKRTIDLEAHSYDPIHQVIEFKPVQVTEHAGSQGPDQT SQWSIYQRRMQIHFSQWKAQTRLPAAVEDCLTVQEKDLALFHLLYNPALERTYELPSP ASLLPSKQSPGDLHYVGDRGLILALSYQTKASPHHNLFNTAYSSHAIHMGVGVPAPRL EIHWVRATLAWVVSGMAPHTPVPQIYTERYASLDSKLAHSGCYKYDLYSNPVLEHIVQ GNDQIPQDLLKYLQSHTHECHTRLSRIQHMLEGAGVDARVIWKYSFAKACVVSNGSLL GEEDVVRRIQVLEEEWRKKRQSLTRRLNATI PHYBLDRAFT_141204 MKLFTGIREFVKTTRLVGSCLTQRQELKTSSSLVHNPEHSKDYT GSKLWSFFISNNKVQNRIHFDFFSSTVNAAKVDPSPSGRRPDLTAKGKKEFVALSPGK SGMENRGKFKQRCTLAYTFRANGCFLDQRFPHRIAHNHNQLVWTLAQEAASEICQ PHYBLDRAFT_60448 MSWLLSLQERVIWKTEARSGSAARQHTPFQTNRCSQNNTFRTTL RIYTTNSSGHLRRKLPVRYANKDRKAQYKRSFVHSLLGKFKQRCTLAYTFRANGCFLD QRFPHRIAHNHNQLVWTLAQEAASIYAAKTDGDILRDTLKVEIEDKQREMQREMQRER YTERWRVSVHFPSKEIYEFFDITLTSNGNSTD PHYBLDRAFT_164236 MSWLLSLQERVIWKTEARSGSAARQHTPFQTNRCSQNNTFRTTL RIYTTNSSGHLRRKLPVRYANKDLDPSPSGRRPDLTAKGKKEFVALSPGKSGMENRGK FKQRCTLAYTFRANGCFLDQRFPHRIAHNHNQLVWTLAQEAASEICQ PHYBLDRAFT_164237 MVEIVITSEQEALKYANGGIKIKDKSTYGFPSLGPNLDHIFSYC PECKSLIYEKCNISKHICNCFLESNHALKRTKYNLSDDSETENYSKDCSEVFEILYEL ISQY PHYBLDRAFT_60450 MLITKYFPIRFYLSNARKIVCTEMEMVSRRKFELVHVKHACHEN DNVLGSFVALLVKNKHLCSIDYNIYEIGTSLLLVICLCEIRTHALPIKVGKTPVKPTK KLTID PHYBLDRAFT_164239 MFFYMSKGIKSMTPSSLSPHYTAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTVGLWNCFMAETQKEPDDRWKINSRIFQSNVNKESSYTFSLVKDNGNLVASKID PHYBLDRAFT_60453 MSGMLLAIKVAKTQLSCAYGDLNTAIYHSVHFPRQRTLINLSLS QLHTNKKFLEGRYSLLYNSVIVGNIRIRRRYTNSYISYDYGVIKSVIYYSGIQVMSA PHYBLDRAFT_141211 MQFPRQFPLFAQSSGSPRASTILPAPINCLMLVPSTLLSSHDNF APSGLLPSLTGLGMSGFAGCTISFRAEHFCVHRDARTFSSRLPVEICCVDRNLVGILR HRPSALCPLKRFPILRLPPTLNPAIPASSVFGLTILAIWDHHWSFHFNSVPFLPSAVL YTACKSISRLCSELELDSP PHYBLDRAFT_179813 MPPTGKNNVETISISNQSKVEADTMIHDKGDAVSSASLESQAHG EGGLKRSLKSRHLTMISLGGVIGQGLFLSSGGNLAAAGPAGSLIAYAIIGFIVFWVTF SLGEMATYIPVSGSFTIFCRRFVDDSFGATIGWNYWACWAIIVASELTALPLVMNFWT EKVPSWAWSGIWLIVIFVLNLFGARSYGEAEYWFSVIKILAVLIFIIVGCFTSGGVIG DEVYGFKYWVSPGAFTNGALGVVNAFVLASFSMQGTEIVGITAGECGNPRKEVPRAIR NVFWLFVMGMIVPSNDPRNLDSSSGTATVSPFTIVFQKSGISGITHIMNAVILITVLS CANSGMYVASRTLCALSQEGLAPRKLAHITNRGVPIYALLCTAFISLITFATSFIPGK ALFIVLTKLSGVAGFVTWGGISFAHYRFRKAYISQGRKLSDLPFVAPCYPFGDIFGMI ACIVIALMAGYSYFSPASAVGLIGNYAGLILCAAGFITTKLWTKSKMVPIDQIDLDTG RMDMDYSSFEDEPEVTGPWYKRWGAKLIAIIT PHYBLDRAFT_76341 MYENEYKNSTLVKSLKRRSRAEQHKVLRFSTMFRLTSLARTIRA PVVAHTLAQPQILRGSTIVLRKFSQTTLAWNQGNPTTPPIVHSEAEDDLEEIDPKDYP ELYPTEEETIPTEITGEGVLSDVDDTGMETGEVDTEWFVDAENQDQQGNEEFIPLWQR TAMNGHLQDRWAIQEVSKQLMESGELTAETIQAMLEENRMENVKVLDLREKCDWTDYM IIAESPKGDKFLGSVADHVQQVVRKTIRSHPHQLAAQPTPRIEGRNDQSGWLLIDLGR FVIHLFTPEVRQSYDIEGLWKYVPTDPSLPMPYRD PHYBLDRAFT_164245 MPCYTQHTMASSTFSDISIFGDLEPVENASQEGDSTAPNTPSTP SSDRKRSREDQRQKSWQKNILLLWQEIANHKNGTIFMNPIKEANAPMYYTIVRQPMDL KMIKARVRDGIIKTTAEFERDIVLMLTNSLMYNRKGTEVYQMALCMLESVVEKIKLFK AADSYSVQARKLLLASDRRGSREL PHYBLDRAFT_164246 MNHNKDSTDINCIEEDSSKKNENLGRTREIPRDDATRKKIADII DHQFDLELCLKHRELATIRKEIAKAESAMQDLTLAVKNESMASSIPEASHYTRRTAAA AILSCPACKRDDFANQQGFLNHCRLAHGLEFGPYEQIMLRCGKVVDESEVPFDHPARM RPVTKPVPLSTQGSSVKKERPTIKVFEEDVDLELDHEGNAPHQNDTQPLNPETLSSTE QPTDNVVESRRNSQSDNQTPDKSINEQDDEPDIHNNKRSPSPERRSFESSKCLPETEK QEKDEKKIDEKGRDNKQKEEEQVGQVGQIVAPVESFAAAHEGGSRFYIKRRIIVGNVS KFIIPEKRDPTLKHFTHKWMIYVVEPPQAQEVAAFITGVRFHLHPSYKPHDVVDVNEP PFRLTRLGWGEFPIRIQLHFVDKRRNKIIDVIHHLKLDDVHSGKQVLGSERGIDIELD RNTDFKDIEIPSKPLVESATVHQNTLPKESGIQEAHPLVTTGSKQRLSLLHGILKESV RRLPIIRAGSQGVALPYTCAPSLRAYFNWSVGKRKALEWHRAHLLRIQVQQKAFETMD TVLRVAATSLSTKDVIGWCKDNRHTPKKSEMSDTTPAYEGMGICKFCGCLRELHGQDD DRNVNAEEACPRRPPGWSMRKRASGLSSVTKDMDIDVDTSATQKTHEVLLDDTERRPS PERTLLENAREVGTHERMNVANERYVDWIWSVVNQLRLKTVVANDIALARDGSLQGPT PSFDLNGAIDQRLEVGNIMAQATRVFLKRLLGASMDVWKRERDTDPGEKLLVPHHVYQ ATQNVDTFDFLTNRYMGPFEKDRDST PHYBLDRAFT_76342 MSSSLPSIPVSPFIKALLQCASTLAQATKDLSEASARPPTTIKA KGELTQLSQLVQSQRNWIDDWTMQMHSVQGVMPSHWDADIMARQIASIDSQLFDLVVL DQTSLLHIETRQSKWKPVLDFHRYLSHSFSHQLIYFSGNPTESDPETHLVAYLVRVAY LLLNTYRDFSGFAAIVYALQSPAVRRLHSLWKSCPSLSLASIDEYLSVLSPENTYEAY HKMLHNTLVPYYTRDQTTTKRVAVPWVEAHVGRIALNQRQGDRKNVNRQMSITLGILE LCQRNTSTQPAAWIEEALNFSGTLISPPPLPPPSKGSSSSYRPFLDNALANIPALDLR FILPENSLVYHWIVSRVYMSDEQLMEESFAAERPVSAPIMIQSAEEILEELGLEEMEV DADVDNQETEEVRREEIFDEEVLEEDEVQYEAQQQEEVIEGDEGLFNDYVPLSVIAEQ EEEYEDDVVMVAGGSSVDQTIHAQQFTDSTVGKSLPIVYQENSAPQVIDETQPHTDMG TAATTSVNNDIPKSADTKSETQIAETVKEHQDDDKNKEEEEEEEEWRGYPVSTENDDE ESEKWEGYIGSLSNTNLPNTSEDKEDVWTGYREPSDPSSRRESSLSEASAEWKGYCAT SEEAKWEAEARLKVEEKDWQGYTLETLPDSDMEGSLCLDSQDQRHMVRQSSDQDHGYT SLQNTQLKTIGKAAARKIQQGPPVNHTIPAFSP PHYBLDRAFT_164248 MAPSAFLPANELYLPTECAQNSPEEYLDELITFFERYRHLTDIH VVDFLTYNQWELLDPEWRKALSPDPAQHHNRQAQSDWLSLMIQIASESNIDSSWPDSL QDYIKKSKALALPRVSPPGYNIEQTKCVIDRHILPGMTDKKIHEVERMSALINNVAET QNIKSIIDLGAGQGYLSRALAFQHHLKVLAVDSSTIQTCGAKKFDEKAMKGYKALASL EIHHVTDTMSPQNASDVLARWSNIDRPEDWLVCGLHACGDLTSLMLRMFTESNEMKCL VNVGCCYHFLTETEDESTGFPMSRVLKSKGYRLGSTACMLACQAPSRWQDKEEESLKA FGHHFFRALLQFIMVEKGLASADSPPIIGRLNKKKDFLSFGVYVQAALKRLNIPADSI SVEEAEEYYHTYKDRQVDKQIAILWTLRALLAPVLESIILVDRWIYLKDSIKDSKNPE KGVWVWPLFDSIVSPRNVVIVATK PHYBLDRAFT_28626 MSEEEEKSKKPIDGAFRQQRLPAWQPVLTPRTVLPTFFIIGILF TPIGGLLYWSSGRVDEIMINYSHCTKYAEPVYLAPSLYSYLFNKDANMTDMEVPAYHY QNSSTFIDPTWNNPNNLVIPQCILDFTVPRTMTGPIFMYYRLTNFYQNHRQYIKNYDA NQLLGDAVSSGTAGVNCDPLTSTNDGIIYPCGLIANSMFNDTASNFISVDQSTATTYS FETTGIAWPTDKQKYKPTKYQTSEIVPPPNWALRYPNGKFDDSNPPPDLSSMERLMVW MHVAALPDFRKIWARNDNDDLVAGRWRVYIDMNFDTVQYSGEKWIVLSTTSPLGGRNP YLGIAYMAIGALCLLLGFIFTIRHCIKPRKLGDPTYLSWNKPGGGLPTNKRRKTLHKE PHYBLDRAFT_60464 MERFKALKSWTSTSPPNESIYTVRRHYRNSSTSLDEFESHTPKL RSHRLRLALLLTSLLILFRWWMFPIRHGKLRDQPIYEQPKACQAKMCNPAGRCSTWST ASGAVNWTGLVENGLYRDLGKINVDPGCEVELRVDGEGPRPEQGWMRMEGNTSCVDEY GQTGWVPECRNLVSMQVKDRYNEEIVMNHIPSSVDPFDITLVTQFSVNRLNTFNKVLD VWKGPISIAIYLTEEGDIDELDEFLKIPENFKAYEHIHLTLVKPPYNTLDRLAYPINH LRNLAWAASTTDYILVIDADFVPSPNLHNFLQEQYTRMSKSPKIAWVVPCFGLVSDYP IPTKVSTIRNALDNKKAYITDPGAGHGPTLYRQLGLSHGFHVYDEVCYESQWEPYYVI SRHTPMYDVRFKNQGGDKQSHALQLNSEQYTFRVWRNVFLAHQEHTSMVWPGQGKIEP PEWNYFGGFMREMESIYGPNVRWPRGCNAAGVGWQDQGRPTLGLGVIMA PHYBLDRAFT_122324 MGPIIIPSEYGYVLATAVISGIFVVTLGASTGYARKAANIPYPY VYAERAEAEQDPKKHIFNCTQRAHQNTLEALPLYNLLLLISGIEYPKVASAAGLVWVA GRALYASGYKSGDPEKRHKGDFSYIGLFTLLGTSIYTIYQLLK PHYBLDRAFT_87566 KQLLITELLGCCDNQLLSQIHTLIAPKLKVDFLRQLPSEIAFQI LSFVDPPTLAQCDKVSGYWHSLLQDDTTWKSLCLKHHYCHRFPVLQSMSFRNHFIRRY KIEHAWAQDTGSIVHCPNDIGSGLVTSLQMDDKFIVVGCDNRRIEVYDSTNGKFIRTL RGHEGGVWALQFIQLENGKHRLISGGCDRQVRVWDLPNGCPLHVLRGHTSTIRCLKVR DSKIAVTGSRDTTLRIWDIEHGTLKHVCTGHQASVRCLEVHGNLVASGSYDATARLWD IETGECIFVLSGHHSQIYAIAFDGIRIVTGSLDSNIRIWCAQTGKCIAFLQGHTSLVG HLQLLKPITPSDLPLLVSGASDGCLRVWNLETNECIHRISAHDNSITCLQVEGKRILS GGSDGQVKLWDIDTGHLLRPFTLPGKSVWRLQFNDTKAVVILQRRSQ PHYBLDRAFT_60467 MDQIHLVVKFGPLRVFIYALHFSKCRDAVNQERFQDQSVAFKFI FDSLIIKLTQALIQSTLYAVANKVETFVDKEDEDDLLINGRGICVSVLYPNHMRVANR IIRIFDVSTIK PHYBLDRAFT_131090 MSVKPTDPWNACLNTPLEKEDQEVFNIIENEKLREWSGLELIAS ENLTSQAVIEANGSPLTNKYSEGLPGARYYGGNEFIDELELLCRKRALAAFNLNPEQW GVNVQPYSGSTANFAALTALIQPQDRLMGLDLPSGGHLTHGYQTNKKKISSSSIYFQS MPYQVDQTTGLIDYQRLEENAALFRPKLLICGASAYPAEWDYARMRKIADQHGAYLMC DMAHISGLIAGEQALSPFDFCDVVTTTTHKTLRGPRAGLIFFRRDKNDDLENRVNMAV FPSCQGGPHNNTIAAIAVALKQAASPEFKAYAKQVRANAKKLGEVLVGHGYKLATGGT VNHLVLWDLKPQKLTGSKVERICDMVHITINKNSIAGDKSAVTPGGVRLGSSALTSRS FKEEDMVKVGDLLHRAVQIALKVQEQCGSKMMKDFVAALDGNQEIAQLKKEVMEFARS FPMPGFDPKTIKSTATL PHYBLDRAFT_60469 MILLIEQQQQQAFVSIKYTWITSPFFTSTSTSTDTNTNTNTNTD TDTSTSTDTAMVIYHETYILGIRNTLQNHLRMTSAELTRPKLFGKKNREYKCHISGFS LYMYKWSVEVKRKYTHILSKGIHWSLYHPTFIGGGNIATNCSLCKWRVFIPLGIFMQI LEGECDIKKKRNICVPERIFLVQARLGTILARENSLALFVGFKEK PHYBLDRAFT_97357 LQTAYAASKPYLHCKIDKLVNEDLLRKVRKEILSNLHFTLKETD IYKVYQTGDLANLDGLPADELAKLESLFELRNALYSPEFREFISTVTGCGHLSGTKTD MSINSYNGGCHLLNHDDVIGTRRVSYILYLTDPENPWDPKDGGALELYPVIEKGIPAT EPTVVLPPQWNQFVMFTVQPGHSFHSVEEVVPENKPRLSISGWFHIPQEGELGYQAEK EEGESKSSLEQLQMDTDSSDKFTKYEDELDDEATDGLSKEDLEALAEWMNPHYLNIKM LGQISERFMDESAVQCKDIINKSLFAELKQATEEADKRDGLTSRVMVPHGTGTRGQWV AHGPPHRCRYMTLDKTLKSEDDPTSKLFADLQEKLSSEPFRRWLAVVTQMVPQGFRGE ARRFRPGHDYTLATTNTRGQGVLDATLTFATTPNKKSANVWEGGECGGYECYMAPHDD EEDAAIYKAADDEGALLTLPAGCNELSLVLRDEDVMRFVKYVSARAPGSRWDLSFEYD LPEETEENED PHYBLDRAFT_131093 MDNPSQWTILFKQEEGSLCHDPEGWGPFSDTRVPDFTPCFEDSV VMLAPAAFLIVFGLVRVWTLSKRESLPADYIRNWLYYTKLTFLGALFVTLVTQAVITF SNGSILNDAQKLSQIVQILVLGFATGLHQLEYTRNRIPSAVLLFYWLFVQFANATKLR TLLLLKLNEKNSTQFGLFVTISALSLFIFILENIKRPKSQYIMLEDDEHECPELNSSI FGRLTFDWMTPLMRLGYQKPLVMDDLWDLKHDDQSEVIGKKFQANWDIEMKKEHPSLL RALVKSLGGPFLLAAVFKIFQDILQFTQPILLRELMGWVASHSGDEPEPVYRGVLISV AMFVTAITQTMFLHQYFHRCFLTGMRLRAALVTTIYAKTLVLSNSSRQKSTVGEIVNR MSVDAQRLMDLCTYFHIVWSGPLQIVIALTLLWKTMGPSIWAGVAILILAIPLNTFLA KKMRSLQKSQMANKDARVKLMNEILNGIRVIKLYAWETPFLEKISFIRNDLELATLKK IGTLSAAQSFTWTSIPFFVSLLTFALYVSISSQPLTSQVAFVAIALFGLLQFPLSVFP NVITSTIEASVSLYRIEDFLSSEELDPSAVQREDFHYLPNWTPEIPLVEVQNGTFTWS EKETPVLKNIDLQVKKGHVTAVVGRVGSGKSSLISALLGDTVKVNGTVTLRGSVAYVP QQPWVMNATLRDNIVFGHRWDGEFYERVIDACSLKSDFLMLASGDQTEIGERGINLSG GQKARVSLARAIYARADIYLLDDPLSAVDAHVGRHIFDHVVGPNGILKNKARILVTHG ISYLHQADQVVMLKDGVIGSQGTFDDLMSQRSELYALITDFGSQLNKDQSGEVQEQVI EDDITVNDMGRSEEEISLNQSIENTVRRERLMSTSSSNSGLTLRRASVVSLQRKAKAA ARGEDAGKRLMTVEESAKGSVESDVYKQYAKSCSIVGVAFVLLFQILAQFSQVGTNLW LKHWSSDNEASGGNDHVWMYLGVYAIIGWSSTIFTVIQSLLLWIFCAIRSAKVLHSKM LDTVIHSPMSFFDTTPLGRILNRFSKDQHTVDEILPRTFQAYFRVLFSVFSIVVIISV STPFFLVLIIPLGFIYSYVQRYYLATSRELKRLDSVGKSPIYSHFQETISGVSTIRAY AQQDRFIFENQGKLDDNQRAYYPSIACNRWLAVRLEFLGSIILFGSAIFAVIGVVYGT NYVDAGLVGLSVSYAMSITQALNWVIRSFCEIETNIVSVERIKEYIELPTEKYDAVRN VNPLWPEKGVIEFNDYSTRYRPGLDLALKGLSFNIAAREKIGIVGRTGAGKSSLSLSL FRIIEAVSGNIVIDGVDIATLRLFDLRSRLTIIPQDPVLFAGTVRENLDPFGVSDDAQ LWEALQNAHLHEYVSKMDGKLNAVVLEGGDNFSVGQRQLICLARALLRRTTVLILDEA TAAIDVETDSIIQETIRSQFADCTILTIAHRINTVMDSDRILVLDKGQIAEFDAPQSL LYKKDSIFYSMSKQAGLIE PHYBLDRAFT_176927 MAKKTPNSKAEAANAKKGAAKAEKDKAKANEVAAKEAEEWSQGA KGKGKKDNDAEKKAAALAKKQEAARILAEEEKNLPKAKPKGDKIAAKKSAKVEAASQS RRVIPEFAATNIDDALDLLTLGDGNSPVKSKDIEKHPERRFKAALAAFEERETPRFKQ ENPGLRLSQLKDIMYKAFQKSPDNPFNQANILAYNATMDDAKDLKTQRRDELENRLRT T PHYBLDRAFT_164260 MPLKLLARDSKAKKIYRTENKSNFTLNHCYRILSKHPQWLNSLI EKQTKTKKQTQDQSHIETQLPKLKKSKKPRTTFTIVDEDATQDLQEYQVPLVLPAAVN PADKTRKSLKRKTFDDADDADNANENSSSSASAISDSDNGEEPLILNKDKMIHQMVEM SNIMMKAVDSIQKGTYFSELSYRALERKTATQQSLLDLKIMTTDANSIVDLDNRQWLV NLQKQIRENSI PHYBLDRAFT_36384 MSSLLKSIVPGVAVLAPVDAAQASILSKEALVFISTLQRTFNPI RKTLLQNRVYRQQALDRGVLPDFLPETAYIRNDSNWRAAAPAPGLQDRRVEITGPVDR KMVINALNSGASTFMADFEDSSAPTWDVLISGQVNMRDAIRETISFTNPNGKKYELRK DGKLSTLIVRPRGWHMVEKHVLVDGEPMSASLFDFGLYFFHNAKELIKRGKGPYFYLP KMESHLEARLWNDVFNISQDAINIPRGTIRGTVLIETILAAYEMDEIIYELREHSSGL NCGRWDYIFSFIKKFRQHPQFVLPERSSVTMTVPFMSAYVSLLIQTCHKRGVHAMGGM AAQIPIKNDPKANEVAMAKVRADKLREVTAGHDGTWVAHPDLVKIAFEVFNEHMPQPN QLHVRREDVVVKNTDLLDINFKGGVSDKGIRDNIQIGLAYMESWLRGVGCVPIHNLME DAATAEISRSQLWQWARHNAVTTEGKTVTGAYMLQILDEETEKIRQQLGDKYASSKYE AAKKAFATNVTGEQYDDFLTTLLYDDIVSVGSKSRL PHYBLDRAFT_176929 MAEYKARQVGALNTLEFRLFFEKNGVPVSPFHDIPLFANAEKTI LNMVVEIPRWSNAKLEICKEEAFNPIVQDTKKGKLRFVRNCFPHKGYIWNYGAFPQTW EDPNHQHPETKARGDNDPIDVIEIGEQVAQVGQIKQVKVLGVMALLDEGETDWKVLAI DVNDPLAPKLNDIEDVEKHLPGLVRATNEWFRIYKIPDGKPENVFAFSGEAKNKKYAT DIIHETHEAWKGLISGAFDKKDINTSNVSVEDSPYKLSTDDEVVTSVPAENVLPPAPI DASIDKWFFISAHNL PHYBLDRAFT_108138 MGICMSSESRYHREVSHRIDKTLEQDSRRSKQECKILLLGSGES GKSTIVKQMKIIHQNGFTTEELMAWRPAVFKNVIESIHAVVNSMAKYGYTYQDPDNEY YAQRAIEYPLTDYHQAMEGELATSINRLWADPSVHKMLDEKGNRFYLMDAAPYFFDEI DRIGGPDYIPNTQDVLYTRIKTTGITETRFTMGKVSIHMFDVGGQRSERKKWIHCFEG VTLIIFCVALSEYDQVLLEEASQNRMVESLVLFDSVVNSRWFLRTSIVLFLNKIDVFE AKLPKSPLENYFPDYEGGPDPKKAAKFILWKFDQLNRANLNVYPHLTQATDTSNIRLV FAAIKETILQNALKDSGIL PHYBLDRAFT_154523 MSKGTSSLGKRHTKSHTLCRRCGKRSFHNQKKTCAQCGYPSAKI RSFNWSGKGQRRKTTGTGRMRYLKEIRRRFKNGFREGTTKASKPAKP PHYBLDRAFT_179823 MDQAVYETLLKVADPDGGIRSAAEARLKELGNAPEYPVSLARLT VSRDFPNDNYLAALSLKTYVTTRWTPKDDLSPNGFEPPQESKKAVREIIVTGLADSES KIRVVCAYVVSKIAHDDFPEDWPHLLDILMSYLKSNSADSVHGAMRVLLEMVKKDISI QQLPQIGPVLIPELYSILTRDTVYSFRTRGRAVDIFSSCVQMLSTLREENPALADQFI APIIPQWMQAFSVILNHHVQGNPEKATEEYGLKMEVVKCICTISGEFPKYISTSLPLL FEPIWMDLFNLRERYVAEFVSETGDDGAESFQDSDGNEIGFQSLLYVLFDFVAAACTK KTVRHLFLTPEGTHTSFFEQLLYVYIIYMQITQEQAETWLSDANQFVADEEDGTFTFN ARVAAIDVLLTLQEAYPAPFFNALGVALQRHIGESNEARAAGNEEWWKVQEACLLAIG RLSEELMESLEDESQKIQFDLKSLFDHVVLEDMKAAEYPFLQGRAFVFASEFAKILPA EMAAQYVPVAVHALQAPASGIPVKISALRALHNYCKYLDAQYVTPYQVNIMEGACQLL PSATEESLMLLMDTLGSAVRINGEVAAKYEHILTPAVLDIWKRFPSDSIITSYILDVF EEFAKNPHYAPALYARTLPFLNQVFTATGTDPTVYSSAVDLLTAMITYGPSPLPNEFS EQMFPCLMQLAWNVPDNDLLQSAQECLRRFMIKDCEHIIQWRDPAGKSGLDYVIHFVA KLLDPANSESEALFVGDLIVTLIQKAGSNIASVLPDLLNAVLVRLETTSYQPFIQSLV LVFAHLIINQQDTVLQFLTSTNINNKSGLEILMNTWCDNHDSFSGYYSLKVSDIALSK LLLVNDPRLQSMTVKGEIVVNPDGGIVTRSRAKRNPDQYTAIPLYTKIIKLLVADLGN TMPNDQAPQEDAESVGDEGEDWEDLDDDPTFSSRQEFSFLSDILANIPDDEDDEENDP GLKDDPIYKTDMKEYLTDFFRNCSTHNVNHFVEICQHQLNDEEKHILSLALNA PHYBLDRAFT_164266 MLTWLHLFTFAHAFLESSAFFVPLALVQQFDVSPKISYLVAAAF ALRMTSAIWIWIIDSRPTMHGPLMACLSLTSTAALITIFFIPQSNILVWISLLFIIYH ATYQPLSVILDSLIIKVLGDYRMLLFAAQRQWGLMIAPLMLLIGLVHWIYPQPLLFIL VGYVTLGSLGFACIGFACTNPEAVDPSELANVNELAPFFLKNALQPTDTRTSAGPNSS IYNPLYQPYSLFGEQLSHISEEDASLLQRMASPQSLMRNPSISSHYSYGSTLSQPNLQ QQQQQQQNGLFPENAYLNTNPSNNGQSIEMSFTVLPVSSLDLALIPNPCPEDPMGVLV MLRHPEDYFPYNYLTNLPLQWRIQSVLLSMLLLGIASGMLGSLLPIYLYRGLGMPLWL VCVGASVQVMCVFVVYTTIGWWIRNCSPMLLSSCVHGFFISSALCYTMLIPHSPVSWG ASVFLQGIQALCLHLAWCVATSRLNAFAWIDQQRMMERGKASALYSSIGPAVGALIAG CIVGDDTTWRIGFVNLFHTTVPFVALSFVVSWGWTMEE PHYBLDRAFT_176930 MKFSHSLQFNAVSEWIDYYVAYDHLKKLIFQFEKERVNRANLSR DSENAANQTSEEQKEEQESQFIIELDKQLQKVSDFYSSQESDLYSTVDQLAVEVSNTA EPSDSPHVPQAHTEFPNTVEGPLESLDSSFGHEKSRFPTGRTSIHNDSLSRKMSRMSF ESRLTVRSNQTQSVERLVDLRTQIIMVYVSLSELESYIELNRIAFQKILKKYDKVLEG NLREYYLTKVVAEARPFMPSTLDSLHNQIERIEQLYADAFYNGNVTVAIRQMKTHLRD QVAFERNTVWKDLIGQERKVNDAHVKSPDGERMVAYETLRSSLCFLLSVAVFAVLLNV DCFGQRQENYCFALLIFAAIMWATEAIPLYATSLMLPFLIVPLAILRNADGSVMDAKP AAKAVFSSMFSGTIMMLLGGFALAAALSKYGIAKAFASHVLSRAGSRPRWVLLANMFV AGFLSMWISNVATPVLCFSLINPILRTLPDGSPVGPCLLLGIALASCIGGMTSPISSP QNIVTIQYMEPNPGWGIWFGVALPVSILSIFVAWAILLLVYRPDRACPHLNKIKPTRG KVSFTQYFVMAVTILTIALWCAESSMEDHIGDTGIIAAIPLFVFFGTKILGKDDLNAF LWSVVVLAQGGMSLGYAVTSSGLLEDIALRIKDGIQDLEPIAILAIFSLLILVFATFV SHTVAALIIVPIVQQVGQQLPTPHANLLVMGAGLACSAGMGLPVSGYPNMSAIMQEDA SGKPYLTTKDFIITGIPTSIISTALVFTLGYGIMSGVGY PHYBLDRAFT_99091 VIVGRDRRTGKYYAIKKLDKAHIVKNDKVKYVMIERDALSRMNH PGIIKLYWTFKDHRSLYFVLDLAKNGELYSYIRRLAPFDVDTARFYAAEILSALEHIH NKDVIHRDIKPENILLDDNMHIKITDFGSAKIGRSRSFVGTAEYVSPELLRSERTSKE ADLWAFGCVLFQMLSGKSPFKAPTDYLIFQNIKNLEYTIPDYFPDDARDLIQRLLVLE PSERIGSEKTGGLEEIRQHPFFCGIDWDTL PHYBLDRAFT_60482 MHVCKSHYLYAALYCSTLLNNTKRVPHLYPNESILRAGKVVRRP GFFSKKRYLILTNRPRLLYMDEGKEADGSGGKLRCEIGWTPQLLPELKGKSVFCIHTP QKSYTFDDPEGHAQEWVNTINTMLVDSFGVAA PHYBLDRAFT_9418 PPSSPQHRYHCSQCPKTFTRPSSLRIHVFSHTGEKPHACPHNGC GRRFSVQSNMRRHLRVH PHYBLDRAFT_60484 MALFSKRVLTGTRSKALQQSGLDSFLKQSIHKDPTSSVYLGTMF EMQTMEALQTIGMDLSHVGGKGDRGVDLSGEWPLALSSTFAVPRLIVQCKRLQKGCSP DHLRSLVGTVVNMAHPTQPTIGILATLGHRPFTKELSGHFLSSQVPLGLAMVDENCLK SLVFNLPAQEILQGVVVTTRYTAAGDRVPVVTFKGQVIDIENKMCI PHYBLDRAFT_28643 MKETLSNANFPRDGEGRVYHIGVKTGDVANRILTVGDHVRARAI AKELDSEEEAGHPTFVKQSQRGFLTITGRYKGVPVSIMAIGMGNCMMDFFVRETRAVT VGPIAIIRFGSCGSLNKDAPPGAIVVPRAGYCIRRNIDYFCEEPLDPKAKESPYLISG VFTADKQMTDLLASSMKDAIHPLVEEGASVGPVVVGGLNADGCSFYSAQGRIDPTFWD DNEGVIADAQAKHPDTDSLEMETSMLFHLAACARDPASPIRAAGCMQVFADRVNNGFI QPEVVALLEPVVGKAVLEALIQVDLEPVAEQGTVWERKE PHYBLDRAFT_185469 MLANAALKQASRVQLANKMAPIQSRAFHDLTLRKKTGKPLIGYG RGGRSSTNGQVATVFGCTGFLGRYVVSKLAKQGTQVIVANRDPDESRHLKVTGDLGQV IPLEFSLKNKDQLLECVRHSDIVYNLIGRDYETKNYTFEDVHVNGPRLMAEACAEAGV ARFVQVSALNASEDSPSKFLRTKALGEKAVREVIPDATIVRPSIMWGHEDRFLNRIGD ADGWQYWVNESKTKILPVAVLDVAQALSVLLNAESSMGKTYELYGAKQYTFEDVFNLA REISMKPLPTYNVPTPVAKLAATFLDKLPYNQMISPDLIQRMTMDDKPTPGALTFADL YMEPTLLENVAIQYLRRFRSNAVFDLPFEKGDGQIQKGVYHIID PHYBLDRAFT_164274 MPSLFTLTRLSYSKLDRPHSSLLTFVLVRNTLTAVTLIPPHQWH LEIKDSYLQKSKSEPESESEPESEPEEYDDHDHDHDHEESEESEEDDMPPSPKDNDQF NQIPFYKRTGPAFFIPFDDEEDEDEDEEDWRLDSSCWSTNYYPTPESSPSSVLSWSSN CI PHYBLDRAFT_179827 MEDNNALNGPTPCTAGCGFYGSKIYNQMCSKCFKEQEAQNKQGQ VELPNAKEMNALLGSSEVIPLPAKKEKETEPTSKPVEAKDKDKDKAKANTDAKEKDKD KDTDTDKDTTKDTVKVKEGAEQEKEKEPSPVQKSKGRCFICRLKIPLAKQLSNKCRCE YVFCDSHRFPDKHNCEFDHASMDKGILAKNNPKLNDRPRGGRSFQRLDSL PHYBLDRAFT_185470 MNNQQYPQQQQQEQPKQTQPQTQQQKQQYQEQYHEHQRIYVNAL VDVTVQAIASIWPNAIRTPSTGAAHRPIANLNTFLHHILKHSRTTHSTLQLAIFYLFR VRPRVHARHESDDVYMACGRRMFLASLICAHKFLHDKTYKNSAWSKVSGLAVHEINHA EQVMLQLLDYRLYVKKDTYDQWMDMLQNHLKMQPLSSTNQKKCAPTTTTTTTTTTTTT NIKTKNGHVSGSAIVGLSPNSLSLATPTYTPTQSPEQEAALNCLGLGLGLGLGLGLNK TAAIAASEPTSFHHGMATPVETNASVCGAISNISSSCPTTGTSGLPSPPEPTLKRKLQ DTDDLHHHHQSSIPTIKRRIRV PHYBLDRAFT_131104 MLYIVGLGLSDETDITVKGLQAVKGSERIYLEAYTSILTVGKEK LEAYYGKEVVIADREMVETESDAILANADKINVSFLVVGDPYGATTHTDLVIRAREMN IPVQVIHNASIMNAVGACGLQLYNFGQTISIVFFTDTWRPDSFYDRIIENHNIGLHTL CLLDIKVKEQSIENMARGRKIYEPPRYMTVNQAVEQLLEIEENRKQGICTPESLAIGC ARIGTSTEQIVAGSLSELLEVDFGGPLHSLVLVGSKMHHMEADFIRAYAVNVESFDAL VKKQYKF PHYBLDRAFT_154528 MHALKRFPRLLAAQPARLRIINQFPKILPRVTPRLYSTAPAQNT SAQTAPSTDPSTDHHAVVSTFDLFSIGVGPSSSHTVGPMRAAKIFINDLKDHKVLERV HTLRVDMFGSLALTGKGHGTPEAILMGIEGETPDMVETTTIKSRVEDIHTNKSIRLDG THRINFDPEKHLIFNYFKALPQHPNGLRFCAYDESANMVATNEYFSIGGGFVVNAATQ LDHGENVYYKQDITDETKETKSKAKAVAEAEAVAERTQQDIAVVALPFKNSEELLAVC KRENMTIAQVVYENELKWSTPEEIREKLLRIWNTMDESIRNGVMASPHDYLPGGLNVR RRAPALYSKLLKGLYGPVATQPTYSDNQIPLPGSIQPTGSALNSSQASPDTPFPLRRK LAALIHTHTYTHPHVHASVFFSYLLALDYLSVYAIAVNEENACGGRVVTAPTNGAAGT IPSVLKYYLEFISENPEKDVMEFLLTTSAIGMLFKRGASISAAEVGCQGEVGVACSMA AAGFTAVMGGSVDQVENAAEIGMEHNLGLTCDPIGGLVQVPCIERNALAAVKAIAAAQ LALNGEGDHRVSLDQVIETMRQTGLDMMSKYKETSQGGLAVNVPMC PHYBLDRAFT_108374 YYPTSPDIPVGGGVVEKLKIDAQGTTNFTFPFHVQYDAAQDSDQ RMLMDISSKCGLLGGPKQNIVILYDLTPTIRVLSVPFSFTIRDKVNLPCPIKVRF PHYBLDRAFT_36397 MTTLQVYAHRLGDPNLAISLKVTIANELRDQVEVFQTLEYPRFL STLIPVFLDILQNGTPVFVSNAPEQKLRNIILEIIFRLPQTEALKDFASDICKTLMYL MRVENEDNAVVCVKIIIDLHRTYKNVVEDQVQPFLEIVQEIFCNMEQTVKDAFDAPST PAGSATPGPNPLSATSPRPMSPAADTPETPSKILPKSLFSFKVLTECPIIVVLLFQSH RRLAQENIMKFLPLIFETLSLQAKPQVEAANAARAKGEVFVGVSPEIKNRSVYCDFIV AQVKTMSFLAYILRSYTPLLRPYQNQIPDFVLRLLRECPPESSGTRKELLVATRHILS TELRSSFVPKIDLLLNEKVLIGTGVTSYESLRPLAYSMLADLIHHVRSELTPAQLSRT VYIYSRNLHDASLAPSIQTMCGKLLLNLMDCIMKITNKAEGRELLMRILDAFASKFAA LNIQFDACVKHYKKKKQQAEDENAVEEDDFNFDRSRSIHTAAFLPDTAQDGIKDGRFL FKNLAIGLKPLFIGLRYCNPMPGPDVNPQAFNQFGRGFSQDDIEIFLRMFREGLMCFE YYNVDHYAADGSPPNHTAANQSEKNESSIGSNELTLKLGTQIPNEKEILDLFSIVFTL LDPAVFQEIFASQMEFFFNRMLINTTLLHIAQSFLFNEITSQGFVGILIRFLVDRIDR LGEEDMHYSAVMLHLFRLAFMAVNLFPDTNEAILQPYLSNLVMGCFKLATKAKEPANY FLLLRALFKSIGGGRFELLYKEVSPLLQVLLENLNSLLTLAHKPEMRNLFVELCLAVP VRLSTLLPYLPYLMRPLVIALQADQELVSQGLRTMELCNDNLNPEFLDHIMAPVMKEL MDALWSHLKPLPYNQQHSHTAMKILGKLGGRNRRMLKSPPKLAFNQRIDSGVSVEVIF DPSTTPHTLSLDKSLEVAVRTLEQTDVDLFYKKHAYEFLKANIALMLELEDGPDDLAQ SLYKGKDKPLSEGENTVVGSALKENGMKPKRLSGNGYTKYLSKRVAQEDALRTILVSI MRASTIPELADDAWPFFRNICRHFTLLHIGEAMEAKESGNKPLSDMMDERLAVQNLNT TLLVEAIVKVIASEDLRLRKRAEEALQICFQTASSVMGGKEHVWHLPIFRVFASQFCS CCYKQEWYKKRGGCLGISIMSSQLDMGTRWMREHELDFIRSLLFVLKDAAPEMANVNT AEATQTLSHVLKVCNRPEDDESPEIQQKFQNLIALLLSELSNSNSTVRETIQSSFQLL ADLTGNEVTELLTPVRERLVAPIFAKPLRALPFAMQIGHIDAITYCLTLRPPFLEFND ELIRLLHEALALADAEDQALVSRSSQYKNANSLMNLRIVCIKLLSAAMACSDFSNQRQ THTRARIIQVFFKSLYSKSAEVVEAAHRGLKQVLAQQHKLPKELLQQGLRPILTTLSN HKTLNVAGLEGLARLLELLTNYFKVEIGKKLLDHLKQWAEPKVLQEAAGKSLSENHEV KIIVAILNIFHLLPAAAHIFLDDLVTVVLDMEEHLRRTISSPFRPNLIKYLNRYPTET IKYFYEKLNNPRCSRLFVDILSTECASQLRDEVAKQSTELIHRTFQIPDSLSMHYQGV LIVREIVMYDSNWLASQPQVMDCLLNLWRSSTRFERMKKEDGQGTLVTRESLYLARIF ITHLKSHPDDIELIFELVSMFAQDSAVDLSFLRAYFLEEVALKYTPARKRKLLDAFLQ RYSDNSISSYLKMMTLRHVINPALLVALVRKDNGPAEIFDTKMLEQLEVKVWAYFMSE TSEENQYTEDSLRIEMLQMTAMLIQYAPQLLTDLRKEVIKFGWNHLRLEDATSKQAAY VLISRFIATYDSPSKIAIQIYAALLRAHLSEARVLVKQGLDILAPVLPKRVPLQGAER MPTWVRLTRKVVVEDTHSISQLVNVYQLLVRHPDLFYDYREHFLPQIVNALPKLGLLP NATPEHKLLTVELSELILKWERARLSAQNPEQEPGTQSPEKRKADTSMDGPRKKSQIE DATGELKSMTLESLPTGKDYAPSLALRENLTGYLVRLACALYMATDQIQRRLVQRTID LIRELLTPTLWPDVHIKFSHLERTLTVKEMTDMILPSVCSALEILTIDINHKPSEWFI VNMSQLYRLLETSIKSDHARVQTALYPALVRIFKAIHETTRADSAEQEAQTSDVTGFV SLVDSIITDGITNLNNTFAVLKLLQAACSSRSEHLDPFVPGLIKMLQKLTKEHTVPSH VSSTVGQDSHVNLLIIGLNLLRKRISHLGDQRRWFLTCMIQLIEKSPDVQLLRAILEM ISEWTLSKTETFPTIKEKAGMLVKMMTFEGRGDPKLTEDFLKLVIEIYSDPNFARSEL TVRLEQAFLLGTRSENPQIRTEFMRVFDRSVGRSLYSRLNYILGVQNWDFLSNTFWLH QALDLLLGVVKVNTRVSSPHTLKVKSIRNIVSPEESGDTEVPSTVNSLIESHQEFLKE LRDYDMNNLVGSSRQLQYLDADTTFNLWVELFPMCWSTLTSTERHDLTKVIINLLSKD YHNKQTEKRPNVIQALLTGIARTTVIIKLPPHLVKYLGKAHNAWHTAIEILQQTATTG RIAESSKDDQNNRERTLDALADMYSSLSEQDMFYGLWRRRSTYSETNVAVSCEQSGMW MQAQNMYENAQIKARSGVLPFTESEYMLWEDHWIACTQKLQQWDILTDLAKHDGNINL LLECAWRLSDWTAERELLEQSLHQVADTPTPRHKVFEGFLSLIKSHTSEERLVEFTRI CEEGVQLSLRKWHSLPPIVSQSHLPLLQIFQQYLELQEASKIFESLASTTAQNLEQQS AELRSILGTWRERLPNTWDDINVWSDLVAWRQHIFSAINRTYLPLIPLLQPQHGPANS GSGNSFAYRGYHETAWIINRFAHVARKHQLYDVCINYLTKIYTLPNIEIQEAFLKLRE QAKCYYQNQSEMTAGLDVINNTNLMYFTNQQKAEFFTLKGMFLAKLNQSNEANEAFVN AVQIELSLPRAWAEWGRYNDRRFKELPKDLSWANNAVSCYLQAAGIYKNAKARKYLLR VLWLLSLDDQSGTISRAFEGYKGDWPVWYWITFIPQLLTALQHKEARHARAILIRIAK QYPQALHFQLRTAKEDVMKRMATPANPTVDTQGQGNSMGGEQANESTPSTATPTKNND GGQVQANNTPEQSQSQPGHSAPHVVHAPQSAHVVHGAHGPHGPHLSHVPHQTNAPPPG VTPGAMPGSAPGSTHGITPGSTPGSAPGMPKGPLPANLGMGSLTAHPLDEIMAMLKTG YPLLALSMETMVDQIQLKFKPQADEDMYRLVVALYNEGAQQLLTRLTNPGDALQLAQA TVSNIVRFADSLYPGHMKTAFVNDFAKTKLNLEEYVAKLRLWRDKFEAMLDARPRKQK LEASSSYLAEFHHSRFDDVEIPGQYLLLKDNANDFLRIDRFLPEVEVVRNYGNCYRRL TIRGNDGTLHPFVIQNPAARQFRREERLMQLFRILNSVLERRKESRMRNLSFHLPAIV PLAPNVRMVQDDPSYCTLYDIYEDHCDEVHMHKDDPLVYFVEKFKNNVNTKKDVFNQK TELINLRMEINDEIANTMVPPNILSKYMLNTMDSYTDYWMLRKQFTSQYATATFMTYI FSVGHRTPHKIAISRSTGNVLMTELLPGWNQANPMFGNGEAIPFRFTPNIQEFITPVG IEGLFTSCLMATARSLTEPELELDQYLSLFVRDELVTWHMANHRSVTDVQFRERVNNN VLQIMTKAQFLSCKAERENTINANKPTNQNVIDLISQASNPQKMGQMECTWMPWL PHYBLDRAFT_141248 MALGTASKKHRFTLVSSILTFAKRTEGEPPILSSPLMSDVEVQR RSDRLVFYGLTAERSSDAVDL PHYBLDRAFT_60494 MLITKYFPIRFYLSNARKIVCTEMEMISRRKFELVHVKHACHEN DNVLGSFVALLVKNKHLCSIDYNIYEIGTSLLLVICLCEIRTHALPTKVGKTPVKPTK NLTIDRDGGKKSKDKGELHFFRQRRPYKVWRPRLFRLG PHYBLDRAFT_141249 MVEIVITSEQEALKYANGGIKIKDKSTYGFPSLGPNSNYALKRT KYSLSDDSETENYTKVDPSPSGRRPDLTAKGKKELVTLFTRKSDMENRGKNNTFRTTL RIYTTNSSGHLRRKLPVRYANKDRRRPDLTARRKKELVALSPGKSGMENRGKFKQRCT LAYTIPSQRMFSRSTVSTPHRT PHYBLDRAFT_164283 MLSITSTRELFLQLFSEYKVSSFYVIVAPRSISTNRVRAAFSSL SIRHFLYTLISRVQKILLNDRNVVKELFVFRFFIKLIISCIITLSKMSTLLSNFIDIC VLLAVSKVLSFRYYLLPAQVSRRVGCDYVRCGVETVDLENIRWLGMVVDSLGVSFLGK LTFLYSGPTRENARIISCTVLYGLYWRISLAVSCASVQTSWLCICAMWCGKYYSENIC FKPLSYCKMIKNIRYVIVWKILIFSSL PHYBLDRAFT_164284 MTPPTSRITKPKRQELDAFIRGRIIGQWEKDATYEEISKVLDIP KSTVGNVVKAFRDKGVSKPLTCLGREPKVTKRTQSAMVRSFRSEPFVSIAAQHQRLVD VGISICMTTFHYVGKISEVNMVLSKWRVLSAREFLEVACVDSYSSTGQRDDLTVSPIE EHSTDQCQWYEYWTLKVIIT PHYBLDRAFT_164285 MALQPCVLSDRLHLDLVEAAYNPPTSYNAVLLLHIIQLRRRKPL DLESIEYIAKALTNNWHDKSKDPCYIHEVVLFIKELTEATFSPEHTLDEALYIAARSP YSKGLPVICPATTTAYAVHFIANLKKRCQRANKYITCIKRLFLIAYIMAAKYIQVNLL SVICIPALIENLNTNIANECFGQSKEKYWDKLNRCEPIWSIQIPSAALHPIACPIFTE TVQSWIQKSPPRVAEYIKNNAILSVNGIHPKTLCYMSLEFLHLLDYRLHVGQSPLQLW PWLGSFIYPYIPPGITVN PHYBLDRAFT_179831 MDDRERRERSESPEESWRPLKPIPASKLQAFTVGSNKKTPFQKR KEEAEMKKKQETEEAAKVYADFVASFEEPQDYSLGTKTFIKSNTLLKGDKVMPADNLP LRYEPTSYSKPPASSTHSAPPPPSQQQQQHSHNHNHNHNHNHNHNQNHSNNYQNQSQP SSTTTKQPYKPMPFVKAGEGPKPKMRVPVLSQEDDDEEEDMIALKEAKAQKKRNLDTF LEEIKKGQEDRQDKLRSRYGKSTSSHVVTVSRGGSGSVAQEDHEPSVGSFDTGDSMTT NLYIGNINPTVDELAICQGFGKFGPIASVKIMWPRTTEEKERNRNCGFVSFMSRKDAE QALKHMDGKDFHNYAMNVGWGKAVPMPAQPIFVLNESKKALPTGLPFNAQVANAQGGG TSQPRAEVKVVKPTNIQQVKIIHRTIERVLEHGQPIEAAIMEKEKENPKFRFLFDFNS EDHIYYRWKLYSMLQGDTKSQWRSEPFQMYKGGVWWVPPDVPFDDEGMNEMMLDSDDE EKESVRENIPKGVLGKIARQRLEMMLRQVSFQRGTIARTMAFAIDHADAAEEVVETVC KSLVIPETPISTKLARLFLVSDILHNSSVHVPNAWKYRQSFETRLPPVFEHLNQVYRS MTARLKAEQVRRHISTVLGVWENWMVFPQHYIEKLSTLFMKKSIQGSEQTVAPEASTH TTASQDFGSMSLASQGTTTGLSSEPDEGVKSTITSDTAATAQKTQAKTVSADAEALPS LPVAAETAYIQEAIETAETNTTTMRVQDDDNIGGKYTEDVDGEPMGDDDVDGEPMEDD DVDGEPMEDDDVDGEPMEDESQENKNTGSSTSAHDISDMFA PHYBLDRAFT_76358 MSAYEGFVAVHKYQLASIPEELWQPLFMKLGEDYLDAGNFVELH QGDIVPGYSVHTKKDVTLKKHSDIFLIDHAWTTTPKEAKQQLRDNETLLDRMESIMDI EKEELADDEEEDEVEHDDEVVKMVAEQADVSYGEAKKALEEENYEVLNAIMKLTMDEE HKKEADRLQEQVINQILASGRPQEKEEEERKEKEEAHKKRLEELLERRVERVYCQMWS YLQTYSFSVLQQDGEPKAQTAWYACDEVGSALCHSSDPSVVCVPFIFSRGASGMIPYS VMFPIKDIKAGEPLTCDLLPKNLERPIDRKAYLLAFQQRLLADEDVQKITKELVDSYK AYQTSLEATQPPKSSKITNSEESVKALLSHPAEKAKEPVKVASTTPFVCDNLKLANTK LVTDEDKADIIWRSQDFMEWDSLKEHQTINQFPNEFCLTFKQNLAALIQKTYGSPEWV PRTYNLVTQLGEVVGNYLNNEESKSDNLWILKPWNLARGIDISINRSLPELIRTHDSA VPMIAQKYLTKPCLYNGKKFDLRYIVLLRQIEPTMIACVYNMFWIRLANKKFNLDDLD DYERQFTVMNYSKYEMTQLDYKSFIYHMEKENNIKWADVQNDINQAMKDVLAAAATQP QPLGLVPDKKPGFDAFSMYGFDVMLTDDFKPKIIEVNFSPDCTRACKYDPDFVNNIIS VVDSRAGDAEKGLKSFTVL PHYBLDRAFT_141255 MVEYCCGEAYLYNIRAKANSRVTHISYVVDRLKLNVEDLASGKV EGSKRLYAVLLLLGIGDRYLLGIEVLFILGLEDLPPGGGGGGGGGGGVDQDLWYEAER SVSRPSPWWVDPTDVV PHYBLDRAFT_60499 MPPRSNILPWKCFGGCQEEICPLFSMGSRLCSWTSSSMDLSLTL ANSLQIIARDLQKQSAKNVTTSYMHIVGFVDKSMQKICRPTAKDKQNILHNGLKHVHT LKYKAIVTPDGITSILLGPFIGPTRNEKKFEDSKTLDRFLFHIAALSSERKVNPAYVL YDSEFYKNSGQVYRPFNLSEIQANSITKCINNKMTHTRIQVEKKFRKIGRYFKYCKYC HAMKIKGSPKPVMIYILSTIFKNMHTCLNILATRSTFWLAPPTIDEYITGLMRKQQPR DTIYDINTLLNNLSSLV PHYBLDRAFT_36399 MSNLVVYGGAGALGRSVVTFFKEKGWTVTSIDLVENVSADHNAI VNPNATLQDQAKEVQDNLASFLGAKKLKAILCVAGGWAGGNAASKDFLANSELMWKQS VYSSFIAAQVAARHLESDGLLTLTGALAANGPTPGMIGYGVAKAAVHHLVKDLASPGS GLPPNAKVTAVCPVTLDTPMNRSGMPKADFSSWTPTETVAKQLYAYATGTAALTSGSL LEVVTKNGETTFKEI PHYBLDRAFT_179836 MTYGPLYFIKSHFNGRVIDVEEGSTEDDATIIVYTQKSEDCLNQ LWRYEDGYFINAKSAKALDIRGGEMNEESQICQWQQKQPEEAANQRWSIDEEGYIFCD ARPDLVLDIAGGEDDDGVPVILYGKREGEVASNQRWYLVECELY PHYBLDRAFT_76361 MKLIQALLIFSLCIAAATAASIQPRAELGEALMMYGYNPPRKNP DYCIGFRITYPTYPGLAFEAGSIQQVAWEVDKDIPHSPNIITRIRIINSTQHNQFVIA ENITMYNNEEKDNVGEVGFRIGVEDNTGLYHYRIMANYPGTTVHCVYESVPFMIIQNP YKKHNTVGPAYVAPKTDSAIIWPPSEVENQKRLAAGGEPIL PHYBLDRAFT_131111 MEKDQEDIYRNYLSVVVLYREACDNFLEDLHGTLGVFGELGDEY AFVEQRTRALQTTCEELLQEQDRLTHLADALAERLAYFNHLEPIAKLFNSPGDDICLR PEFIGMLQTLDECIEYMQQHVSYRDSELYLMRFRQCMTRGMTLIKMYAVSTIKAVGYE IVYSLVQKTNDTSTNFGKQTNLFYVKFRAIAPTIKTLTGQLEKRCQGHKEYQALYQDI LSAYFQTRQQLLSPVISRKIQQLGPSGGELAQNGCAYMMTLCSDEFNLFYNMFPSGEE DLYAYLDELTSYLYDHLRPRIIHENRIETLSELCGIFQVYIMQDDYQTGDEREGGHRL MFGNLIRNVLEDAQGKLVFRAQSFIHQDIQSYHPKPEDFELSKSIEASLLQMSELTDD TPHVTRRWYPALQNTLWILSKLYRCVQTGVFEDLAQEAVSLCSESLKRASDIITNTKS ALDGQLFLIKHLLVLKEQLAPFEAELVHTGKELSFFPTTGALSSLQQNRSFFFNPNTL IGLAQSGMPRIVEISLDSRREVNFKLKDVCEEFITDCVSTAVDPLTVFMIKLSTMRPV GESSPDENGSPRAKTLSQEDVQSTVEQFKESAEERIRFVLRKLREYVNDYKMEQILIR PIELNILENYKNFYHTVTTESNGGKISTLDEPLPSVEYMSMWISQWKEEQSP PHYBLDRAFT_164294 MEKFIDHQISLIQQEKTIDVEETSKLLSTYTPLQLQKRGLALIG LKVTGMRTGLGGKSLIDLELANPIKLPPVLPAHKISTGDIVGLDEYKKDKPSKQSAQW SGVVLRVTDSKVTIALSQDTDDELPPEIQERCQMQAT PHYBLDRAFT_141266 MLCEVPDIFAGHKDRKFNGRRASIEMIMSGSRIKAANNANKKII KRSGRPQLTRSPHIRDLIIEKSANHLKSPTQISQELGIPRQTVCGIIKRFEKTGTIEY QSLGGDLRSIIQDHHRQFIINAVDENNTITLEELKTKLLEEFDDIHSISTSTLCNFLN NVERITLKGSTAMEEKRNYEETRRKRKKFISSLLREGILYNQNCIFIGEADFNISMIK GRSRSKAGLPAFVKTKTKRATSVTMLFALSAEGVESCHARVVKDDTTGLIFKEFVQQL VKKLDNANSGPCHLVMDNVRIHCNLSLRNWLQKRNKHKLKPLPPYSSFLNPVEECFSK LKNFVKKHPLDSEETLCERIKSSSYEITRQDCEGASQCISEAFKELSTCYQNHIVEMF EG PHYBLDRAFT_60512 MHTNNRAAVVNTTQLLNQKKFELGEDDTSVVNKVGVDRIKVQKK KFKISSKYILDKIEPIFPSLSLSENLIVSFSRPLIIWYISIKNGSLLNPCGHGRILAN PHYBLDRAFT_154532 MTDLQISIQQKPLSVFSLPEELLSALVAVDQETETEVQIEWKQQ ALVTSQALQRLEINEDQDTLTCRTCDITFTSTEREQHRKHYNTDWHRYNIKRRLVLDT TPVSLIEFEKLLADLSDSISGTDSEDTDEESDTEVAHDRVNALVGKQKSVLPDHDITK NPNEEGSLLQKRYSAMVWFNAKPLLSGSIHLGIYRTLFSTKTADIAAVRALQSKNYEK KPRYWTILMLGGGHFAGCVVDVNQSKGISEPSVEKQVRLVTHKTFHRYTTRRKQGGAQ SSNDNAKGKANSAGAQIRRYNEQLLQKEVRELLSQWKSYIDRSELVLVHAPSNNRKIV YGYENAVLTKENPAVKTIPFVTKRPTLSELKRVFLEISTFKVIEVDEEAILAHKNKAI EKEEKARQLLEKSKQQKPTTSSTKPKAEASPELEKLAGLVKQGKTSVIFSYLSKHKDI NVSSILPSYITAEDNGRFPTLLHMASHYGHRSLVESLLMEAHADPTVKSEGGKTPYEV AKDKTIRSVFRRCMHELPDKWAWLEEARVPSPLTLEAEEEQLEKERIKKEKEAEKIRL IEEERLRREAEEEAREREQKAAEEVRKRGKANVLVAKALIGSQGNMANMSPEARVRLE REKRARAAEERMRRMGGN PHYBLDRAFT_60514 MNASKVVLRSWDLCIAAAALAATTLGMKEGIIKDKLPVCAPDCV RRDGRDHNLKRVGDREFWNFYSSLQDFNCSHINCVPSIVCFAVFIRSTPFKMHFHSND SAKSRIPHYPIIS PHYBLDRAFT_164300 MVDWDYLPVGAVKLWYCGYNRRMKDKGTMRIRRPINMYLELAPF LLSSLNLRRKRLLIEYTSFFWMRQHFIIKLPKDKLQLLKGRELGTRGEIDEHKNCGDK VDTPYCSEGLDKEPVSQAVSRNELVRLDRNVVPQLVTLTCDLYFQRLNFVKKYCLYIH EKSGKPKGYYCVLHQCFLAFMFISNENDLMEQFVNFIVLHKINYHWPWNQILSTIKMH FTEFS PHYBLDRAFT_185479 MRRVLFFALIAIYCIACPYTKVEESFNLQAIYDVMHGIPLSDYD HFDFPGVVPRTFVGATILGLLSRLIRPFFVGEWISTREQVIPRLILGAMVSVGMGYFE RSVRLLLGKRTSTIFMILLFCQFHIVFWSSRTLPNTLALPLVLLGLSHWILSMTTRAN RTTQLTLMIRYLTFTGLVFRFEVGILLAIILVFEWALYRHITFRTIIIQGALTTVVSL GLTIPIDSYFWQRWVWPEGSVFFFNAILNKSSEWGTLPFYAYFVNFLPRVLMVSYPLA LMGFFTDRRIRVLLAPALVYTGLFSMLPHKEWRFIMYTIPIYTTAAAFTVSKLSLKAR HSMYATVSLVGVAGALVVCFCGSIMMLLVSMKNYPGGEALSVMNNMPLQSASIHLDVL TAMTGASRFGQTHSLWSYHKDESHRSIDDYLEAEYTHLISDTPLNNTPFEIISVTYGL EKIKRKSPRIYLQNIMSIFGKDWSWETLLPVEIELEPKLYIFQLSHPQKVWVDYKIHH SSVLIYSKSYCPYCKRAKEILNKYCPHQYQVVEIDLRQDGRELQAALIERTGRRTVPA IFMNGKIIGGSDDLAALDNQGVLEPQLPCNLHYLQ PHYBLDRAFT_141270 MNIAAPKDGFKSIAIEPLEDYLEFMGPAQPASLSSTGSNIRVLR GEARFSLTKSVKIRSMTVKFKGFSRVQFITSGNPVEISMPLLPKLKRPLFGKTTLPAG DHVVSWDLEIPNIYPRTTDMKRASIDYKIELSISVNLHKTLTATYPIVIQRHLLPSCE LAPLVETRVYKSTVPAKFHYEIDAPQIVCIDQGSVPIAIQYLCFASQKFVRSIRTQLI QIEYYRCQAIPKSECDMQAHGTKETDNQKMSDTIKNNKSYTKFVKRTVPALIHNVNAI KSSTWKRPLVISHPLHPQLVHALESPLVSIYHQLEVTFLFEHKYETVRAKIPLIVASV PKSSHSIPLLVENGVPWPRYHFETQPRYESVEFGPEMKSKRGEIDSMTSGIQASVFRE DKALFLKPPPRQKPTFVEQSFSEMDLPSLNKPIVVERTLKKFASAFDLSTASRDDGDE ESGEEAREIIERPRTTTPTSAMRREKLKKSLPPLDIELANTGKSRIQKGVKMEQLKSS GSSDVLPAADMRDLHNQVKQHYNSLGIREKSRPKLEVMAASSGPPLAKPDPPYGSLGR SKYYIANELFDDDEDETDNHSVVSEASYTSKNAPSLSSSATASSNPSRLTLLSRPPSP VFSPAPGLPATIPLQSHEETHFSLVEESFINSPPGAMSPAMATVASSTVLSPRTTYAL RRRLVLSSVSSLVIDEAYASTSVTEDMRTSSILDPEGDTMCRIGIQQLDDACAVKNHY LNAKLPPLPTDTPNLPQNPRRAAPDMSKRLTKLYVDDSDEEDPEPLPAIPENLPPIDH FSRRREEKAKEEPPKLPRLSFGMALGVSLGLDFK PHYBLDRAFT_89529 EWQLDWKNIQPALSSVRRSMASLQTSSLKVMRVSQLDSDILDSE LFTILKEQLWNALSLFNPAFKESIEPELLALLNLALFKLSIYDTSATYGAQLQNLKYR NEWKHGGPLESIAKDAPLTKTQKIIYGVLSVGGQYAWTRTSRLVTSRGWGELDESDIR NKIYRVLQAGEKYWKALSLVNFLVFLYSGKYRTLLDRILGMRLVYSKKSMNRQVSFEF LNRQMVWHAFTEFLLFLVPLINIEKLKLRVTRMLFPKSYMVSSKGYDRLPVNQCAICH DNSSADTHTGAIGQAQDYTVHNPYKTNCGHIYCYYCIQTKVSVFGGEWPCLRCGEKVE DIDK PHYBLDRAFT_60519 MKLTTTLASEWNKNPIEKITEINASGKGITQIGDLSSCKSLRKL NLAKNSLSNEESVEGLKNLKNITWLSVANNELSDLSGFQHLKSLFVLNASHNKLVGIS PHIKKLEELKALILNHNEIKIVENLEGLKNLNTIGRLKKKTDFLSHNQIKTIPTLSDL TELTKLSAAHNHLTQVPDLSTQTAIKEIRLNDNEITTLPDTLRKCTSLEIVDFGNNKL SKWSDIAALGSLLALHNLNLKGNPISEKIDYRQKIIELLPSLRILDGERFDFKFLERK NKQEKNFKLMEKKERLKREKKTKEEEENRADGEEAQPPVKKMRHKKLRSLEAAAEKEQ EQEAEDNGTLTPLKRKKRDTADETEKRPKKKISSSSTEESGDKTTKKPKRKALALAAS SADSTEGPDEKVTKKPKRKALALAASSADSTEGSDDKVTKKPKRKALALAASSAGSTE ESGDLEAKKPKKKAAAEAVKVKANPTEPKKKKAQGFFEAEEIKPVSKKVIRAEKVSET IEKATSITPPVSLPVTPATAARTGVVSIVDKSKKKKEVKKAGVDIVAMLEAGDSKNDT EEGTGLGVGGWD PHYBLDRAFT_141273 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_17272 MATDKKSKDPILSILAGTFAGGIEGVVTYPTEYVKTRLQLQAGG KLGPGEVRFKGPIDCLVKTYQSKGIGAIYTGVSALAIGNAAKAGVRFLTYDQIANQLK DENGKLTGIRSLLAGLGAGMTEAVLVVTPSETIKYLIHDKNSQTPKYKGLVHGTRLII KEEGLQGIYRGVGPVMARQGANSAVRFSCYSSFRELLEKWHNKPGQPLPSTHTFLAGA MAGIVTVYTTMPLDVVKTRMQGLDAKIYKHSLDCLIKVVKQNGIFSLWKGTTPRLTRL IFSGGIVFTIYEKVYNGLNTLKSA PHYBLDRAFT_13618 IYHSGVEIHGHEYCFGGHEYEGITGVFVVEAKIGPPGVLFKQSI HMGCTELSDEAIGQVLKDLSKEFIGTSYNLLTRNCNHFTETLVQRLVKKPAPKWINRA AKLGTMFPCIIPTEWVEPPDLE PHYBLDRAFT_179840 MARGNQREQARAKNQKKMAEAKKGKTSLNGQTINQKKESDAAIM RAKQEAALAKKEQESAAGKA PHYBLDRAFT_157747 MLTPEYEDITQNELVEQHIKDNDTEGLGNDLSIAIDKTEQGNCM EGGIHTPSGQLPKHWYSRKLTGWIHSHLLPESFKTAVEKRYGVFLVVRKTGEYHYEEM PMYTRIGMHMLFAGYYQGKLVETETMKKLFLIETIRQGAYFTDPKSVSQIPSFVEHYG INMNDYVKPALDSYSNFNDFFTRAIRPSVRPIASPEDSSIFVSAADSRLGVFSSVEKA TEFWIKGKKFTLHNFLQDAKLADQLEGGSMAIFRLAPQDYHRWHAPADGEIESIQTVA GTYYTVNPCVVKEDVNVFTENHRQILTFRHPLGFNYAVVPIGALLVGSIVLSENTVVG KSLKKGDEMGYFQYGGSTVAVIFPKNSVEWDSDLLKTSKSSLETKVNMGEHIGRLNTD AL PHYBLDRAFT_108324 MSTLVTAQVTDCTKSIQVKSQTELDQISACKFYKGTINVSNCTA TDLVVHGVETIEGNLALIGNTELLHLSLPDLHTIHGRFLLDSNKRLTDISMPKLSRVG TFEVLVHPSIKDVAFPAGLVQINSLSVTDTTATSIKGIYATKIAHLTLAGNTYLNSIE LGNLTHVTYALSVLANAPTLNLNLASMDTIQQGDFRDLAGVSLGHLSHASGDLSFVSN TFTNLSLPQLQQVEGGLSITNNSQLSTMSMPSLTQLGGALLVAKNPKISSLDSFPKLE RVNGTVDILGSFDSIKFSDLHDVRGGMSLQTSSSKFQCDDINKLKASVIKGHVFSCKS NMSILDETNKTDKPKDTSDGVGIGSLYKGYWSGLVSCIALSFLL PHYBLDRAFT_16799 MSSTLRNSVQRRNHKERGQLAGRQHFGLLEKKKDYKLRAKDYHS KQNRLKALRDKALFRNPDEFYFKMINSKTKGGVHIKERNEQLPHEMIQLMKSQDKNYI KLQRDISKKVSTKTLAVKKKTNHIVFVDSEKQVKQFDPAKHLDTFPELVNRKFNRPRI DTLRKTTFANLEDKKEIKVSLLKREREKKYMELASRSKREEELARVERELQIQKALQQ KGKKTKVGVDKHGLAVYKWAAQRKK PHYBLDRAFT_157748 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ PLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAAS VFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_141281 MALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYSQVA TQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPPKTSPNFDPFWRALLAA YPREVNMGITLGSRYSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGTIVR RVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHETYGFFDGSGYVVLANTPTDEVP SDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCTKRPAETRTCFGCNKIGH LQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPLIPTALSLTYGGSEASKH NPHKPALLESAKLTLPATLPAITTISATTTSSGPRPRSRSVDTPVKGWDDEIDDDMIT DFTDRVEARTLRLQNASRLSHLRFSRTVRPIGRNTSLSPPRFTPPHSKKALDAEAKIN Q PHYBLDRAFT_179843 MPSPNYSVPYSKLKLYDMFAHKPVNSQPAVPHPPSPQPPIQTTF SPESDFLNAPLLPTVSFNENLMAKLEQMLLELDRLIRQCPMPSCALLPPNHDICLLMR QIPLVISQSTNLLQTMQTFVEKVVYMLYKSQTPFALEAYTGFLQSLFELSVHVGKEAL SWIVYADDERKYNAPVIAMLLRHEMLPLEIYDGQTAKLIQNKADGVIDFAADLVRLCL LSPSPVTFLEDHALTLAALNVLVKEEEATASVIALMTDLGNLVEAPYLDLKKTNDSGG GYIGSSGQGCLELRLLLAEWMRLCQHPMVTDQLCSQLATKSASFRRRALQLIDSYAKL VAYMVRLETGEDDKKAKVTLVSHALSVVTLVLAQHHEKRQVNFNQKPFLRILSSLFCE LNKLKNKSIESSIILAYSDTLYMLQPLNFPGFAFSWLQLLSHRTFVPQLLVANHTQGW ALCQKLVLVLLRFLRPLLETRVLQRATRAFYRGTLRFLVVLLHDFPEFLSDNYMVFSQ CIPHGCIQLRNLVLSAFPRVMHLPDPFTPDLCLSSLPESRQEPLVVMSYSEILMNNGR LDESVDRYVCKKEGLAVFGKNILETLKTSEGYDLNLLTAFVLYLGSQTALEECSIAEN PSVRAYKYLLSRMSPQGTYYLLNSLVDHLRYPNSHTYFFSTALLYLFEEQPEMIKEQI TRVLLERLIVNRPHPWGLLATFIELIKKPTFWEYDFVRCSPDIERLFDNVSRSIKRTA V PHYBLDRAFT_164313 MKYDCKILLKQYQIFDSWGRLKKTGRIQLVESKCSRILVYVQYY RKSGTLAIGPNCEKSIEIQLIQSKDPKRPVYLRLWMKIKALISCTDSENVNETLIVHL CSEKYRKIQKIQMRITYLIVSQDGKDTRHIQVTVSESIKNVFISVTLGADWILDCWFE W PHYBLDRAFT_60531 MKIRSVLMKMVIYDTTSIKNAISICADFESVVTLGLAQIKKENN IVVATADFESVVMLVLAQDSVVAPVDFESVVALVLAQVKKENDAVVAPVDFESVCGCS RRFRKRCNNGVDPD PHYBLDRAFT_60532 MRGRNQFIRSVVEKIFFCFGRVTVKTGFVYSSAWTLLGADQTVL RLLGADQTVLKTIGCGPDGFGDYWKRDRVYLTKVKDTRIRRVWCDKQDISKYMKIRSV LMKMVIYDTTSIKNAISICADFESVVTLGLAQIKKENNIVVATADFESVVMLVLAQVK R PHYBLDRAFT_164315 MNNFTSNSPSENNFVHAAIARNIMPNSNTGLASVMYTPTTRPAG SHVDGNVADPVLAMLACLNAQMKSLTDQIASMATSITKSNDTTTCLQETVANIVSGQT VVQNTASRYNVTSGVEAVTGLSSLMEDDYVPGKRHPAISKLINGYLKKRNFSSSDQRK VATNAAKPGWVLTSYFTGGYNHSLALALTAYLRSQPQSAGILTSDLACIVKNHFCNQI HESRRRLHLQTEKELHQEDISMLLCQRSMAYLENKEAIDTVTKRDNCAHVLQNAAMSD DETDDEATRLASSKRLKAYRPNWRSDELQTLLERLDDYAVAKGQQRSLKLIPRIRVTC DADVPNDLKVPLAQWTIKD PHYBLDRAFT_141287 MAKRMMERWREEGLITSEHLAEMQQDADSIIIPLSITPLHNKIG KGFLFTKADEWKSWCLIYSPILLAGHLPSEDLCDWMEFVHVCKYLTRPSITVEDLHVH DFLESFGQKCHVRFGKNFILPNMHLHLHLKETVLNFGPVYGYWLFSFERYNGVLKNYA TNNKDGFEGTFMRRYLEDTRKIDLFRTTMQSLQISSQVSLIYELVDSFLILVTVTAAI TTTIVPAALYLSTTSSGFNLKTFLDSAEVNIDNVKGNEPLLPSAFPLSIDEFVPMKED EYALLLKFYCTAYSNTLLTGYQDAIFGQLFVNNMIQKMQSINLLGQIQYLFVSNIINP VTYQADRHTFAYVRWYRTSSQDTRSEQFVEMSKFSFTRSDFQNILPVHRILMPAAIGV HTTVTGNTHMLIAPLYRKIYA PHYBLDRAFT_60536 MSLYIYNSITTIAFTKITKIKSLKYNRSSLNIKKKKKKKLSMSN PQLAEWAKETFGFQKALNVSTISKILKRGDQGLIMQANQIEEAVILLIKIAENNQIPI TWELIKTKATIFAKRIGVKDFNASQG PHYBLDRAFT_108315 MEKVEKRHCIKMNRIHGEAASTDIESLQIAKAAIKEKVEGYSAC DIYNFDETALFYAALPRTRISHQKFSGWNENKKLLIVGLLYNADGIDKWSDILMIGHA RRLNCSNKNSKKQEAVDHGFFMYHYNSKAWMTRSIFYVFLHHFDRSMKVQNRKVLLIL NSFSDHIYHKYNNVPTNVELLFLPRNTTSHLQPLNGSIIWASKTYFKCKQYAKTYQYI GMIQNGKQDKIGAIDKIFEIDQLWAIKWIR PHYBLDRAFT_141291 MERENGVRQLELHELVHSNAVEYTIIDLMHNLFFGTPKLIMKKW ISTGLISNAHLIAMQDDADKLHKSWYLVYSPAVLSGSLPQKHFDNWMCFFNACWYLAM PSLTYKNLAEAHFCLELFG PHYBLDRAFT_141295 MSHIPGVLFFWKDPERPIDMILLQSDQSKSFDNTLHHESPVMQH IINYPKNSRVVVSAPKGPGQHNFAFDNIGKTCSLCGKDFDCLAAHIANDISPQYADRN LASQRQTTNTAEPTTPDHKDDFVNEDLHVESDLEDDDSSNVVNMNSNGDNNVSEIELD ASESIIEMDEDTRPFESPSPGNHLYMHIRNSMLSSASNTSSSLDADLDLLREATGSHM TWNQYKSDTHLFPDLQSMVLLAFVDGNNDMVSRRILKKILFAISLVLKLHEEAIQKKS HSKVNIQLPENKTTSAYINLPSVHVQFLAVNLKKARNMFSLSDRTPNQSICLQQGEK PHYBLDRAFT_141296 MFTHNRIDFWSGDIVNFMNGSTPARFLVESFHTMDNSAVFVQGY IVYILEGGQLIGVEVESTSIKLENLLGVGSTPVDVALCYSISPGKVFHLIPRHKSLLE EPHFLKRHELDETGKLIDPKLFYKMRILPIILFTNDTSRN PHYBLDRAFT_141297 MKFAALSYEEKSSIENIHFLSAIPTKKGASGMSLFPKIVEDFKR LKNRLVMFSAKDNKNVLVASPLLWIEADTSCHSELCGLRAPTSMYPCCKCYVRLQRSM PNLKSSSYYTGRHTARTKAHYLTAASTSGRGSTIPDVSSTGNALTASDLCFAIRATDA LLELQSFDPSIDTPVEALHNILLGVAKYLVNDLVKVVLKKNPNQMARLSKALKDYENS QGMSRKFTRELRHYGSFLGRYYKVLLQILPAILVTEFANDSILSLITPSFVRLGCLCS LVFVRAVRFGTALHYETKKDEQFNKHIREHLMHINRLNTSRDICLKFAKQSAMKHIID GGSWVSKDKMREKYGNSTAEFLKENFNDNVKNILFGRSRDFADNNDTDDIIAKALCDN TFAVFMLKESRDQHVRSFIGKVSSLRVEYYRVESSPHAQVNNYLLAQRVSNDASTPLN QLKIVCKLDMHTEFNHKLVMNLSKFGSYWFFVSLFSNRQY PHYBLDRAFT_16637 VNQRHLIDKILARYSAEYVLYRELMQNSDDASSSSVQIMFHSAD SAIDSKVPNLAAKCNRITFKNNGMPFRTEDWNRLKRIAEGNPDEQKIGAFGVGFYSLF SVCESPFVFSGSQCMAFYFKGDQLFAKRADVPDTQVNEWTSFLMDLREPMEMPDLDDF AKFLTTSMGFTANLRQITVYFDQHKIFEITKKTAEPRAMVVDPQRLHTVTPKRMFSIT GVDMRKLQLDAEKYTPPTMFTPFANLISLSNSKARDSSQNSGLPVEKSSIFLSVITAA LSVNVPRDFEKEMERATKKKPPKTTKFQLVYTGKDELDASENNNKIFKDLMPFPHQGR VFIGFPTHQTTGCCSHMAARFIPTVERESIDFADRYISVWNRELLAAGGLLSRLVYDD EMDQIARLYKELVGCTDIVDKSKHDDGIDSAKHMLESRASHALRAFTFHHSTPSPIVG KEHENRFFNSSKVSLQLMTSHGIQSITAARTLPEDSFISRSVTELLDAFVKTVPTLTS SFTRDCKEGLTKLTGFGLLQPLGMGDILKELNTRALNEDEMVACMKWWVECNKKNPSI PSAFIESLDITVKNRFLDAAILNCNGERLLTLSAAKWWVNPKIIPLDVKHPDTTLPFN ITKNFVGPDLGSYFGDLKELPVLEWVRFIASKKELEESPVFAEQVLAISSRGYQHSSV SAQSGISSILQSKTCIPTKYGMKLPTEAYFTSVNLFDDLPIVNFKAPRTITDQFLTSL GVRKHVELQMVFDRLVSEGSWSHVELVKYLTSVQTTLSSVELARLKASQIFTKEGEEP RIKDIHRRYKASDLYAPTEALRTLNLPLIQWDNRWRQSSEEVKFLEKLGLQTKPVLSD LLQLASPATNNQYLQNKALSYFVDNYTQYSNDYNPSTIDIDFIPCLDGKTYTSPKNCF TNPEVQILGFYALHPDLASVREKLGVLENPNADRLVSAFLQNVGQEYSEVKRIFEYMA GRMGEFSYTHWQKLRQAKFVPVLDKRNENDSTSKPIMLVVEPTQCYFESEESNFHKEL FLYVNFGQLANSFLRSCGVKDEPTTIELAAMLVKDPQRFWNLSGGGERYLTVLRQIAG QYYQIKSQRTLLAEMKSTAFLVGLKRSNMSDKLLGDEEKEDRDDFDAEKEDFVQYRLA KASDIFISDDTMGQQIFSPLSAPMEPLLEEFYANLGSSHLSKNIKESYTFRDAIGATA RSKAIAEAILERTPIIIYQMMNDNPQRQKELLHDEIYVIQAKELKMLRLFKHTGEKHV QTTTACADRSSFTVYIAGTGEIDFYDVSNALCTLLFARVRFNDAIIVERYLTASLNNL RRKGVPVDRILNIRKNMEKLLSQQRENHVENVINQLLQDDYPRKQLTKEKIKPDESNV APPTIQQPKPSESKRATKLLDRIWSPWSKPSPPQPPLETKPVETIQPPEPPKKPKLPK SETTITPNYTENIKQNLKKAIHSCKPYSDQTLFSPPRIDKVVESTDYCDSTSGKNLTY VGNIAGMDFYVNRGINPEDVLEQYGHAMSRFTSISGVLSKVFNLKKSSLHIFYDTEGT TIAFNTNASLFLNLRFYLALHEPESTEDIKLVKSKRKEALIYWFMTICHELAHNFVSE HNSKHEFYMSSFAEVYLEAFIASLASSNTPLIGEQDATVNSSSEN PHYBLDRAFT_93121 KGVSAPTTKLDGKNLRIAIVHTRWNHTLVQNLYKCVHDTLINKY NVRPENVFTDTVSGAYELPMAAKQLIDLSKAQASETASDLLGSPSPQQPKPGKKTGPF DAVICIGLVIKGSTAHFEYICDAVTHGIMRVQLDTGVPVMFGVLTCYNDEQAIARSST EEGFHHAEEWAAAAVESA PHYBLDRAFT_164324 MPQLLNLNNELLTAIAGHLSIDDLKTFSIVCHKFAMIAHDDSVW REMLYNNFGITYKLPEETWKSMYARKHEDPTNNRMCPHVCRLTRPALEPYVRKYQQVL NWLPKNLNCTTCGQNQHHAGVCMYMWQGNTRLRCRDCAYKFHTTFNDRRGILFRLPRL QLFCFACSRQLGETRGDSSEAHFVHGILKTLTHDSEIGQESLRQKEQCLRERELYATD ADRASVLESDPHYYFVDRMWLTTWFLRTCDGDIGKGPIPNHTLAGPDDKLNPDARPRG NFAGGISIVTPYLWKYLVDTYGLSGNVYTSDDIKGPEYCELRQSIADWRLN PHYBLDRAFT_131123 MPAYHSKFNDGDYQSIGNMFVLPIKTNSRNNAGAADILGEDIID EAIQLFRANCLFRNFEIKGNADRVLIYLILFISECLGKLNKLTPQGDALKQLSTLAVS SFSIPGDPGFPLNAMYAPPADRYQADQMKQYIQKLRQELAIRLVEQIYVDGKPSKWWM CFQKRKFMNLSL PHYBLDRAFT_60546 MLSIPKELAILNNSLYCENQQLIIRLEAKLLQDIRLLDLSPTEL ANVTDYVRDRVTLFRFLNDSDFIEDVARERVLDTIQWRIQTGIDKLTWNSLANEFYNG SGFAFFHKHDQLGRPVAVIRMRHFPQFTDKSKNLSAYMHPFACFVMEMARKITWQSTQ ERENSHHEMPLVSQIVVIIDIAKAPFIAVDSQLITTLKDVTNTRFPGFIGSVYVMNFG WMYQGVWQMVKLLLTEQAKSKVNFPSSKEVQQVISKENLLKELGGDDDFQWSIETDNA LQSHGCGQPVIPSPIMSPIMSPIMSPLPSPQLQPQERSRSPSISSTISDDTFFDAKEY VSNTPSNYQTPYSAELRSLSSSVYATPGTLTPLPMPTLCDMPWSVPNRQVVPHQHVQP TYHWNGLHMGEAFLTSFLSKGRIKSNGISALALANRLAMVEKEQQQQMRQLGLEDDFV NDQDILLDFQVVLPHFPHLLPPNNPQSSYASSPVRMRLLRSEQRALRLARQLFRLTFR YNGALYWVLLYIFLRGPVEQSVRKALARILAQSPQNIAYTTVGITATVAAAMGASLSA TLNQRDSY PHYBLDRAFT_164327 MRDCIGLGYSELTKDFRTCEGQQPSTLHRIRPIPTKIFVKTVII PTHFQITVILSSSIVFFSEVIVESILYCFQEFLIFSICCCFFTASVPIVYCKGIFALI FSSALFCAFLFLNLFLK PHYBLDRAFT_154540 MDYETKPRKIANEWTRKDYHTKLPIKLPGGKIKQVEEKHDSDVE EEEVVEEVVEEEDVEEAEEKEDSDNEVALTKKQYIMKKKEELAQMASEIQEDPEASAG QLRFLREAFKDENTTVKKLVILTQLAVYKDIIPGYRIRPLSDKEEGIQVSKEVKKLRD YERQLLHNYEEYLKDLESLITKRKIDEDYETAMIATRCLCELLTTKTHFNFRLNIMVA IVTQMCNQAIITVFINDESGRTSLDAVKMMTRMIKSKGYAVHEKVINSFLYLRLKDEM APVANQADEQDKNKKRKREDKPFLTKKARKARKETREIEKEFQEAEAVVSKEEKDKNH TETLKLIFAFYFRILKKQTTSPLLPAVLEGLARFAHLINVDFFDDLLNAIKDVMHSME VNVDTKAGSLSRKRLLCIITAFQLLSGQGEALNYDLKEFYNEMYVFLLKAGFHTNLED KPDTMHDAESELMIKSLELMFLKKRQIPINRMAAFAKRLAMSALYMPNKIVLQCLGII HRLLQKDRRLDALVQSEDRAASGVYLPLLGDPELCNPFGTSLYELFLYQNHYDPSVRA LAQTLQEPQTI PHYBLDRAFT_141304 MLNPITLVKDDAKSKGTFCVNQAVWVGSASCQICGSHVHQTRIT PLDKIQTTDLYPYIRTFAHQKSSSLSPLSTATTTTTTTSSSSSSSSSS PHYBLDRAFT_76368 MLIKVQYAVNGTIFGRLNEYCLMIRKQYPMLPVSIGFCINSTNQ EFKDLTYECERPFNQQPLHPLNALGRFFKYRNRKKEMQLLDCSTELLDVYSEMKQLMK NESKEQLTLFAASRHHISPE PHYBLDRAFT_185487 MLFPLVLSCLEKPVLDTSLQFTGGLEMFNLDYNLPLGDVSFFNE PLPDDFFRFVADDQPNSSSIATISPDINSGKSAGTTPQSTSVTYTESPKPTKRQKVDA DPLDTTAHTIVVGGKPFRLSWESLKSDGPDNYFTLHFQKHKTRVMHIDRSASTFESIV QHLRGYYIRPKDDVENQALLNDARYYGLKRLDKILREYLNVNVGGRVFRLPWDLFQKD GKQNFFNGPLMDSLYAPHHEPGSSERPPVYIDRDPDIFADLVHLLRGYTLSIRDEAHR RNLLRDAQYYVFRQLTDKLMCSHVVVDNFSPTPIQEVSLHLKDIRVAQLQIPATLGRT TEVLSSCANTWTKCQVQYKHQDRSQSLVVQLSNVCAEIHSLKGILLVWPARDPSRDKL AKVGQSLKVANGVCERLYLHPDCGLVVDGQEIDSLANINHELWKKCENSKGCPSDCSA LRLGINRMLCGLHIVDGALMLCAVRLEAVCSRRQLNRQRPFLPNSNQ PHYBLDRAFT_4004 MNSAQQNVNSKNSKVLRFECPYTDCTKIYAKEWKLHEHVLIHTG QRPFVCTHPGCDKTYRAAKHLSVHARTHEEPTYKCSFPECSQAYFISHHLKRHELTHK NSSPIKCTWPDCTAAFQKKHQLRSHMVTHTHKLLWPCDVENCDASFMVPSKLKKHKMT VHSETPRYLCSLDDCDTTFINWTELCKHIREKHPKICKACGKEFNRQTRLNQHIKNKH AEKDPVKCIYSGCGKVFSSIKAYDMHINLVHERINKFMCTFPGCQKGFPYKSIFERHL PHYBLDRAFT_164333 MTFDLDENLRRLSNRKGVKGVVILNGDGQAIRSTLDKDLTKLYG QLISQLVTQARTTVADLDEDNDVTFLRIRTKKHEIMVAPDHEYLLVVVQDPLESAQSG NP PHYBLDRAFT_108488 MIPHRLTAQRSVPAFLNKLYNMVEDTTTKDLIRWATDGASFIVE RHEEFAKAVLPRFYKHNTFASFVRQLNMYDFHKVPHIQQGVLIADNENEIWEFSNPHF QRERPDLLALVTRKRSRERDTVETDSINIATLVKDISAIRKHQTTISSDIHTLHSDNE VLWKETLSAREKQQQHQDAIEKILQFLTTIFSNDNKAAIGMSKQKPFMLKKNNECLSL PCQSTSNIGILSKGTRQGYAGMPDFRPAKKKETQKKIKEEEE PHYBLDRAFT_60555 MVKRARIDQTVTVPCWNLDCGSGSVGVGVGAGAGTNTSSICGSS GGVVVGFEPAEVMDEDPESDELFAENIVSGGEAEEDDTDDRDRFLFDDGSGSGCGGDC GCGCDGICIGTSTGIGIGIGIGIRGL PHYBLDRAFT_108369 MSPKEEILLLDDSENILNSRASIQNQQLVYVDLKDHIKHTIPGP LNIPFVGSLYEMLPNITESWVRQFEKYGPLVSVSILGTEMINTNNVDIAEIFSKESEY FTKRIKGSVLSEAKRVGLQGLFTTDTDETDWRLAHQLLMPAFSSRAIKAYQIEMGRLV QQTIRIIEQIPQDGPVDIIDLSTKLTFETIGRIGFGYKFGLIDSLNSPSHPFIGAMSY CLQQAVVRTQQARFVRSLPTEANRTFDRSLKLMHDIVDKVIQDRKRVPKSDTDNSESD SDSDSNNNKDLLDFMLYARDENNLGLSDENMRDQVVTFLIAGHDTTANTVAWALYEIS RSPEVEAKIVQEIVNAGITAEELPTSEQISDLKYITQVIKETLRRYPPIRIISRICKK NCVIPGGYLIKKGSSVGVHLYSLHHNPEIYPNPYRWNPDRWTPEEEQKRSRYSWMPFS TGPRACIGMAFSLQEMKTSLAMLLQKYRFIYDGPPIQFDPKMATTKPYSFMMTVKPRT SFPQSNVSLKNT PHYBLDRAFT_108753 MVSCFSSQLRKLAPDTADEFDQLITPNFSNSDPYPAFIKRHRTL LDLQKAYPLVNSLDLGQFLTAVGCIQPRRYSIASSPLAHPKEAHVVVGLVDDVVNGFH YYGLGSSYLYRSNGVSIRASLKSSKGVFELPSDPSVPIVMIAAGTGLAPFRGFLQERA AQRAQGVKTGSSILFFGCRHPEQDYIFSDELDQYERDGVLSRLYVSFSRNTSSRPTAV RYVQHQLLAHSSEIWNLVMPTTKKDSKPAHIYVCGGGAMSREVRRTFYTMATYFGETQ DDQEAKLLIQSWIDSKRFNEDVWG PHYBLDRAFT_60557 MSNTESEIPCQHSNDASKQSSIRSTNTMQEMRTIKQRLADALGD NGPLYWSAMKEFITGNLSRIEFDFYANLYLNRQNAYLHNAFILSTIQNSKVNSQPVRK PNPQLNSKRKRIKESREVQELEARKKLKMDVMSLSRADRESLNKLVKAGNTIQLKAFV DKALGNLTGQSPCSLITRLNNDSSLESLPPPICREAKELPDQYTLNVRMKLISLQHGL LDKLEDDVVDVMALALQIFLKNIISDIITKRRVNRPVGLSISSHINENGTHSSYGERL DTYNKFADMNSLFKTTSLSPLSSLSYSHTSSIDCNDFAFALDMSPSAPIEIPLYKEKL KTFVYKEDSTNKNNNNSSKSGSGLLPKQKKKKKKKQEKK PHYBLDRAFT_185488 MFHRSSILMQILFILCTLLLLVSCRPYEIRKSGGAESLELSRPS QFQMDVYSRPSRRGTVQRIRSKRKRSVSTPCWNLQSKHVGSIDLNDPLTKITFYRSPE CQGAPVRVLQGTSTKQLNIKARSVKVVRIRPIYFSTPEDSS PHYBLDRAFT_131129 MVKTDERPNWIQTIAYGIQHVLAMFSGTVLIPLLMGFDTNTALF FSGISTIIFFIVTRGRVPSYLGSSGSFVSAVCAVTGYVYSPSTFNENMPVAQGGILIL GIIYVAIAIFVLAFGHAWLEFLMPPIVTGAIVSGIGLHLAFSAFTQATSNSFDTWMAV STVLIIMLLSVYAPLPSIRRMQVSAILLGMIIGYVINLICGLKGAGPAIDYTSVSAAP WVRGPTIHHELVFDSKSISTIAPLIVVVLAENLGHLKALSSMTEKPMEKYLGAAYLGD ALSTIMASFVGAPPMTTYAENIGVVAITRIFSPVVFLVAAIVAIILGCIAKFGAVVHT IPPGVFGGVAFVLYTIIGVTGVRIWVINEVDFFDSRNIFVGGIPLMLAATIQVPVQMN NFQLDGIGAATFSSIILYHLLQGTEGWKNYGKAVWRVCRRPLNPTSPV PHYBLDRAFT_179852 MDHLLSFSMQQDTLTSLPTFTTPGSPPLVPGEKTPRRASVSTQT ARSPSPPRTPPSEEQKHDIKAYTVSQGEFESEKHFYPRVLNAQIHPLVQSFFTLGNDR IIARYTHLNPQVNPDLLKQILSYRPTHFQWAGSDLFNVTTSSGQRQMIVIETNSCPSG QKSMPLLSETDEHNEQGGYRLVIESAFQEQLSKADPSLGGLAVVCDKNMMEASGYAAV LADVAKEHVWIAEWHVDDPDPNVKWADRILYIRDQDKVWHPIRACFRYLTQKPWNRFP LVTKTVVMNQIISCLAGGRNKMMAARAYDFYNSELVDSGLSIRTPETINNVTRGEIPL WLQSMGGHAVLKVPYSNAGQGVYTITNKHELENFLDQDHHYDKFIVQSLVGNASWSSM SRTGRFYHVGTIPNKKSNTYVSDLRMMVTGSESGFRPICIYGRKARLPLTSKLEPDAN TWDMLGTNLSVKLPDGGWTTDTSRLILMDRKDFNQLGLGIDDLIDAYIQTVLAVIGID RMAVRLMANGKFDYLLFQSLNPDNALLKEIREANEDF PHYBLDRAFT_176941 MQMLTKFESKSNRVKGIAFHPKRPWILASLHNGCLQLWDYRMGT LLERFDEHDGPVRGIAFHPTQPLFVSGGDDYKIKVWNYKTRRCLFTLNGHLDYVRTVY FHHEYPWIISASDDQTIRIWNWQSRNCIAILTGHNHYVMSAQFHPKNDLVVSASMDQT VRVWDISGLRKKNQAPTPMAFEDSFSRQNSQADLFGSTDVMVKYVLEGHDHGVNWATF HPTLPLIVSAGDDRQVKLWRMNDSKAWEVDSCRGHFNNVSSAIFHPRQELILSDGEDK SIRVWDMTKRTAVATFKRDHDRFWVLTAHPELNLFAAGHDSGLIVFKLERERPAYQTH QNQLYYIKDKILHVHDLASTADQEILSVRKLGSQYVQPRTLSYNPAERAVLVTSPHDG GIYELYPLPRNLTGNLKEPADEAKRGNGHSAVFIARNRFAVFDKINQQIQIRDLANAV TKSFKTPGMVTDMFYAGTGNLLLATATSVILFDIQQRRVVTELAVAAVKYAIWSADLN TVALLSKHTITLADKNLKQTCQIHETIRIKSGTWDDSGVFIYCTLNHIKYALPQGDNG IIRTLEQPVYLTAVKGKVLYILDREGKARSITIDPTEFRFKLALINRQYEEVLHIIRS SNLVGQSIIAYLQKKGYPEIALHFVRDERTRFELALECGNLDVALETAKIIDKPDCWT KLSTEALRQGNYKIVEMSYQRTKNYDRLSFLYLTSGNETNLRQMMKIAELRNDSMSRF QNALYLGDIEERVRLLQDVGQLPLAYLTAKTHGLTEQANAILAAAGKTEDEIELPSMS ADLPTPIKPVVKLEDPNWPLLTVSKSFFEGAFTSERNTSNPIAAPTFSYDAQIDNIEE AGGDWGADDDDVISGTKAKASYDDDDLLGNHDNGDEAGGWDVDDDIKVDIDAEIGQAA AQATAEFVAPTAGTSESAIWVQNSPLAVDHIAAGSFETAMQILNRQVGIVQFEPLKPY FLSIFQASRVYVASTASNPTLTFSLRRNPEESTQRNSLPAVVYNFQNIVSTQLQLGYR LFTSGRLAASATQFKTLLHSILFTVVSNKTESEEVTQLINICREYLTGLAIEQQRRAT TGTEPESVKHALELAAYFTHCQLQASHLQLALRQATKQAFKLKNFSTASQFARRLLEL APPRSVADEARQIQAVCERSLRDEIELNYDQYNPFVVCSITFEPIYKGSPKASCSFCQ ASYKPELEGKLCTVCEVAQIGASATGLRVLA PHYBLDRAFT_141317 MTLATAHFTIPLLHDGNGDKLGMSAVGLIASCLSARMVFYTIDL NRLPVGGRIPPLWDVITPEPSEKPEKIVTVPIQKVRSDALCWLLMANLSLFMLMPVMV PFDTFLRVCAVPPETFSSKSYGYVLLDFITLRGKLPLRSLYYHMVMGFTVHLHVAILL PLYRIFRSAQLLAYSFLPVKNKTELVQPYYTAYIWLVDQPPIFNKPWLSRSAYELWSK RWHQKFRPGFLRIGYFPIKRLFGKQTKAGHIAAVMGAFVVSGLMHDYVLLTIRGYSQF NQVGVKGYQTIFFVLQAIASIVSSPDFPLGPKQLPLWVGRLLTVSWIAVTGPLFMEPY VRISFQTTMEVPVFPYIDSAYAAPFCPYGLAN PHYBLDRAFT_179854 MESSSAPRRLPRNAALSPPIEGNSSLLSSSPMEIGEDHFHKFSK LRPKLRAPSLTDETEVVLDDGTLQKRTVSLSTLPEAMYSDTYEQDWESQDLPDTTPLM GHARNSYSGVDRTGNSSSSSSSSSSDSDSDVPFFPAGRRRRSKHDRKQSNSNQDNRYW SQLKTGFKRFKNQLVLTPQQRLVLKCSFAYFLASLFTFVPSLNALIGHNRTSSHIVAT ATVFFNPAKTLGGMVEAAMYGWGYTLFALAVCLGSMATTDFFVDRDMFTVAHLISLFV WLILPTFLVSFLKAHWNKPPVATASSLCFIILFIVVVREGSANKGDFDTTRIQQITSA VATGTLITVTCCIVFWPVSAAKKLKKDLEATLLSYRILLKLLTKTFLLDDDLPEFTAN RTLHTAIESHRTSFTALQKSLKEAKLESLWNSEMRGRGDEYDKVIKSMQRLAQHVGGL RSSCGLQFEVMGAKPTNRRNLYKRAQVSESSWNIRAGYRRRKLEHEMRRQRTSTSYVA DDEHNGNDDIPSVFRSNSGLSDPHSSSRSILASGDEEAAAAANESRSLIEFIQTIRQP LKSLAYTCKQTILHLQTKFGAPAASVDNRNKTGPDFKTLKDNLVKAISLFEVSQKEAV RRLHAHRLRRLRSDASQDDFVPGDEVFLVYFFVFNMMEFARELICLTEAVEALLDETT KESIWSKIQTIEPSRSGRRLSLTGFTPNERNTTNTLHTPTPTTKWRKFFIQIWVTFSL FKLQKVRYATKAAIAATLLATPAFLSSTGPWFREWRMEWALITLMVVMTPTVGGTNLV AVYRIFSTILGCFSAMVLYILFPGNHVILPIVTWLFSVPNFWLILNHKHGKFGQFTLL AYNLVMLNKFNDRNENTIEVWRLALQRCLAILAGVAFGLITTAYIWPYEARVELRKGL SDFLLRLAWLYQKLVSLYSETSNDIQHRQEIADATLQHVQQLGRQASDAQWLTAEAQR RLATQSFMDLELGLQRALLDLQELLAQTPNEPRLKGPFPADTYRTILGSCQNIVDKFL SMRTVVLKDAWYEEVQRNFIMPANQERREMVGNVLLYFYLLASALRLKTPMPPYFPPA RKAWQSLLVRLREMPVVRSEQTLEKDNVYMFYYAYVTMMEDIIRELDKLGDNMTQLFG SLVPGDQWERLFESWDTEHA PHYBLDRAFT_141319 MAMTEQLEKVCLQLDDLALQYLSKLEEYGREWETCSSDFQQGYL DLAQAKYTMGFTTISQSSYDERMKALLRMHIENNTFSISKPPEHPVESETNLRRRTAP TTSTSTSASTSTSSSASSSSSSNININNTNTNNSFSTKKKDSEWIEIERELELPEEYE MNEKKPEKPKKPNNDPLRWFGYLVSPSLRTSQKHFKTATMRIIEQANRMKELKCLEEE YNALQKKKILLLDQSIP PHYBLDRAFT_157756 MTRTKQSSFGIRHEHHTSRNGLSDVRNLLKKSGGGLANWGMLGE EVMDIEPYETGQHIQFDTTVRGTGNKLQLVDEETFYSMRHPEQEV PHYBLDRAFT_60567 MSPKAINHFAGEDFDTHQTTRPSIVAEKRRSFIAEGSSAFSRHQ ERIVDLRSPKEKRETEEDEEEEDDDDDVPKASVTKAMFANGGLALSIVLMLVIAVISL VGLLRLVDAQNIVGGSYGDMGGILYGERVRYTVLFFIAVSQLGFVCSYLVFISGNLVN VVDVLSNCAAHLDQKYYIWIPLILVLPLALVRHIARLSFTAIIADVFILFGLVSVLYF ASVELTDGGIGPNVKMVNPSSFALMIGTATFSFEGVGLVIPIVESMQKPERFPFVVTL GTMIVCVVYVLIGSISTPLTLFPATKILENGLFWNRQSGNQDRRVKWLKNAFRLGLAS FCALAAYSIGPANLDLFVSFVGSVFCVPLCFIFPGMFHYKISTNLQDKVIDIGLMVWG SIILVYTLYVTIDSFLYPLAGSAGIVPFCHL PHYBLDRAFT_179856 MSNPQSLHVVLHVPEGLEFVAVDDIKESLKGKITEEATFLSEPK TGRVHLMVQTTKPSQIIQSLKSATLLSVYSVTLVVSETNIPQSTFDDPQLTYDFTNDV TLASPWENALEAVSIASGSNSSDLAPTFRATFHKGQLKNSAQSQKLAGYIGFAFSQRH PDWKVKLTEYDQEVVALWFRSTEKAMLERLASCADHGEDGPIVLLLGLTIPIKDPKYR NRVHVGRTSLNPCIAYCLARLADPKPGQVILDMCCGTGTIPLEGASKYPSTMWIGSEV NLRTLCVKAKGNLEHSGVNNVDLMVGDGRCLALRSGCIDTVVCDWPWGLREGSYSAIQ KLYPKFIKQIGRVLPTHGKALIVTQGQKLFNRVLAYSWSKDMWTTDSIIPIGIGGYDV YLYILIKKEPKPSAETTS PHYBLDRAFT_141323 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFGRFPAQRTPGQFH VQGRPVQFPAQGTPGRFPAQEPSRRFRAQGTHGRFHVQGTPGRFPSQGTLGRFHVQGT PGRFPAQGTLGRFHVQGTPGSFPSQGTLGRFHVLGTPGCFPSQGTLGRFHVLRTPGCF PSQGTLGRFHVQGTPGRFPAQGTLGRFHVQGTPGRFPSQGTLGRFHVQGTPGRFPSQG TLGRFHVQGTPGRFPAQGTLGRFHVLGTPGCFPSQGTLGRFHVLGTPGCFPSQGTLGR FHVQGTPGRFPAQGTLGRFHVQGTPGRFPSQGTLGRFHVQGTPGRFPAQGTLGRFHVQ GTPGRFPAQGTLGRFHVQGTPGRFPAQGTLGRFHVLGTPGCFPSQGTLGRFHVLGTPG CFPSQGTLGRFHVLGTPGCFPSQGTLGRFHVQGTPGRFPAQGTLGRFHVQGTPGRFPS QGTLGRFHVQGTPGRFPAQGTLGRFHVQGTPGRFPAQGTLGRFHVQGTPGRFPAQGTL GRFHVLGTPGCFPSQGTLGRFHVLGTPGCFPSQGTLGRFHVLGTPGRFPAQGTLGRFH VQGTPGRFPSQGTLGRFHVQGTPGRFPAQGTLGRFHVLGTPGRFPAQGTLGRFHVLGT PGRFPAQGTLGRFHVQGTPGCFPAQGTLGRFHVLGTPGRFPAQGTLGRFHVQGTPGRF PAQGTLGRFHVLGTPGRFPAQGTLGRFHVLGTPGRFPAQGTLGRFHVQGTPGCFPAQG TLGRFHVLGTPGRFPAQGTLGRFHVQGTPGRFPAQGTLGRFHVLGTPGCFPSQGTLGR FHVLGTPGCFPSQGTLGRFHVQGTPGRFPSQGTLGRFHVQGTPGRFPAQGTLGRFHVL GTPRRFLAEEHKRDFMYKDSRTISCTRTQGRFHVQGHKVDFMYKDTRTISCTRTQGGF HVQGHKVDFMFKDTRSISCTRTQGRFHVQGHQVDFMYKDTRTISCSRTPGRFHFICES LSSLKVPWPKIGPYFERFYLHLLQWKRNQKGFRLGLYCLRWRSSNTYQQVSSLHSLEK VIGGTLDIGSPDFVLRDMSRLSQKELDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFG IKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_164349 MMSHLPGVLFFWKDPERPIDMILLQSDQSKSFGKEEHLCYWEIR LTGIAEDIILSFNLTLRFSIIFITMSHLPGVLFFWKDPERPIDMILLQSDQSKSFDNI IKGDPFDWNS PHYBLDRAFT_141325 MSQVAGPSDFLDPRKATNKIRTKRTPSKLLPVIKRSKDGVWDTL LLTDAELTVADWLAMNKFTSKDIKGEFYYLHGLKKNLIPPINLVKNNKNTDIETDTYI STKFKTDTDTKTSTDINADNNTYKEFDSMEDDIGSENYINGSNRYKSAQEYSDDITYN YKSNFNNFSVSQPLKASIMINNYIISVIFDSDAMNPRAKTLNFLECSGSEPVEYNFDL KIQPL PHYBLDRAFT_141326 MSSLIAGRFPAQRTPGQFHVQGRPVQFPAQGTPGRFPAQEPSRR FRAQGTHGRFHVQGTPGRFPSQGTLGRFHVQGTPGRFPAQGTLGRFHVQGTPGSFPSQ GTLGRFHVLGTPGCFPSQGTLGRFHVLRTPGCFPSQGTLGRFHVQGTPGRFPAQGTLG RFHVQGTPGRFPSQGTLGRFHVQGTPGRFPSQGTLGRFHVQGTPGRFPAQGTLGRFHV LGTPGCFPSQGTLGRFHVLGTPGCFPSQGTLGRFHVQGTPGRFPAQGTLGRFHVQGTP GRFPSQGTLGRFHVQGTPGRFPAQGTLGRFHVQGTPGRFPAQGTLGRFHVQGTPGRFP AQGTLGRFHVLGTPGCFPSQGTLGRFHVLGTPGCFPSQGTLGRFHVLGTPGCFPSQGT LGRFHVQGTPGRFPAQGTLGRFHVQGTPGRFPSQGTLGRFHVQGTPGRFPAQGTLGRF HVQGTPGRFPAQGTLGRFHVQGTPGRFPAQGTLGRFHVLGTPGCFPSQGTLGRFHVLG TPGCFPSQGTLGRFHVLGTPGRFPAQGTLGRFHVQGTPGRFPSQGTLGRFHVQGTPGR FPAQGTLGRFHVLGTPGRFPAQGTLGRFHVLGTPGRFPAQGTLGRFHVQGTPGCFPAQ GTLGRFHVLGTPGRFPAQGTLGRFHVQGTPGRFPAQGTLGRFHVLGTPGCFPSQGTLG RFHVLGTPGCFPSQGTLGRFHVQGTPGRFPSQGTLGRFHVQGTPGRFPAQGTLGRFHV LGTPRRFLAEEHKRDFMYKDSRTISCTRTQGRFHVQGHKVDFMYKDTRTISCTRTQGG FHVQGHKVDFMFKDTRSISCTRTQGRFHVQGHQVDFMYKDTRTISCSRTPGRFHVQGN KDDFMCKEQ PHYBLDRAFT_141327 MRSALFVAFIISFLVSATYAGPCVYGLFFGTIAAAVASPAILGC NAMFGACSSACACTLLLPTP PHYBLDRAFT_141328 MSTISLQTHTTSNKTPTVVSSSRETSTPTPLPRQRKVLFEAEPR AVIMPAKSLSPVVPRSRARLNSTGSANSQTNPKIPSYPLPTFSHVKSKIGSKENKKKP QKLAPKEEVLEKKGLRVLQGPPKRKRSKIPLRKKHSAGTQPANTALRHPSSSSSSSSS STSSSLSVSHPSPPPPPTHPPILAASSTGSSVCAVSARPRVISFNIHQNTSGIVRLTA ELCDLNIDIKEHSSSR PHYBLDRAFT_122377 MVNPINAREAFELHKTAVTRDFIAKPRLDYRTVTGVNGPLVILD NVKFPKFSEIVNLTLPDGSVRAGQVLEVQGKKAVVQVFEGTSGIDAKQTHVTFTGNTL KVPVSEDMLGRVFNGSGKPIDKGPKVFADDYLDVDGSPINPSSRIYPEEMVQTGISAI DTMNSIARGQKIPIFSAAGLPHNEIAAQICRQAGLVKKLMPTKDVHDGHEDNFSIVFG AMGVNMETARFFKQDFEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLE KHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVDGRNGSI TQIPILTMPNDDITHPIPDLTGYITEGQIYIDRQLYNRQVYPPINVLPSLSRLMKSAI GEGMTRKDHGDVSNQLYAKYAIGRDAAAMKAVVGEEALNQEDKLSLEFLEKFEKTFIS QGAYESRTIYDSLDLAWSLLRIFPKELLNRIPQNILAEYYPRDRKTKRSKGVFDTTEE AE PHYBLDRAFT_141330 MTSGSSIKEGSPIIKDSGQENNYGSTSDNVSTSSTQDDQITITL KPTSEDDHPDLTKYMGKASLSVLVTCLCTGCFLSAMDSSIVTTVFNEIGTEFKNSNLS VWIMTSYMLSTSAVQPLYGKLSDIFGRKNTLVAILLFFLIGSWLCGAARTMLELSIAR AIAGLGGGGLMTMASVAIHDLVPMRSRGQYQSYVNMAHTVGATVGAPLGGLINDMFGW RYCFYLNLPPCLVILYIYVYRLENYNLAVSKSNEPLSEKMKNVDFVGALILLTANVTF ATGVSLGGNTHLWSDPFIVALLVIAGISFVSFGFYEFYVAKKPLVSRELIRNSNLVSV VLNNLFLCSATMGIGYLVPQFFMGVLGYNASSAGLWVLPRTAMVTIGCWVAGRYLAVT GRYKKYIVVFSVVNVGATVSHFLWTSTTPMWVQFLSMSVEGYCFGTLFVATMVALVAD ISRTENASATSMMFLCRSTGWLTGSTVTAAILQANLKKNLTASIKGPDAPKIIEFVRT SITKIRTLPPDIQIVVLAALEKSIHVTFWYAIVCSSLCFLVTSLFMKDCHLSSGKSK PHYBLDRAFT_185497 MTNFLEFTRVTETLEIGNCQNYKSLKLRLMFLGPIHIPTRILTS LLACVTNHGVFAKERPKTVYDLLNNNPDFSSLVKFINEHGLKKDLTGDNVTVLAPLNE AFEKYQEKESAYFLTTRKITTNDVLYHILPVAVESENLYDGQLLKSLYYKNEIPQMIK VNVDDNGIYVGNIQIKERDLLADNGVVHSIDTFLTAPLDLDRALQKDKDLHSFNELAS KTDLIYKLSDSDGYTIFTAEDNLDGLDDKQKSYLHSPSAKDDLKKFLGHQIHNSLLYT HEIPEGTSQLKTWGEDLEITKTKHGKITVNGVRIIRSDILVSNGVIHYLEGSILPSKK FWDFDTRKTMLAMNATKFVNLLEDNGLGNYLKEADTRGYTFIVPTDEALETTDLSKKE TVSWLKYHIIDRVYNPKDFVNGALLQTESTDQLGDAKQRLRVHVNDQDDALKQNIQFG RSGTAGSPYNSKESIMYPIHRPLDLPRDPLRRLPVDLELSSFVAAIYASSSEDVITDA KGITLFAPTNDAFGRLGLLYKHLLHPDSKNKLQELIKFHAVKRLFYGAETEKGEHKVT TLQGSDLVLNKTGDGIYLRGSGAADGSDRSVIAKIVEYDTLVSNGVVHKIDRVELPKS LEVTNRDLLSAQTSTSFLDLLRRVDLSKEILDGPNEHYTILAPSDKAISKIDLEELIN DHEKLKRVVKLHILPTLIPRVNMLNGKRDDSLFDMGSTYNDNDIHREITLAGVDFDTL LSNDDKIVIRTTPMGYSVSVKGSLQEGADVTDVGRSSTGGGVIEIDRLLMPYDDSSVS GLSWWSVIFIVLGVMMGAAILAYLIYFMYIWWKRRRDGRIALDDV PHYBLDRAFT_60577 MKIIPIQALENNYSYILIDEKTKEAAVIDPMEPIKILNVISQTG AKLSSVFTTHHHWDHAGGNIELVGKYFLSKKPGLAVYGADARIPEINYVCKDREEFKL GSLDITPLHTPCHTKGHVCYYVVDPATNEKAVFTGDTLFVAGIGKFFEGDARDMYRIL FHVINKLPDDTWIYCGHEYTRDNLKFALTIEPQNEALQAKWAWCQDKPITVPSTIGQE KMYNPFLRVNEQSLQMVVGKSDPVEVLHSLREMKNNFRLM PHYBLDRAFT_94109 LLNARLAAASRPSMLVVRAQSTLNTGKVVEDPQIGDYPNLPYNS SQARGPFGWWDPQDKRNFGENVHEEDEIMGVWAPDLHTYSPYKALAQIGVFFASMAAF AGFIYKVNPERPVIQRTYPFGGLTEELGARE PHYBLDRAFT_60579 MSLFPVHEEPMQKDLFAGIVTSLTFHSESILVAGHGPFLKVYNV QTGSLLACEEVLPANRIHRIVPVPRVVYNGSTESRTLAIYGSKFLSIVQLNVSENQAS ISVIQAYGPFPDWIMDAQWLKPENANQWDQVPFEIALAYAHNYVEIYNISTSTPERIY QIQCQVRCILYSARFSGHTLASLQLASGTVFNQVHIWKVSERDEEGDGRVSHKLVGHE GVIFGVRFSPDNSMLVSVSDDRTIRVWPLKEDSTGRIPNPCIIFGHTARVWDCQFVDE YLVSISEDLTCRVWKNGLLTGKDEENASDVDCLACWEGHVGKNVWSCAISPEHKMVAT GGQDSGIRLWSLVSIKKNKIESEDDLACLALPPQFTDDYVRNFVLASDKKAIVATNNG HFLQFDASTEPGTWKSVHSDQDYRGYAMLQASSCGRLVVAGSMGGHLLMFNTDRSFEP VKVAVHQQKIFEMFIEASTDKNVFYIISHAYNGKVYMHRLNIRAPSEPTFETLYQLEL PIERTTIVCTALTEQQGLLICGSRESALLIYRLPGLGNPSKEEIMPVIPLIQLRRTHG RQCVSSVVIKPKDLTDSSEDILFWTTGRDGGYIQYRLRNLLEKETIINTEETQLGIAS RGDTITETKDLVLEKVYRNRVTKGWLEGAIFVDGQLLLLGFYRKRFFVYNEQKNFEMM SVACGGAHRRWQFITRDAKLNTATFAFIRKEVLYSYFRDGSSISGGFNESILLDNYHG RDVRAIRYMKLFPDSPAPEPLLFATGGEDTILRLQQYMPSSDSKFVTLATIRKHTSAI KSIEWSKGISTLLFTSGAHEELRCWKIEAKQATPPNSMIPLSVHCLDETSETRIMDIT VVLVDAARGLHLVGAVYSDSMIRIWLFNETTRKFSLVVDGTWHAKCILQIEHIIIPDE QGRDRLLFFTSATDGRVACWDISESLYDALNHVDLEVDPTKPAVKLTEPVFYYSAHMS GVNALQVTTYKDSKHLLFITGGEDNAVAAAVVNTCPFEAVGKPFIIPNGHASSVTGVN IIGKTVFTVSTDQRLNRWEIADHGKDGASLDMADAIYVDVPDPSAMDLVQYNGQIHIA VTGIGLESVKTTL PHYBLDRAFT_17077 MVAFQHQTINSPILNLVRTMKVRSSVKKICDGCSTVRRRGKLFV TCSKNKKHKQRQG PHYBLDRAFT_108084 IRLIKDPTFDPNARHQGGWTPLQVAVIQDNEPAVRLLLEKGADP NEVDQYIPRTNQEAALRQTDFSRELMPFRDYRDYTALHYAVIIGNPTVVQLLLANLAD PLISNSSGLTPREYLYHVQRYTGEDNEEIEYMLREKEASYSVDKAAYEDQKKKERMQK EKEYRKKHPLEGALKNRIVGQLGPIHALASAIRRKQNGWHDEEHPLVFLFCGSSGVGK TELAKALVEYLHGDQLDKGFVRIDMSEFQHKHDVSRFIGSPPGYVGYDEGGQLTEKLK ECPNAVVLLDEVEKAHPDVLTVMLQLFDEGRITDGKGTTVECKDAIFIMTSNLAQHEI ADEAELLRLEASVTRDAQSTGTATATGVGATTDESISLSRQFIEKVIYPILYDHFRRD EFLGRINEVLFFLPFSEDELREITAKELRRWAEKAKSRHGIELSWDNDVVDVLAQGYN IRYGARSIKYEVERKVVNLIAKANENDEVMDGGRVHFVVEKSQDGTHKFVKLEIPKKG GEEKKSGGGWFSKK PHYBLDRAFT_60581 MPPSVLESSPLQTTGPIKFNNVNHQKNNSLNLQDFPNYSDLENA NEGGKYNRFLRTIKQWRFQHREFLAEFIGTFILVLLIDGVAAEQTLFGTKSWLTSSFG TGLAVLSGICLSGHISGGHINPAVTLAFWAFSGFPTRKVPVYITAQIAGAFTAAAVLY SVILPAITEFDGGVRQIEGPLSTAGIFATYSPLYVGTGAAVASEVVGTALLLLIIMSS GHPNNLPFVTSQGFVIGIGVIIICLSIGYTSGFSLNPARDIGPRLFTALAGWGFDVFT VHNYYSLVPIFAPLLGAMIGALTFVIFVDQ PHYBLDRAFT_157763 MSGNNQKISIFPTRMALTTMKSKLKGAQTGHSLLKRKAEALTKR FRTITQKIDELKRKMGQVMQVASFSLAEVQYITGDISYQVQEAAKTAQLRVRAKQENV SGVMLPVFEMYTEGGNVFEFTGLGRGGQQIQRTKEVYAKAVETLIELASLQTAFVILD EVIKATNRRVNAIEHVIIPRYENTIKYIISELDEQDREEFFRLKKVQGKKKERAAIQE IQNGVDAAEAADKKQEALEFKAAHESGQIDVFEEDDQDLLPF PHYBLDRAFT_141340 MRADTIAYPPQPKQVFSSILAAQDQKAYPGIQEENADEEYFNQR QDGLLSLILGVQSYLSEVSKSQPGEHNSPLFDLQHKMFMYLKQRDFQVELDFSTV PHYBLDRAFT_164365 MNETELESLYRKQMNDHVVLQTRQIINQLLELYPQEFGLENLVS VKPALPFMISEKLSQLYQEVCESYIGTSILFEHAWHWSLLWRQQLSFKALAQTYGRER VVASIHPRARKAVGQIYHAGLDQYMQWFPWPWFSNMQFIGAGGFSAVYAVNLRLPYEP QPIKMALKIVDDKLLNEISVQSKAFLPLLFQGLTVCESTGDLMMVMKFSNDGNLEDHM QQLPLGDLDLKTITGTILRLAANLADLHKAGMCHRNIHPRNIICTDSDYFLVDYRFAT PSHESSSVTAITRAVYGRLPYVAPEVRQGIYTEKSDIYSLGIIIWQLVSKVIFPSPDV LLDGDRSTVTNGRGGNGIGIGIGIGGGGASSISSISSSSSISSSLLASTAAGGRMIGG GGSNDHVYRIEHVPGLPEWYTQLYTACLEPNPDNRPDANQICQALQTIHENLGFSVPL NRWVTEYIVARRAEVADHVRTYAKVKGIVSASMTRLYTLSTLPSTGHFINLPYTHRPF FNLIIQTTPHQLS PHYBLDRAFT_157764 MRKLDHETCAVADTLEELKELMYMNKHQIQELNSRLRSIAKTVH EVRKDIRRINKFLEEKDSDETVVLEKGEVQTRVDEILWGLDDVDRDNTKKLRQMQLFW ESQEVVIAASAS PHYBLDRAFT_141343 MATNMHRTISQVTKQSLIRSFNTTSSRLSQNYYLAKAHNSNDLL RLGFTKSKQLVGALSSRGTREKNEDEWSAVTLELPAGKNLRTMDRTSNRAYFGIFDGH GGPAVSEWLANSLHERIETVSLDDLPELLKRLRAFGGYFKRFKIPGIFKNLVDQEGRR LSGVTDTDMSVEQRIMLGFLMSDVYCIDSLLKTDGKQQEHEGSTGSIAIVEPHDDRAF WESQRYDIIVGHVGDTRILVCESCSGEAVALTTGDHHPGNPLEQERLRKLAGYVTTDS WGDDRILGLLATSRAFGDSKLKRYGVSSEPDIVRHTIDSKNPAAFMVLVTDGVTSVMS DQEVVDIVKQYKDPTTSAKHIVDICDQFGSEDNITAVVVRLKDWGARMNDLTLDLRKY RLANSPMSSRQSW PHYBLDRAFT_108477 MSKKPQAAEVLIRLLVSAGKASPSPPVGPALGARGVKSMDFCKQ FNDKTKHIVPETPMPTVITIKPDRTFTFVVKTPPTTFLLKRAAGIAKGASTPGTEVVG SVTLKHVYEIAKLKQQDNNLKHLSLESICGSIIGVAKSVGIEVVH PHYBLDRAFT_141345 MSESRQLDLLEYANQVINTKQLEPEYMLPHLCQMLKTIDILAKK DRICSLSFDSLYKTKGIHLWNTATLLTQDGLDAKDWCTLHALLRHVGYYMIQLGTTDK STDCGDYERAEKAFEEALGWKHKAESTSSLSKPDRTWSYQHMDTSELFMFMAELASRR GEWRNAEELFDKASDSISIYDDNNKTQKTEFMLRLCIIVGTELSHTSAKDALLRLLQM YSNRLEDSLSSNLTKMLLRISSDMHKDVVYYMARLFILIKVDNPVKITVERVYFQAME KCSVQTGDMLMNTLRNLQNIVQADTLVSGLDLLIQRNLNTNHPKSDDLATFQTLTLFK LSFIAKCTPQDISATEELLESTMNGVYLLREEMCTVDLQLFQMLMWRIGDCFYEKQDF SHALVWYKHTWSLCLASFPKDPNTLVLARKLAVCYLEADLPERALHYLSESFPDDTFS NEDYILLFDISIKLSMWSKALLYLENLISSKGLEMYELLSANQPKILHCVFAHVWNLY QKNGPDTTTYFDILTLARWMVKLGINQDLTRLEGNDSQTYNDRQKVCALMCATAYVFS DFPKEDNVYKELSDILCQLKNTNEDVDNDKNKDPWTSIVQLFEIEAMVKLGHFEEAAK AQYAQTSENASAGRICGRMTAAFLQVQCPIQILIRVLEALIGLFYARKRPDEVDRCAK WTRLYLAISIEHDKKRTLELLQKTLLYMGCETYPQDELYYIVVVTWNEGVALSYSHDS DKARQWCQLTFSLLKYMKNKEKLKDEMTQAYALMMRFNT PHYBLDRAFT_141346 MILSRLNKKHVPVEVYPLVTVVSVCVLGGIGSMVRKFWTDPDIR HKSSS PHYBLDRAFT_17115 MTKTADPHDEYEFLGQIGDGSFGSVHRAKNKESQHIVAVKVMKK KFSDSSECNDLREIKLLSQMPAHPNIVQLYDSFLSPVNDLYFVMEFMDQGNLYQLIKT RREQDSTISNDEIKDIVRQVLSALAHIHQHNIFHRDMKPENLLIGSSTSGPIIKLADF GLARELNSKPPYTDYVSTRWYRAPEVLLRSPVYAASVDLWAVGAIIAELITLQPLFPG QSEIDQLYRICQVMGSPGKKTFIRKRARPEKRVSPGFARKKPSLLPKPLETVIPCDSE QLLDLVRQCLIFTPELRTTAQDALKHPFISDVD PHYBLDRAFT_60592 MLSYERQSTFSKNSFKFRNNNLKKYSNSRTINHEIVGIPPSRPT LQKKFIKGLKSPMYQCLDCDYVSDRKSNLSRHMEKHTQTREPPRCDSQKIKAKAKQFV SHKSILSISLFVF PHYBLDRAFT_108618 RYAWLQNIKDANGTPKGSPDYDPRTLYIPTSAWNGFTPFEKQYW EIKCKLWDAVVFFKKGKFFEIYEGDADIGHQEFGLKMTDRVNMRMAGVPESSFDNWAS QFVAKGYKVAKVEQKETALGKSMRERDIGGKADQVVKRELSSILTAGTLVDLDMLTSQ LGTYCMAIKEQAYSEHDPPLFGVCFVDTSTAEINLTYFEDDLNRTMLETLLVQIRPKE LVVEKGRLSSETEKVIKRALHEPIWNRLIPEEEFWDSRRTEEEIRTSGYFGQDTDMDY LESWPTDLREAIKHPLCLSAFGGLLWYLRLLKIDQPLVSAKHIQNYDPVRSATSLVLN GQTLINLDILKNIYDGSTDGTLLKLLDNTITPFGKRLFQQWLCHPLLKKDAINDRLDA VEDLLRLHSLRDNLTQNLSKLPDLERLISRIHAGSCKVKYFLSTLSGFEKAMDTFRNL EKHSSEFTSTLIKKIIRSFPNLEDKLNYFRNAFVMQNLDMDYQKMYAMVPIDGSDEKW DEIQQNIKDIENKFERHLAEISKGKKQSFVYTHSGKDIFLIQTPKGTSVPREWIVVSD TAKFVRYRNSTTVSLVKTYKELLETKSSYIKGFTKKVYAVFDQEYKMWLLAVQCLAEL DGLMSLSKGSFKLGAPSCRPQFVEQEKGMIEFKDLRHPCVVPGMASEFVPNDVCLGGA KANMIILTGPNMGGKSTLLRQTCIGIIMAQLGCYVPAESAKLTPCDKIYTRIGANDNI MAGQSTFMVELSETSRILKEATNKSMVILDELGRGTSTHDGYAIAYAVLYRLITHIGC LGVFATHYQGLCHEFIERKLVRNMYMNYFVNTDENDITFLYKLTEGICEKSFGMNIAQ KAGILPSVIKKAIQASNDFEKSSRIKDSTYRKDSQIKVQNTPSERADIAFALSLGTSP SNEKSKDLVEKLKRMTL PHYBLDRAFT_164374 MYNPSKRTIKRRKRTAVPQFLLDHFSEDVPISGLTYIPIERTPV PETEFNSPRIIYIYPRKKRARTAVAASTSNHSENVTASPCDLEIELLPDSQINFLYVY VALKFFEVVFCECKTIPEQLAEMGMFPGSLKNTQFAIHFGLLEFMRNLRNVLATSDQG LVDLYNKVNPASEKQMTKTFFKSIFPAYTKLMMLVEDRGKNVSPASIGSEGFSACPNQ SEQRFSIVDVSDDKLLGLMLKKNCVDITAFLETGHKAQVNIALFLIKFTLLCRPKELT GATNRNHLQNEINRLKQQMVYKDTIKADVHPYHKTSTLRLKSGHIVTTLLRYSGLFMG PHYBLDRAFT_141351 MALGTASKKHRFTLVSSILTFAKRTEGEPPILSSPLMSDVEVQR RSDRLVFYGLTAERSSDAVDL PHYBLDRAFT_164375 MKTFIAIIGLLGLSLVNSAPLPGTQPSNNTLQALVPVSHTILGM YNNGIDPSMSNYTASASIELVNPPQPTEASEITVQYFSSDATSMPTPTNGALLATAMA VTTITFIIAF PHYBLDRAFT_185503 MSLPNYNIDIFNQIYVKKLFYLIEFHLMFFYMSKRIKSMTPSSL SPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHISSPSNVGANSPENLPLGDKV QLIRLPVASPSAVKEFSQVSSEEPAHLTTG PHYBLDRAFT_60598 MTISVQPFFFVSVLYLWSTDLILISSNFLRYADFSLLDQDDKLP LISLFYDNHYRYHPNYSYSIFRALALALEPKQNKTKNYINESTVITACIKIALRVTQY DNVPLYDINTLLTQYTLCLSTYL PHYBLDRAFT_176945 MIEMQLVALIELFPSDGSRAIKEPKDTSSSPHNFIVINNMEAKP FDRSALEQLVTKRFFYAPSFQIYGGVAGLYDYGPSGCALMNNIINVWRNHFVLEEEML EVDTSIMTTYDVLKTSGHVDKFADWMCKDLKNGEIFRADHVVEAVLEARLQGDREARA AEAGAAAVAAEAAANAEADKAKDAKKKKKKGTVVAVKLPDETVKLYQETLAQIDNYGG EQLAELMKKMDIRSPESGNELSTPQEFNLMFESNIGPTGQLKGFLRPETAQGQFVNFK KLLEFNNDKMPFASAQVGRSFRNEISPRSGLLRVREFTMAEIEHYVDPEDKSHKHFKD VKDVKISILSKDVQLSGKTTTIEMTVGEAVSKKIIDNETLGYFIARIYLFLMKIGIKH ERLRFRQHMDNEMAHYACDCWDAEIQTSYGWIECVGCADRSAYDLTVHSNRTKEKLVV REQLAEPRVIEQWQVEINKKTFGPKYKKSAKAVEEALLSYTDACYVKLQKDFETTGKS TVVIGKESFEVSKDDVSVKRGTVTEYVREYTPNVIEPSFGIGRILYSLLEHSWWVRGD DEARNVLSFPSIVAPFKCCLLPLSGNAAFDPFVYKFSRELRKNGISTRTDDSKASIGR RYARNDELGIPYAVTIDFQTVEDGTVTLRYRDSTEQIRDTFENIVKLIKDETN PHYBLDRAFT_96349 RDDANPHQRRPLFIAHLPFSALTPLFRSRNLVRGLLRVNKRNRS DAYVACEDLDADIYVCGSRDRNRALEGDVVAIRLVDVEKILREKREKEEAKLTRNGGH IRVRQPDEEDENEIIFGGDEDVDTVKPKYCGVVVAILERAQNQVFSGTLTLMRPNNKR AQEEKAAEEAGQDTGRRETPRIVWFKATDKRVPLIAIPIEQAPVNFVENNEAYADRLF VGSIKRWPITSLHPFGTLERELGHITDLPVQTMAILADNNVTDLPFAEQVMLCLPPMP YSFPTDLPDHQRRDLRDTRTFTLDPENSLVLDDAFSIEKLGDGLYEVGVHISDVAYFI KPHSPLDKEARARAVRVELMHKNVPMLPTELTEQVTNLVPNESRYAFSVIWKITSRGV ICDTWFGKTIVRSNAQLSYKQGQEAIEGFPISGLEDIAVEQDIRTLYDIATNLRETRF SDGALSQKADELEFEIGPDSSVPISISTKSEFPVSRIIKEFHLLANYYVSQRITSCMP EQALLRRHAPPLDRKIRELQAYAESFLGVSLDITDAHTIENSIESIQDSTLRKLMSVL VLKTLSPPKYFCTGTLDITKFAHYASNVPLFSHFTSPSTRFVDIIVHRQLEAALTDAR FYLDRDNVQKLAQHSNVKREAALYARAQSNLLFLALHLNSLAQQNADHVVYRQGVVVA VFENSFDVMVPELNMEKRIHIVSLPMRQRPVYDPVNQSLTMYWKKGLDDEALLAEMAE EEEAELVGMRTERSFKALSIHDNGSLQYRNNISSSSIINNNNNLNNSNSVSSLNTINS TGNGSVNKRMSISRARISDGSNYGPDQGIQTIKALDKIHVMLTIEMVRTPPLIRILAA NP PHYBLDRAFT_108914 MDSQALPYDNEVPTSTPAHMEVDDTQEPPRPPRRVGFNVENIDK VVDEAGVLAMRDFEDFLETFKDPNASYIVSGSQWMGRPYMMQIDQLKLTQLSTIFVDF NHIKQSAPSLVLALKEQYYRFLPFLRRAILNVVRKHFPDQTYVNTTYGDQVSGAILRE FSVAFYGVPDLARVRQLRTDKIGQLISVSGTVTRTTEVRPELTYASFTCNECNKVMND VEQQFRYTEPAMCQGSQCFNRQHWTLNVEQSKFVDWQKIRIQENANEIPTGSMPRSLD VIVRNEMVERAKAGDKCIFTGTLIVVPDVAAFRTPGTSVETQRDNTTRSKEGLAKEGV TGLKALGVRDLTYRMAFLACMVQPATTSKVSSSNLQGDDGGEETGLQVLEDYTPEEVD QIKNMIDMESAIYPKLVSSIAPNVFGHDTVKKGILLQLMGGVHKVTPEGMNLRGDINV CVVGDPSTSKSQFLKYVCGFMPRAVYTSGKASSAAGLTASVIKDEETGEFSIEAGALM LADNGICAIDEFDKMDLKDQVAIHEAMEQQTISIAKAGIQASLNARTSILAAANPVGG RYNKKMTLKQNINMSAPIMSRFDLFFVVLDECNEITDYNIARHIVSVHRLKDDYITPE FGTEELQRYIRYARTFKPKMTPEAASKLADCYRDLRQGDAQGISRNSYRITVRQLESM IRLSEAIARTYCTDIITAGFVEEAYGLLQKSIIRVQQDDVEIDNEETPEEIMRSAEAL RGMSSLRIQDENDSAPSESMQVESQQSQPRIRIDYEKYEQIKLLLAHKLRLVQKEASE NDQEPGLRFNDLVMWYLEQQEDKLNSPEEFESEKIIVEKIIKRLVKTVGLHF PHYBLDRAFT_76387 MTTEPIEPIEPTELQCHCSKCGVIFVRHSQLKGHSKEYHSAKVT VNYPYSAAPTVVYRREDGTFPCICGGSYATSSSFRRHVKKNICQGAQRADSSSLYSSN DDEIEATEDENPDGDDIESTLDMVDARLPQTLKCPAASTLEPLEQSHRSYEHAILHAC GVMHLSQDEAKRHIKFIDALDLKPIALIDQDGNEENCLVSNEVIERLSSTHSNFSFHE VNPTKKRKISSTDELDADTPQQGIHLDASLSYVIDSSPYAHTLQSETYIELNSEICEL LNTDWLMGPQLRYFCAQVFAGAILLESETGQAMLVNSIEVYGRTISQDVHRERYIFKD ETLRASSLPPVDSIYPNVCVSYAMYAKNRSLVIGTKTFGGYITSGVMLNGKSMPEIGP SFSQFVLTKHLARNIKIYINKKSFEDAQIIANNRESKQLYGFAILSQLRNLRSLFHCP STYIMCRATSRLMNDHPTRPYTIFTYADSDKVPLDSDARVASELFQTVALAVLDGGYQ GTLEKNDVLRLRKRCEEGEIYDCLQNILDLYLMVDDCSSISILGNRALNLQLTNCADL LWPSLAIANEAVVQAIADTLEKASVFDTV PHYBLDRAFT_108077 MDAENARKNWELENNIQTVDPAKDQIYYYDAQLDKDNVAQQPWK TNPNHYKYVKISAIALIKMVMHARSGGNIEIMGLMQGKVQGNTMYIMDAFALPVEGTE TRVNAQSEAYEYMVTYMERAKEAGRLENAIGWYHSHPGYGCWLSGIDVSTQMLNQQYQ EPWVAVVIDPNRTMSAGKVDIGAFRTYPKGYKPKNDDPSEYQTIPLDKIEDFGVHAKS YYPLEITHFKSSLDSHLLEVLWNKYWVNTLSQSPLLTNREYSTRQMTDLAEKLKKTNS SMTGRMGGYYGDRKKNDESQLGKVTKDSTKISVEAVHGLLSQVLKDVVFNQTSQARAC GCRPK PHYBLDRAFT_60604 MSPTSDKVPHEEGIPNFSSPYSVDTIDQIPTPLLSALVSLGPLV QITATLVKVITWQTSHSLSVLAILAWIVTCIWTRTVLQCLPVLLLVKLASDWFRIRTR QARRLTLDRKKKSRLLRRVKSKKTQSSEEEDSPEQPQEEDEEVLKRKVLDDEISLDDT LQNIITIQAWVVEIQHSLKNVLIYLDGTQSECIVTVLSVLLYAWPVWAFFLWWLGVSV FVGFVGALLIAYPSPMFQVAIQSLKDNRMLYHAAVSLYAYSVALVVSCRLLRPVFKPN KGIIARLSDWVKQLIGRANNEKQKAFGQMAPVDAEIVEKPSSKSEMVFQFEVFENQRW WLGMGWTTNMMPSERTAWTDNQLMPILSKEKFDLPEPTEANPTMRVITSKSWSWADSE WWVDMTKELDGKIDRNGWAYGNNAWHLFTSSPGLGTYTRRRRWCRRARLIERHTSPLQ RKTYASGEWIKE PHYBLDRAFT_141360 MLKRMFFNRLGINEKAEQYRPKGSAKVTSIEKRYPYLHYTTPHK TLNDKQEKKKLAKVKQIANWLDNAVPGSPVPLGIDSLLSFIPFIGGFLGSILAMYQIY LSTQFGIPLWLLFRMLLNVLLDFMIGIIPIIGGFLDMFYKANLWNAEALEDWLAHPGP ILDPVTGSSAAVATQITWRQLTHDALHIFHSMVPFISPANKS PHYBLDRAFT_56765 MWLLFTGFLAAAYALQVPKGYNPENLILGLIYAWFCIYMFFSHV STDYVTKPWNIAFAFINRQLSHIRSWILSLVYAIFVFAVIAITVFSLPESDSSTRIQR LIALAGMILFLLFVFATSTNHRAVKWNTITTSILLQFLLALFVFRSQAGHDIFQWAST FAQGYLSKAWHGTSFVFGENVANSGLFAVSVFPAVIFFAATVQVLYYINALQWLLRKC ASVFRAILGVSGAEAVVAVASPFLGQGENALLIKPFLPLLTPAEMHQIMTSGFATISG SVLYGYIAMGVSGQALLTSCIMSIPCSLAISKLRYPETGVPITKDKVVVPPSQEKPAN ILHAAGEGAAIGINIALLMTANLISLLALLYAVNAALTWIGNFLTIHELTLQLITGYI FVPVVWFMGIENKDVLLVGRLLANKIWANEFVAYDILMTTYKDLLSERSHIISTYALC GFANLGSVGLQIGVLSALAPKRAGDISRLAVSAMICGAVSTWVSASIAGMLI PHYBLDRAFT_164386 MTLAAHLNYSSFYTRNLRMFRAKTTTESMYKEYKKSGHNIKRNI QSQYFELTSTHAGTTYRKVLNMKRWSQKKQKVLSKPLRNNKAIPNSYLTFWDSFSSKH TVHYETTPLEGYSYCLTPAWDKMAIVYTNSTEERFGSKAKLSIASNTFGFWLYLIALQ LFSMCSL PHYBLDRAFT_131156 MTTTPEALPASSAMAPSFTQAIPPPSPLAPFPASVSSSLVTNTV GEEVSTLFIVGFPEDMQEREFQNMFIFSPGFEAATLKVPAKDMEEDVNYQAKKQTIGF AKFRTRSEALEARDVLNGRKVDAEKGSLLKAEMAKKNLHTKRGLSNELQVIVPLTPKR FLPSSQTAFEAFYSVPINVIWPDPSLVIGSTVIPLIPQPPLFKTHRASFRPPMPDTPN RFAIKASRFNLGNIADQNPPCNTLYVGNLPANTDEEELKAMFASCTGYKRLSFRNKPQ GPMCFVEFEQVVYATNALQTLHGNTLSNSVKGGIRLSYSKNPLGVRQQGQMSPTAIVQ PRPSLPFDPQLASHLANSHA PHYBLDRAFT_122397 MSPPHNDTYPAPTATAAPTMSPPLFKVNSPNVEYTDSHIQSKYT YTNTIVSKKADGSLVAEPVEQVYQFKTERTVPRVGLMMVGWGGNNGTTLTASILAHKR NITWRTKSGVQTPNYFGSLTQASTVRLGVSAEGEDVYVPLSQMLPMVNPTDLVIGGWD ISGLSIGDAMERAQVLEYDLQRQVRDELATLKPLPSIYYPDFIAANQSDRADNLLPGN DKRQHCDKIRKDIRDFKIANNLDKVVVVWTANTERYADLIPGVNDTPENFLAAVASSH DEVAPSSIFALACIEEGVPFINGSPQNTFVPGLLALAERERAFIGGDDFKSGQTKFKS AIVDFLVNAGIKPVAITSYNHLGNNDGKNLSSHKQFRSKEISKSTVVDDMVAANHLLY KKDEHPDHTVVIKYVPSVGDSKRALDEYECDIFMAGRQSISIYNICEDSFLASPLIID LVVMAELMTRIEYKTGDMTKYSRFQSVLSILSYMLKAPLVTPGTPVVNALGRQRSAIE NIFRACVGLAPNNEMGLEHKIWKQ PHYBLDRAFT_179872 MLKGTKNTLQKLKDSVNSGNYYESHQMYRTVARRYNKQQKHQHA IQLLHDGAVSLMTHKQSASGSDLANYMLETYNTANIPVDDVSLDRVVEILGLYPPNEP GRKSFINNAFSWTQKHGQYPEGDPELHDYVGTMFFNEGRYAPAEEHLLVGTDHSAELL GKLAYDWAVEEGSQSQGLYIARGALQYLAMKNIHHATLALQNFLKCSNQARTGNAEVR RAPAEEPTPVIIYQDPWLNFLQLMILTVQRDATDLFQSLRSTYAQMYREHKGFEELLD DIGNVFFDIPKPRKQSNSLQDMLSSLFNAPSAPAISAGSSSGMELD PHYBLDRAFT_141365 MPSVFARTFAALNVSKPPAMVDDVILPVVDIKVAAFEDSNSEDS NSEDDDALVLRCITNTERISTPELSPKPSISIFKAAEEGDVAALAYYIEHASPNPSTL LNTRDPDTDCTLLHLVVSHVSNPLTALRLLLSNGADVSARNVYNVQAIHMLLLNCRSP LSSLTVLLEHKANPNARDGDGWTPMHYCARFCDPSLPILQTLVERGGNINAVDASQKS PVFGLLAHGDHAETLDWMIHQAKADLTIKGDFLDPKSRKTNKGSIVMQAAKYGRLDCL MMLVHSTVSMQMLRSILDRQELNYCIQLVQDQINSRDSSVKHGRLETVMETLQSLLHS LECDPESAIQPAQSILLSENETDKPRKQKAFLKRMSNIFKPKK PHYBLDRAFT_141366 MHALDRDTIRLLDAGQIIVDLDAIVKELIENSLDAHAKSIDVKD NGSGILEEDRLHMTKCHWTSKISRFEDINNVSTFGFRGKFIQSPVSMIGEALHSICAI SNTVSITTKTDDDDVARCYTCNSNGDIIKNTASPTISSTGTIVAVNQPFCSIPVRRQI AQNNSTAIVKKVLDWIIFMALPRPDVRFSFLSFKDSKMSREKIWIKPVAKNLIDNITT VYGVSLTDMIQYYTISNTDTAPFTLQCLLPQQQQETRNRLFNIEPNKTAVIFHNRQSI INLMESLLNKIYTGPDLDSSENSSLSASPSQEVNSDDSDSDSKAETEEKTNPWEFTMF SSSEEGNDVYELESSTDYSELGYKVPSYMEWSTTNSSQKNSDKSTHSSTELQGSDVWD IGISTDKSNDRVNRGTESEQNISALPRRHKNTRQPQVISWYGESSSNIPLKRTPSPEN IQDPKRPAIPIATSSGSKERSSKHAKNDYIMWGVPRERSLDMTNTSSLETPDRLSSKP VPHSTGVPRHRTITGFLSEKPKSVKTHSANTLNNEMTVKVDHERLSKYQRGQYRRNHF HRRSMEDYYMSTEPSVQSTIAVFNSPQLGRQSLSVYVLYEIIHLGKCIEQIGVVHTKR AQSIQEFTKLMNTHKLGCDIVLETPVNIIQSSHDIHFQTLLSLMGYQKQVQENGIAQS EKTYTVITDPRIVNNGFNVRWHRDSHSGEVKVQILAICSIPYYGPSDWRDLLENIRQN PNAPFGHIRPNKAIEYLATKAYSTSDDTPVPLEPLLRGLSWQDSIGKYEITPTYSHTI AYSLSGSIHCS PHYBLDRAFT_185512 MKFFATATSILALTSLVSASPLLKARADTCSLTALSCSTTAASD SCCSPTYGLLVLVQQWLTGYGPTKEFTLHGLWPDTCSGGLTSSSGCDSTRAYSNVGPL VKSGNSSLYTQMTTYWPSYTGDDSTFWTHEWDKHGTCVTTLDPDCFGSSYTQYQDMYT YFSKVLELRSTYNLYSILSKAGITPGGSYTATAIIAAIKSSTGYTPKITCSSGTLSEI WLYFNVKGSSTYVPVEAVASSTCTGTVKYPAKTV PHYBLDRAFT_179874 MSIINNAGPQPDKKERISLTREQKEAIIEKEDSSNLTLHDLGVW AFEQFQKEGVWKPLSHTTIKRIISEESRSKIKMSAPHQHGCKHFVPSAAPALEDRLAV EAETLKKARIPVNQTTLQRLAIEIVKSDPSIAPAMKFSRGWVQSVMKRKSIKTTGRGM KRLRANPRSQKFKKS PHYBLDRAFT_122405 MVCSGGLLLVILGKLEWNIQHLGIYIAALALFHFLEYIATALFN PDKLSLDSFLIDHSPHYHAAHAASIIEFIIEYLFFPSYKRFGLLSWLGLFLLVLGQGC RTVAMFSARHNFSHHIVDYKSQDHVLVKHGIYSIMRHPSYFGFYWWAVGAQFLLMNPI CLGLFVYWLHKFFSERIAYEEHTLNRFFGPEWVIYKTKTPTWMPLIP PHYBLDRAFT_108103 MFAPTRLKVQLKLAINRLKMLQAKKNSLNQHQRREIATLLEKGK VESARIRVEHIIREDLLMEAMEILELYCDLLLARFGLIEQYRQASFHSLLCYTMVCDP GISEAVHTIIWATPRADEVKELGVVRDQLAFRFGKEFVLSALDNTENQVNPRVISKMQ VNAPDAFLVERYLEEIAKSYDVGWVSDVLAREQTYNDGDFDDNDDDDTPSGGIAEKVC EMSTNSTTDLYIISIIKPGSPRS PHYBLDRAFT_157770 MGGAGDHDETWSCGIDLGSDFAYCLGFDQDIKPDGTETPVGHLS RRGSVHSSSGDSTQSEDSRPASPNDYHHDVIPGFVFESLTPEEAPTTKKKKKKKKEEK E PHYBLDRAFT_141373 MTLAEQFNIQDYPIVIGIDFGTTYSGCAYSLANSEEVIDIQKWP KQNNNVYPKTPTLNFYQNNTSVMTQWGNAARIIMQRPASRSSVLLKQYKLYLDENIAK DLPPLPNGLSIVDAISDYLRAFHEHAMSELKKAIATSDKSQCRYCLTVPAMWSDKAKG TMREAAIQAGLIQPSDHRDRLMLISEPEAAALYCEKKCEQFNLKHKDRFMICDAGGGT VDLIVFEIDERGGRRLRECIKGHGQSCGSVFLDRRMRKLLKKKFKENLATIPTSAFDT MMDSFVDLIKPQFDGIEEQFLSLPASINIGSINNSSIGLEEGMLCISAAELKQEVFNP VIDEILSLVENQLQKAGSLKAIFLVGGFGSSNYLFKRMQDEFGHAVPLIAVPPRCELA VVRGAVYFGMNPTVVASRVSRCWYGIDTTTLFEDSIDPPEYKLIRSDGSVRCDNRFSV YVNRGQSLDTDYHVSKDYYAYYPHHTICTLYATAAEEAPRYTTSPGVFKVANFDIPMP ALTGVVEGEQVGLSIKMYFGEVELRVEAVIRGKTYGTTCTFDPEY PHYBLDRAFT_60621 MTNTLTIRPCTPKELKEYFHQWTISEGWNPIKYNAELTRLSYLM YPQGFLVGTVPVTDQEKVITIIAGFRHSNSSGFIGYYVTDPEFRGKGYGYPLFKKALN SMQDCKYVGLYAMPVMADKYRSAGFDITSWDIERYRSGSLESFLEQFSQVPTPLEPYV VDISEAPIDQLVSLDEKYNGVPRPTFMQKWIKSHIEGSKEGLFGVALVKDGQVLGYGC ARPSEESFRIGPLYAHTAEQAKEILKGLASKAVEVFPTLNSPDRKPSGCLLDLDTCTE NKDAMDMIKYFQWTPVFRAIRMWRTNQPPENTNGLYGVTSIEIG PHYBLDRAFT_154555 MKNIHHQILGLSLPFAAFFLFYIIMARPRSTTRPSSPASPSHRP IISTAQPQSPQEGRSRATTNAGTPRQSALLTERQVKKEYAAIEDLLFVLMGIDGIFIK IVYPKAVNEDDDPMEDTHPSFIRIIDPSVRHLVEKILPLATYYISVNAFVEQYSRFEY GTINHALCSGMHVFLKEYLTFIAQLEHQFQTSATFTLQRFWFYAQETLQDMSALHSLT MKIRNLSKEDDSTGMEEDLDAVLEELVGENKSDEVHIPEWQKGGAILNVLADRLVGLS GNPKCKKIYSFLLACASAPYSHILNLWIYRGEINDTYNEFMIVEKKSIKKETLKEDFN DSYWETRYSIREGHVPRFLEPLMTQILLAGKYLNVVRECGKSFSEVNNIFMCACIIPR FVKNLDIAYRYANHTLLNLLLKEQQLIARLRSLKHYFFLDQSDFLTSFLDLARDELKQ PAKDISLTRLQSLMDLVLRNPSSVAAYDPFKEDVKISMSSHRLIDQLLRVINVAGMDS LPTEMFPGTKNPRWTIGSGHIDTPAHATHLPERSQSMAGSVIGGAQNVLTGYEAFTLD YTVTFPLSLVISRKALTKYQLLFRHLLYLKHVEESLCAKWIDQKHARWRQTSRNPEIE AWKYRIYSLRTRMLAFVQQFAYYIANEVLEPNWARLETNLTKASTVDQVLQYHSDFLD ECLKECMLTNAKLLKIYNKLTGYCTYFTKLAEQFNEILNQLEEQQQVNVLGVPRGGIG AKSTLAASLENSNLTLAKIEDAFLFHIGLLIEALNYYSATETVQFLSLVVRIDYNLFY KANTGRERRSDRNPA PHYBLDRAFT_76396 MPKRCCCIIPLRGGTVLISLAVAIVSGLLLALTFTHKNPMVMHL SGIHTVLPWVYTIVLAVSAAVGLYGLLSSTLGNLYLMRFYKFLFWLLTILVTIWQTGS FVLALINRSKTLAACNEINPSSNSTKPASGNQTSLGEDTSFSFAGYNTTFLGMQTGNT YGLANCDQAVQAGVILLAILLFLGAIFMFYFASVVGSYATKLRERSLGHRLRDAEWDD NIDDLASSYRADARDAPKYPLKNMSKSSGGSFSNGLKKLGFGKK PHYBLDRAFT_4396 QTKKTNKLFKGHTGPVTSLALSDNGSVLWTGSWDKTIKKWDTNT GECLLTLGGHTDFVKTILLVGDKLYSGSSDNHIFQWDISVEKPICTNVFKGHSRPVES LTLSSDGCFLFSASTDLTIKKWDIEKKSCVHTFLGHETSIYCVKAGDDEIWTASADKS VRRWNTETGAVDTILTHPDRVKSLVLAGPYIITGASDDLIRVWDVASGKLESTIDGHF DEVSALAAVGSTLYSVSLDCSLRRWSI PHYBLDRAFT_157772 MDSSSFERFHPTGIDTGQSLLLTKKFVYKPKSTNPFDNEYDEPN AKTTPRSPFDDNGAL PHYBLDRAFT_157773 MVVVLALMLALVATILEENEKILEFEKRHSKDLVQTIAVPTMIF LYLWPKKAFLCYYSDYARVPGAEWCAEKQPV PHYBLDRAFT_122406 MEPPKPPHRVSSYQRLKVKLHRPPQENPVPKDWHRPSNLEELIH HALKGGARAFLLAYGVRAGVNFCLYLLRVMRKKAPIANILAASFKNLDALRFGAMFGS FALLWKSVNNGMRLYRGKEDRLNGFVGGAAAGLAILFEKPNRRVDIAQQLLVRAVQAI YNAGKARDIFYFKNGDSLLFGLTCAQILYAYTMQPQTLPPDFYVFMVKAARVPESLLR LNAKNIRKIPFEAGEALHAIKRHRPTQHAIDVVSKLSSLPVVTPCEAVHPWMDSCNST AIERFSLVFKSMMPVYGTLHFVPLLLLRTKSVLESPVKMLSRTAINTIKSGAFLASFV SIYQAQVCVHRKLVDMGITSMNSKYFHFCYGFVCAYTSIFIEDKKRRSELALYVLPKA IQSLYLIAYQHRLIFKLKHFEVLMSSAAMAVIMSFYQDEPDVLSNFVRKIMVQFFGKN PHYBLDRAFT_141380 MIDMCLVDLLSWSWENVLHSTINIANQSKLMNLVLPNTVLSVPS LSLKPSSKSRTLAHIAALKRQI PHYBLDRAFT_141381 MNVVMVGVRLWKSVGPLVLLEGNMNASQYAKAFKEHFVELYDGL PWQANYRRKTMGDFTRMEHNHSRRLLQTLY PHYBLDRAFT_60627 MKLYLLLLSVSVCVCVLYQLSEINISSSFQSSSPLATGKSSTLK QNFRAVSKQYIPTILAVSFLRLFFDIEGLAEDVSFVALTSLSWYKLTFTHEKSNSISK ISDTNVSFYFSHCGVGFPTATMLRSVQLNPDCNTEVSINGMMIRLLNE PHYBLDRAFT_176950 MSYDQEAIEFQQEVEKVKQWWASPRFRLVKRPYTAEAIVSKRGN FPIAYRSDVQAKKVWKILQGHKKNGTTTHTFGALDPVQVTQMAPYLETVYVSGWQCSS TASSSNEPGPDLADYPMDTVPNKVEHLFFAQLFHDRKQREARLSMSAEERAKTPKIDY LRPIIADGDTGHGGITAVMKLAKMFVERGAAGMHVEDQSPSTKKCGHMAGKVLVPIGE HINRLVAIRVQYDIMGVENLIVARSDAEAATLLTSNIDTRDHEFLVGTTNPNLRALAT VMTEAEAAGKQGGELQAVEDDWIAKAGLKRYGTAVADELKKAGKAKQAEEFLEKIHFK SNAEARALAKTYGVEIFWDWDLPRVREGLYRYEGGTEAATSRAIAYAPYADMLWMETK KPILSQAEQFSKGVLAVHPDTLLCYNLSPSFNWDAAGLNDQAMGTFIQSLGSLGFAWQ FITLGGLHANALATAVFAKSFKERGMLGYVTNVQRKERENNVDVLQHQKWSGANYVDE LIKVVTGGVAATAAMGKGVTEDQFKA PHYBLDRAFT_176951 MIIADTQFSVKRVPELELTAITLEHEKTGARHLHINREDSNNVF AVGFHTPVSDSTGVPHILEHTTLCGSDHYPVRDPFFKMLNRSLATFMNAFTASDYTIY PFATTNPVDYANLRNVYMDAVFHPRLTKLDFKQEGWRLEHEVPTDTTTPIQFKGVVYN EMKGQYSDSNYLYYSRMQQAMFPGTTYEFSSGGDPSDITNLSHEELLNFHNQHYHPSN ARFYTYGNFPLEDHLAAVGEQLKNFERITPPTVNKVAVPWTAPKKATSTCAPDPLSPP DKQNKISLSFLANDSSDTFETFSMRLLGYLLLDGHASPMYKALIDTNLGTEFSVNTGY DSSTRSTCLSIGLQGVKDSDVELVQGRIKEVLEQVKTEGFDPIRIEAAIHQLELGQKH KTADFGLTIMHGITSGWFNGVDPVDLLQLNKNLDALKQGLDKGNFFESRIEKYLLNNP HTLVFTMKPDASYATDLVAEEKERLAVKVNSLTESDKTEILEQGKALESAQDKTENLS CLPTLTLKDISPKAKRTVLDHSGICNTPVQWRTTATNGITYFRAISTLPVLSDELKMY LPLFCDSLLSLGTREQTMASIDDEIRLFTGGLRASTTTSTSHSDIDLMEEGLVLSGNC LDRNIDKMYTILTKLVRETNFDNTDKLKTLIMGNASGLVNSIADSGHVFARAYAGSTL TPTMRNSELLGGMSQMNFMSQMAAKEDLSDVVNKLKTIADAVLKQSSLRVAITCGEDA VSSNEKALSGFISSLPIQGKPLVCDPNTFTPEYKKTFFPLPFAVNFSAKVIRGVPYTH PDGAKLQVLSSLMTNHYLHREIREKNGAYGGGARYAGLNGLFSFYSYRDPRTLATLDS YHNAIDWVTKRVFSDQEITEAKLSIFQGIDSPQSVSEEGMLQFVNGISDEMRQWRREE FLKVTQDDIKAAAETYLLGSEKDGHYSVAVLGEATDKIAEDNGWSINQWGEAVKQ PHYBLDRAFT_164409 MTVPQSGNSSDHQTSHLKSNTTTLHSCLQYLSDKATDEWREHNC PVDVKSLGKASWTLLHTTAAYYPDRPAPSQMDTMRTFINTFAENYPCWHCREDFQRSI KESPVRVGSRKKLSEWLCQRHNEVNVKLGKETFDCTKVFERWLDGPADGRCDAPKKKA PHYBLDRAFT_60632 MAKFTEDPLLDLAVKERNIFDFLLRSLIITGIITTMSESLIGHQ NHLDLESYIATYQGHTRIARALFIAAKCPSLEIDAYRLALSDIKEITNDTTKYLHCVD ELNNALKKQNSHPMEIDTEWVKSTQISNKKTMEQLQSELRTYKAGLISESIRVTQTRM GDFYYACGDIPNALKSYHRVREHCASNKHVIEMCFNVIKANITANNFASVRTYIARAE TTPDLPNKTITLAKLKCCNALFLLSAEEDAKYGTVAKALTEIPAEANGSFSDIISPND VAIYGGLCALASFDRSQLRSLMVNQGFRSYLELEPQIRDIIEAFQDSKYSACFDLLSS YRNDLLLDMYLNPHVDILFGHIKEKAMVQYCAPYSTVDMRRMANIFKMSVDSLEDDLV SLIGDSDRISARIDSYEKILYTVRPESRNQAFKRSLRAGKEYERSTKALMLHLNLVSK ELCSGGAESSIN PHYBLDRAFT_60633 MFAVTKIHASYITNIKLRLGPHLHQVINVLLSVNAKLQSFSSKL LPCTLRCFLTPRRTWKFILPLIFSLSILDVRFECQIFNRLFLLTYVLSIIPAGYYFYK PGHIILTFIICKANMTRALRILAYWLPDES PHYBLDRAFT_141386 MGVVHIAALAALPNLFTRFCALQAKFLRRAETLPSDSLIKALTT QLDLSKEKTTWGELRRSVLWKKAQLLKEHQPWLKDPLKEAYVLLGLCKPVWDPVLLLP CTRSERRRLIKWRIAWLPPTPSVECQCGAIKGNRNHMLICPATITLVQKLWSLMDPAP PSEVHLIDYALNCLPRSFKSPDTWCDWWPCLLALLRAVDQTTSSYKLPEEKAHGQILI DLAAKFRDTKPNRLHRIPPPTQEPLPGDPFPHLLSEISTVPHQPPPSVPSRNCA PHYBLDRAFT_141387 MFRNLIRKDGISIDCLFYKRNPTPIEVRNSTLQLELSNFEFNEV QHNYKPIFVDYGRKAVFTAAERLSTKTHSLLQGTTREYCHMTRSTRYQADQLRQKRQD GIEAIKSHIPSHKTASHAAYIDHVQYVVFQTTNILQRSMTLFAGFPSIPLHKTYTCAL YFIIDPSVITVIHLYLSIYLPSELVGNSIFIYYFSDNVAPHQLNTCQYVREGLDVQQL LGEECRICKSHSWFQCTGLEEPIDRRLSKDLIKASKQIRSSPQQQQQQQ PHYBLDRAFT_60637 MRKFSLNTVVNKEYKMLLRNKILITSNDLHNSISREIMFINSYC LPSSHDSIPNSIYKQTFRYLVCQLINDRKVTKSANVLPDLNVSPKDINSIPEECCYQF VCGGDPIWPICASNLQELQKPSITNTCQLLKSHIPDKVTLATLSAHLEKHISILS PHYBLDRAFT_164415 MNNTDYTILQILQGMQETLFALQKGQEELQVGQDALKKGQEAPR DEQALLRQEIANVCKDMNGQESPELTIVHNNLGGAIPRPVPNIKDITLVHIYRMMSHN LGVELDKGNKAILHTCTGLVCNELATLPSVQALEQYLNWSAIS PHYBLDRAFT_141389 MLQINRENTSTISHESTDGNNNTDLNDHMCDIECESEIESSTSP LVFDFSQPSPVSSNNDAKNLEFMKIINDFGIFYQAHEKLAAHLNSILEMSTKITYRVY IPYLGKELLKRFSSIEETVYNVCQNGCMMFNDAEEVACKHCGEACYKSNKTDKDGIPI AEKTIVQIPLVRQIALSLANNSTRHEMLYCHNHKQKTDDSKADIFDRHAY PHYBLDRAFT_141390 MVHYEKSRMLQIAMIPGPFTPLDFWPFLKPTLADIKVLQEEGMV VITPTLTICAKVHVLVVTGDILAVAKLACHAGHMSKSSYQICNVVGQTPGHGQYFKSL PDTTMHTLESFQNFDPASLSSKGLVEQSPFSSLASFTGLLFFTLDKMHGLCHGIGKQV WGLIDGKYGIKHSLFLLANVLKKIGVAMAATRKTILTAFHGCWRNISKYSGYFKAVDW ADFLLFVVPTLVAERVRDTTARKALLGLVQACNVLMSWELSAEEQTSIKSAFGPPCAY STRSMEHAIGEYSHAIKSKLAIGVNAGNIMVRLACTRQLLTDSDGGKWRGVVLQYEDM SAGWPITSEGERAGADSDIEFWRPLGYKTIDDSFEDISCLLILIQDFYRSKGVECRTI EPAIITSRKAFINGCVIDSSFAQNTLREAHHVRLQVQVDLFTNVRRQYTPVAKDFFGK VILFFEHENSGKRWPLVLVLIYSTVLYNGIPVVVNDQLKPKVVHLADVKELVGLVMSD ATDSTTTTTTTTTTKYIVWQELNCGPKLNLGQYRDL PHYBLDRAFT_141391 MASATTLKSCESKNELIDSDQKTGENEKRNADYRTLLFSSNKVL RHDLSENISDIVRQKLEKANWLTIIGYK PHYBLDRAFT_164417 MFRFEFSGFKQPVVFSVIIWYPPSFLPSQYEEMGGFSYYMFMVS ILLCSKKGPTLQTNHIFIYFALLLKVATVEFNLQNYTNIMTYYIKGECIDPHSTFITI FHMRNYSNLNRLVSQLKRNISNKVLLKCLCISPDAEPQSLCYLKSVDTEVIIDRAT PHYBLDRAFT_60641 MSTNLIHAFGAFTVSIVLYRPFITKLDWFKYLFLTIASLITPFC ARVWLSDEPQKQSQLASNTTEYPLGWPLYYLSPDTTNRIHYIHPDRLFSEPILLPDII FLAIITATTVTVCGLLSRWQFAIIHVRPDCNPVFSALTRHVLSIVLVSLGVSRHPLLD YPVLRLLSPVMGVLCYMSGPFFVRRWKVICLSSGIPALVAIVSSFNVPGQWSTWSAID WVSFTSTLVMVCNALDHIDAILNMYPYLITANPTVLARMQSPTTIEYWCGVAKLISHM PSESDLPFGPISDLETAIRLLGPASRSWKTMAALFPTNLRQDLCLLYAFFRTADDLVD DAPSLEQSQANLVTLRHFLHDVFSNDTTKPVELNPNDDLTLPSHIDWDHYRSLLPSED VLSTFRSFARVSHYVCPRAMFELTDAWELDLKNEPIKNQNDLLQYAALISGTFGELCT CVIMYKTGYGNWRSDMIARKDDVLSKARSTGQCLQLVNIARDVIADSINGRCYVPLQY MNQPQKNYGVLKTARSPSELGERTLKSYAIRILALADQISDQAQNGIDGLPAEVQDSI RAAFEIYMAIGPTLRKNPGFPLRTKVPKHTQQWIALRCIYGFHNTMAHALQETFKRFI YTRIPTLGRLSAHVANQKRF PHYBLDRAFT_141393 MLNNTEEDQKPCGRTSSTEPPTASASVGPKARALRRVTPDTYHI VYRSVSQQ PHYBLDRAFT_60642 MRIPVALIISAFIGATQAFVQSPNYQFNVSLPVSDNPYVAGQTL PLVYDVASNTTSDNLQLSVIVVSANNATNSVVALANANIGQGSSYKKTIGGADVYEHQ ENYAIPLNTTPGNYLVVYFDNISQTNATVAIVINAPPVSSSAAAPAASSAAGAKGAST GTSATTNSIFSAGTATFKWTPALIILCIAPHLLNF PHYBLDRAFT_164420 MGKEKTHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKFMVTVIDAPGHRDFIKNM ITGTSQADCGVLIIAAGTGEFEAGISKDGQTREHALLAFTLGVRQLIVAINKMDTTKW SEARYNEIVKEVSSFIKKIGFNPKSVPFVPISGWHGDNMLEESVNMGWFKGWTKETKA GVKSGKTLLEAIDNIDPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKAGMIV NFAPAGVVTEVKSVEMHHEQLVEGLPGDNVGFNVKNVSVKDIRRGNVCSDSKNDPAKE SGSFTAQVIVLNHPGQIGAGYSPVLDCHTAHIACKFAELLEKIDRRSGKKMEDAPKFI KSGDSAIVKMVPSKPMCVEAYTDYPPLGRFAVRDMRQTVAVGVIKAVEKVDKASKVTK AAAKAGKK PHYBLDRAFT_131180 MSRSRFTRRLFPLLTAGVVGTGIYAVDNWTDAKLIQRNCRTAYN GMAVAIDYKLNFQPTADRDIRPIEELHKRTANRLFDVFEKNGGLYIKMGQVIGTQAAI LPLPYQERARRLFDSAPAVPFEAVERVFKEDFGCLPESVFDEFDKTPLASASIAQVHK ARLKNGDIVAVKIQKPAIQKQINTDLFCYRVLAQVYEYMFDLPITWSTDYVEDHLRME ADFECEARNAMKAWKHIQDEPRLRGKVYIPKTYPELSSKRVLVCEWIDGVQLTDTASI AERHLDFNWAMKTAIMAFSSQIFKTGFVHGDPHPGNVLVRSHPDNPKHTQVVLIDHGL YIQESEKFRIEYCRMWEALFMLDIKTMQEICSSWGIHDANMFASLTLQKPFSPEKALH IQQSVDMRDVYDMQMNAKERIKHFLKDQSLFPREIIFVSRNMNIMRANNRQVGSPVNR INIMARWAVIGLNTSTSKGSWLQTVRLSWKSFLFESTLLLMTVTFWMVRFRDAVGRVV FGNKLNGFEDVLDKKMTEQLERQFGIKIDSSVFDG PHYBLDRAFT_141396 MSQLTILSNEGHDKRKGNPRTHTHSTFKARGITNLPIIVSQLLK FPECNELSNLGQMISDRCVIELLLHAVLDYDSTVGALVSGFPRTDIQVEALKMLHDKM SHL PHYBLDRAFT_108239 MHHVEPSTKGQFKDFLKTVISFTGDLSSLTCPAFFLNGLSLLEY GTYWGDHPTCFTAISQSSDPKERMLAVTRWFMSTLWGSYASRCTNGLNEKKPYNPILG EQFRCNLGDVSCILRHHPPISAFYLEDEKAGVSLNGHCGQKSKFKGTSIKIEQVGRAV LYVKPWNEQYLIDFPDLMIRGVFTGAAFIELSGACTIMCTNGAKSVIEFVPKPWFGGE YNHIKGGISYEGKECYTLSGRWSHQSFYSNAGSSQKELLFDAEAEPMADRRTPPYDEQ KEIESHRLWGKVTEALKVKNFQVANAEKSKIEEWQRKVRKEREEKHETWEPSLFKFVK DESARDSYTTHTAALKQSMVGKPYLDEGAWVYEQSLHLRN PHYBLDRAFT_108840 MSLQEKQTIAMIQSTMESCPFKTAMSGTAGFVMGGAFGLFMSSF EYSGPNMNEEIANQTTKQQIKAAFKDMSSRSYSMAKNFAVVGAIYSGSECCIESYRAK NDLYNSMAAGCFTGGALAVRAGPQAAAFGCAGFAAFSTAIDWYMRRD PHYBLDRAFT_185527 MDSSEQKAKIDAIWAEMNVPKTLAAKEPIKTNILTNTNANNTNS NTITNSTTKGISDPPSILDAPTLKSLPLGRKKKSGLVRPKSKLSDLVTQYNVKVPKMN TLEKSRLDWQGYVDREGIRADLKYQNKDGYMEKVAFLQRVEDRRFTHLKTGQRESKNN K PHYBLDRAFT_164426 MTLFVCAIDQGTTSTRFQIFNQEGAFVTSHQEQLRQIYKRPGWI EHDPKEILRSVVTCAEEAIRRFELMGHSTKHIKSLGITNQRETTIVWNKDTGEPLYNA IVWGDTRTNKIVQRLQKKDTSKLQKMTGLPLHNYFSALKLAWLMKHVPAVKTSIEKGQ AMFGTVDSWLIWNLTGGPNGGAHVTDVTNASRTMLMNLETRAWDPILLEFFGVPESIL PEIVSSSEHYGDVELIKAIKGLPICGCLGDQQAAFVGQKCFEPGEIKNTYGTGAFMLL HVGDTPVCSKQGLISTIGYQLGRDGPVGYCLEGSIGVAGSAIEWLKDNMGIIENVKQV DELASQVDDTGGVVFVTAFSGLFAPYWRDDARGTIVGLTQFTNKCHLTRATLEAACLS TRAVLTAMRQEGHVELKTLKADGGLSNSDVCMQLQADTLGIPRPAMRETSGLGAAFAA GLGCGLWKDLETVRSLYVEGADVFEADINNKDREAKYTMWEEAVKRSYGWTQVYNDDS E PHYBLDRAFT_60651 MSTYSEVNPLYNHHLRRARHTKSYHHSNQSSNNIHNNGTNIIMA PISSPLPAPVPVPAPVPVPVLAPPASASPLSLSSHGNPVYHPMSPASGIRGGGESQEK HRTPTHFIPTPTHHYNYNYNHSNHSNHSNHSNHSNHSNHSQIPSSMGLSSSSPLSSSS PGTTITRSSNPIPHLRSPPSPSPTSYLSPPHQQILSSTITTPTPTASNLNSNLNSNGL SFSLSLSNLNSNSTSNSLSLSNSSFSLSNSTWLIFMDEKWIPFDVPNQAKLEHTLSLG GTFVDITDSHFPNVKRVRVFPKSSYLSYLGVKYRLSRIIEPDAWLDHATLQRDRDNLE ANDSDSDNDNANDNSNINININIDIDINDDDDGSTDDRLIDKYSVRVYIVYCIDRDSD SDSDQ PHYBLDRAFT_60652 CIDVYTSICECVILFTNTVHQRARLDIRLIQPDNYPAITQPPHF IVLLKYLVGIYTLVVVYFTFTFRKWDFVYKEKPYPPLLVFSSVKCYIGAFSNAILNNW NDISLKDALLSLIAIGLIYCSLFFKAFLTECAYSKILYYSISPRCLGKAYLSYIVMDR ILYVLDVILHIGFVRQ PHYBLDRAFT_60653 MPRRLPLKVLFRQFFYRLGSLIKTLLRGLIVTTVWLIVLPLFTL WTWRLCFWTGERIGYTNDPNNGNLPENHPSQQNTTFVETSPSSSSPGFNFETFFTNPG SAWSFLNKRGFWTDCFEGQIITASVVVVFVTAYLFREWVIQNMPIEEEPDMPDQLMEF PQPVRIPPRDHIPNHQQLLQEQQQQQQQQQQQQQQQQQQQFAVETLVDAVDALRARDR ENHFNQHQIDRVQPQLQQIWAELDELRTPGNRNNNININNSINNSNHHNQNNNNQSRH SSPGYDSELDNDAERHQQHDNRSIREESPLLHGYPTEEDNQRDRRQEHKANAGGVQPS RQSSSGLHTTSTSTSTSTSASTSTTAGRWDYNGHNWPSGSQLPPPSRFYEYLDDMDTQ PGSSSTSSRGNASVDTAAAYGVSEHDMFSDGSHHNINSNSNSNSNTSTSTSSSSSSSS SSSSSSSSSNTNDDDHDDDDDDNNVGRQFGIHNARHLQGLENMLRHTSNGNVNNNNNN VGGGAVDNDGWERDQGLNIDRFRNRDLFDDEGFPLPELIRQRIYGRMPGNQHRTELNQ QPENVMIDFGSNNINHVNNLNNLNNINSFNRFNNLNNLNDLNDLNDLNDLNDLNDLEN LDNLDNLNNLNNFNNDMNGNAAAAAAAAAVDDDDDFQREDEPFDMGEGLDGILEAIGM RGNPWMLIQNSVLMSLMISLCLGVAVWIPYVLGRLLILIRPISFIQTPIYIMRLITDP LVDFTLDRVLPAVVSLSENSKSTDSPDVVMIFWETVKTFFEKAIKIIMEIIGSNGENH QYDHDNSHDHNLNLSSNQQSTIAGLIAFETGIPDLSMIQEKAEMIVSLALKRWHQFAV EQTGIDRTVCVLVGYVILVLFGSWYLSRRQHGSLTRRGGAAVGAGAGAENTLEEILNQ QGIFLKVVCFIGIELIAFPIVCGILLDISTLPLFANASLATRLAFYRTNPLSSCFLHW FAGTGFLFHFAAFIGLCRKVIRPGVLWFIRDPNDPQFHPVQEMVDRPAVTLFQKISHS AMMYSIMVLVGVGVPTYAIGHYFDVYPLRWSFDIPLSTLAVDLVGLEMILPLIVQQIN GQDIAKDCLLVWWRVVSRSLRLSSFMLGGRYPEEEGRHVRKTLMAWLLFKKASVPEHG FSDVTISNPTNNNERGRRAGENENEHEYYEGYENNDDSVVFEKDGMLVRAPKLDGVPV VPDQSMLVPVDPVTFEAIDETERLRGHPAASGSDNQDATTTIVYIPSHFKARVILFLI LLWISICIVLATLTIGPLVLGRHLFSAYFAPNTRVHDLYSFGLGASILLGIAGAVKTV LGYHEYFQSASAADRIESVRKLCITVTGFLYLGIVLGVVTPLLLGILIELYVFIPISC VKANDPITLYISQDWLYGVVYMCIARGTIYVLPNNRWQRRLDQIFGNDPMQLNPWVVT RSIAAPVVMAAVAAIAVPGIVAWGLTQLLGDKIDQLVLLRFIYPAVLLLLVLVGAWIL CARLLKVWLRVARDDAYLVGKQLHNLDSPRVE PHYBLDRAFT_179889 MHFSIEKDNKNVPRSPPTRQKTHSGLNSFVSTSSKSSYASSGSG YPNGINHITSFSSGSHDSSPYTSNIHDINNFQDDQFDIIDSLDYDDVDDGLIDHELVH QKREAIIQQLFFSEQAYLESLNLIKRVFLDPLRKDSKNSSFSFLGMKKMVCTERETRW LFGNFDTIYDTHQKIHTILQQRMEIWGPTQIFSDVFKSWLPSLVNYHAYLDNYDIAVT TYERLMRYQPFKKFVETAHKDPSLKGATLLSLLQIPAGCISRYALLMTRLADMTSPMH PDYAGLLSCKRQIIGLAEEIKVKVQDADNVDQVLMIHQALVGAPFGVKAQRRLVLQGQ LSRVTMNSKMAEERTYLLFSDLLAIVRPKQETKRTVLQYKNHIVLEHAQVRILLPEEA GGREHCIEIISSFQGIDTLNTTYMGSPTVYVLQTNGPIEQQEWFTKIQMIINRLDEET KAKNLAASKRLAQSRSPPHSVRRLGTTSSDGSSRASKGSGESTNSGGERSSQRR PHYBLDRAFT_141406 MSESGSNDKVPSMSNELPDPKYKEEIASIIFDLENKGVVELSHL LKRLEQRILAKFRPGYCIRRFKGDWIFRIKRTATMLKIKLNKNEDYWPKIEEKLLAIV PDSENSIPSSVLISSDPTSWNRSATSTSSFSSKSSKMSAESTKKLLTADQKLGIEHVF GQLDKTLFWKLSNGVIVEEVMQKARLNCNFEHPCHSFILEIGDHFWETYFSNDELKEI NEHGIPAFEEINKDSVEYLDEYLKLNNIDETYAYAFSKMYNPRLHSERSWIQRSVIQA ACNFLYNKNMDKFSEADMLGNVWSIFTSVVEGSDINANSGEKSCVSNASVKNSKRSLD AISPTIKRRMGRKVDVIFSEGDIELGLIELGKSNDQTKSLSDGMKLMKLMKDTLVATT DQLPVITHKLRTVGFLLVGTKATMLSMDIPAGYVSRVRKMKPLYYPTNARQFTNRLIP ILKLALKAKSTMIHTRNLYDNVTIEESPEEPITSGKQPACSQNTIFSEDTYTLSSCIS ISEKVKKQKSN PHYBLDRAFT_157776 MQFFKHMTRSKSTDSKNERKDESENVKHRHGQNLQIQRMKEKVN KDSKHRHGQGLQIQRMKEKVNKDSKHRHGQGLQIRIMKEKVNKKTLSIGTVKVFRFE PHYBLDRAFT_76411 MNFSPYKPSPDEDRNNRLREERQKQKQPKGKAKQTDTSPYSSYQ SGKPNYPGPSPSSLEEGLFSETDNLGGSRGPPTGTLRVNKYETSLPIRVDIEAALAYV LGPVTGLLFLVLERQNDYVRFHAWQSSMVFLALMCAHFVLMFISNFLSWTLFIFDIGL LVWLTYRAYLDGASLERYEFPYFGPIASEYVDSE PHYBLDRAFT_141408 MTDRKHVDFSGIYCGIKPDTGRFRLAPTAVGWKSSEDKATIIPS DDLKKMSWIRAARGYQLRVTLKDGGVSKFDGFKSEDFEVLKDTAKLYYKIVVESKELS VKGWNWGKTDFQGSNLVFNVSNKTMFELPLAQAIGANKPGKNEVSINFVDPGQPAPEG VNPREIDELMDVIFYVPGTVPKETENNEDEDADEEEVNADMVFYETVKSKLEFSQMTT ENIVQFQEVLCLTPRGRYNIDMYQDFLRLRGKTYDYKIQYSSIIKLFLLPKPDEVHVL FVIGLDPPLRQGQTKYPFLVFQFVREDEIDVELNLDEATMSERYDNKLLKHYEAPTYE VISTIFRSLAGRKVTVPGAYRSHHGAHALKCSMKANEGYLYPLEKCFLFIPKPPTFIP LNEIGVVTFSRVGTSAGASSRTFDMKFHMKSGNDIQFSSINREEYANLEDFMKQKKIK IKSENNEETIITYTDMDDVMEDDDDNYDSRKKRRTEVRMPDLDEEEESPDEDFAPDDS GSDVPEEYDSDVQGSSAGEGEEDE PHYBLDRAFT_185532 MTNQMISRMFRVKKLSNKIVLPVYKDSDLPDLVNSISAQQRTVP QIETGVEKEEEEEHDLQAAISAAQAAVTTGAKIESFIPTPDASRTISEEMYLSLYKKK FIQPSTNIRFSSTVEDTSGCTYVVDEEDISFLTQFNVKHSSEMNEVTFENIMHHIEAV VEQNFPHLYLDPSQVPAFNNIYPLFPEHSNIRSQTGLEQIYLHWKKKCQHRQGRSIIP RVRHEDLIKGDGDPYVCFRRRETKALRKTRQSDQHALDRLRKLRTQMENARNLLEMVL RREKHRKESLVLEHTVFDSRCKLRAYQRQLGINDDEDIFPVSRKKHRLIPEEGFSGTT IKIPLNRLKRDNADKSGVQLAIESDIARKRDLDSAFEDITAKNNILQRPYNPFSIPIP CSFYQKIPLQNNKCRYRKRAGRGGRIFLDRSYSRQTCENMVSANPHQEVEIYNRFQFD SDPSDNEDIEEWQVDQMNNNFLGHRVKLLSELELRNLAAMPVINPSNTLNFRANQGVP TSQKQSDVSGGSNTSSSSPSSSPLNNQTSTVTSQPIKRQNSRARLTPQQAAVAMANDM LVANMTAVVNSSGQNRTVSHFSPTQWAFLLFKAAVTRIICTQWSYSYTNTSTPDEKWI LSGLVI PHYBLDRAFT_164436 MGSPVHKPCIPKRPDPFSKALGISSNHDHNQDPNVQHPSQVSQL SIDRNQPHLALSTSIQQHPQCRPVVPVKPASLRLSAISPSRTNTTPSDPSPRTIYDIS SIDQAHEIVGDLLDFSSIRAKFQSIEQPVKVPLTRQKASLSEPNPRMSRRTVAKTETS RRSVPDIHPPNAKLPPPVPAKRWGTRKTPDEESPRAISWTSSVSPVTVPRTGSFVAEL SRRLEQAERMAEPVRQIKPASPHPTKPKFPNESHLAIPPPSTNTHRSTSLSTLRLPLP RSATGTSVNSMTSTSSNSSGTSRRAWPYGSAMASWLSGSSSSDDLQLSIPSGHVTNSS SRRSLFPNMILTASPPSYPSDPSDLPPVSPDLLDGPELTALPTKRARVVQEILETERT YATDMRLVKEIYYDKAFESHSPLNPLDVRHIFSNLLDILALESDFLPLLENACKNELD SDLQPTRIKTNENSIGKLFREMTSRLVQVYGDYCKRHEDALNRLQELEAKPAVYVFLS ACKEDIQGRTMCWDLPSLLIKPVQRVLKYPLLLKELVNMTPTTHPDYEQLTIANKEIQ GVADAINEIKRRKDIVEKIVREKKRNEMSMVHGFNKTLTRHAQRFRQTTGLSSEPTQD QSFDALYQKFESQQEIVRQWVKAIQEWGDHLKHTADTFHVFLLHLESFYNTWGGVRVK SMERVQEITRITHTLHMAISHEVDALVQGKMCGRVEAFLKVYESPGQVIHKRARKLLD YDRVRYMKNKGDIPDKVTQESADAYVSINAQLVDELPRFFLLTARYFGILVDEFATIQ ARLMTHTTHQWRAHAEKYFQLGDPQKMSYEAITQNYHKIMTEIVQPQIDDIQSIRYSP TVNCNLRQSTESVPASSRLSQSLYQDSQWLSEGQITPQPERAQNFQQKDKRISRDSQD SAVYVRAINRQSSLSSFLIEGSDPVFECVATSSLKSQGPEALSITKGDIIQVWLPTKD QISGDAATAEWWYGSLRTVEGEPMFGWVPSWYCQKI PHYBLDRAFT_164437 MNVIQEIPVIDFSLFETDPQKCSQLVFDAARNVGFFYLRNFGIP VEKVKEIFGMSKSFFELSKEEKTKYAIDVKGNLGYSAMRQEVADPGKHSKGDCKESFH IAKLNKTNAPQPLPDMFTSNKNDVGQFIAACHTLVGKILICLGTGLEIPESKGGKMWF HDRHPYEGPSNDVLRLLHYPALDHANDTDDIRIGRHSDYGSLTLLFQNEVGGLEVEKG PGGEWLSAPVMPDCVTVNLGDCLEYWTKGLLRSTKHRVVFKPETQTKPRYSMAYFCQG GPALLEPVPSRWIPADADVKTELPKDNKEILTASDHLYHKLTTTYIY PHYBLDRAFT_131186 MGCFLIDVWYLAPYPEEYSRLEILHVCEFCLKYMKSAFVARRHK LKCPTRYPPGDEIYRDKELSVFEVDGRKNKASQIYCQNLCLLAKMFLDHKTLYYDVEP FLFYVLTETDDQGCHFVGYFSKATQEKRSLLDYNLSCIMTLPNYQRKGYGQFLIDFSY LLSRKEAKCGSPEKPLSDLGLLSYRKYWTYTLLQALQQAKKEVSLQGKVIFSQDLIPI ILDLPFFLRTY PHYBLDRAFT_36472 MSKRAAVKKAPVENVTLGPQVREGELVFGVAHIFASFNDTFVHV TDLSGRETISRVTGGMKVKADRDESSPYAAMLAAQDVAAKCKEVGITAVHVKLRATGG TGTKTPGPGGQSALRALARSGLRIGRIEDVTPIPTDSTRRKGGRRGRRL PHYBLDRAFT_164439 MVLARKWDSNRHVCFVKQCSEGPSLGYLDNVMSRFGHHLSPNER SSFSTSMTPHLQSATPGAFNPQHIVVGGTTIPVTTATNTTVSPTTTLSLGYDVQSNT PHYBLDRAFT_164440 MADYLETPGRTTLKAYIDANQSSRSPDIKLDIEEAWIETKVQRT ANIQTSRVSAALLKAGGKKAVNLLKKAPPSSDETTAHVPIEANAVCNPIQPAGPSYTD ISNAFLSPPTEPAANTSTTETNDTADNHNTNIINNNVVSSSNVEEENTEKLRFVLTED EEFKSTILINEDTPECFKRFKEFQEESFKIVKKKGLFINRDLFRILSLYNIVLLKKNH AYSQIDFSMINDELSEKFESSPKIEKSIFMEIIHIFRDDISDRDELKISLFDLYKKAN KQDGRVIDVLINLPLLHDPGHDKLFIWLNRTVLQNYDKRPDAGCLALKGKRLDHYVGF AEVKPDYKRKDTVKTHEDLLRLSLFGMNALEEHNSKCILLIQVIGSSMYVHGCFNRVE GAVVIVELEEFKLPMSLKDLPGFIMSLDKLKKVGHFYRLQCFGKGGNKRRAPSNVNLD DIINCHTPKNMKAAVDF PHYBLDRAFT_60664 MSPKGSTNTNVFKKVPPRQSRLAKSSADQTFCSPENAQLKAILD EALARAAIHEEHHKALLSKIDVIVEHSIALQEQNSTLTEELRIANEHVEFLHNQLQLQ VQVPGASTFTTTTLPPTEIAPVENFSAEASAHGPVTISTPSPTTFLAAAKKAMGKRPN QPKLTTAQATRALQPESGPSAYAFVYLPCRHHLKYSQVRKLLKTFKIQQSRVLDIAFP ERGTLSLLVHNDFKDKITQLFADIGVSVKTDFDPLDHRIIADPAHAHKPVQDRQQLAY KLHHQRLLALCLRLPAPLGKSVMRHFCTVESSSLRLPPVCLEQYLEDRNLPSGPQASA IDTATAMVIG PHYBLDRAFT_60666 MRRGAMQGGYVPSLSISDKVTINNGLCFLVYFPLLLSFILCEAR KRDYWDTLLILFYSVFVQGIKFLCFKATKICTITTFNTEKGGHSAYYFSSVVKLLFRH WYQCAQLGLKRPKHVLVTYSYPTANRVYENMVKRSKKNCIFPT PHYBLDRAFT_60667 MLKTKISDYAFYTTTDIYTNVAKQLSRLPKFINASKFGKLIKDK DFGERVFKCVEGKNIKGQCDRKLGGIKFCLPTEINNDGSNWMNGGYVRKSPDIESVVT RQEFVEAMIMKLRNRCLCRYIFASTRPTSSSPILERDFLAENNVKAAGLAYCHEDTQS KRLGFV PHYBLDRAFT_60668 MTESWGIIGKDTVLKDLIICLYVQEAHNTANPLLISALNFRSQG CKLCLQCFYLRLRQIRKCFRRIKVPYSYNHVPFAIDMMCEDYEERGNSNKKYYRRTFY KEMFCLNFEVYWSPALQQPFLEVEEARQGQDKRIIQHGDSLV PHYBLDRAFT_164445 MAMSDSEFSEVIFTKNLPQSPLLHSKPSRHTIEQFRHAPRSLFD EIRQPDWPLSEKITEEEDPDEIDAETVIGLLRQENLELLESLRTMKEELERSEQENFV LQRDLSAKLNTSDDLILQLRSVYYQQIQLTMKRVVQAAQEAQRTLATRLRESELERRM VLQVTQRLTGGSISPCLEETEEQNEQLRAELAKRSKALLTLEERQHQADLKACELEWN RINGRVMAHFDKLFGSTDNLKPESVEIKILMWNLKSPEDTLRTELQWTRQQLENETER CMDLVFQKRYLAMVNAALESRQVNSTN PHYBLDRAFT_28725 MFSAKVQTAIRTTVNVARRSYSHANAYDATYKNLLINKNTKVIC QGFTGKQGTFHSQQAIEYGTNMVGGVSPKKAGQVHLGLPVFGSVREAVKEAKPDASVI YVPPPGAAAAILDAIENEIPLIVAITEGIPQHDMVKVKQALMTQGKSRLVGPNCPGMI SAEQCKIGIMPGHILKRGKIGIVSRSGTLTYEAVNQTTEVGLGQTLCVGIGGDPLNGT NFIDCLKVFLEDEETEGIVMIGEIGGTAEEEAADFLTQYNLSRDLPKPVVSFIAGLTA PPGRRMGHAGAIISGGKGGAQDKIKALEKAGVIVTPSPAKMGIELQKAMVAAGLA PHYBLDRAFT_179895 MSSKVSKTSSRRQHVEKVLDIGKPTQFEHGIHVEYNKYNGKYMG LPDVWQSNLPSDDVLNTNYINPNLVPSPASTRSKSVRRLLSSSKVVMSSQEEKTQTLR KSPSVIGKPYNVQHNVHVQVDKYGFRGLPPEWQRILQVSGVPEEVVKANPKTVERLMH LRMPDSLQQDVTNKTRPLKSPHSASLIPNGPDTTQHPTNELPIGFAPPSRARNSKLTH LSTIKTNEPPPRIDSNVSEEQENEILAEANSENTHLALDSGFIDDLVDTADPNTLYTD FVLIAEGESGPMYSAKHITTSRVVAIKKIPRTAVEKLSKIRNELTTMKMSRHPNVVEY ITSYMTEEEIWVVMECMDVSLADILSVHIEEGPHMKEDQIGRVARDILRALSRIHRLQ RIHRDIRSDNVLLNMRGEVKLADFSHCAQLTKKHPKRNSVVGTPYWMAPEVIKGVEYD SKADIWSLGVLLLEMAQGDPPYVEYPPLRALFLIASNGLPPLREPDLWSDSFKDFLSK CTTDDPAVRPDAATLLKHPFLHSVGITHRMVELIEETRRFEILQQEDAETVTEELAIG SP PHYBLDRAFT_60673 MASEIVLFSVMIANMFQSKLFIRFTLNLDCEYNFLSLLLVSKKS MHVYIVKEKKDFVLIKLLQISSMDHVIEVNQLLVIWMLHDMYIDRHITGWYIPILRVS AYILDSTLQQRYFRVLRILILDKPMIIESTFIDINYKKTRLYPLYSIEFIGEHVVKTN SIVSFYNIIKKLQGAKITRLDHFYENILTLSEYDWTN PHYBLDRAFT_108249 LQYHLYSAPPTRMPKLAANQKTIQSFFMPDDLREQLTERNEKVL TIGSSKSLMSVLPQEVHIYHSLSLLDDTSSNGGKFFGHTSWVYKSVCSVDGRSYVLVR IADCRLANELAMSMVENWKRIRHCNVVAIRDAFSTSAFDDSSLVFVYDYHPCSETLQH THLSSQTQTNFQRQSQIKASSSPHVPESTLWSYITQIASALKSIHALGLAARVMDPTK ILVTGKNRIRLNCVCIADVLQFDGGQSLSRHQQEDLLAFGKIIVALACNSTHSIQNLS QSFEYISRFYSPDLKNTILYLLSKPMPTKTVDEVVSLIGPHILQEINGNHSYNDTLEN ELSRELENARLVRIMSKMAFINERPVFDMDPSWSETGDRYIIKLFRDYVFHQVDAKGT PVVDMVHAITCLNKLDAGVDEKIMLMSRDEQSCLVVSYKEVKNCIINSFNDLTSGRK PHYBLDRAFT_157781 MSADLVWELIKNNSSYIVKRSGVQFSSEANNLLNLNTFKYSGLA NPKSVAITPAARGVRVTLTKAKKGQFPAKVANSTVINKSRRQTAKSVANLIARSHYRP DLRAAALARASAVISVQKPKKAASQKAVKGIRAQKAAAKLA PHYBLDRAFT_164450 MTTFFPRLNLPVISTTLALSIRGRATVLNSLILSKLWHVLRVVT VPLSFFRRLRSIMSKFIQYRSFPPISLGTFCQPIRLGGLGVLDPQVQQAALQLRWLRP LVRSPLSPSGLVPPWFSYVLRLDSSSADPLVPLIFPSLRSSHQRDFDSPLATLLAAID LLPHNFSDVVVNLPTCLSLPLSYLTTAQPDHPPFPSAWRDLRVSDAYEVDPSFGVLAQ RPLHRILRRPIVLHRFFERLYTRSLVLHPVLYRATIPPAICAIQFPSLDMPSGTAVDV RPFLTALVPGIPWHRLSTQSFRLLCNFHSKSARPISPTLVPRQLRRFWSFPLPHGARN VWFRALHKNIPCCSRLDSRIPTAFPDPSCALCSHPLDNQTHFLFQCPVKLSVWSSIWT LYFAQTATPTVLLSGLQSFTFPPCTDSSLSAASIFGCTLLAIWRHHWLFIFDHVPFIS SAAFSTASSLLDRLKSELALDFPPL PHYBLDRAFT_60677 MLNKIVYSIRKESLKTLIYVVFYKCCGTVISFTRPLQSDIPVRS AISTRNLGSEEYVIARVRLVRIIGEAGFLKNHQQLLFQCSSDRQAHVALFPSGPDCTW RHSVLFDGAGIMSWTYTILLVRVTLRQMNICARNIFRWQRRQRIMH PHYBLDRAFT_164452 MSLLNLHLLEKTIFTRGQQKPVLRGAVEVTLASTIESLTLCFQG KLHTSNTSENDTLEHSLAYHSLILFTKSPTYCLKTGRTRFGFEMNLPAGLPDSIQCRN LKISYTATATLTTTTGHTTRTSKPIEVIRLPVGDRLWIGDNITGIDSGKHVTPYCEYH ITMDTQLLSRNSTLPLFLHFAPLIQGLQLKQVSVKLRQRRSVCHNHDWNTSQSSHTLP LVSSFPTSHQSGVWHGMLNFQIPDIATLVPTSNYEGYYENTHILFVSLVVSFPALTHD NGIRRVLDTITYQTVVPIHSPRAAEYEQTEHKLPLYANHPRSPKEIEVLTQHGLPIES CPPPYEEKA PHYBLDRAFT_141427 MAFPLIAPVDSEGKVYRGFLSIHNVDYSIEIHLPDRLHKQNLAI FGEPTLQSLVKDKEDLIQKKIGQCEDINLLLTDLKEILETQAAVNTTTNFSAERYVVL LNELDILGFDVVKDMSDIMDQITFEDQSNRTHQIRAHIPTDYPLTAPTLTIDLPCSPP ALLSTSLSDHIHHIYSLVSNYKDFFDCMDELDVHCRILDPDNPRTGDSWRRVALSHHC SVHIDINPDAPRDIPRARFFGAEKRSGELRIAWQQGSHAWDTSQTLFVNMKQILKDAL VDLTKPQEESNHNEVECGICYAYKLDQSVQETPDWLRSSPASTRSFNVLFGKCPYCGE WFCDYEM PHYBLDRAFT_157782 MTAGARNVLRSNDSASLWNCTLSPGWTEEESELLRSALMKFGIG NWAKIIESGCLPGKTNAQMNLQLQRLLGQQSTAEFAGLHINPKVVGEKNSKIQGPHIK RKNNCIVNTGGKLTREELRERLLKNKKLYELPESEWSDIELPKMEDPMLLLESKKAEM QRLNDELEKVQQKIQKMREAHPGRMEKLKSEVSRSPSPATPSADEDDELMPTIISTED DLAIALALQNEDDSPILEAEDEEAEDEEFVPKRRRLGKSKKNFI PHYBLDRAFT_2376 MGHSHDLDPSLFTEYATTSEPIGTIMTLHIIFMIAAFGILYPLG MVLGLAKNKWHVPVQILGTTFFIIGYFLAHAHNGRNYEPHIAHRWFADIVITAVVVQL GVGAYLKCHLERGLLGRIRPVLVKAHKILGAFIPVIGYVQIVLGVIAVLGFCYADHTG QCLAHFIMGSSFMAYGILLLLSLRVGAPWLLRRNKSQEWYDSWVITLWGIVNTFTEHR WGSAWNHGDYQHTSMGIIWWMAGMVGIVLSRNGKRSVIPSVIIILTAVAFQGHAQHVA NSGAIHSYFGYLLMAGGLSRIIEICFVWKEGDFSVSPWQYLPPLNLILAGVLFMGSTE EQLGYLAVIDVDISSYSNAILSLGFVMFFAAVLLITLWE PHYBLDRAFT_179898 MTKKIIAVDLIVPDTVTFYGPRTSSQGTHSTHPKRQKVRGKLRL ITSRPTKLSLVEIKFKGQAHLSWRDPLKSQHAFLVSRINASMTLRKTKSILLEDAILP AGVTDLGFEVAVPGYLCPTFESEYLRISYRLTARVLPTGKFEKEWLVHREMQLLKTLM PKDVSAGHVRGYVVPHQKMMGERALTVGWEFNVPGWVCLEGQQGVEFVGMIKTLVGPE SVEISRIEVDVVQEEIYRQDSSDLVSKRHLVRCAHPPSVYLHPPLDSLISFSFPLQPL GSPGQQNRALAEASQPVSTCGHATTVTWGDFTYSLDSPFLEIRHYVRLVLYLATTDGR VLPPVCIGLPIDVTREIRHQQLDTDALPSYQAITRDGERLPLYSCAIREDEALCGAPF NRSLPLSDPLNDRVWERVIASIAGLPEQSFVPPNQSNRRRKRTEDTLIEERCIHDFCL V PHYBLDRAFT_76417 MPLTTCFWALLSLNELSFIYLPASVGDKEVLGGRTIRDLLLNRS LLDFVHHEEVDLAKSDLSTFVRMKTLAGSVTRCRLRSFADIALCIHRSKDLSQPCAYN AFPDKDGHMPTISFNGETKDKEATWDVIDVVMYTASENVVLAFFHSTEYFHSQEAMST LCGESIFREQDIQQMMDVLQKYSYDDLVSSNSQLEKKIKEPLRVFQIYNSTSHELLAS WPPHFDSNCDTTPSSSGSGSGSSSGNHRPPSNPIPYTFLRDACVPQLISKVTELTKNA TLRDISGDERQGVEEVACTHHLHSSSMVHLGLHGMVRFERIVIPYGVLSFGSHQITQI NHNSTTDLGQYHHYMHCAPSTIPDDTTYLSGVISNPNSTAVLHRPCLSEPHSPVVVVP DPALTPLYHPALPSPTISPSLAINPHRHRPFGRQSEDPPPSGTNSPVTLAYPMSLRSP EPSPIAPKIPISTISPKLPAGHVPEERQWLHVRSLYDPILDQQTAKVCVRCNTSSSPE WRRGPNGHKTLCNACGLRYSRLLSKQWRKSELERYGPDGLSKPTKKPSDQKDSSRRKA GKRTPP PHYBLDRAFT_131197 MATCDAVLQSLAKLSLSPRTVSHESVSDNKAWAAALASTKDAVF EMTKTLILKPKTAKTAAATPVVVIALESTETPVPALGKKLSLKDCRFANEELLQTTFG VNKDQVSPFALSNVADLSLVHLVVDSAILALPATTLLAFHPSAADKTVFVTVDQLKAY FKSISKDFVEIDFKAIATAAPAAAAASGSKPAAKPAPKKKAAAEIEASADEVHQMGIE AKKEVDFPKWYQQVLTKSEMLEYYDVSGCYILRPLAYNVWKEITNFFDAAITEMGVED TYFPMFVSNRVLQREKDHIEGFAPEVAWVTKAGSADLEEPIAIRPTSETVMYPYFSKW IRSYRDLPFRINQWCSVVRWEFKNPQPFLRTREFLWQEGHTAHLTLEGADKEVREILD LYEQVYTDLLAVPVVKGVKSEKERFAGGYYTTTVEGFIPTTGRAIQGGTSHCLGQNFS KMFNIVIEDPSAPANATEEAKKLHVWQNSWGLSTRTIGVMVMIHSDDKGLVLPPRVAL IQTVVVPCGLNVKTTKEESDDIYDRCQAVAARLKKTGLKSKADLRENYSPGFKFNHWE IRGVPLRLELGPMDIKKNQVTAVRRDTGAKFAIPLDNLEKGVRDALDTIQNDMFQKAK AKMDSSIVRINKWEDFVRTLNDKKLCLIPWCDRIACEDDIKERSARVATEGEEEDDRA PSMGAKSLCKPFVQPTENPIVPGVTKCIACDHDAKHFMLFGRSY PHYBLDRAFT_122438 MTTSSAAPAKLAQLAQLSCKIFQTVYNPTSARTGNKVLRQRLLG STLTQYHPEKLVHFRDLKAMFPELDLVNTEENERLEEIARRKRRGKGAPKKGQGKRAS NKKR PHYBLDRAFT_157785 MKASFLSTAVLSLLSVSTSVLARSSVYMVSETAKMTAQCHHSEV SFNSFSLVMSHLMNTLDTHPIMQLQDSYKQQALDGAQAVWNGHQQWFSNEENDLFKPK LQGNLFITVAGVQNAEVLLPDYNPAFYVTDNTAEDYAALAEDIALNTQERSGHGFVMH AYTLPKTIEEASEIVSSFKQNYPGVDASVFDMTLEADNAFITEMEQSRDAMKIFTTAK EGSEQRGTDFVSIKMTGLQTLLHAYGANSDKYIQGQHAVRLLLEENLIPTFQKAYLNN KEGVLATVVLSPAIANRFNKRDLPDTDATCYTTLSDCEEGTGDCSGHGLCGIVSDSCY ACQCKASFLGESCEFVDSTSDFQLLFWTGVSLIILTSGVLVFIYNSGNIDNGGILMGT QSLPKQD PHYBLDRAFT_164461 MYSTTFHSDVLSDMLQNDSVAQPGISHHAKQFQDGCVYQKDTFL PIEINGNKSIQNEATTICQNTICVNLTTTETKDIPVAHHQPSQIQDNRTSDPSPNKDP ASPSLPAVEPRTRRTRSYPVSATVAANLTPLSTLLLPRKKEMDNSEHFKIAIQTAYLT DKPLPSIPSIASIASIASIASSPSVSSIPSLQYQQDFIPKEGGSDSIRSVQLSCHSSN TRGSATANWESMFDPFSTFQRNRDSVVYKPWSATPTVLVHPPIEVNLPLASCIPHTPP AIPGNSHFLAHENLRQESCISDSGDLMNSHYSVLQRIRSEGDLPLHPHDTQNTPSSSL DETNSLSLVCREKSIAGQVLQKIQQYAVVRKGSKVKYLSKEYPANPACPPGAIQHTYL YGTSAVNHAPIERLFFWLGFVCPLFWIGGSIGLSKNSARDRDFRGWQRRCRVALMVSL MVIGAVGLVILVQSTWVAGSRQSASESILAVISS PHYBLDRAFT_179903 MQNTIDITPLEEEPPTKPTENVYRKRHASLMPSSSPRHSSRHYF QRRLSVPDLHRLKKEWGKYQSSGIEDLELSKLQVDLLNIQSDIPLKRPLIRVKMGSSK YYTMRSRSPTGDWNEGFVFVVTYHTQLFDTIEFDLYDQPRKRWPSNVKHLGKAKLKLS KLAGKDDIFVTFLPVYEYHIRRSLPAEILDTLQESSLRLKKMVDAAVPPAKLIGSLQI RIRYHFQQPSDVPTLAIAPYPELLQQRGCNGANSIVSESDDDQPGYYSDTASINTASP VQKLSIGTNNIINNNNDNDNDNQPRLSAVDGCIDETGVWPITTFPTGNTLSPPDNTPR NNSTSSQHNRGELAQDEHVVNSLFMNRLSTIMTSQVPCSKQNTINTFQRPNISATSSR EGLNSDIDWRQNESRLELHPNSLRSSTTKTRDCQWLRAILWPVNRSKLASNRGRRYGF SQNDADETQSTLPTIRIQDANNNDNANANDNANVDNDRHKGKEKVKEKVKEQKGRIKK GAEKARYIIHSVNFGDKNFGFQWMQDSFEDVAISHPLIDSLVGLVVSGQTRAMVRALI KLANAFGQGFKVTGFQLLKAMLVLQKYYQSLPEPPPEPLIYDRTLVNDSRHYFDYALI AYGWRGLVYLGAYGQYIRGARHRRSNRMAILRYLQIPPEDLLGYEYGLRKGAAFQPSY FLAIDRSRKAIVLSIRGTWSLYDAITDLVCLYKPWKGGLVHSGMLASAQWFYTEIVPQ IFRYIHHHRNELSSFIITGHSLGGGAASLLTMMVVDHLEELRDISRNPTFTLRCYSYA PVALSSQQLGSQYDRFIYSFICQDDIVGRLSYGTAMELKELVMDTIGAYETLGGWRKV MYDSETREVCFEIISKRRKQIYNNAEELYPLLYIPGKIIHIVRKREMRFGGNERHKKD GPEAGENRRGKESKRESVSKHIPGASRHTYTMHVGNRAISNEMLVTTKSVEDHMISSY RNAFSQLGASSPS PHYBLDRAFT_131201 MEAPYATLNALAEFSTCEIADALLKLGERPWGGYIADIDMWSPT YCEGQTRIIGPAYTVKLVDKLDKDAPTLSSHFADSIPSQSIVVVSAPPDVKNAVWGGL MSARAKAREAKGVVIDGRVRDLNEHRSMQFPVFAKSHSILPQNAFLRPSQIQVPLSMS TSSVVVYPGDIIVADVEGVVCVPVKLLNDVLESCKKNVAIDSQCMEALVNGAGVKETF AKFRGTQ PHYBLDRAFT_185544 MNIADMLNPSAVADDTPTFKQTCFQLTSPPHSPTHKTKERAYSL SAASINGKARSRFSDLEDTIICDGVANGLTWGQISRQLPHRKRATCFNRYRTLQGIRK SRKSLLLLDSDQEPTTTTTANTIAAITTTTATVTNTNVPTATGTATGTATGTATSTAT RPFVRHSLSPSSLVSGFSPSSVGSWLPITPPSRTFEDNELPSLKKDGHGLQRSLFGDL SSENAPSHRPQHKPISGQQKLSKPFRSSLIHPFVTFPLSKHTSPPSSPIPYYRRFSS PHYBLDRAFT_141440 MSAKYTVADLVPKIQQNIDAMDYPMAYAFCKKAMEMEPSNVDLL EVTGQVEMELSLFAEAREHILAAIRQNPNSGYSKYMYLGQLSVEMEAIGAFQKGVELM ATERNSVPEGSEEARILASKMAGALCSMTEIYLTDCCFEPEAEQKCEEFLQQAQQVDP ENPEVYQLLASVRLSQSRNEEAAAALEKSMQLWIDKEFGDPAIPSYDARLALVKLQLE MGMFPAAFTVLEGLQKENDQVVDLWYLYGWSYYCLGEDESMPNEDRQANWEDARDCLE LAVKLYKTVGTDDEAMVEHANELIQSINAVVPPSAPEDETFADDAEAEYEDEDEDAME M PHYBLDRAFT_164466 MSNTSDVYLKAARYGKDLVRIMRVYREADKQTCTELTVRLLLEG AIETSYTKADNSVVVATDTCKNTINILAKRSSNVDNIEVFGQEITAHMLKQYTHISSA HVDIIKHKWSRMIVDGKPHPHSFVRDGEELHTAKITHLRAGNSVTITSGLKNLLVLKT TGSAFHGFYKDEYTTLVETWDRIFSTSVDATWTYTVRPAEKYSAVDYPAIYASVKQIT MDTFAKDESASVQATLYLMQQQILAKYSDVAEVSYALPNKHYVGIDLSKFNIDNTGAK MEVYHPQADPSGLITATAAHSTIGAN PHYBLDRAFT_164467 MGQVFSTTKPESTPLTTSGKRKASFDSSQTLKKACKDATFESAT PEVIITEQDVGILAFVNPNLKGFSSIMKYRAEDFLVNEVNLDGKIIRLTSLDPPVIPE RQKFFLSDAEFDAKIAGIISPEFAKELRNVLNNPNDITVSARAKKADYSNFNHKNVEK ASRIDFYNAIECNLDAPLMTECLEGVLIARWKNPDDTAHTKVGVVKEKPRKINFDITG GEYVSFYVQKSGVDTMGAVNIMANIGGPRFLPKQFSYAGTKDARAVTVQEMTLFKCDP RYLYDVRNELAERQIYIGNFSFANKGLTLGDLSGNHFTIVLSAAQCVLKGTMSLILKK KDNVWLYILRDVNGASEEDIHESLQSLESRGFINYFGMQRFGTSSICTHEIGRALISL KFAEAADLVLMPRDGDRADFAAARNHWAETKDIKSTLDKLPRQAHTERKLLSSYLRFD NQHEKAFNSLPQHMLSLYYHAYQSYIWNCAVSERAKIYGCDKPVVGDLVLVDGPEKSN NNSNNNNKKYGNAGRRDHSSRKVPKVLTEEDLDNYTIWDVVYPMPGSRSKYPANAIGD FYKQLLEKDNINIKNAQQHFKKLMGDYRPMMVKPKNVGWKFIRYDNPETKLFNTDYDR ITSVPEPVSVPNGKYLGLAVELTLGTSQYATMALREVMRSDTSAKAQARNVHT PHYBLDRAFT_141443 MDTRKIWTLSGEGNVIVIIPIFKNFGVMAGSLSGSWRLDRDVYG LPMDDFRVPGINRKLLDGILTNEAKTLAIMLESSRSEDIASHNIDDTYKQLKST PHYBLDRAFT_60695 MKFRLVWAQDHCNWTRDQWVPIIWPDEFRYSSKERLEETIRPEV DSLNDLRRVLEEEWEKTGVQCTGWLFKNIKGRCQVESLVGIWLIWIFNKITNFNDNII FNDNINELQ PHYBLDRAFT_60696 MLHAPVPPARLPIPPGFIDFASSSFDAILYPAAIPPTTPISDNQ SVQRRSSHLPDLVPFIQLITEKCNILPVHLVMALMYVQRFRNGLPAGYKAEPEAAHRI FVASLLVASKYSDDNCLSTRQVVRAAGDVWSIKEITRMEIGFLCFLHWDLHIHPDEMA TFLRNLNFDISLILPSPSLFC PHYBLDRAFT_92782 SVEFRDFFIADELNSLAYSFWTLAYFFCAYSWHWEDLGAHCSVM QMWSTPVLASVPAWWRLLQCIRRYKDSSEFVHLQNGCKYITSIAAAMTTGTRRIYRKS TTCVLYYFLITYLFCLIAFLWLKILWIVACSINSAYTSIWDIKMDWGLLRPNSRHYLL RNELVFYKWAYYVAVPINVLLRFAWTLNLANFAIHPQLLGFILAVVEALRRLQWNFFR LENEHLNNCGAY PHYBLDRAFT_179908 MDEDVTYEQNGTGKKRVRATQACVLCRKKKIKCDGTKPECLHCQ AANMNCEYTESKKRGPRKGYVQVLEERLLQMESRLMSENGEGSRDPTLSPITSGQSSS DIYEKDTRQTKYKLSYADQTIQLPSLDIVLHLVDLFFKYINSVFPLIHRGTLRQAIMN GTVSKPLLWSVLAIGARFSEHPNVKTDPPYLAGEKFAVKAASLINSDLFEPTLENLQF WGIMACLEYGRASGSRSWIYGSLAMRFCQELGLHKEDTLNEPILASDGSVDAVAMALR RRVFWSCLCIDNAGTNNPQCFERMDCDAKAPNAAECMILRDPCFYASVDNKPVTNDSL MDIANHHMRMQQIFGDVNKYMNRAKSESASIVWPPIAEFSILDRKLRAWKENLPDRFQ YTPNTLKFHKKNASVNYISLWLSSHAVWCSSMMVLHRGSLAHADMKPNEVPEEIYAGI QASISACKTSVDQATGIFRAMKDLCGYNILPYMGYSAYVFATVLMTSAFSKGPEAYKK SGDALQILYELIEASLFFTPIHIYGLKPYWLMCERLANMTKDLLAAHSRLYKTESRQS FPYGIKAEDVVPMNYRGSVQNQSMNSQSHTPGINISLAPSDISLSSLLTSNGPVTSTT QAYYNKNNGYFSTPDSSSSGGSITISQQHQNQNQNQQNQQNQQQTQSFPVLSEPYQNS NPSWGGEVDFNSLEFLYDSALFGQIMFDASKPSTIPVSGSYGYMPPINSEFSTSESSP QSSTPTTFGDTQGQNTRTSLQPYLNSERPLWNSS PHYBLDRAFT_131210 MTKKAIVFLANGTEEMEFTISADILRRAKVDVTVVGVELEKDKP AICANGTKILPDILLTDKELEGNLSYDAGVVPGGLKGSHTCRDNKKVQAIIKNLYDQK KIVAFICAGTLVAKASGIPKGHTVTSYPAVMDQLTDTYEYSQERVVVDKNVITSRAPG TSFLFALTIVENLVGKEAADQLKKDMLTSSVL PHYBLDRAFT_154568 MPFIKQQKNKAYFKRFQVKYRRRREGKTDYYARKRLVVQAKNKY NSPKYRLVVRFTNKDIICQIVYAKLQGDFVLAAAYSHELPRYGIKGGLTNWAAAYATG LLLARRTLTKLGLADKYEGVTESDGTVSMVEAIEDGPRPFKAFLDVGLARTSTGARVF GAMKGASDGGIFVPHSGNRFPGFDIESKTNDDELLRNYIYGVHVAEYMEYLEEEDEER YKKQFSTFLKQGITSDKVEDMYTEAHEAIRADPVYKLTKKNIPRLNKKQRDSKVQAKK DAFEKKRSQE PHYBLDRAFT_157790 MFPSAKIRPLNHLQRQRITSNLFVVVALGAVLTVAAPTLFPCPA FELNDKAARLEAKRKLKATTSNIPVVIKERRPAVTSEEKEE PHYBLDRAFT_131217 MSDDHDHTFESAGAGASLTYPLQCSALRKGGHVVIKGRPCKIVD MSTSKTGKHGHAKVHLVAIDIFTQKKLEDLSPSTHNMDVPNVTRMEYQLINVDDGFLN LMTADGTSKDDVKVPSGDIGAALEADFEEGKDLLVSVVSAMGEEQALSYKEAPKS PHYBLDRAFT_16836 MLGASYAAVPLYRLFCANTGFSGTPVTDVTRFSPDRLVPLDERK RIRITFESNMSDTLAWSFKPELREVYVVPGETALTFYRAKSYAKEDVVGIATYNVTPH RAGSYFNKIQCFCFEEQKLSPGEDVDMPVFFFIDPDFLEDPYMKDVNTITLGYTFFDA RYAKNLQPQNS PHYBLDRAFT_157792 MGATTTSSVGFCTGVGAGAGAGACACAVICGGGGVVDVDVVVGV GVGVGVGVCIGIGGVLCTSGCFTNF PHYBLDRAFT_157793 MSQPKAEEPLNIEQHTEYIKKVIKWSITDLERLQTLLKARIAAE EAYIASLQKINKNVTVPVREPIPFFGNDPDFQRTSLQRATFKYEAFVGKTIESRNGLI AHMKYELETLAKVKIDQEARKKRIKLLDIANKSYLDYRKEVPKPGATTLLLQKTSLNN KSRFNNSNYN PHYBLDRAFT_17339 MVFVGAPLPEPIPVISGYDLLFTNARNLPLDRKVFIDNDNVDNY ITYGGLVTQVTKFSSGLQQIFNFQRGDVVAICSPNQINYPVALHGTVAAGGIACPVEH TLTTDLLVKDFMTVRPKLIIAHKHTLGRCLEAAEIIGLPKSHILLFGPRKLRGVMPYK HILYSYGVTRPPVQLTREEILTKPAFLYFTSGTSGVKKAVMMSQFNINACLMAKDAWS KVEARAVSHVEFHHASALIINLHYCIMHGVETFLLHRYNIRDLCSTIQKYKVGLCASP PWTVTYLVKDPVYRSYDLSSLNVFICTGAFLDNSSARAFYDRFGIPVINKYAMTENTC FFKTILETVQAGSLGVVQDGNILKIVGDDGQEVGPGEMGELCVKGPTVAQGYYLNPEK TVEAFDKEGFFHTGDLIRGDENGHFFYVDRAKDLIKYHLHHIYPGEIEDILMTHPLIS ESSVIGMYSRDLATEMVTAFVVLVPGTKRTASLADEICEYANSRVSDIKRLRGGVKFL EKFPRTAAGKILRGVIRMNAMEQDDELKAL PHYBLDRAFT_154572 MAQPLPFISRRSTVYGTHAMVASTQPLATQAGIDILKRGGNAAD AAVAVAAALNVTEPGSTGIGGDAFCLYYDAKSKTVRGLNGSGRTPAALTLEHLRNIDK IKQRVLPSNSAHAVTVPGAAAAWVDTVKTFGSGNVDLKDVLTPAIDLAENGYPVSEIS AYGWKAEQDQLKELNPNSSIEYLIDGKAPTEGQVIALPELARTFKELASHGHDGFYKG RIAESIVAAIQSRGGLMTLEDLKAHHSELIEPISLEYHDWTVWEIPPNGQGITALIAL GIIQALETGDDENPGIDLASMDHNSAEYLHIIVEALRLAFADTRNYVTDPQVTRVPTK ELLSKAYLSKRAKCVDREKRNNTIQKGFPEEAGNTVYLSVVDEEGNACSFINSTYMHF GSHIIAENTGVVLHNRGCNFVLVEGHPNAIGPSKRPYHTIIPSMITRKTTKGTHELEA CFGVMGAFMQPQGQLQVILNMMHQKHNPQHALDLPRICVSPPKQSDNPLGKDDPFTDL TYSAVYVEDGVSEEAIKGLEAKGHLCYRLKAHARSMFGRGQIIRVKQDERTGKRVLAA GSDPRGDGHAIGW PHYBLDRAFT_157794 MVLKHNNQLPNQHFRKQWQRRVKTWFDQAGRKKSRRVARVQKAT RIAPRPVDGLLRPAVRCPTLRYNMKLRSGRGFTLEELKEAGVRAKEARTIGIAVDHRR RNKSQESLELNVQRLKAFKAKLIVFPRKSGSPKKGDSEAAEIASAVQFRGAILPVQQV AAAPEARAITADEKKQDAYMKLRYARSAARTLGAREKRAKDKAEEEANKKK PHYBLDRAFT_164482 MTFSLFYLLIFPESDNTSWDQIELFHAGKKVKICGPLESKWYLP PGNFVSLELANIDTVHFECKSKVDKILRSEHTGITDGCLFLIYKISIGKVTFALMVKI ILWLRKMNSRFGNQNDPDYDDFDLSVHTDYMYKAKKENKFLIGWPSSFVPREIRLNRT LNVVGPIDWRGT PHYBLDRAFT_185553 MNDFSYPATKELNKTMPLYHDGYQADRTFQSEQSPLSPDPWAES TAPDPVSCDLQDVIICYQSQPDLLKLILLSKVEEDKRRAEEAKLRAKELDLLLLQHSF HSLSANAFSLDAMLHLSPDQRSMLSQLQGVGSHHNRRHSSDHNIHNTTATATNNSSMD LDDSRRDSTIGSSFDGSANSDELESSSSFSPIPATAMPSLLSMTTNLDEIKACDSNSI VMMTFSYTQPMAGLGHPKHTITHPLSPPSYPHLGLDEPFQLDDVDPQQLLSPHPHPHS HTNSHTHPHTHQHQNSHSHQNQLPPPPPQSSSPTQSPCGESTAQRPRRRREMQAITKI VETREHPYVDGYFWKNNGNTIQKKTGNKSVYYKCSNSSKGCPVNKTVTWKDRGEYMIK YRGDHLVECNKVQRIVDM PHYBLDRAFT_164484 MFATLELPLRDENRHKRLKVGRACHPCRMKKIKCDGRQPCMQCK DPSIHLYIIIPNAHSTQTARRRKCIYLKNTDETYIKLDPMTDSTLDSRQSPEATEESH AAVSPPPQQQDNTADTLFASPGSQSPLSNTNPNGDNKPASSRPDKMMEQLTDGLVRLT LHRDTSNFQNEITPWRTYGQFVHWSPDPTLPGQYLASIDMPPRHTQEHLISVFFSNCH HLLPTLSRRMFYDQLSIKGPLITPLLLNIMYAHAAKHVDTIVQAEMFYHRARRLVDDF LDVPRLSTVIALLYMAWFDYDSTQHRPQTRARSSRSWMYCGMAVRMCLELGLHTANYS SQMSQFDIELRKRVLWTCYVMDKFESCTMERPWMLKAVDIAVDLPTPLPEDDSRERTV MEGFGQLCRLTMLLEKILYYFTYDAKNNQTIWTVHQENQTLQFLDALQHWRDSLPPEL SWSAPDGIPSLVTANLHLVCLDLELSLLVCCRFQEEQIHRERRRALANSITQLVSYTV QHPHLMYTCALSTFSAVFAALTHATDFNHPMLGVAEEAKDQFRASLGDLRDIVERAPL RDVRGFARLVDLTLQPHLTTSTPTSTSTSTLAPLHPLPRLATLSPSYSAAFSAIHDLC QKHEENQGIDLNLFDHEQQAAAVAAVLDTSTAFSPSSSGVYCPPVSIGSSRQTSPPYS HNHNHNHNHNHNHNHPHTQGHALVAPTFAYNPCLPSNVGHPTSAGAAAAAAAAAAAVG AVGAPVTTSGMSNKEIEPADYTFELISVADEWARSLIY PHYBLDRAFT_131221 MLATTARIAIQARPFISQRFYATGAGASTLLLVEHKDGLIGGAT LNALSAASKLGGSITALVAGDAPEAVAKQVAKYDGVSKVLTATGAAYANGLPESFAPL LVEIQKANGYTHLVTGHTAFGKNIFPRAAALLDVAPISDITGVSSEDTFVRPIYAGNA IATVQSKDAIKFITVRGTAFAAAEANNATEATVEAAPTAETSDATQWLGESIQKSDRP DLGSASRVISGGRGMKNGENFQLLYALADKMGAAVGASRAAVDAGFVDNSLQVGQTGK IVAPELYIAVGISGAIQHLAGMKDSKTIVAINKDADAPIFQVADYGLVEDLFKAVPEM TEKL PHYBLDRAFT_16868 WTVYTANPEAVQYILMKGEIFPKDTNFMNVLSRDSLTIRFFGSS NVAFISGEPWKHQRKTMNPAFRRTAPVDLFGRIVPDMFRLIDKTDGNIFIFDLLQRVT FDALGKALFGFDFRATVEEDSAWRAAYIDTMAGVTAPILNIIPSLEYILRYFYPDYNK ASKGVDKLNALILDMVNKKKKKLEESKDQPDGGQEKDLLTLILEAEMKENKSSESDDL RANMATFIMAGHETTASSVSHCIYYMAMNKNVQNKARREALDILGDDSSTAPPTFDDC KRINYINMIVKEALRLSVTSGALMDRVATEDVTLAGEFIPKGTYISVDIEALHKNPTI WKNPTVFDPERFSKGGEHEQHRGITWAPFSDGNRKCLGVNFSMAEQQVLLLMLLKHYE WDLSENSIHKNGIVYNSIFSFAPKTLSIKFHKRH PHYBLDRAFT_141459 MTGSFHTFDTPSISYSLYAIFAFPVLTLHVTRSIKNKMRLKDVR SHPDKRDFVALAESTDYNVAEA PHYBLDRAFT_141460 MYLHLVYHYHIQSFLLDSGLMGEIDVSLLETEAEVFTPKLVSTK PTRGYGPLSVHHRREPSGASLGGSFFLEAPMKYHHPFGQPPAWAAGGHDLGAVECSSA TLASILDLRLGEERRTDKFATQPVRETYVWGGPNLTIKVGPEFGSRPS PHYBLDRAFT_141462 MPVAVLNSHQNHQKMKPIKWANQRVRTTTTKQPRVHKRTVSSAS SWSNHTRTSTAGSSSSASSSSTFSSLGSLGSVDPNEAQTQKSQPVSEIDRLVTELAYA YDSVATITVHFDSLRHAYACSKSEIDRSTRATRLCDMEKELLTAYDDLGLQVVHLERK IVKLETRLTTLRLQERQKDPKLDQTQTQTQSQTQTQNHSNSNSNNHSNSHSQDHDMLI KQEQSPIGSAMIFYSPCPSQSLGIEVKGEYDTDENENENTKNNYNYNYGYDYEDKNLR NWASRPDQHHHQQQQQQQQQQQVAIGSPIFYEDSPYLLVNEQCGVVVPRVENGCMTPE YAYQHQDGMTFNDAPCYAISTQDSTLPYAPIYYENALASPISPTFPTFPMTVVYPTWS SSPRAGLT PHYBLDRAFT_164488 MTTVNDFLLLCLLLFTAVYCCLLLFTTVTTIILYIYTFVPFLTL TSRKTSDAVKLGNSREWNTRKPSGHEDRRYVTRTLTIPTIPTQKYRGNINERFLKVTL RFDNQR PHYBLDRAFT_164489 MRKPEETNISHIMTFGYSLDLTTYKNWIAVISFNLIIFFCSKYY KNKAVRRDVFVYILLRTGHNIVYESLLYPQVFVRYITGIDTLRVSIRFSWHKNIVSSQ ISEVMAFGKACLLQLARQSIEDHKSCNKELQYRLSISISLYYYLLLSIQRTIFYLKIF GIA PHYBLDRAFT_164491 MLILNYLLTDIFANQLFKPLLSSHPVPSHHITSHRIVSYRNRIV SYRIVSHPITSHRIVSYRIPSHHITSHHIVSYSNHCSHHILSHPITSLRIVSYRIVII VVSYRIPSHHITSHRNRNRNRKDLLFG PHYBLDRAFT_164492 MGWDTIRYDTIRYDTITIRLRYDYDTIRWDGMGCDGMGQDVMEA TQMIKYEHGYTNTTLFLYVREISDMSITIRTASLYDMSTITIRYDVMGYDVMGYDAMR LRYDYDYDMTTIRYDRIKWGSIG PHYBLDRAFT_185555 MSLGRSLTLNTGAKVPLIGLGTWLSQPDEVRKAVKYSLESGYRH LDCAYVYRNEDEVGQGIRESGVPRKDIFITSKVWNTHHRPEYVKAACEASLNAMGLDY LDLYLIHWPVSFAPEGKPEETPAVPTLEYLFPKKNGQVAVEEIDAAATWKAMEELVDL GLVKAIGLSNFTIPKIQHVLKNSRIRPSMLQVELHPGFLQEELVAFCKEQGIAVTAYS PLGNNIYGKERIVDDPIIVEIAKKTGKSPAQICIAFAAQRGVIVIPKSITPSRISSNF EDFILSQEDFDAVKALGKRNIRYNDPGTEEWNTTIF PHYBLDRAFT_179917 MSVINSLVASLEYWSFDFPPGSETDFEDSLGGAWYITPTHHVVE FITLAPIFLVLTAILGMRTFGKGTTAYRLLNTPEPTNTKSSWLDQALLVMTILSLGLT VIHKYYTQTLLFLLQPCHASAAVLIIIMGWPLPNRPFIPRLLFNIYLHTMWGAILALV FPDLRDHDMFGEVFNFFLEHILILIVPFYLLSTGRCVLLPASADMALFSFFLYAAYHS PLLHILSLSSGYNLNYTLVPPALGFLIAVGPWYRIIMYGIALLNMFWTRYGLVGSFVA LACNNNKSLPSKKAQ PHYBLDRAFT_154576 MPPECGNPLLRDWIGEWMEKARGLQSKAYFTYKKAHDSLSKCPI TFQHPSEALQLVGIGVGMVDKLEKKMIEHCKANGLPIPVRTKGKRRNPGTQEGSGTQQ EESSQAAARKRPTRTYVPTYRSGAYAILLSLMDQRDNGQQQATKEQIIRCGEDYANAS FDLAEPGKSYTAWNSIKTLMNKSYVWKQGSPARYMLTDQGVAMATQLRNAGIPEANFL NECRHDPSAKFSPGSYDIVLILDNREVKMRNNRDYIQEKLAQKGVRVITRALDLGDVV WIAQKRGSDSPGDWLFLDIIIERKRLDDLISSIKDGRFHEQKKRLKESGARKVMYVVE EYNKEEAVRFGLQAIQTAMAATQVIDGFYLKRTQSIDDTIDYLVCMTRVVEKMYANTT FYRIPEHVVSRENYLKLRADYAAEAASSASSAAGQQISLEYYTISYPLYNRLNSKYGA STLKELYGRMLRTMRGVSGDKATALSQVYQTPHALFEAFEAKKGDTKAAKMLARDATS NAFMRRKWGASLSKKLWETWGVK PHYBLDRAFT_179918 MIYTSIGLVLLFWAGVFYCILPQDSLKRVQDLPNLATVVSSWRG TNDVSSDQRIIHSSPAPGPASAHGPGPAPITRQHGYFLHITDMHVDADYQPGATVKSA CHDMPSLAAAKSHRKKPKNQKVLVGGRLGAPGEHCDAPIELAERTIDWLVREWRDKLD FIVWTGDNSRHDWDKKDIPRTRKQVYKLNQHMTDVMIDAFWPTVPVVPALGNNDVYPA NKIGDAKIDSSLLAFYERLWRHWIPKEQRDTFKDGGYFSIQVAPNLRIISLNTLFFSS RNKAVKHCKKGTPAHRHMEWLDNELAETRKEGSRAYIIGHVPPSTRDYRNTCLDEYLR ISSVYSDILLGHFYGHLNMDHFLLYDGRPDLTEELIVTNVTESDESDDMLHTNRNLDK YVNWLRDMYESIEAIESKKNSSEPPPAQDPQAPVVVVQIAPSVLPAFFPTVRIYRYET STNPNEDTPTTKPYGTPLGFDQYFANITRWEEAQENGTTPLDYQLEYTTEDAYGLRDL TAESYLELVKSMVKTDKAGDKLWTTYINNMFVQTMNDTYN PHYBLDRAFT_179919 MAPVKSTPVAVKKSKFVIDCSGPANDKIFDAAAFEKYLHDRIKV DGRTNNLTEAVTITRGADNKITVVASIAFSKRYLKYLTKKFLKKNQIRDWLRVIATDK QTFELKYFNIANEEEADEE PHYBLDRAFT_141471 MRFLFLTLCLLLHPTAILSLAPAIPGDSVLIPEPSSFIPSRTST FNTPTITNSPQTFDPPPQFHEDEEPHERHGRDEQDKREERDEKDNKSSQTEDERKKES SDKRVRSSALHATTNTHGKTVIVTTIIIVSNPDPDQVFRAMGDGDDKTKQSGDQSSST MTDPNSSNSDGQNSSGEALGNGYQRKKNEVRRMVTIASLVGGLGGVSVIAAAIILTRV RIRKRRDMAAKNIHADDSDDDNDSSNDNEFDLPLDIENGGSSPQVREIQTSDNDHTNR NVSQDRSPPEPSAPPAPLSPSLGNVQGVVYEPCPPRRTMSMQLQSSSPLPSAPTIKEL DGLANDYHRMPPLFQIQASSSHTNHSDSSHPSSSTASSACPHCKPVELLVPPEVPPPA YTPSAPPLYTIPPANAGQGSSSSCPSSPQNSDTCGS PHYBLDRAFT_164499 MSNATMSTVIYNIYNVQNALVNSSLDGIKMLPLNTTISVNSSEW QQCLDRINFLCSTKWTKKRRLRERELIFGETRQCHRAGIYKSERQNRLAQKDSKTCGC TAALQIKQYISNPDVVTFCMKKDHTNHVPGEASEIGTLPLPSEEIKIIEDQLRGGNSC NNTGASVLRQIDDWSVGAIFLLTVPTKNLFAFGFQSPSQVGVMIITQSFCLDATHNIS SKNMEVTYSFVNAIAAALHLTAIHYCELHALRAWQHNLDNKSERRGKEKEKRNNRFKA SNLANNYIEAWPKQLNYYPTSIVLESEDWIVLFSSLKNDNENSFLRARQEGDKDNSLP ATLKWDTEK PHYBLDRAFT_60726 MLVLLVDINGITCIYSLLDHRYKSYIESNLFQDTSLYSENIEAR DRGTNSRFYIYNYTYLDVTLHSTAYIIRSNHHKKALKIYSDLDYSNNKPKKAIHINTS LIIYISAAISQVICKALLIVIFLRMRYFGPSGVWSVLYILKVKYNDFLTVKEAHSGFV KIK PHYBLDRAFT_76440 MMTILPNKKNPLQVVLDESVIYLEESFGSVLIRGEVIVNFEKPT PIQGPIEILFEGIQRFYPWSEIMILRPMGNTIETVLQSIELSLLPPNTNGIMPPGVHR FPFEFPISAALPPTVSIPERIDIFYQLSATLRRSNASRDQSGAQQLLDWARLSVNKKN LVTTKHIRLIRALEYKPLVPHTIPSEVQEDVNNTDQLAIGSSIDPQERENQEGSTPLI DPWNQFNLTHRHVTSLDEQIDRLAFSLAGRSIDNYHRSPFEANKEQGIRFRISVDRTV IALGTSIGLDVHVEPTLFPAKIKSIVLTITETRKYRMKVPSNHSHGFPAETRKATEIR RMLLKWAYCYPSKGQATENNRFDGKQSSNDTGAKGYLGDKFNQKVVSIFSDQPSNTSF SQNPSSDKHSFSSSGFSGLSNERIDYYGNPDCSEEDSSSPTKYKLVNLKEIDHPVNVG EAFAGRFEMAVPSCSNAVLNPSMEDESIQINHWLNFSVVMECDGQPVELNLESQVRML NCRLVAADDDRQMILPPPPSYNCSGSFESSRHSNSFWEQRQPITRNALWGTCNQCPCK IKSTAASKPDIKTKKSKSAPLSKTSDPVIQGWGPPPCYSDNN PHYBLDRAFT_157803 MGPTFSDGKRHLYLTGLISEKHSNCLGFGVSFLILQGKMACSEE YNIFISLFNLISLACSASVSPACP PHYBLDRAFT_76441 MPKVIGTHSGHFHCDEALAVWMLKQTAEFNDASLVRTRDPAKLA ESDVIVDVGGVYDPAQSKFDHHQRGFVETFDEKHATKLSSAGLVYKHFGREVISNIIG KSHSNEDLETIYQKTYNDFIESLDANDNGISAYPSDITPLFKESPTSLPSRVAKKNPA WNESLTDPEIDARFVEASNLTGEELAGYVKNLIAAWLPARTLVVDALEHRNEVHLSGR VLALERSCPWKEHLMDLEKERGLTGDKSILYVLYPESSPEGNWRIQCVPTRPEGFENR KSLPESWRGFRDNELSQISKIDGCIFVHAGGFIGGNKSRHGAYEMARLSLEL PHYBLDRAFT_185561 MAETILLTVPSPKQQMDLIYSNQFTNPHQPPATLMYDTSPTTSH PPKHQFFPATLTSSFSPGSVDDNTSTYDSQSLRFSSSSLPDYYGYHEPFPGFSSPPTH SEQDIQNDISMQDCSQYDPTYATPFNNHVGSSPQPFDFSQKQTSNHYGYELSPIENPF KYLKTLSSQVPDSFMISQSPQSVYSLESNVTPPMDMHQLQPQLIPNMYPEQLAGIDPN QYHQHQHNLAASTSSRLAGHGRSRSHNIVGHTRARRSSSVPPISVQGGIRPRRQNRNS LKAHNHPAAYVINPLSIPAQTRIPILPPVQIERVAPRQHGSSLSQSANNSHRASHNKP SHQKRLDDQLERVNFDDITVSELKDFLRALNLSASGRKADLMGRLKEAHKDMLERRAK KTTPVSPPSPQIESENIKESIHHTQPISIPKATPPVIMAPLSPRKSIPNNRNNISSSI EQVAQEKLLSNPSDIHPVPIIVPSQCPSDFRPNNVSRPSFGHHSMPTSWQDNDTSMLT APLSTSDYQGYFETAPLQNINPTTYAPIETPESYNYTPTTCMPVDTTMQLNPSMPIDT NLNSQVGGVSISDMSPLENGVWDENMFNFFTNFQS PHYBLDRAFT_9616 MGRKKIKIQPITDDRNRQVTFLKRKHGLMKKAYELSVLCDCEVA LIIFNTNGKLVQYASTEIDKILM PHYBLDRAFT_185563 MNTLESELGFDDYMMSSSFTHGPKMVYDDQLYPAGYGYVNDGTI MSSVPIISHHYQQQSLMPPVTFDVYSQTLSQQQPSSPESACSRLSPPLTTPSLFSSSP ITTTTVAPSPLMPSTSTSTSTSTSTSTSTSTSSSSSSSSILNEKIAQVNRLPESFLPE FHQYSKEAYENGSSQNRKRKRQTKSNDEDDNSSEAEDDMDAAEVRRQVHIQSEQKRRA QIKDGFDQLRQHLPGCLTKKMSKAALLHRTVQHLQHLKKTQSGLLTSLDKLAKDNENL RKFQDSFLQKQALERMYPMGM PHYBLDRAFT_60732 MHFNTLTGLVLTFVSLCSATHFIEPGRFTVWETGSTVEIKLAKG YASTGSVHLSNNGGFLPKNLGYVVKDTAFEGHTVYKFKVWDELPEDKTYQLSFNPGTE SGNATNAISPLFTIKKPCGKGKRDI PHYBLDRAFT_154579 MQLLKAKAKKLQFGRQQKEFQSALSKFGKDIERKFKQDLSVIYH PEAFSGKEHLVGRALAMHFIRQGQFDLCDAYMKEAGIQQDNTLYAMTEHLKKEFHRMY AILKELEAHHLDSAIEWASANREALAKDCSSLEFNLHRLRILQLKQERSTLEAIQYTR AHFAPFGDKHLPEIKRLMTGIIQSSISESKYVDLLSPTLWVDIRQEFQHDFCSLLNMS AESPLFASALVGTTALPIILKLYKIMSARKTGWSQQDELAVEIPLEEELRFHSVFACP VSKEQATDENPPMMMPCGHVICKESLARLSRSSRYGRNATRFKCPYCPSETSVDQAIE VYF PHYBLDRAFT_108846 PLLRSTIKQLEEGPEDQIPNQANTVLHWTFPRGDLFHWVAVLDR FDSILSRVCKEYDIEGKIQSRDFDAPTKKLVLAITNLSRVLFENCTNRNIYNSYDEMC NLLNTFDLDVTEAVLRFILRPAQRVNNPRAIRSNFNPPHEHISFMVPAWGSCKNIVQC CRDDMEITDDMTVVKMKFYRFSDDQNKAPVENNGTEEGVQLVKLDVDDKLTLANGIRI AKYITNPTSRRQLVVIRLLALAIMGHTVSETGAHTRVFQYDPQLITSIVELLQPEKAM PVEVQTCALYALEALARYRGKLPEMLAAVNASANHGVLMQMLRKINTPEIEHGKGTY PHYBLDRAFT_108316 LRAEVVSQHIQNRQPTAAAPEPESISPEFLDALPPEIRDEVLRQ ELIERQRRTRQQHQETTATADAPEPVITDSDLDRRSLFQRHLARRAGRFTELGDTNSS IFAPSQKKPAVHRDAVQLVDRAQLATLARLLFLPQTISKALLNRLLLNLCENSKSRSD LLSLLVCVLHDGSNDLSAVDRSFAQLSVQKNTAKPASKPKTIVPLPIESETVPDLITQ RCLEVLMHVVSYNDQSLTYFLTENDCLAGLKRTNSKKGKGKEKASTAAPVSSKYPLLV LMSLLDRPVFIKNTNLMEQLMHLLGTMCRPFPVLVKKYVEKVENKQLQNQKNQTGGND AAEENERAIPKPPTIPDNYLKLVVHVLTNGDCSGKTFQYTLGAISHLSKLDGALRTIT NELIYDAKQSGKQVLKDLVELLDILEHAMPGTEIQGSTLSHFSATSADQAKLLRVLKT IDYMYSPKSPTQSTDNKNQKQADSEEALKNEKRVLQIYEELDFLPLWKMLGRCLSVIH EKEDLISVATVLLPLIESFLVVSKHAAEKGQVQQTNSLGTNTTIVNPDSESPDDFFFS FTEDHKKILNIMVRNNPSLMSGSFSLLVRNPKMLEFDNKRNYFSQRLHKRTAPREHYP ALQLNVRRQYVFEDSYHQLQGRTGEEIKHGKLNVRFYDEEGVDAGGVTREWFSVLARQ MFDPNYALFITSAADKLTYQPNRASWVNPDHLSFFKFVGRLIGKAIYDGRLLDAYFTR SFYKHILGRQVDYRDVEAIDPSYYKSLVWMLENNITDVVDLTFSIDTDDFGTAKTIDL KPNGRDIPVTEQNKHEYVYLVTEQKLTTAIKDQINAFLQGFHDIIPASLIQIFNEQEL ELLISGLPDIDIDDWRNNTDYETYNVSSIQIQWFWRAVRSFDQEERAKLLQFATGTSK VPLKGFAHLQGSSGLQKFQIHKDFGGENRLPSAHTCFNQIDLPMYTSYESLRANLFKA INECSTGFGFV PHYBLDRAFT_108062 MLSTAAVSTYIPSQRTPDQATHPGYFRIIRQGPPEAFSSKLVAE RDYPKGSVIAPLEGLTFGEKRYSSVQVSENEHVELNSDLVFMNHSCDPTTHMDVEKRA VTALKDIKAGDEMFFFYPSTEWDMAQPFQCWCSTSKCIKTVRGAKYLSSKELEQFTIS AHIAKLAKERDEKA PHYBLDRAFT_179927 MTETALPPLDSFSNNFWGKDDAGYEVLTSKMNYTKKTFEELKAF YSIRASLHEEYGKKLLKHVKTDLGRDEIGTLRVLLLSAHKELEMAAQTSIEIAQKIRI NVEVLLDNFILEQKDKRRLLQTNVDKAHRNKQLHATHVTRITLEKQFASAGQREQDRL RQKIERCTHDIKTLDNEYQNACLKSAEATSVWNTEWKIACDIFNKRYQDMEEKRVDFI HHSMSVYVNILQTASGKDQECDSRMDIVTFIEEKGTGPMIPEPPEYVNYLDDPAKTLP KYIIANFSDHDIAPLTQNDMLKKVESNRKSMSMISLCEPVANLTRALTKPRVRRKAAT IGPDDEMMKKVLERRASTYSTTPELVQDDLSYRKPGPAPKEEPALIMDEPIDPRAQVV FSIGNNMFNVNPLLDQGTISEKPSRKTNPQNTRDLDEVCDISIKELLDQLGVPNPAQK EEEPRQRRERQRSTSEMRGATRQRERTLSQNAISEPYNTNKTLLRRSSTNEIQTEISR NSSITETKDDGIKVWARTLYDYTSAQSGELSFTRGTWVAVVRTDHKEWWWAYKWNEEA DELTDEGGYVARVYLEMF PHYBLDRAFT_122484 MARFHEYQVVGRKLPSAKEVAPKLFRMRIFAPNTVVAKSRFWYF LKKLRKVKKAAGEIVSVNEISEKRPEQIKNFGIWLRYDSRSGTHNMYKEYREMSRCEA VETCYQDMAARHRARFRSVQIIRVAEVKNADVRRQYIKQLLTPKLAFPLPHRVQRAEK GNRSLYLAKRPSTFY PHYBLDRAFT_141485 MILAPHSDAFRSSTLGQNLLHDIINVTGWTTSKTYGGIELEPFL NSLRPVDVALIEKGLPHLRSLSQDYLNCPIQQAFNWNTLASILGKEWQGEWFVVVFRS IRKISADHQRLFEADVRSQKEAIETGGFLKMRYQYWYADLNEHQECMAMCIWVDVEYA AKASRKPLHREAAKLAGQMYDSYVLERHRLIKRAGETIFHIEPM PHYBLDRAFT_179930 MPFFAAWSIQTVRQRAFLIGVGASTVTGVLLKCHYAKDNTPLII REPSLPFRILCNFIPIVALEPADPSTPQSPPKGGPGAWLDSTRKIFQVDRMQEDVRRE NDFISKMFSLKSTLPTMPKINIPEPHIPSVEEINQRMNELYPTFASQFDTLRESYEHF WDFLTMEDFRKLIDDIQREDQDGLIYPEVGEDAIVREGSGLSKEEKKFIKVRKERQRQ SFAKFMRVDPHEVELEDMPIVGIASSGGGYRAMAGCAGYLKGMRDTGVLDCVMYMAGV SGSCWTMALYYSPLSGGSLEKLSGHLQTHMHTHLANVSSFLTVLSASKNNAKLLMQGV LERYYQQNNTLNVVDVFGMLIGATLLTKKKIDNTMASESSECQDHSIDTEGSSKKKED ILRGIVTEEDGTQRKPILLSKNAMKLSCQDDVFGDGSQPMPIYCAVRHDNAHTAEEEK DIYQWYEFTPFEMGCEEIDAWIPMWGFGRKFDQGKNLERLPEQVFGSAFAASLVQFYQ EVRSFLPKRAIDASDEIVLRYQESMSNYHPISPASFPNPFYNLPEYGPIEEGCTFSRP KSLIESKELCLMDAGMDNNIPFYPLLRQGRDVDVILAVDLSADIQTAHHFDRAEGYAK RRGVKGWPVDAGWPKQHHSSKTNDYPLGTCTVFASHALETETEEATGETYQTKAQRVT VVYFPFILNKAFDPEFDPQTAEFCSTWNFVYTSDQVNKLEGLAEKNWTENIDQVREVL RKVWDRKRRQRLEKLPDIENPFTL PHYBLDRAFT_154582 MYPQRVILTTYPGQHGIEPVALNWGAADSKKRGPIVASRHAGSI KKRNAIGAYGGSYCIYRALAVAIGDLPCNYRPNFDMTEPTFSVGPYPTWFDPTKIVSL DPWGHTAPQVFKKEYEGGLDVRPTIAITRAHMTMAEMEMSVSKGTLKVDGTIVVNQKG ELAVSKAAVEPVWYLPGVAARFGIEEGLLRRALFEDTGGMYPELITRPDLKVYLPPIG GISVYIFGNPAYVSDPTKKLTLRVHDECNGSDVFGSDICTCRPYLIYGIEECAKQAQE GGVGVIVYFRKEGRALGEVTKYLVYNARKRQAGGDTADNYFLRTECIAGVKDMRFQAL MPDVLHWLGIQKIDRMMSMSNMKHDAIVEAGIPILERVPIPDEMIPADSRVEIDAKVA SGYFSSGAVLTEAELAKVKGRGWEDVKN PHYBLDRAFT_131254 MTQTLFISKHPIVATKVSQLRDVSQSPKQVRQLVNELSNLLGYE ASANLATVSKKSLMSPYEAYESTDLKERIALVPVLRSGLGLVDGFLELFPEAPVFHLG LFREKVSLQPVEYYNKLPHNPNVDICFVLDPIIATGNTAVATINILKECGIPGSHIRF VSILASQQGVTQLQKVHPDVTLHLGAVDETLDAHGYIRPGVGDAGDRLFSTAL PHYBLDRAFT_164516 MLQDGSCLQVNQMAGGWATREIDDWVYYRQQHVGGCCAPSRFKP TGYVFEDDGSTVQTTGDLMVRVADAPLVQTVLVDGWYLQAFTDDRAVNLTLLPTVNFA DKQSIVYGAAFDRAMIITYQFLNATHQWIGNQTSGLIRNTDTVPDITLPKYTRSIASY SILWITGYSNVFCIHIRRPLRGSSSGNLDQDMLISNINSSVSGTGQLYCSTVDILCNG ISFKLSISTSYPLSLPSAFTPSIIVCICYAWCRSRKQKYLMSRFVNKQDHLFSVKLGI CSLLKRLCLMHELFLSFFLYAVYFYPLFLCYHASYRSHIATVLDLPSFVITYAREIPF LVMNALAIIPTLLANGIVLAYFLMRAVKYHPCDRCRIEFLQVDGIEEDYVKLLFTQRE FRIVASYEFIDHKHHIRYLFTEGRQNGIPINKARQVFLKRYPLTTYLRLLYRLFGMNA YVRIPYPVKISLTLLIYCLGQLIPVLTTEMLGVGGVVPTFICQWTPYFAQFQYTPNPT RFAVKTWMLMQIAAYISTFGAGIFAMVYSLGILRRVTKDIINIRRGDYNIFKGKKNNT LDLDDSIRFLGVCLGFGFTGTLYFMIEIALFGTGIAMLVQLDKLRDYVFRLSGYGVFF ASFFVAWIFQLVQKRITRIVFIKKGTRFSLQNRSPLLHYWYFMMLTSMTRALTSYILR TLKLIVRYPLFSLRVDRNAETWSVRRGDGGFVGYMGMLLAENEYNNPIMLVFIECILH HLPPPLSNTTNPDTPCRKHSALSDSERNEIGPVCETSPAVATAIASPVRQVTTKSVYW RQVRARNRWFLAYTLINNPMLQKSLYEAIFGQNLSVTMTIKSSIPSTKNILSNIWLGR IEEAHTRDFNLHKLKKRVFVTLISVASIVYYTSFCNSRHGKIGFILKV PHYBLDRAFT_60744 MSFEKDTGKQRKVRKGYSIPSESSNSCTPTRDLGYYQVLSCESE NEESMEDRVIYETSREDISESRTKATIQSTIGKGQDSGHSYTESTAETSHFFNSLGKM WNALPFKQSSGNQPRSSQDSYKPHNTSYKCTRPMSNDTSIMRDVFTKDEMNSIFLRKL EECFEGHLDLIDKNYKSLNEEHTRLHKMRLEFDKECEKTRKMYSKRADMETNREQFYQ EKIQNLTTNLSAVEDENQKIKLNNTEFLEKNSGLHRYIGRLKQEQDTKNIYITKMEQT YKDKICKFQENLDELKDELSKKKEESRTLLSNLHEHLKQIRATDDDYSTIQTKLNTIQ AKISNLCMSLKKFLTPNEEQVLSTLYDYFDDRNNVLDQFLCERDNGLMGMDYSIVSLL VERFITIHIVRYIYNMPIYLGLDINYSYSMLSEWEPFKNNKNWSRILRQQLCSLAAKP DVTDGLEYKRKDIASWLIQKLSMIFIGIDQKTSRQICNIIDLAAQLSLAMNSQDVPIK HMTIVEGQTKIKPCMAAQHGSVEGATTVQLIICPPFVINEGQESEVVLLEGKVICMDF PDSSKGSEEENE PHYBLDRAFT_185572 MFHTPLKTLKQSLHTVLNQSQEYLADVSLVYPTQKIWAHRALLV TRVPNEFRIRYMPELQAESTSLTSLATIVPQELMMYLLRFCLDSLSIHDSRSSDEIRK QITDLEETLSMRLLPECETGKTDDEQWINDLTRMRADQVHSDVMINIFKAPVEIKKEP QSNQTPSQPSPAGLRIMSKSGGVPSILTSFSKTTDHQPVQTSPPVTITFPAHRFMLAA QSPYFRTMFCTEFKEAANATIHLPADLFSAAILDLILHYLYTDTLLIPPMPANTQSSN AVQQRLTIKKHSLRILQKVYRAADYLGHSDTICVAVLCELGNICHDFKCSCSDCAVLL PSMLSFSSQHAGLVVSEMRRKLITFYSDPVHSLSLWSQKPFAILIQSTLPTTPDGPKP TTQNATLIDDIVQQTFANITRHNAIHVLHSLHLCLSHIRSSDLSTTWSAPTLDILHVF LDHTVQMVSSNFDFYCVEYPILLSCVDGIGAGFSVDFLGFVLKHVLEEGIEDNNATVL YQGIVRDLVGRQEMVKNVAVDSVLLDARQSCASYIRRRSAAIKAIDGFNSLDKDVLRQ LSDDIGIPVRTLTKPVESDFSAIFGFHPKAKAAFRSRTAESDEKLKTVCATASGGGNG GSGGTIDSRSSGRRLSFSGLMTHRYSVPTKTPAPAEDASASAIRPVPRARSHSSDAVP IQLGITSASAVSTSSASSVVSVGTDEKPAPRRRFHPPVSQGSSSSLTDVLLPIDSVAS VVAVKGETPRPRKLKFELPATPLRTKLTPRKQISAQKRAQSPRRSRWGLGGSTTSDNS DEDESATIVIGTKIELLRRPLPTLGTIKYIGNVEFGKGTWVGVELESRVGNSDGAVDG KRYFQTDAQRGVFIKPDDFKVVHLPTSKA PHYBLDRAFT_141492 MRQEISLPSPDRKSNSDVAGSQTDSSFTKLQSNHSTLLQQSLQS QPTTTTLVVVPELGAQKLAFNVSKVSLTIPIKGKHEGMGAKHFLHESLPRLQYNNPSV VFEVAKNVDPKTKPELTIHFNEQSPKILAIPSLHSDVICDMLLRATP PHYBLDRAFT_164519 MTELTEQEKLERRRQKRQQRILASAGDRLNRITGTAFPNRSSPT PSPSTSASSLAPSESYSKELPRSRSPSPALFGSAQPVLPADPAKRVQQQRRASDEDPC ESLGAPPPLSTNDPQIRSLEEFDAMLGLPPSSFSQQRQPQMDNLNALNEMFGGQLPFN PAMLAGMQGQQQQTTEVTSDPSRLYWNLIHVSSMLWLGIYAVYTEWNTVGKDRFASLL WMNPTESISNGFTGVQFPLFWYFVTLELMMQAAQMFFQEGQAHSGSMLGSLAAQLPHP FSTIIITGLHYRTLWSCLVQDCCILVFVIGIAEVISAILVT PHYBLDRAFT_141494 MTGFMASHVDDEMLFCETHYARYGNERTNIYGLAPFESRFLTYL NRPDEFPSHRYESLPSEPSPPKHQASAAELTAFVSMDGYWNYVCIPVGLEAGKSEVIA MDVFEEHSDKSRFVVALAVAEKYDTENQETQVSYSLRFYGHQHAPKSFLEQTLFGLGE SSQIIPLTYPPMQLSHVQINYEGRQQTAFLMASTDGIMHLYVQDSSRLFVPISAGTYF PLLGRISDHRIKILFLHILTYQGKIAICAGGQNGELFLSFYDNEGVECKSHAIRLFSP ITSVLLFHPRVSKHLKEDEPLHLVVTCAIEQAMVYKSVQINGLSRSRVLPQSSLYDSV LCSHVMDVDWDGEQEILIGTYGRQVLIYKQLEGTEDYTVLWRRQFAYPIYRMSHLDLN IDGLDELIVITMYGVHIFQPNMKKARKRLLDVLMYVESSKRQKYELLLDWQRQKELEK AIVFEPQ PHYBLDRAFT_98732 EEFSSLVESVRIAIDGGIQPTRISQGSSGSYFSRNKQGKIVGVF KPKNEEPYGQLNPKWTKWIHRHLFPCFFGRSCLIPNLGYLSEAASSLMDRRLGTFIVP STDVVHLASPAFHYDYLDRRSSTRPPKIGSFQCFLHGYKDATLFLKDHPLNPDPSPKD LEAGKKQQESTRFKWTPRLQEQFKREFEQLVILDYLIRNTDRGLDNWMIKYCPNPPHL HVAAIDNGLAFPFKHPDQWRSYPYGWLALPDYLVSRPFSSATRRQFLPLLTDPVWWCE TIKELKAMFMLDSDFDERMFANQMAVLKGQGYNIVRALKDPSGSPLDLVALERIVIPM DEIL PHYBLDRAFT_60749 MSVTRLGNELAIMCPRNYELAKIDSRRGLWPLTKLLFIRAARNQ HTMPTRENSHHTHGVSLSNIVNHFQSNSNANNPSPPPTAIQRTYRVHSTQPLPNQFLD DEDEEAMIGAQNLYRNCNLERNDSRFSLFGRTTSRPSSSHSRQSSTSLGSLFRTASRL SRPPTYQQYDNPADADQHRNDIERLHRLEELYRLQHGNDYQANRTSNNYSRRYSEDHA NQSSYSGWKEKIGRFLLNQFRGLFIALWMVVFVFVGCLTVFLPLPEAAYAMWAPLVLY VIGVLIVNTSDRRRAREMATLRQQVGEARRRRIQELLQAMNLPENHYFEIQDDPKHHG HPVMTLLPPPPEYQNQFPNERETS PHYBLDRAFT_164523 MIKKENLSNTKVNIPCSGTPRQNSLDFHPELGYCFGQAVTRVVW LIRFNQKKIKGYLTRFCGLKDPFLAFHFKVYSIGFKVVRRRISISKFTADLIDIKSLV CGNKPNKKKPTLKNRCFNEMDFAKEKFIKFPSICVYVYIIYYITQGLSKKNAFMTFQN YINVIGIYWDTLKSVNVVEQDSLIEAIILYQSYCWFCINSKRDYGFFEAISDNLILKI LFSYMFLNAKEGLS PHYBLDRAFT_164524 MQSTKSSCLLALLFLALINLAQAQQLTAVVCNSLPTETYNLPLR IGSIFLILVTSGLGVFTPIVLFRVLPYKAGGFREHFLNIGKFFGTGVISGTAFIHMLP EAFGHFSSPCLSAGWKTYPGYAGVFCMVASFGLQLIELCAISNMEAMAKRRALAAQTE EEQAGIDERTDTKTVSAIKKEDASPHEHHHDGFSTDGHIHSAGFLENDEKMMRNMGTF ILELGILVHSIIIGITVGTTGNHGFITLLIALVFHQFFEGVALGTRINELEFKGWIKP TIMGAFFLIITPFGMAIGVGVHSAFPANSSSFILAQAILDSLSAGILLYSAFISLMSL EINQNIEFRRSTTMNKVISFGSMYAGAALMSVLGTWI PHYBLDRAFT_60752 MPDLEKLPSIVSMNHNYLHLFFYLIGSRYKVAIYCVWDYTLYET AVVTSDLLLKALYLRAGHKHDMFLRRFLIIFKNYAQFILDSMMFADTMFYEERTNLIA FTSETLKFNLINLCFHETDVISSDFVVETLDEIKKISTRYVFERSYSCQSYDIFELYI L PHYBLDRAFT_157808 MAHYVGGSVVAAAFGGVSAGVNGFCAHMPFTFRTSSPATTSTTR ETVHSGEHSMRTGELHDPRSGYLGIAQERTPSPQERVIERIQMLSLSSVDVTPGSVKK VLLILTMCICLAIVLALLMLQPVVRSSDQTPLDTEYFSGGSYTSNYGSTLAHRLWNMP WFGGWEIQIIAIRRNAL PHYBLDRAFT_164527 MYNNLLLGKTPTIAIFSGDTCGCSVCLVSQRDTCASKCIFGASN KFYSVVIGYQSSRHTPKPDNSLHQSFVIKVSSCENDKIFSTWHQSLIKSVTHILKMHF YTTPNRTPCRSSFSTYMEIFIKEKYVKENRHSVVPIFLRIRSASYTFWSQAANSLKSW LVFRLALWSYFPILSPNTCGQFCV PHYBLDRAFT_164528 MPDLEKLPSIVSMNHNYLHLFFYLIGSRYKVAIYCVWDYTLYET AVVTSDLLLKALYLRAGHKHDMFLRRFLIIFKNYAQFILSSMMFADIIFYEESHILKT YKNKSDNLHCLCFHEADVISSDFVVETLDLKLKRDQHDMFLGDLIFSNHMTFMSLIFY EERRRLDMLFQISDNLAILLAKATV PHYBLDRAFT_164529 MQSTKSSCLLALLFLALINLAQAQQLTAVVCNSLPTETYNLPLR IGSIFLILVTSGLGVFTPIVLFRVLPYKAGGFREHFLNIGKFFGTGVISGTAFIHMLP EAFGHFSSPCLSAGWKTYPGYAGVFCMVASFGLQLIELCAISNMEAMAKRRALAAQTE EEQAGIDERTDTKTVSAIKKEDASPHEHHHDGFSTDGHIHSAGFLENDEKMMRNMGTF ILELGILVHSIIIGITVGTTGNHGFITLLIALVFHQFFEGVALGTRINELEFKGWIKP TIMGAFFLIITPFGMAIGVGVHSAFPANSSSFILAQAILDSLSAGILLYSAFISLMSL EINQNVAFRRSTTMNKVISFGSMYAGAALMSVLGTWI PHYBLDRAFT_157809 MAHYVGGSVVAAAFGGVSAGVNGFCAHMPFTFRTSSPATTSTTR ETVHSGEHSMRTGELHDPRSGYLGIAQERTPSPQERVIERIQMLSLSSVDVTPGSVKK VLLILTMCICLAIVLALLMLQPVVRSSDQTPLDTEYFSGGSYTSNYGSTLAHRLWNMP WFGGWEIQIIAIRRNAL PHYBLDRAFT_99908 GTGVILATAFIHMLPEALEHFDSPCLSEGWRSYHAFAGVFCMLA SFGLQLIELAALSNLDALAKKRALAAQADGAKLDIEGLAYSKVEPSKLQESVSPHEHN HDGISTDGHVHTAGFLENDDKAMQNMGTLILELGILMHSIIIGITLGTTDNDEFTTLL ITLVFHQFFEGIALGTRINDLGCKSWLKPTIMGSFFIIMTPIGVAIGIGIHSAFSSNS GSFVLAQAILDSLSAGILLYSAYISLMSIEINHNVAFRKSPTTQKAICFLSMYIGAGL MALLGKWA PHYBLDRAFT_76458 MPFKLGCLILFLPSFFSESQKSCYANSLLFQQITSFEFEMARPQ TKRAPKPKAVKKPKEPETFEEVMEAGVEAEEQGERYATGDRAQRKYEVAAEYYSKAHK LNPTDADCLYNWGRVQFLLVNLMPPYTQPEKKLAKLDESIKTFRKALELEQNKSDAQF NLAQALHQRAETLNETTEIDNAYSQSAMALQEAISLFDSVYQLQEKDYKESRLAANQP TESLEESSTEEHVHTSDCKHEHENEHAHEHAHEHNAEPNDADSKQMTSVTQVEATTTT SLIDTLISTSETMASMASMLASFQASTDLFSRARSKLALAEKWLSDIPETEKEYKLNR IQINLKEAQCFSAMADRAFLATGKPDQSLFNRAVECLDEIVDRFDSTNVQAMCDRGDI LTSFAQALVDAAQRNNNKLVPETTGKEVWQLFAQATKSFQAGLAIEQKNLSILNKLGD LCMTRAGLDLPVAERNKAQLLKNAEFYYKQAVQTEKLTLTSGWVGWVFSLWAQEEWLG TKGKKQEAAKMMKMWINYGGNHAIFQNGADESEVLDPGFVEWVNETFFEDESEEESD PHYBLDRAFT_164533 MKYGHGKGSLMIMATLSLCTYIIDQNEFVVEPLAVVMDTPDSVK TYWKHLQHGTRYITIGHARRCEEVFLSPICKADQPILETNSPKQDDLLKHLKGSHGDI PDLVARVYFSYRPSHLVIIDYTGFSTSPNDIRLLSFFFEKNLNVDMATLNDQTYEGIE STITLHGLIAKTSCLKV PHYBLDRAFT_100880 TIANPGPLGLSAFALTTLVLSLHNAGAGMPASSPSNVVVGLAAF YGGVVQLLAGMWEFRTGNTFGATAFSSYGGFWLSYAAIFIPSFNILGAYSAEGVAATA LSHSLGIYLMAWALFTALMLIASHRSSVGLMALFFFLTITFILLSASEFNASEHTKIA GGAFGVITAFVAWYNALSGLLTQDSSYFLLP PHYBLDRAFT_164535 MPKFKSSLIETIKIFAMTCSCTRRDHFTSLEGESSLGPDTKFYK TIDGIRLDCLLIEVKCPNSTSDDDLFKLSIEMQYTLNRLVEHGVDNPDVYGVLVYSLK ETNQRKNDE PHYBLDRAFT_185579 MPSSLSLSRVFTCPQCPKIFATRSNLKRHMENPNIHNIPYVRSR DQKRWKGHAKKVISREETTERMRKWRAENRDKNKRNDLRCRVYRLARQRFGEDDSLDK QAFIRQEIARRLGRRSMTDVCDHSARSPEPGLHQNTHTTTTTTTTTTTTTKKSIDTNN SSNYNPHHHHHISSIDPTITNACQAATWMGLPFYNAPHHKIELPILDIHNLSRHISCD WNSTTTPTTPTTPNHNGSIGPIIKSGSYLSPPVSRRTSSSGSSVSSLSSIESHKGDYQ NHSISNSNSNSNSNSITIMQGPQSPPLTSILLQPTPYRPSPSVENNFERNVKYVAHDR ILDEFVGLVLDNAY PHYBLDRAFT_179942 MTEFVVYEDSPLAEYLESIESNEKTVKLPQIYTGHRPAESRPVL GRMSTAIYRFWRRSFFHDTFSVSLPIAEETAFEEKFKYLIVTSPLLNEILSVHTKQHL AAELPFSFSADRPSSHVGTLATIAGLLLAFGTERIVQPRNQIMPVPVTITLSSSVSLF FVYRHMRRSWIRQLYQTALSRLQTLVEECDRLDTRIHRALITIQEIELVSRGYRLSTP LSPISRIEQTSKSRRCMALRQRLAGLLRKAFMVYEEAIMDLSDQVNKTNVSRLYEMYN VRSIASLSAVGSALDRDEEAPLGLDYLKQLAQLMHSKRRECMMQFLALDIMTEAHDSV RGDYENGWHAVNMVLFRLVTETKAFATESKEALDNELCKPTATPETDAPSMPASPVAD GRLRQFIHRLSSLDQQIRTFEAKIYLCHDDIRHISAGSATDDELKNHLLREYQSLQTD MSNIAIEWQMGRDALQQFLEPSNLATPVHSPVQTPVKEEDEEDIMMMMTPRSLDKDLV TSEDVSDVGHLPLPSKAELFEATADVVEPNTTAERSRKSRQERIADMKLKREEVARVN STKHDSQTMVHELKTVLDRRVADLDSQDK PHYBLDRAFT_141507 MFKNIKILGRPLLSSRPLLGCNTTITNSRITCVSRRGFTTAGGS ETEPTKTIYKAKQTKIGLGTIILCTIPFITFGLGTWQVQRLRWKVGLIQRLEERMHQP AIPLPRRINPDALDDYEYRRVTVKGHYRHDQEMLLGPRTRGDGNVGYFLVTPLERPNG STILVKRGWVPTSKKDQSTRPESLVQDEVQVEGLLRQSEQPNSFTPDNDIARNQWYWV DVETMSDLMHTEPLLVERMSDQSSSQEHHCIERGIPVGRSPVVEVRNSHMSYIITWYA LSIATTAMLVKLLRKPVNRPTKIKRN PHYBLDRAFT_185581 MAQEKKLTKREQKAAAFKQKSKKKQCFTEETAVPEADDPTHIEP SSGAIPKKEDQKTSKTIADQKPKPTENKKKATKIVVGENDEKDESEKSGSVGAGTKRK QTETTGATNKANKRQQKDENQEKGARFIVFVGNLPYNTTKEELEKHFESVGGVTSVRL LTDKQTGKPKGFAFMEFESSKHLSKALAFHHTLFKKRQMNVELTAGGGGNKSTARKDK LKVKNERLQEERQKKHETIKGTDAPGSSYGNKETEA PHYBLDRAFT_76462 MVSLDPFPRLTESVGKYLSNPRVVILSVVLSKVFLDRVYNYAKI ANPNAKTDSEGNETLDVLEYYYPTTSAEVYGHLSSYGPKGRMAYQSMLVYDSAFLLSR TIVLCLLMYYAFRSAPKWARPGVWIPVATTIFDLIENTLIYALIAMYPRRLDILAQVT AYAIMWKWFWLWATVASLCIGLLAGIYYGFHGLLAESVLMERDKEKRDMAKRHLSAAM QRAKETQDRVRRENSDKTKKDR PHYBLDRAFT_141510 MSDKTKAETKTKNLKKRKELSDYEIGMVVGGALHGIPLSAISEK TGIPKSTVHNTVQRWRQNGTGITEKRKGRAFIFDERAERHLKNEMKRNPDAKLADLTA FMRESIGHTVSPRTVQLAILRIGMTLS PHYBLDRAFT_141511 MDTINHISPSFESYITVFAKIVPKGFYVVAAVALFLCKKVYDFT AAPYKLRHFPKVSFFAFSKSIFSAESVEHRTKRLISPLLHKYKGFYIAKFPLYWTVFV TEPHAVQYVLMKGEIFPKKTRFMNTLNKDSLMIRLFGSSNIAFASGEIWKHQRKIMNP AFHRTAPIELFGRMIPDMFRLIDKSNGNIMLADLLHRITFDAMGKALFGFDFKTAREE NSEWTSAYNDAMSGISAPILNITPSLEHITRYLYPDYAKAKKGIDKLTELTLEMVNER KEKIQEAIGQPDDGREKDFLTLIIEAEMKEDKASGSGGLRENLKAFLVAGHASTASSI SFCIYHLAMNKDVQNKARKEALDILGDDANISTPTVNECKHITYINMIIKETLRLNAP FGTLFERIATEDVTLSGVFIPKGTIISVDIETIHKNPAIWKSPTVFDPERFSKGGEHD QHEGITWAPFSDGNRKCLGINFSMAEQQVILLMLLKHYEWDLSENSIHKNGIVYDGIS LFTPRSLYIKFNKRH PHYBLDRAFT_141512 MTGSFHTFDTPSISYSLYAIFAFPVLTLHVTRSIKNKMRLKDVR SHPDKRDFVALAESTDYNVAEA PHYBLDRAFT_141513 MYLHLVYHYHIQSFLLDSGLMGEIDVSLLETEAEVFTPKLVSTK PTRGYGPLSVHHRREPSGASLGGSFFLEAPMKYHHPFGQPPAWAAGGHDLGAVECSSA TLASILDLRLGEERRTDKFATQPVRETYVWGGPNLTIKVGPEFGSRPS PHYBLDRAFT_60772 MNSITYNAFYAALMLYRIIIPILLFISMMFLETSSLPSFFGVTR YVRSRPFMKRVAPTPSPKESSEGASFARAFCVAARWVSLGLFPALDDSLVSKDEKITK ESSSALLSEGQESIVELPSIKYSSLVEEDVLQAVEFCVPSETGLRGYIPHLLSIQRME SVIFTRVFPVKCTVGTDGEADTVLCSSVSTDITEICRKLSTTLLFNLSRDGKFSEDQR KLRSYTRMLDAMSDEETSLSTCNDLQNTSVAVSQDHCESVVSVKDLSDAEPHISVNHC QYNEICNSKPVTYTDAVPEYNLASQPAGEYFSFEQYAIQASFWAGYECPTVVDTCPMV PALDFWTPPAFKETFVQPTLEEFGGSCGEYSTNEYLMSMEIEEDLGWCEPMELDNPMD IIDDAVMSNVPFGRKHLAITLEPVLVDSFQDVIQTVRMGSNMNVPLTRMSWRVAEDHV QFAAEKVVSRQPSQAKNSGLGVSESVVVKIPEAGFKAPFPVAGLNGKKPEAVSLPSTR GMADSTARPEPTPDIKSKPSKGLNSNRVNLKDPEDLLKYALMFKSALSGLTKAYWETL FDYMPFVYSCN PHYBLDRAFT_60773 MYEILVRIVYEFLLSAFRVLGKGLGAQQFLYKLGFCGLCFWDIL CDDGPFGYSGLSNCIVGLMCTWFLGFSNPSLVFRSRKNEHFEVWYSLYIHIWPNRSTF LIELFLREIGQFLLVPSSVGCSKSHLYIKTVVSWSLWEMSGDSDGDFGKYHEHFSKSR SESPLIFHSGIKMVLSNGNPFAMFSDDFGLHLNTIIDKLRSLKWHCLWKRKHLPEMGL YISSVK PHYBLDRAFT_108882 MDALSKDSLAVRLFGNSNVVFSTGDLWKHQRKAMNPVFHRTVPV DLFGRMIPDIFKLIEKTDGNVLVDSFLQKITFDALGRALFGFDFKTMGDDDSEWIAAY NDAMSGITAPILQVATSYERILRHFYPHYDKASKGIDKLNKLILDMVDEKKKKIEESK GQPDAGHEKDFLTLILEAEMKENAISDPDDLRVSKTKCLSGHLSTASSIAFCIYHMAI NKASLKDIQNKARREALDILGDDDSMVPPTVAECKRVNYINMLVKEVLRLCSPFGAIL ERMTAEDVTLSGAFIPKGTLVSVDIEALHKNPAIWKNPTVFDPERFRKGGEYDQHKGI TWAPFSDGNRKCLGVNFSMAEQQVVLLMLLKHYEWDLPENSIHKNGIVYDSIFSFTPK SLSIKFHKRH PHYBLDRAFT_164544 MQDVNSSENTHGSYEKANPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVLFIDGDFIKVKYFNSD SKVFSCLEIYKHEGMISSCIEMIVLNTVLFLYKLVEIFQDEDVLINTQINDCQDSSCT NFRSKMI PHYBLDRAFT_108869 IERLKNEVVIVSSNVDEIDQLHNSSIASYNEQQLKTISEQLQRL KTQTQKLNLDIKNRIKTVETANAHFPNSSDAQIRRTQTMTLRKRFIDTIQRYQDLERS YEQKYRQRVERQIRIGKFEEVDQLIGSNETPQIFAQSIMQAGRRGQANAVLSEVQLRN DDIKNIEKTILELHQLFMDMAMMVEQQGEVLNQTEQHAENTTHDVETGHNHLIKAIKS ARATRAKKWCCFVLFLILCVVIAILVWWFGFNHKVNEVFNYRSFEGYILYSHLDSVQQ GVGDNP PHYBLDRAFT_176970 MASIQDQEEVPKSPEFLSSEGTPTGDLDNTPFFKYKEQRAIVDQ DSKYLCHNLPINPALDEEIEPRTILHPVVNTGTPPPVVDSTIHSEQETGHTITTELRE LYSSLHRCLELRDKYMIRSRQRLNDNPKNRSDWKIYPEPPPRSWPLPSPEELERRRKK EHEREMDPIAAVGSDFDFESCEFPSKDENYTYGLNPEGFYEVYASDHDKKQHRPICNI PHRKEFYEDMDFVLNTISDGPAKSFAFRRLRYLDGKWQMYILLNEFQELADSKRVPHR DFYNVRKVDTHVHHTSCMNQKHLLRFIKSKMKRSPDDVVIYRDDKHLTLAGVFESLGL TAYDLSIDTLDMHAHKDSFHRFDKFNLKYNPIGESRLREIFIKTDNYINGRYLAEITK EVVSDLESSKYQMVEYRLSIYGRSKSEWDKLAKWVVNNKMFSPNVRWLIQIPRLYNLY KSSGAIENFENVIKNVFEPLFEVTKDPSSHPELFVFLQRVVGFDSVDDESKPEKRIFK KYPAPRDWTNKSNPPYSYYIYYMYANMTSLNEWRRQRGFNTFVLRPHCGEAGDTEHLT AAFLTSFGISHGILLRKVPALQYLFYLAQIHIAMSPLSNNALFLTYERNPFPQFFQRG LNVSLSTDDPLQFHFTKEPLIEEYSVAAQIWKLSSTDMCELARNSVIQSGWENKIKQH WIGESWSEPGVAGNDMQRTNVPDIRVKFRHDTLTQELNALRRYGTMDGKSAEEQLEDL ESEPSQHDMVGLAGVTDPIHLQQDFIHARNDPLDPSMGQFPGAAMVAERAKRKTHSCL H PHYBLDRAFT_141520 MEGLSLGQLFSRSQATLNSLDETRLASVDPAYQQLVMEAIEQLQ QADALVTRLAIFSSNEFLDDINANDLRFLLIPAYLGELILKQTNGERRKILIDAKEAF HRFLSTCQEHQLIQKQDLADLEASLEDKQRAIPAAQQRNEKIARYRREKAAREKLVQL RDRLLLSESRQTKDAEEDDDDVEREIVIALIDIHILKSLEHLHAIQQEMMMVKEMEAM QDIRMQKPGQSTTEDDRVPTGLNSAWGRDKPLLSKEGRPLQPFVITNKRQQLTDQVFR PGHNLPTMTIDEYLQQEMEQGNIISGGGKEPEKQEVDDENEDAVDAETIKQRNWDEFK EANPKGWGNRGNKG PHYBLDRAFT_185584 MEKYSRWRDAGTGIQPFLPPVPPRTESSLLHTMSNVVHYIVCPI QGIVKLLIVAIITLAYVLFVPVLGTLFSPLAFAHRLWTRFFSALLLRLVLFFSGFFYI KTETISLRKGRGTNSTKPQFNVCSGDIVVSNWTSYIDILYLACRWDPVFTQIFPVENK VCRVSLWQAIRLCGSTPIMSAADAGVDKKDLWTLKELAKKAKEQHWGPVIVFPEGTPS NGRALLQFSPIFKDFKSTDRGIAIHGMAFKYEYSNLPPSYTVGNMFFHYASICSQFYN YMTIKQLSDEDTPCSASKGSLSGIPQSADFSSFAASDDWVGSQLISSLSTMARLRKTH LGMEDKRAFMNYYNQHGKKQRTA PHYBLDRAFT_108812 MEIDFDIPKFLSQERHKAPANLQHYFSTFEDLYERKLWHQLTLK VLEFFKEPASGPFQIPIYQQFVSQWEKQINALSLVSIALKAATHFKDPNDAVEFLEIL VTKVDKPDTKDVHVHAVMEAANFKLKLNQLDQVKKAIDSSEKTLESLDSVDTAIYASF YRVSAAYYKAKGEYAAYYKSALLYLACVNVDELSNEEKVERAYDLAIAALLGEMIYNF GELLMHPVLDALTNTQNDWLRSLLFAFNSGDIGKFEALAPHFAKLPLLQENAASLSRK ICLMSLIEAVFRRAGDSRDIPFSDIAAETRLPVEEVEHLVMKALSLNLIRGSIDQVDQ IVTVTWVQPRVLDKDQIDGMRRRLEDWDNQVKKISGFLSEQGGEVFAQ PHYBLDRAFT_154588 MITSDISTKQGYYHFKYVPGIYAYWDIAYEKADILIGQMTLAQK VNITTGVGWMGGPCVGNSGMTTNPDFPGLCLQDSPLGVRFADFVSSGVAGINTAASFD RKAIRNRGQYMGREFRDKGINVQLGPSMNMMRTPESGRAWEAFGEDPYLAGVASAETI IGIQSQGVIATAKHIIGNEQEFNRETQSTEIDDKTLHEVYLWPFARSVEAGVASVMCG YNKFDSIWACENDHVLNKLLKDELGFKGFVQSDWSATHSTSDSANNGLDMTMPGDITF LSGDSYFGANLTKAVGDGEVPEERVTDMARRIVAAWYKLGQDNSFPQVNFDSFHPELG KHVNVQGRHRKLIRSMGSASTVLLRNVDQILPLSTSLNKIAIIGSDAGPNPNGRNACV DHGCNVGTLSQGWGSGTSDFPYLVTPLEGIHDRSADIDIAYSLDDWNISLAAELASGA DVAFVFVNSDSGEAYITVEGAMGDRNDTSLWHNGNALVNAVADTNPNTIVVIHAVGAV DMPWVNHKNIKAILWAGLPGQESGNALADVLFGDVNPSGRLPFTIAKARGDYGPKISF TQTASYDEGILIGHRWFDEHDIEPLFEFGFGLSYTKFSYGPLTLLKYIDDEDTRVSCF VTIKNVGELAGAEVVQAYLQFPEIADEPPKLLRGFEKVYLKTNHHELVAFEFGKTELS YWDVDSQEWVIPSGEYVLHIGSSSRDIKQNATFTLA PHYBLDRAFT_176972 MSAPGQVHIHKLTPRDLVTKENKEINKYSRTITQTPTAGAAQAQ LYATGLTDKDMSKAQVGITSFGYDGNPCNMHINDLALKVKEGVWKAGLVGYQFNTIGV SDAIPMGSAGMSFSLPSRDIIADSIETVMSALWYDANISIPGCDKNMPGCMIAISRLN RPAIVIYGGTMKKGHRTLGNCSNNEIDIGSALECNGQFISGKINEEERKDIIRNACPG AGACGGMFTANTMSSAIEALGMSLPYSSSVPAEDPLKIHECLRVGAAIRNLLEKNITP RDILTTKSFENALTLIMVLGGSTNAILHMLAMAKAAEVPLTLEDIQAVSDKTPFLANL RPSGRYVMEDLHHVGGTPAVLKYLYENGMIHGECMTVTGKTMAENLAGVDGLKPGQDV IYPLTNPIKPTGHLTVLRGNLAPEGAVAKITGKEGLAFTGVARVFDEEDEIFVALEKN KIPKGSVVVIRYQGPKGGPGMPEVNIKPTAAIMGAGLGKDVALITDGRFSGASHGFII GHVCPEAQVGGPIALINDGDKITIDANTKELTIHVSDEELLRRKTGWKPKPPKYTKGA MARYVMTVKSASEGAVTDEL PHYBLDRAFT_60784 MFNRTLAVAARQTAQTVRIAPRCLYSVQATGETRLPDTRRHDNR RKDFAGNLIKKFTGKSFLVRRANEPNESMVPTTLVRIDYLPSTATAEDIRKLVREATQ KNGENIKEVVFCRTPTFHFKGRCLVHLNNTEDAASLVKYAHRRLLAGKVLNAGFGGEG NEDNVSSLLAESRTRELGSVADGTNYSGRAVEIVGFPLRSTVDHVSGKLRFKNVFPIE GVDQNLVELKTAERSSVSKFLVKFATEAEAWRCVRTFHNTRHVLRASHTYEFELRVSV VY PHYBLDRAFT_141526 MVTNSHSFYACPLRSSSLLIVNQLDQTIITATDEVFDSLGYRPC DLMGHSILTLALQQQHQQQHQYHNNQMPSSCRRYYARHAARGQVSLEICVHHDPFGAT DSLDYWLIRLNAFAPQPATILRLSPYGTIEYCQPAPSFHQLTSEMLGRPIMGFIHSDD VPAFCAHLNNTAKSKTHTARTFRTRWSKYALQDLPEDYNNTKHYDWMSLTAVTTGHRT GSPTDAFQCRPLCILQPVPGETVMEQARRVCIEYLQGMVGQCAEFSSSAMDMVTIAVI EGWHQGKTYSLAFLAHLLASLLDHVQHPALDAPLGDNILLVDNVNNIELLRFEQDDQE NEYRRVETLRELVKSVESTLLVQHILNFLGMAGLVDRSRCVTDILETSLDKEWMSCLK MQTYA PHYBLDRAFT_60786 MLSTACMLCSSPVENSTHLFFFCPSKSRVWSIILARHAPELDAH CTGSCHCARNFARSLQLRLQRVPFTGQRGSGESFECGVTKSCKEDQVIPILTPDILFH F PHYBLDRAFT_141528 MHAVDSMVVGMIWWRRARIEKQFYRAFYQTFRQWYGMVCRQTLF QQAGVRLGVFTYGAERVMAPGSHGTIVGAKHVLHQKPRINSAIASTTAFADNVVSTLT DLATSSLSVNDNFDVSDNVTKKPNKADNTDYDTGDTVANDTTEC PHYBLDRAFT_60787 MKQFEPFPVDPNLIINVIFDAFLSFRFKQVTVLLISLSASRDTN QAISTIDTMKAVVQDKNFTRYFILYIVSNIRNCKSHSYEKEGSLNESKFTSKSESMFE LELFHSSLKSMFVWKWHLINLENNITLANLNKEQQSQLADISSIFSPPHSPTS PHYBLDRAFT_60788 MKFSVLSSIALLASIVAAAPAERSVNPYVLVQNNCDSKLYVGNT ANGQLYGSSITVDAGDSHKFVFDNGWAGRIWARKKCSGNDCTYAGIGAPASLAEFYFK GPTGNDFYDISFVDGYNLPLRITPINGQTNGTTGDSNAFLCGNSDCSTLPSCPEGFES KDTDGNVIGCMSACTKFGTPEICCTGEYDTEFTCSGNDYAKQVKAACPNAYSWAFDDS TSAYMCNAEGYKVTFC PHYBLDRAFT_164557 MADASNELSNHNNLGAACFRCRGFRQLLRIHACDRQKPVCSRCQ RRGINCTYPEAAPTLKKLQKATETLGDRIRKFGDRLKSAETMPKELKGISLQCLAQRS ATPGSEVETPSIMSEHSDNRSSISVASDTTSERDEDMRPRPPSVASTSSFSIYPCGKC YKDLQQCDLTMPRCGRCTDNGTECTYMKTEPKANHVSQVLTTMNKVMDQWQESIDKIA KDFAQKTRDFSARANNSLKIKPLPPFAWKITSTGKGLSVESTVNSYGDLSKLVDQFKR SMHITPREPIPQPASSPPMSDERQRLAREAELDDTSSIHTSSGFSFAVWNTWSHPTYA LPQDYPIDISTELTDDLIELYCRTPCCSSIRLPIIDVVDFMKRYRDPDPEKRPSTVLV YAICSMAARNAFQLHVWSKRPSHESPQYNMGKVLSIAYSLKGRELLSECFDEPSIDNC QAAFLLSYSNHQNGYPGVIYIYEWIAFTMAQELGLYDHGRELSRQESILVWCLYYFNT WYRVLQGNSSTTSVESSQFYPHCPLPPPLPKPSEDDMNPGSEKEIFQGTVDYYIWSVW YYLIKLQVLRHDVMTRLLAAQTTGKADVNLPLDLLAMQDRLEQFHDDLPPEWQDPNLH FVANESISRASESQEAHDDHKHYHLDIKEFAKFCILNVNVHYNINKILLYQPFFPSDH MPTSEFSLYCLETSIDSAYFITHSVEIMVKYRDDCNIPLAGLLFSNIVYNKLLKYKDD KYRDFAVRCMQRSLDISKISTGYAYDFEMAKNLVHVMDQEVRSVCGPLASRSGSIDSF DSSVPSSTLTSLPSPPHHPPIDHQLPQNLSPSPFHSTY PHYBLDRAFT_141532 MSNSTKTISLVDLPFELVLKISSYLRFADVWYLGTCSKLYRQLA YQILFHDYNINLIKPFLINPLDNLIHAAVAFLSRHCYSSTTNNINQSILNSVSNRLAK EIYYRTPESPDFGSSLEFLLDKSFITLFSHIFCDQPLENLQKTGYLVPALKKRYLNLE DKAANEPAPTADDPGQINDESIQTANEPIPTVDEPAQVINEPIQTVNEPAQIVNEPAQ TVNEPIQALDEQLQILEQQVRMLNEPLQINFQPIQIVVEQIKIKRESRFTTRSNVLIA DLITKLCYKLTLLFSHPIRSIWHNVLLSHLERNFELVSKKYRQAHKERREYTKSDISS PNYHILLFFMFTCALFENNLIAPADVDSLLYYKLRTLFITKPTDLSFGGPAVFHVDPQ AGAGIYDPKNLHFIFNLWLFETQYRMSILLDLSHAARHYYIRLGDNNLIGFPLLFSMF KETADSFKVN PHYBLDRAFT_164559 MPSYHSCPDIQSTSDGSQPQLPVGWLITGRRCSAMELETNIRTL GELYDTLTALRNQLPSPIAIDLENSHLSPFFDNVPHKPDITLTRNSSSSLSSLPQCSG LETPMYEKLAKYPMTLDNYPSTIYEPLVRLHLNCTSYPRTDKDAFIEAHRTGSFSHPA LTCAMYAHSAIHSLYCHPEAIIEAYRPLLFQMGKDCYDMSRDLLEFDLVTPETIETLV LMHLYLVRVGDPDSVGEASNLLCLAIRQLTMLPKRGRQSDKINRLWAWVAKHDLAQAT RSHTSPLLPVDHPTQSLSYCFPNYQDIPYSVLAIEKAGLSLLAESFQQSSVAVSLNRL EEWRTNGLLALGELNLMSGYSSITNLTHLEELYFIGRLHIHETEMMEAFGSDESWCHS TWDDYFNEKRQEREHKIERALEASMQAAFGLVGVTKKYFDANYLCRFPETIETLSAAC TMLYFGSKMSIQPVAKQSEAALIYLVNSFESTPSLMHNIYVRQFVEKWRTLS PHYBLDRAFT_185587 MTLNSTQFVSCRKCREGNTDYYRLGVRSEVNSEHHLFHAHRDDT LQSILIRLCEQYPKEWSKGIVYYFYVDFGGSYYAAEESIWLRDRDQILVSTYEDSDLI PNISMNAVHASFRNEVSLLPHQEEGVQTMVQMERAQRGGILADDMGLGKTVQILALVM RQQPKLAVRSCTLVVVPTRGVADHWKAEIRKMTTYGSLPYFFYQNETAPLLDQNCFRI VIATYDRLRAEYTKQKMKGVPSPLLDSDWHRVVLDESHKIRTASSQVTLATQELSAKY RWCLTGTPLQNNISELHPIFSFLGIPMEVKEKKQVEYMARLLKKHMIRRTKPMLEAAL TILPRDEIRITLEFSKPERALYDYLEQNAYREYVRMNSLGQRENASANAAVLYLRLKQ YKFEDLISMASSEDPQQFTAALCATEDQDVNQAQGKETTNEAEYVFDIIESYYDQFGL PENKPDVESLQNLAFIENSTKTVWLLDFLNKTLKASATDKIVVVSQFVNVLDIIVQVL KSRNLKHVTYNGEMSNHDREDGLRQFNSHPDVRIMVLSLKAGGLGLNLQRANHMVIMD RWYNSATMDQVISRIHRMTQTKQTYIYTVVIKDTIEENLMDGILEKKSKLFSAVVDSR DEDIDDGYYPMDEDV PHYBLDRAFT_141535 MSSKISSILPAHLKSSKDNNKTISPPDPSPQAFHPTAPSAPAAV TSDNQDRLRQQGLIPSNASSTSGSTVSAPTILSSRRSSQSSLPFYEGGVRSRHTKFVT WLGRFGFIAKGIVYGIIGVLTCTNATGAWTPNGSQNNESPQGAFLLLGGIPAVGRPIL VIMAIGLITYIIWRFWEAITGQGQDINMGKKGNFFRYRLSPFVSGCVYAAYTYYVIQM IYQTAEEQQTTASSKEFPASWTSSMIGRAGIGVLGIAFIIATITQLVNAITGNFITDL KTSDPNARRWEAIIVHTAGRIGFVARGAVFCTMSGFFWDSLAKRNESGRQNMTAAALS KLAENRAGRAFMIILGTGLVIYALFAISNVHYKYFPTPPPSRVGVYLEEDQRRENHQR HLNEEEERERAVREAQEKRERQEEKRRKRRKYMFWLPKEKPTTELDPEPAVKNTPWWK FWDRPQPTDLEKS PHYBLDRAFT_60794 MRSFILLAFLIGTSLASKITEDSVEVINPKDPEGDIIYPTNETV WVTGQYVNVTFRPTTPPTETVSIFFNSDRTVSLGGGPGDQLVFPFVVPPLAISPPGQR SLLIAVRRQKDHIVQTIDAVNVKVISPDQVPKESN PHYBLDRAFT_164563 MTHPGVNQYVADFVSLIRPILTKGSCRSVSLVVLSAQQRPLERF VFEVESLSDQSSSHVPLSIDGSNQTRACVQQHIRACLLKMHACGSMLSTNPPECTYSL SAEIHSESFPPDTEKQIDWVPAEYNHTTEGGSWANFVTLKTIQMDLFKVHTFILEAEK KGKGVL PHYBLDRAFT_97977 IPHRPTKEELLAQARGFLERLKVRIKYPLMRQMRPWTLNDATAL FSWLFLGHTVWLLVGTTSFFSLILWTANSLQFQEWIAYRIGQYLTYATGATVVFESAI VPNWKDGKIRFNNVRIYRMPRSEKEKFERQAALISLGQDEDVTRRSSVTDDPLCGVKL DDDEKDNEVLTKWMWFDITLERVEVKLSLLRWVDGKGLVESADVKGVRGVIDRRHVRW DPSVPWDPVSARHKYTPGDFELEKLTIEDLLVTVYQPRGFRPYPISIFQAQLDRFRKQ WLFYDMLCATTIVGSFDKCLFSVHTPQLEKKNERFGVMTDDDMKKQGYKRKSRLRIDG VNIDHMNRGLTGPPGWITSGKLDISADIYIPQEASDADSSELLRQLVYELTDKIELPQ PVMLGTGNGDDLLVVGGGHKNHVSTPDVRKKFIMDIDFRFKETKASVPLQAPELSYLS NAMVRPVVAYINRTKTMVPIKCRVVMDLSNFDGAWSAYDSTLIDHMSEQLGKGFVDLT MDQQERNRRLKRIGFWSLREMIRNVL PHYBLDRAFT_60797 MKRATSSESNNPTKKQTVHRGRWNYKRELTLMLAYNKYHSYSQP HYNTTAAWQNIIVAVNAVKSDDLNPLNETLMDLPQDERHLQATPMLKTALGRATYQAM REEDAYKDRKMLDEKRGLAKKKSDKRRGDELMHMALYGTKGSKTDSTTKTSSEQHGDT VENEDVDEDYDEDEEDEDEGSVTASNYGNDGHFDTPMNKKVLNILEMQELLLDKQELF MEKQETFNCKFALLLQQLVEKHCVYVDRTDKLP PHYBLDRAFT_60800 MNDQIEETLERLIKQITETGEWELDPQILKLIKKLCKQSNANVD VAFYIIWNQLQKKQSQIRYSCVQLIEVLFFRSHRFRILVANEFQSFLRLTVGINRQPL PPPVQDQLRRLAISLVKSWNQKYGRVQRQIANGYHFLEYRNLLDENSSGSLAALSLSS SASASASASSSLSYTRTRDQNKANVQSRMKAIQERRVDQIKTEVDDYLKIIQENIITM DSCFLILVPKNSEDELDFDALIKGDLDSLKVPDGSYRERILSHGLGSSRYKITIDLSE SSVMEDIHETPENTIVYEQLRQGLKLIEKKHAKQLNDWIKVLKKESLLKQLIDVKVDM TEALRKCRLLGITIPEFEDPEKALKNKTKIKPKKNQQRNQNEDEDEDEEFGDERFEEI DVPKQGLDVEKNPLTVDSRVLPPAQRIFPLAYEPGMSEDITYRGAFVSPHKTLTGPTG YKEKGKQKEDSTRQELLKKAPVVEWGEDLYYWDKTHVQFNTSGLEKNHRFMGTGEGVN ELPASLLDELRKRPRYYQESSEPLRACGAPLHNGGLCPRRDLVICPFHGKIVSRDSIG QPLDPAEAPKVQVLQVPQSGTSKEFWEDIEGEVMVQTGQEKIESGHKKRKRDHKSALV DVRQNKPKSFHRRLQDKLDTRAIRRSVEEAVEYERTQKSRLKKGL PHYBLDRAFT_76469 MLTDDEYDYLFKLVLIGDSGVGKSNLLSRFTTNEFNLESKSTIG VEFATKNIKHENHVIKAQIWDTSGQERYRAITGAYYRGAVGALLVYDITRQSSFQNAT HWLKELRDHADANIVIMFVGNKLDLSDTSRAVSSEEGGALAEQEGFLFMETSALDATN VEKAFATVFSTIYAKQPKSGETQPGQAGGPTPTAGERVQLRPPSVRGQPRGSMDESKE GRKRSAEGSNGSGGGCC PHYBLDRAFT_185590 MLQGRTSQQTPTPLTQHGRDMLASIKDGRHRATGAFTKRSSLGN LRKSKSSTTADNQLAARTSDLLTVDMRMKRRSTGCLRRPSFLNAAKAATNTTIPPQNT RVWRPPGCYEIPNILGSDCFLKPDPPMDDAKAYGFRTSNPTKHISKRPWLPAGPRSEI PQLPPFVRPENAFERSIRKAVPKIQVSSLDPRNKYGGFKEVGTGVNGSVVRATHRYKK NIHLAIKRCRLDPDREYKAALVRELRIMATGHPNLIRLCEVTLWREDVWMCMDLQRCA VFAILCQRGIPEEYTVMIACEALKGLVYLHTQGYIHRDIKCENILVGWNGEVKLADFG LATRSNRRNRDRLGTSKWMAPEVIREQYYNEKIDMWSLGITLIEMMDRVPPHYLIKDE VELFATILSNPSPTFTYSYPTMYMRGLVAWLLDEEPRTRPGAKDVLAEIEAHIQSNLL RCASTTEFARFANQVLPSN PHYBLDRAFT_164571 MLNIMGLTKAINRLPHQILSKKTDATKDVEYNAMEKKFNDFVKV IGVLQADAQSFRDGVSALLMYQAQMAAYLALIYSAQLGIEVPEGTIQRRFQPPPAGAS QAVNDLEAAMSYCRDEILPQLDDIDRDVIRPALELQVVVKTIQKSMVKRNHKLLDYDR HRTSHARLQAKNTKSFNEEKQLLKLESQLAAATADYEYLNNLLKTQLPQFFYLKTKFI EPVIGRFYDLQCKIYGMIYARHHEVITANSQHFMTNAMPIVDGFNWRQAQHDMRAEYE NLDLLKAGGKAWLSASGGSTNSKLSLQERANLKQMERMSVVGAASVSSGPGTMISGAD NGTVTLAKPHLLDPVGQVDDYSAGLYSLTLVDNNNNDNSKDNEDDCPPMQPPSPVLDY HPSIITAPIMTSVPGGMVDTKEVGSQHVIALYDYEAQAQGDLSFQKDDLIQVISRTSN ANDWWTGHLRGVTGIFPGNYVRDN PHYBLDRAFT_157817 MDTIMEDSSEKQPKETFYDDIYFDTDQEDESDWQSDPDDPSPGI GLLGMKKAIPKTTTTRPKIPKKQQRKIMTDEDLMYDPDLDDADEEWVAEKIKKAAPKD KTPEQATTDAILTCPMCFNPLCYSCQRHDLYPNQFRAMFVENCKVVKTERYRTKPVDK KRQKKGKNSKDISSSSAAADDDGDDGDDSSFFVVRCETCDTHVAMMDNDEVYHFFNAI SN PHYBLDRAFT_108233 MSVGTSLQKDRNFLAVIGDEDSVTGLLLAGIGHVNQQQKRNFLV VDAKTPLTTVEETFLEFTKRKDIAIILINQHVAEEIRELIDGHNQAFPTVLEIPSKDH PYDPEKDSVLKRVRRLFGE PHYBLDRAFT_141546 MTPSSLSPHYAAQSPSGHNNLVHPNYPISSLRRACERTRETYQK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTK PHYBLDRAFT_164574 MPIIKDFPICFCLSNSRKIVCTEVEMVSRRDFELVHIRNACHED ADMLGFFVTFLVKDKHLCSSNYNIYEVVTSLLLVIRLCEIRTHALPTKVGKTPVKPTK KLTIDRDGGKKSKDKGELHFFRQRRPTKLYGERVTLFPAQSDDLKAFSQKLIEQAHLH ENRIRTPITREWRLE PHYBLDRAFT_164575 MKREVCREVCREVYGEVCREVYGEVCKEVYGEVCREVYGEVCRE VYGEVCREVYGEVCREVYGEVCREMCREVYEEIYREVYEEIYREVYEEIYGEIYGEIY GEVYGEVYR PHYBLDRAFT_93970 LSLFLRLSLSLSIGLSLSFRLSLSFCLSLSFRLSLSFRLSLYVF LSLGLSLSFCLSLSFRLSLSTSFSLLAFLSFSAFLSLYVFLSLGISLFLRLSLSLLAY LSLSASLSLRLSLSWPFSLSPPFSLSIGLSLSLCLSLSMPFSL PHYBLDRAFT_164576 MALSTNTPEPPAVKNPSTTGSSPPLPTSFTPISPSSTPLYSQVA TQNALSLLEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTVPPKSSPKFDPFWRALLSA YPREVNMGITLGSRSSPDTCELHLPTSADCERACSRPLVVGDSSFPTQPAVPIGTIVR RVFLTKLPRVPYHDLATQLAKCMFPFGKVREIAIHESYGFFDGSGYVVLANTPTDDVP SDSLTYQIAYDDTQKILGKWPSMGSHCTYCKEMGHDVAKCTKCPAETRTCFGCNKTGH LQANCPYITDPSKTSKTSNKRSRHPNRNSKLDRPIIAPKPLIPTELSLIYGGSEASKH NPRQPALRELSKLSPTKTTFTLPTPTEPPTSSGPRPRSRSVDTPTRGWDKEIDDRMIT NLMDRDEARALRLQGLPKVGHPESRSFFIRHLRSQGIDILALQETHASSSMLQSTFDQ QFRSSSSLWSPYCGVVCLSPHIIFTDPLFSPCGRCIMTTITHVDNNFSPFRIGAIYAP ASQTPRYRFLASLLSTPDLIPPNPSNFILLSDFNHAIHSHYALGRRAPADWLQFIDTN MTDCITPRGQHPQPTFHRALSSTTIDYILASSDLHPRTTDPQVSYIHQKWSDHCLVAV SLSLPSTKSSGKGLWRANPHLAQSLSFRTDLNTLLSTLVPALRADLSPQARWDAIKLE VIRFTFCSIHGSRSLSFRGRATIMNSLVLSQLWHVLRVVSFPSAFLDRVRSIVRGFFR VNSFPPIAFDTLCLPRLQGGLGILDPGIQQCALQLRWLKPLIRNPLLPHGLVPQWFST LLRSDVPTVDPLLPLLFPDCRPRNHRTLDSPLNLVLKAMDTLPRNFDRVVLNLSSCLI LPLSSMISSMPSHPPYRPAWRDLRVHHLYQIESNLDILTPITPSRPLPRSVTLNRILN RIRDHTMVLHPILFRACILSFVLAFQQPDLPIRDGSSIDLQPLLSAQLPGQTWSRLTT RSYRSACSHQLSDARPIHPPLIPRQPRSFWSFALPHRARNVWFRGLHNKLSCRALLYR IMPSTVSSPLCTICQVSIETQEHFLLACPLKSAVWTGIWLEFFGTVPLPSVLSNAFQS FVFPPTLNPAIPASSVFGLTILAIWDHHWSFHFNSIPFLLSAVLHTARKSISHLCSEL ELDSP PHYBLDRAFT_108002 DQRLFVDNVYSEVEGNELRLATNYSCSLILEKLLKISNPFQLRV FMDKLRGRTVELFAHRFASHVCQTVVTLAADVVEQEVNENGSNENKMDTSEDNKEGEL LSMEKLILGMCEDIKPVVGGLISQQFASHVIRVLLFVLAGKRVDETNDVKGQLRSKRS TKYKTENNDTLTKYSSKASPIRNVPASFKEMFRTLSTELAITSSETDVRALSVHRVAS PVLQLLLEMQEEDSEGQKAKNVLLDRILWGVVSDVTKPTENKDRDSWFETLIRDPVGS HLLEVIFKVAPTPVYNKIYTHYLKKKLEKFSLNPIANFVIQNFLTNARNAEQFEKIVK ELSGSFEKLMKNGKFGVIRSLVDASLKLGTAEKDIVNGLAGALHMPTDGSQEFVNCVM RMWTYEDWMAASEEEKKNLRNFHMQGSLLLQSIMKMPAQYNAIATTSFLSQRPDVTYQ WCFSPVGSRAYESILTSPTVNDKMKKKIMRNLQGHYADLSKDKFGSHIVEHCWAAADI DMKERIASELVKREYDLSEHYIGKCILWTCKIDQYKRRHDEWVEREKGVERKKDLFKD ILGDDIKLSGKRKNKQA PHYBLDRAFT_179956 MNLGDRSHNELLFINFNQDFSCISLGSKRGYRIYNCDPFGKCYS KSEGGTGIVEMLFCTSLVALVGAGDEPTFSPRHLQIINTKRESTICELSFPTSILAVK MNRRRLIVVLEEQIFVYDISNMKLLHTIETSRNPDAICALSPSSENCYIAYPSRSSPI SPFETNQQTTQSFCTTGDVEIFDASTLTLVNIVQAHKSRISCITMNADGTLLATASEK GTVVRVFSIPDANKVYQFRRGSYSATIYSMSFNLVSSLLCVSSDTETVHIFKLAPGTL PNSNGNGNGNVLNGNSNGGYNTISTNSNNNNSNNNSNNNSNSNGQNGAEQRGRSASVG QMLRRSSLHFGRGIAGSVGSYLPEMITEIWEPSRHFASLKLPSAGVRSLVALSSTTPQ VMVITSEGYFYQYNIDLENGGECVLLKQNSLLEVGDGSEGDIPE PHYBLDRAFT_141553 MVSTSTRLPIAAHATYFFEAAIRKAMLMTVVLNGGMFLGFLVMM ELFYNSPDHHLFGYSYMVWTGYPMYLACLIVNGRFFQSISKETFRLQPSPSIQIDLLG TNQDMTLAVYTTLLYTIFAIFVFLLRLIPIVGKTISFVASCIIMSYYCFEYKWTYIGW SKDQSMLFIEKHWAYFLGFGMLYDTFKIRSYNLAHLGLPVAALTFFLSTLHAGAVFAL VYPSVSSSASPKPSMAVYGEPLVSDGPVYFESWTLPLHIPLFYPVRQMSHLTIYLIKT VGGKKADSILTHKKDIMGKLV PHYBLDRAFT_60812 MMLDVEKDTKWNTQDYTTNLSIPPKLLSSLREHSLFQRTGDEAF LHQLACSMHLRNYSPQDVIIREGEPAKAMFFLLRGSVSVCSADYERVYAILKQGSCFG EIGILYSMPRTATVIANDKCTVAVLMAEDVSTLLPRFPQVEQMLRYEAEERLALLKKS QQQFNSSGGRIKTRKPSFERNVEFFVRTSTYERLRKISFFKDSPEEFLHQISLCVQPR QYMPNVLIIRKGDIGTELFFIIEGTVEVVIPSTTDVVVARLSAGDSFGETTVLLGTPR AANVRTITNLEVYVLNRKDFLDVCQNYPELQQHYKRLAESVLQEYNKRVKVSEESHVM PDKLQALGASLLGYVATFLDFSGLVRLAAVSKKYRCFLQNNKNLLHQVDLSPYNNHMT DAVLMRAVVFAGDRTQDLNLSHCFHLTDEAIKVISETMPNLKSLNINSCWNVTDKGLL HLSSNCPRLTSLDLSNCRKITNKGLTALIQSKAAEGFDGLTYLSLSYCKHLSSLTMSA LATYCSGSLEYLNLQRCTGVSTKDFEALSNVNFSALHTVILSDCSFLQDPAIIALVKA APNLERLSLSFCCSLSDASLEAVTMLKHLVELDASYCCSAVSDTSIYMLLQAHKYAPL SLNIRGCFRVTDQGLKPTLDKEVQLDYLNISQCPNILSQTKRVLADIYVDKISI PHYBLDRAFT_164581 MQIPVPFDTLRQGIEQPIVIRSAATSVAIFIGWYLFWHFSGYIK TIRQRAYVLSLLSSFTTTIASFPLVWDVIRNNGDFQVLMLPERQWPIAVTTFFLTFLT LDLVIGSVLYRSKIELLTGWIHHIVYFGTLIWVIQHKYCSAFVTMCVLEAPTFLLALG SINKRLRHDYLFAATFVTSRIVFHAYMIYNAYVFLPYGHIGGTLFAFFPLHCYWFYGF IKQQQRIRSQPKKGNKESSDKMVSTPAADQKKQHVSPCTVSRTKHQSKTGVSVQYSIS KNSSVIDRLALRLPETLQRELRLRYGNGIPYLGGTNVYTNHEHTNHEPSVVSVH PHYBLDRAFT_164582 MADPRTQLLTVLHEAASQDYARMRQAEEMLKQWENASQFFATLQ DIFYDRSVHHDVRFLSGIYLKNGIDRFWRRTAKNPIAPEEKIAIRLRLLQFLDEPSKK LASQNAIIVARIARLDFPNEWPELLPTLIQAIETTSSGNNEQSRIIHDRSLSMLYEVL SELSTRLLSAGRKQFASIAPRTFQTIAQVYATYTERTIAYMANIQAIITDSVAKARTI EELEITSMCLKCLRILMVSGIRDVHKYDETKMFIRMSQRHIQSYVDIHTCIAQLNVSG DIVKVLETVIEDYGTLYLGLQKAHPVSSALCTSWVDILKFYWHNIVMQGDALVKQHIP ASESLSVTDEETILANDACRIINEQLLTPDFVRTCAETLVSKYMLLTPTDFEQWEEDP ETWANHSDTENWEFEMRVSNNKKNRSDISEGGINVHVPYKPCAEMTFMTLLTQYRDLL CPIMLNLTEQVATVVDQQGLLFKDAVYCGLGLGVNTLYGKLDFELFVVNRLQPEVSNK EPSFKILRRRIAWLVGRWVSEGISADCRTIIYQILLQLMVAEEDLVVRLTAAHSLKLA IDDWDFDLSILLPFLGPAMDLLMALLNEAEDSDTVMRLISDLNTIMDRTQTEIIPYAP KMIELLTPLWTRAQKEPLFQSSLVITFTKISAILNEQSVQLQDLLIPMVEYCVNKNNE AHVYLIDDALDLWWTLLQSTPYASPQMMKLLPIAIELLDFDTENMRKVLKIIESYILL SPEATLQQYAMGLFGRLASYVGNSKAEVASNIVSTADLALMSVPIQMYGDALVQSGLL GSVLQTFLQEELYAYALMNYMTLFARLAIYDANLIIQFIQMAGQQLKPTSTDFLGELM DSWMDKFDNLSHPRSRKLTCMAFTNMVRTGNQTVLSRLPGIFSIWTDVLAETADPDSK EALLHNESDLEGDLAQLDESLEKNRKLELSRRDLVYTTDLVSMIHQTLTICEANHGGS EAFHQMYLINVDPSLLEQVNQIMSAL PHYBLDRAFT_164583 MRLYSIAIILTLLGSWMTTSFAQDIASSLYPYIYTDVSTWPCVR LLNATGQIGCHVPNGAGGILYQIESQDEINNFVSKHGGSKQNYAAVIPYNLLTKLVVA NIAALDSTKCISGLIVLLRASSMVPEASLSSPDTSCPNCRFGLYANDPDQYVWNPQGQ GLIEENLPFPVFGLNPVDTVSKQVFNIVMRGVAFNLKKQYTDYPLQAIDFKLFMWAAI DSETCLRRGWCQVVGGTSVFSSPSRQIAADDGKKSIVLTASLDSRSLFHDLTNGVATS LSGLVALLTVAESLSRAPVSLELLPKHIVYTGFTAEAWGFAGSQRFVQDISAQFQCTN ATRASVCPFLNAPCTFPCVRSMDFKRINIDNIDSLIEFNSVSGLNSNYSSYWAHVDDV DLTQSFVADLQKNNLTLPGQNSQNTIQAANQDGNQRKLPPSSAMSFLQKNRNIKTVVL TDFQKELGSYYNSDMDDKVDLDLMSQSICGLADATAKTVFLKAQEGASTIIPAETITA NCTLVSSLLDCLASNFSCPMMKNYFNVSSVGRLSHYTSVFSFVNPQPQLLSRFVFTFL GDVTGTRRNNSDLGPSGCSTMQDCISGEYCIRKQCVSTMTAYHDAYGTGLTYNESTGK VSVTDPTKGTWTESTWDTPTMRVFLVTSTKHQVIELVVGVLWTLISIAVVIFGRSFLK KTFKTD PHYBLDRAFT_96533 WTVVERRGHAALYAGVHSLGQSWETIHIGWTGPIRVKGTKKTIP PHEMTDEDKSALQKLLWETGHIVPIFLDNKSHGHYEGYCKEVLWPLFHYVVWSDSTNG QAEKKNWADYVAVNRQFADTIIKTYRQGDTICIHDYHLLLVPEMLRASIPDAPVGLFF HATFPSSEIFRCLTSKEPFIYLFIYFVQDYLTAQFINHYPTILCIARNEILQGMLGAN LVGFQTYSYARHFISSSTRVLGCESTQVGVNSNGQIVSVGAFPIGIDVERVHTFRQQP GVEPKMNAIRDMYAGKKIIIGRDKLDSTKGVLQKLHAFEKFLAIYPEWRNKVVLIQVA TPTFGDHSKLETKVSETVSHINGLFGSLEFTPVHYYHQDIDRDEYYALLSVADAGLIT CVRDGMNTTSFEYIICQHKTHSPLILSEFAGTAGSLSAAILVNPWDYAGVAKALNDAL IMSPEEKLSRHGQLYKHVTNHTASFWAHSFVKGLVSCTEEQSLQSHSTPALNVDALKS TYIGSKKRLMFFDYDGTLTPIVSLPSDAKPSNDMLTSLRVLCQNPLNVVWIISGRDQA TLDEWIGSKVDNIGLSAEHGCYIKPPGAEKWTSIVSNVDMSWKQDVAEIFEYYTERTQ GSMVEYKKSSITWHYRNADATYGAFQAKECQNHLENAIVSKLPVEILVGKKNLEVRPM AINKGEIVKRILSQNPDADMVICAGDDKTDEDMFRMLSNIHQQTFPGSAPVSPHDPPS RSSSFSLLPPQSPLLPEKAVSLFSITVGPPEKKTMANWHVDSSSEVV PHYBLDRAFT_141558 MDGLSNSPEPFSASERLKGLHLLIDSRTDVQVQAEHVPTETTHL YPKLAGFNWTCYITTDSAVLGRSRTDQDKQTARAGEVYIGLGSSKAISRRHAEIKYNP RRKRWEIRVAGRNSIKVGHLVKKRGDPPIVLSTGSLLDIGGVQSVFILPDNYSGPPQE TSTSTQSTVHSTEAATPNSENDTVQLGMDDDEALKQILGLLLKTTPNRRSTKDIVDFV CQNTQDKDSKEYNKETVLSMLVRSSYFYLEDISHTASQARSDEAQWTWDVQEGSDNTG QFRGQSHISQDMSECGDFEFNSTGSPGYTSQSPKDFGSSEGPWLGHGASIGISIADIY STWIVANNRSVDTDDIYSVVLDRDTTRTTAENIDPVLPFKRIRTETDDGQAHYQAPTN DLRSNPWKAIRTTVLYH PHYBLDRAFT_60818 MNPTFLTKSSVHVTLVIHIVNIYVEEHSSSETDFTVLDDEQLCR SYIHVAYDSENPCEQDSDELWQRIAKHYLESSAENAKKRTISALSYRWDCIGESVTKY HEILTRLREEEAGGSEDESNDSTARNEMPKPKVARFGQSVDDFDDEEVEEEAFSTRSV KTGLENSQESAKRKSGDDIEKFFLTQKELMRRGDERTEYLKESILLARKKLKIEQEHT LAIEKQTAVLRKSQDIQILFMNPLSIENLEDREILLEEQREIRKRGVGKEPTSIFNKG DSNDAKALDSN PHYBLDRAFT_60819 MALFLSLLVNTKICSLKRLGSPLFSLSINTGASVSNFSQEFSLA HLVRHGMIRMFCKKKPTNLNQTWKLLVLLSRRLSSSKALSLCFRPKTRVHKGARGNLK RCGESIRSVANMFCNNCWENVVVEEIEMPEVVLTDTMGMSPLMSRSEMSLPDVGFPS PHYBLDRAFT_87911 MAPSPSTQSITDLTTRFHKAKGDIPPLLIGASVTIVGENLYLFG GRHKASRQISSKLYVLSLETYFWKSVIPKNTPPTARYFHSADCYENHILLFGGMASDK SSPNGLCALGDIVLLNLQDENGDLAWEYPDTQSTTLPQPRYAHLSSISGSELMIMGGQ DIENEYIPEVNVFDCKQKTWFNPIPIEPHYGAYRSAAVSIPTSSFASLNMPRIVDSAN TSFASPFDENNAYNHSRQRNKTSTVHVYSSSNIDGPVRQFHALTVSTKGEFIDLTDRS NLLAGTALPPPLRFPVAFACGQYMVIAGSCITPTSSQYHIWALNFAAMAWTRLDAGPA LSKGSWLRGALYKNSNRFYVFGHPDRSMGDDYKNRIVSFEYLVSIDTEAFGIYQPPTP SYDAEAQGLGLCILNDMTLADLTIITTDQQSVPVNSAILAQRWPSIRALLSPLLSPEN PDPSVQTTHKLTFPDTHSVLVAFLQFIYTDQLLTAQQHQPHILSRLLLIADLFGIARL KELAVHALHQMLNMSTASVIYETAALSNSISLQIRALRVLIN PHYBLDRAFT_164589 MIFRIVYASRLAKCSFEPMVLTNRQLAIHEVYAFYRFNLKQEEH RSLGLSYKLINGAYTTYIFDSNFTKEGLEERFLENLLSSEAMVNNIDVMRTYSKIN PHYBLDRAFT_60822 MYSTLSTSSSNTQRFFLRLIFLGNVGLAKICLADKNYQSSSNLR EKKSTFPEFTWWKFIVQEEHAHKVRQMIGLIIAVRICFTENTTEKRFSGGTISILLVL NRYSATSQIFSIICWDCYKYFKHLLQLNLNAFVKPTLKMS PHYBLDRAFT_2686 VSSYIRVLLKFLGPGFMVAVGYLDPGNWATDMQGGSQYGYRLLF IILISNLVAIFLQNLTIRLSTISGLDLAAASRRFFPTWVNLILYVLAEAAIIATDIAE VIGSAIALNLLFPNLPLQVGVTITATDVLIVLLFYNDEPDSDGDQMQSTSARIVNYFE IFVMLLVVVVGVCFVVELAYSDIVAKDVFRGFLPSKEIFTDPSCLYSAIGIIGATVMP HNLYLHSFITQDRVDIEYLSRYININMRKNLHYGLVDLIFALCFAFFVNCAILMVASA NFYYAPEAQRHTVEDLFSAHELLYRYIGPPAAVIFALALLCAGQSSTLTATLAGQVIM SGFLGMSSRPWVRRIITRLVAIVPAMVAASLAGRNGLSTMLVASQVALSVQLPFAVIP LIIFTSMKRCM PHYBLDRAFT_141562 MKVTFGLSLALFASSVLAIQDYCNPHFKPIAEAGYELDLSRLNK EFVVTQKASTVPATRTTEIKINICDALPAPKENDVDDCPKGSYICRRIIYNKDGKDIV TEVQTISGDFDKEKKLVGNFKAPEDEEDLSNDGIVYTLELGGGKVGERAQSAQITLEC DKSISREDEPKPPTVLSYTSNKLTLRWKTALACAVKPDEKKPDNGNDKKGDETPQPKE GMSGVGIFFTTVLVLLAIYFVGGAFYNFKMYNAQGLDLIPHRDFWFDLPYLIKDIFSH LVDTIMSHRRGSGGYVAV PHYBLDRAFT_164593 MSEPAKKLRKPDEGAFKQQRLPAWQPVLTPRTVLPTLFIIGIIF TPIGGLLYWSSGRANEIMLNYSHCGLYETPVYMEPSMISYEFSPAVDISTMEMPAYHY QTTSEFLDPTWKNPNQLTIKQCVIDFTVPSTMTGPVFMYYRLTNFYQNRRQYIKSYDA DQLLGKAISSSTAQSNCDPAGQTEEGLIVYPCGLIANSMFNDTFSNITQIDGDNAVLY EFSSTNVAWPTDTEKYKLTTYPIDAIAPPPNWVLRYPNGKYDQDHPPPDLSTMERFMV WMHVAALPDFRKLWGRNDNQDLPAGRWRILVDMNFDTVQYKGTKWIVLSTTTALGGRN LRLGVTYISIGSICLALGVFFSIRQSARPRKLGDQAYLSWNQPGGGLPSNVARLARLH QD PHYBLDRAFT_141564 MVRVEHSLSEAFKVTEAWESFLQTKYGENTSSKSTEAFRVYTED EETTVTKFYRENHEKQTLAHVLAQKLKYGTLDKAQMGVWEALEFLNGLVDESDPDTAL PQMVHALQSAEAARRDGRPRWMILVALIHDLGKYLFFMGEPQWTVVGDTFPVGCQYSD KIVYRAFLNNNPDHCHPVYSSKYGIYSPNCGLDKVHLSYGHDEYLYQVCKPYLPKEAL YCIRYHSFYSCHTEGEYSWLLNEFDLQMMPHLKIFNQYDLYSKAEQPPDEKALRPFYE ELIKEYFPPSIDW PHYBLDRAFT_179957 MVNRLPAQGIQLPNGCSTAQEYATQLMTFSDRYRWLTELHVMDF ITNEHWDLLDPTWRETLLPNVIEDDDTWFMRILQLASDAKSDHNWPESLQDYLSNIQK LTLERECYDKIPCDKIDKKMLGGMSGKKVHEVEQMSALIQSVAKPQEYSVLDLGAGQG YLSRALALHYNLQVLGVDMSEIQTRGAQKFDAKALHKSSPLSLLSTSVAAVAQPRLEH ITQRVTPKNVDSILEKRDPLGNHNWLVCGLHTCGDLGSTTMRLFAKSDQVQGLVHVGC CYHYLTESDSPDRGFPMSEHVDGHTLGTTARVLACQSPSRWIDQPRASLDTFDQHFFR AMFQDLLVHKGLVEQASALVLGKLNKQKKFDGFVKKALERLEISADAISSEEAILYEQ QCRNNRVDKKLIVLWTLRALMGPILESLVLTDRYLYLCQSVPSSQVWMWPLFSPAQSP RNMVFVALKSTQLSQKILVNE PHYBLDRAFT_92545 TRQLSSRALSTSAPVLSHVGRKPIAYAQEVSIEHDLTPIQTPRI PSELYNTTLTVRGPLGKQQLAIKPFVKLGFTLATDPTAEHVLEVGITDDSVKQQRSMW GTTRALINNAIVGVSEGYRVQLRLVGVGYRGTLENNERTIALKLGYAHPVNIELPEGV TCSIPQPNRLILQGVDLQQVTELAACIQRWRKPEPYNQKGIFINDETIKKKEGK PHYBLDRAFT_17364 MPSDPEHPPRESPDPKPKGRLACHDPNRTDKAGRTKLFACTTAG HLDKVREWVSRGADVNFKDNAGWTPIHEAAIKGQCEIAEYLIECGADINVRGFGNDTP LHDACSNGFVDCVRLLVNKGADVFALNADKQTPLDVCESTD PHYBLDRAFT_108340 MRQLDRLVARDSAGRTTLHCACAAGDYDEVASLVRQGANTNAKD NAGWTPLHEAARSGHLNIVKLLLDHGGDINTLGHLSNTPLHYASIHSHPNVVSHLIEA GADIDLTN PHYBLDRAFT_8199 RRKIKIEYIEDKNRRHITFSKRKAGIMKKAYELSTLTGTQVLLL VVSETGLVYTFTTVKLQPIVTKPEGKNLIQACLNAPD PHYBLDRAFT_141569 MTLSALARQTYHSDIAEQENIKYRLVVRQQPRKARLCSFKEKVD RRPLDPPPIIQLVSLSGNHENHYTNPHVFLYATLATPEAQKDLNFVNGTRTTAGSMVQ SLHKLKDIDDRDGGFFVFADVSVRLEGFFKLRFTLFEISGTHVHRLCSVLSDTFQVYS PKTFPGMSVIIQIIPESTFLTRSFSDQGVRIRIRKETRVPHVLTKRRRTVKISFDSDD SIDRQSQGGDSVGSTAISPPSSIYQEMPSQRYNPLRRPTWPQTSSDPGIAYNGPPLPS PTQMLSTKEPNKMSMESLLLSDTNHSSVIDKNPFEIPSSSTIKSTTATDTPPPPLPPP GDGAAEAARLSGRRLPPLSIFQPSQSVVVGSGMNNSHPVPHQHQHQHQHQHPHPHSQS LPISLPLPMPLSLPLPLPVHPATKINPFQGNSSDSTRHSFQHNTPFVDHPLYYRDDNH HHQHSHHYYSSQTPPK PHYBLDRAFT_108290 LENIEKINHNTSLFRFALPEAEQHAGLPVASCVITRYPITKKDG STGYVIRPYTPTSPEEARGYVDFIVKSYPDGKMSKHIHNLKVGETLDVKGPIPKYNWE GSTIQNVGMIAGGTGITPMLQIIRKVFSDKSTDDKTKVTLVFANQTEDDILLKDELDK YAAQYPNRFKVVYVLDKPQQANWEGVKGFVTPELIKEHLPTPETESSIVFVCGPDPMV AALAGPKAKDKSQGEVSGVLKALGYGSENVYKF PHYBLDRAFT_76477 MPVDSSEQVPLLQEAKVKKGCCSTDPKKQCCSSNRPLTISTQGT QNDQDDPNNYCHLANQPWKYKCLALACVLLLSVGSHFSAHTLGAMKSIIKTEYGISNS QYGALQSSVSIVNTILPLIGGIFLDAFGTNTGSILTTVLIASGNILVAASASSANLSM MITGRILYGIGSGTVVIVQETILSQWFNGQSYAIVVAIMLSVSRASSFLAQFTVIPIA EWTGWYGYGLWFAAGLCILSFVVNLMYLALLRQVSDVSASHCRTQIAVVKRQRSFKWS KLLYLPHSYWLVFAMEFLLGGAWGCFLHINSELVKFRFDYDPRRAASVGSVAQVAPIF VMPVLGLFIRWFGKRTWLMIGSGLTFLFSLILLGYTYVNPVVGMFLFSLSLAFGPVSL VSSVPVILPFSLVGTGMGLIKSGTNIGASLFDITTGFLQDADQHKGYTGVIIFFIAIG ILSTLCGVVLFILDRTLYHNILDQSARTVKSNEDCGETVPQPVVPKMTTKLKANWFYG GVYVFLAIISWILFFRFVLFP PHYBLDRAFT_141572 MSTPAFLTPFDVEWSAVEMEIGGYRAAYIVMQGLPKRRVYGFPL IGPDIELIKGLPI PHYBLDRAFT_141573 MSFTRCRIQILATLKDRLQIKRNTLIHNWREYKPLPFVLRNTDR RMERFRQITSNIQFLNDNHLYTESDGMTEAAIALYICLSNTRGFSLRVISSGDNILGV PRYPRKSAQRLYKLPYDTLQLVFTYPSCTQLLTQMYNEALTGGELLSSLQTICIPTTK ERLYFLFEDLETNCPDQR PHYBLDRAFT_141574 MSHVNSTGHSGIGLLLYQEKAYDQHHLATYASASNARINLHKSR ILSVGLPSQSLNEHNLQLSFPLSLKAASSDLVMLSRVWLPQRILTAQFADLMIRSGSE VYLSALLSSLMHLTISG PHYBLDRAFT_179961 MNVAMKTDDHRTVTTAGTLPQSGAIRHGSWTGRHCCEARPTLAH YQSIEGQIISKVDDLNYSRTEQSSGWLVVKQKTISNDDIRGNKKEFDQIRNLSGVSMK PHYBLDRAFT_164603 MNLVKNIKMNTVDPVFDFPYIIQLEMTLHVLSSVYKDNLSLLIY ILATVKDIIRNAMDYQYQHLFFARSFPIFTMYFFSVDNYSIQTVSSRPVEAITDIQ PHYBLDRAFT_164604 MPTPNRRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNATAINGIDALSALPASAHVPTNVASTSAALPITESSDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKPKWAVDVRFDRSPNRELVKQLLYYLEKKFAGTDMRTRDLRK CIYTNFCSRRRQQRELPETRRALNTNSRRSGRETDNYTRRRLAYDAYKADIDLKMGRN CSGLIQKSVMSEGESDDDMSPSQPRNEIRVARPSWRSDELNKFITEVDSFVVKQLGAN SRQLLKRVYGRTVESTVPIDLDPALPQWALKYGS PHYBLDRAFT_164605 MPSIPHRRNVVCRCAQCSRNSQGYSLVTSRTAERHIRKDELERI ERLDMAERLANTVQEEQMMDVDTQYDQADSPDSNAATMADNVSVDDEISEVNGNDSDI ERDINSDSGSGEEEGVETDVEEFVNEDPFDAPNMPENPVHRFIATFAVLFISRYVVNK GAAVLIEFINQLLKIYGEDFQLPTSLIGLQRMTGFSNYANGIKKSVVCEDCHKVYEQD VPLPTHCDFKKHGSQSACNCELMKVSSSGAMVAKRSYVYNSIQRSLQLHRLGYFDLVR GTIIDPMHNLFLGTPKRMMERWIKEGLIDDRKLATMQTMAETMVVPMDYVVLKSKIGK GFPYMKADEWKSWVLVYSPILLKAVLPIEMFRNWISFVDACRQLVKPSITFSDIDDGH KFLQEFCTECQRIYTPTILTCNMHLHLHLRETIRDFGPVYGYWLFGFERYNGLLKNVN TNRKDSFEVTYMNSFVQDTFKGDFVHAALTCPSQVPFLPLLAKLTATAQPSTSKNTIT FPQRPFRLSAFIQAYSNPSLPVLGNEPLPPSAFPLHIEPPSAMSDVDYPHLLDYYKVA YCMPNLEGYQHPSSPFSFVDNQIIKLKSINLLGQVYKGCKYASGRGSFVQSLFLGSQG NNWLAYTGQIQYLFLHSFTPPADNTELQTRVVYQDKHVFAFVKWFQIEHDRSRELESV DICSADFIACDFECILPVHRISSVVATCDYKTSTNNKKILVNALPHKQYN PHYBLDRAFT_185599 MNVAMKTDDHRTVTTAGTLPQSGAIRHGSWTGRHCCEARPTLAH YQSIEGQIISKVDDLNYSRTEQSSGWLSSFSKKDQVGGGNGVETQHRKLSIKKPCDEF TLARYCKVHKGGQKCRKINFRATAYHQVSKLCSQDPLRHPESGGSRKKEVKPRETIWS KRYKTQKIIHKSHQIYHNKVKTRRMEVIRGKDAKEKIDV PHYBLDRAFT_164607 MNKFDTPTLAHYQSIEGQIISKVDDLNYSRTEQSSGWLSSFSKK DQVGGGNGVETQHRKLSIKKPCDEFTLARYCKVHKGGQKCRKINFRATAYHQVSKLCS QDPLRHPESGGSRKKEVKPRETIWSKRYKTQKIIHKSHQIYHNKVKTRRMEVIRGKDA KEKIDV PHYBLDRAFT_60838 MKSPLKAQKLPVFTEDENMFASFILNTMVVKNHTKCCPLVGCLK KKSNIDSFSIRLKERRKSESVTVVRSDDKLKRRKLTTDIDVFTSGQGSQKNQFKLNTD RQASHTANALDREPVAIHISQDFMANLHTIYGIFHVNDVHKTQIKVLWSFVLKKVNEP LLLRSKEGHHRFCFGFYLLYDVFESFVFSKGRDGENLRKHIP PHYBLDRAFT_164609 MNILVTISVRVSTEKSVDIGPETSTTSYFVRSKAARIFYRVDEI NQFTETMCDPFSYYILNLTLNSPYRRAFSHFVAPRIFRAMAPLRHLLPCQYYLASLWQ AFGRLWNIIRLIQNHQSSKGATGERKLPMQVVGYLVIIYLKNELVSSGVNLKQPVESF LKICSYTLQATHLVTKNIRLENTISINLQRLGENKN PHYBLDRAFT_141582 MNSIKVYDISLLNFEEKCWSPNTFKTRGIPFDSVWVSFEEIPIV IPKLTKTTETPTVPVIVDTSKDVVIQDSWKIAQYLEANYPDTPSLFHGNEELHEAAQA SFSSFSFALFRLVIMTVANNIGNEDVKAEFRKSREAKFGMTLEKFAGNPEDQIRIANE GLKDIRAKLAKSTYLSGSEVGWADGVLLAYFVMVDVLKHDIFQSRILDSVQGKNPLRE WYERMAKYV PHYBLDRAFT_76478 MAYNGLMCFTVQMNYQAYGISPVLKNPFSLEPWILGQDTLTRLG SDLDFAMISYQIVEALKPLIVCVADAELKVKGPENS PHYBLDRAFT_185600 MSKPVHIKNEILRSNTGLIINPSKTYPRSETRDKLSGLTIFQTV YDIHQRHTF PHYBLDRAFT_141584 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_122532 MSDSTTAIARSARLASVAMQSVTNEQKNEALLAIKKFLIDRRQE IFEANEKDKKLAQEQVALGKLSSSLFKRLDICQSDKFDTIVSGVSDICHLPDPTKRIT LATKLDNDLSLYRVSVPVGVLLTIFEARPEVVVNISCLALKSGNAVILKGGKEALHTN AVLAKVIQDALSHSSVPREAVQIVETREDIDALLDLDRYIDLVVPRGSNSLVKYIQNH TRIPVLGHADGICSVFIDEEADMNKATRIVVDAKTNYPAACNSAETLLVHASWLASGK FTVLATALLKAGIQLRCNPDILAALDGLKAEFGAQIQAATPEDFDTEFLDTILAVKSV KDVHEAIAHINDHGSKHTDCIVTENKPRAELFMTQVDAAGCYWNASTRFADGFRYGFG AEVGVSTNKTHARGPVGLEGLVIYKYRVHGNGNVAGEYGTGQKQYLHTPISPENYEI PHYBLDRAFT_95968 DLTLVHPINQDTLISTLKIRFDNDIYYTRISDIALVAINSYKSS FTADYVTEYKDTAEHSLPPHVFQLTNQAYFHMRRTGIDQSILLSGEPNSGKTEQEHLI LQHLINLSSFKKEKRSLPLLEARQIIEFFGHCRTTANPNASRVEKYTEIQFNARGKMI GCRLKTYLLDKNLLRCTSPGDHLFHVFLAVFHCSAQDRHLWRVLDPSQFAYLHRMSQT MLVFPDAEQHYTNFKAACRALGWGKRQYLKIMQVVAAILHLGNLQFVDDVQQETAHAK NIDILTLCADLLGVDARALENVLTYKTQWVKNDLTTILLNAHQAAEQRDCLAMTIYGR LFDWLVDAMNTKLNNDSAAHSTLGVFPISHHQSDGTFHSFCLNYATERLQQVFLRRIY ETEPIEYSREGIDGPEPVNQSACLDLLVRPRLGLVDIIQAHSTRRFPNRTDSLWEILA KQHQQSDLFRVVNEPSRSFVIQHYHGAVAYHPQQFLEDNREVMNSDFVGLFRASLDSP GSQNAFVARLFEDQTLNTGMYPQRSSSTRVKHSTTNEASRQENEGTVLGQLQTTVDDL TRSMESTCVWSVLCIQPNDSNTPNVFDTARVRHQLRAHGVTSLTERLVQNYTIGFEHE VFCQRYTDALQCIGIKGPYRTQCETAAVMLGWSTSQVAIGHSKIFLSESVWRHLEDGV RTLEKDQQRQNKSSLSYPAIRRDMSADALSRVSYSSGDLLTSLSEDSAVDRRSPPNHP QLSRQLEPLSDRTDNQSFASEETAIPTDSNPQDDAEKNVLSGLHDVLPLGGMDANAGL GVAGTGGNGNGETGNGSSPQEAEQVSVVRKRWVWFVWAMTWWVPSPCLQWCGRMKRAD VRLAWREKLTLCMIIFLMSGFIIWFLVFFGKLVCPHQDVFSQSELQAHNTKKSAYVAI HGEVFDLTKFAPHHWASDVISTQSVLAYAGTDVSDLFPVQVSSLCEGVNGALSDWISM DFHVNLTDTNAKYHDFRAWSGDFRPDWYFEKMVYLRRNYKIGTMGFVSKDVVLQATNP VSLGGMRATRNWAILNNNVYDLTYYIMGSRRIQVPDGQPMPANVDLNFMSDTVVNLFR QKSGTDITNYWNVLPLDPDVRQRQEVCLRNLFYVGTVDQRNSIRCIFSEYLLLIVTIF LCLVIVFKFLAALQFGTMREPENHDKFIVCQVPCYTEDEESLKKTIDSIAALKYDDKR KLLFIICDGMIIGGGNDRPTPRIVLDILGVDSTIDPEALSFLSIGEGQKQHNMAKVYS GLYECSGHVVPYIVISKVGKPSERQKPGNRGKRDSQLILMRFLNKVHFNAPMTPMELE IFHQIKNVIGVNPAFYEFVLMVDADTEVLPDGLNRMVSSFVHDSKIIGLCGETVLSNE KDTWVTMIQVYEYFISHYMIKAFESLFGSVTCLPGCFCMYRVRSPSKNQPLLISNQVI EDYQINKVDTLHMKNLLHLGEDRYLTTLILKHFPNYKTKFIPDAQCATNAPDQWSVLL SQRRRWINSTIHNLGELVFLPQLCGFCCFSMRFVVMLDLVSTLVQPAIVGYLIYLIYS LVTSTDGVPVMSMITIAGVYGLQAVIFILHRKWEHMIWMIVSIFAIPVFSFYIPIYAY WHFDDFSWGNTRVVVGDKGVVVVVAADEGTFDPSSIPTLSWEKYEEGLF PHYBLDRAFT_185602 MSYHRTWLWQTDSWCGNRVASSIIKQKTKKKPNRFFIFNMGLLK LGSIYKSRQKTKEKQAPLQPLKIELESPLRISTLPTKSPVSTVVAEQQAPAGSGSLLD DIFSELNSKPFAVAPVKEDYQDDASLAMALAQSLYLEESQDDKHTHNREDSSLSTSIF ASLLSPSLSTYSSTTTTPGSAAASLGRSNQSVSSPTLATSSRSIMETHQQQVPQMPPP KPSPAVLDSDLSDSDEVSDSDGHLSDASGPRRTKGMQPIMARRTQDHRLMVQRKIDRW TDRVDADATLVETNESMIARMKDRHRQQFKMAAMRSQQQQQQQQFQQPPMMAPLPPPI VGGVNMIPPFVMPMVVPPYQGRVYNNAPEYADPINVMAYPSMPTLTSPIEPPRPRYAR SSVPASPVLTAESAGSVSSPSSTHSSQQPASTPSLTPASEPVQQSQVAPEADADDEEE DDRPVIEKRKSRRSLRKEPRENHVDRKDEGPVEMAPKKEKQQKQHQQQQYEWERMQAY QRDQHKKYGQPQMPRSMTSPLSPSDMHYYDRSIQSQTQAHSNYPYPPSMR PHYBLDRAFT_16701 MNNHPPAQSTSSLSTSTSSTNIVGVHYRVGKKVGEGSFGVLYEG LNLLNNQSVAIKFEPRKSEAPQLRDEYRTYKILSGLPGVPSAYYFGQEGLHNILVIDL LGPSLEDLFDMCGRKFSTKTVAMLAKQMLYRVESVHERHLIYRDIKPDNFLIGRPGSK YANTVFMIDYGMAKQYRDPKTRQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLES LGHVFMYFLRGSLPWQGLKAATNKQKYEKIGEKKQTTAVKDLCDHFPEEFGIYLHYVR KLGFEESPDYDFLRELFNKVLRRLGETDDAVYDWMLLNNGKGWEVNKYTNSNTFLQ PHYBLDRAFT_179966 MPSLPKTTEFYLSETPQERERRIRELFDSLDRKNKGVLDSEAIQ KGFTTMTHLPARTKYVNELLDRCDTSHDGLVDYAEFKTYVTDKERELWNLFGKLDQRG DGRLRPSDVETALRGAGIEISKEEFGHFIQLMDLDGNGSIDFHEFKNFLLLLPQSNMS DMYQYYQTSTQLTQDAEVIIPPTDEAAHHAWRYLLAGGVAGAVSRTCTAPFDRLKVYL ITHSRQTPPVTLTSAMRAIYEKGGWRGFFVGNGLNVVKIVPESAIKFYAYETCKGLMA KALDCEDKDSIPTSARFIAGGMAGLCAQFMIYPAETLKTRIMSQGERTQVFKTAKTMY ARAGIRAFWPGLTLGMVGVFPYQAMDLGIYETLKISYLQYADREYGESRDRQPSVLVL WACGMVSGSIGATSVYPLNVIRTRLQAQGTHAHPHYYDSAWHAARVTYQKDGVRGFYK GLGPTLLKVVPAVSITYITYEWSKRELGIS PHYBLDRAFT_179967 MLSDVRARIEAHRVHCMDGRSQSHSPLSDTFQYSISPSSANSSS PPSVIDTPMVRTRSANPCLQQEHIPSRPSKSETPCSTWVRPRSGTTGSVHAIPLSALQ FKQQRPHLQLATQWEEPPPNAYSIWSNPHHQNDHDHNHINKNDNRNIKIINTNTNTNT NINTNINTNGNSNGNNTNTKNNNHNNANNINSSPFASPNYLKDLFCDILPPNCREQDV ADLIRDRLDAQAVRIRRLERSLEEPVSPEDLLDRHPAVMARYRQQVLQDEFEVQKQIM LTQQERTFEALKLKYRHGFDRMVDRVQEEADRRAEEKWQARVAELEERLSRSM PHYBLDRAFT_164619 MNLNTDFPIPGLSVLYDFVSPEEEEYLVEAVNREEWSGLGIGPN PELKRRTQQYGHLFSYRYREVLEEYGPLPPFVSRLVTRIMEFGLMPVAPNHLLVNEYN AGQGIMPHVGKAYAPALFGPSILSLSLLSPCVMKFESKQDSHNILLPRRSMVVLQQSA RYDYKHGISKELVDYTAEGDPVPRDKRISLTFREIIAWSTKACHLNPKDK PHYBLDRAFT_176980 MSLAQWRQFNFFDKQQITDAVDKTKSPQLFQSPDITVFAAGRGH VAYADSSGSVFITDRHFKAQSFMAYEGGRVTHIKQLKQKNVLVTIGDEDMVTNTPVIK FWDLDILDRSKAEVVTPTCLRSIKIQHGGKPYPVSTFAVLENMSQVAVGLANGVVILI RGDLSKDRTTKQKVIYEGQEPITGLGFREQTKSTLLFIVTTNSIMSYNTTAKVPTVTT LDEQGCGLGCAVMSDAQEMVVGRDEAIYLYDPTGRGPCFAYDTPKSSLNWFKSNYLVI VSPPVTTSTHLSSATRASFNFKANSGGTTELTKVTIFDTANKFVAYVGTFIGGVRGVF CEWNAVWIVGMDGKIYQLDEKDTPTKLEILFKLNLYVLAINLAHMQKYDDASVSEIFK KYGDHLYAKGDYDGAMEQYTRTIGQLEPSYVIRKFLDAQRIYNLTSYLQELHSQGLAN ADHTTLLLNCYTKLKDVSRLDQFIKTDAELNFDLETAIHVCRQAGYYEHAVYLAEKFQ EHDMYLDIVIEDMHNYEDALHYIRKLGPYEADKNLQKYSKTLLGHLPELTTQLLTDLC TGALPLPPPATLVHPSNQLESPTPSINNTNALSNLPFSTLSSPTISDPHQNAFLAPPG VHHKRTKSGSLCYILPSPCTFMPAFVDRPDCLVMFLERVFETRWGRLPDRNQTRDEKL TLSVQEQEERKSIWHTLLELYLMDNKVLQPLGDNKPISSREEKKRAKEFKTKALGLLK DETVQYDTNQALVLCQLKQFDEGIVYLYEKTGMYTDILNFWMEREETDRVIEGVRKYG PKDPSLYPMVLTYFSSTPEVLSKSTPELLSVLNHIDTQDLLPPIQVVQALSRSNVATI GLLKGYIGKKIEQESRELKQNDELIASYRQETEKRRQEIEELQTSARIFQVQKCTSCD GMLDLPAVHFLCRHSYHQRCLGDNDRECPQCAIQHRMISEIRRTQEANADRHDLFFDQ LDGEEDGFSLVADYFSKNTMAFAKLID PHYBLDRAFT_157830 MLNRSVVNVGRWSALAFGLVYGYTHNASLKSQQVQLDKEADYHR KELLIEQARAAYAKKQLALTTPVKAVENVDIDSPDFDFEKFVADFEAKEKN PHYBLDRAFT_164621 MAFGQEDTVWDTDFDFSHRLGFPTKHAHPKDLYPPRTVASPFTD DDTDSSYASHHSDKFSIEDREGLLADIDEFMYETPEPPTPPAAAALAAACLDRYKGLG TITRLGRHRYVEDDGDWNEDVVFQKPNGTTNYVPATETALDDIDDYNQPQPTHIPPQP DPKLTTIQPTKYPNYAEIKAEEEEWDISEFTNATNTRNYQPLDIQHPKPVAKKISAVL NKANDEDDDFFTGMDFKDSFLKPTPVQNTTRLTPRTFASTPSTSQKLPSPSVLSARNR PIPSDSLPTNSPKSTSRDQTTSREPSVSKSQGSSRNQNSGPSANRSQSSRSSLISSGR EAASSSRITEKPKEIPVWDRLSKPARDPYLRSSSSSTTTSARRQSPLSTLPRHSPEAT KVVSPDWRSNGGGLSHSVDSRSTDAPPQNNSPLHLSTNRIPQNRREIIRPRVSVAQSL QGQSNKKKIVLRTKTRAGQRDGTELDRFDNLSEWGATTPPGRVTPKIKPTLRVRQLNE SSAGKVVDTKDQDRPWRQNMKRNNVSSILPGDRPLVNEQNGMKYDPVNNKWNGNENSL LPFDHPSETRRRPARIRNRNNAIKHNHIVGDMYFDHLGTTWRKLPKSKPNSLDNSSLG SRGQKSRSKSNFEAEEEEENDEDDDPLRGFEDLQESPLRNLPKSPTPGYFIALTPQDI RNNASKTKPEFELSLDTQRLMFEEEKEHREFMANWILPKDYMIKTAYGNLAPPYCFLI LTRDH PHYBLDRAFT_60852 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SLPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGGGSFNSRL SFIEMRFPKGISDYYQIFRDNSPEHTKYSQPEFRKSFV PHYBLDRAFT_131299 MVTATAPTSDRLRQAEELSKGNNLDQSIALYKSILAEKVNTEDV LREQEVALIRLGEVYRDLKLPQELASLIRSSRPFMSSIAKAKTAKLIRTLVDFFGDIK NCLPLQIEVCKESIDWCVKEKRIFLKQSLETRLVALYLDNKMYHESLSLTAQLLKELK RLDDKMVLVEVQLLESRVCHALRNFPKARAALTSARTSANAIYCPPLLQAALDMQSGI LHAEEKDYKTAYSYFFETFEGYSSQDDPKAVLALKYMLLCKIMLNLTEDVHSIIGGKV ALRYAGSEIEAMKAVANAHQNRNLQEFETALATYTEELNNDPIIRSQLAALYDTLLEQ NLVRIIEPFSRVEISHIADMVKLPTQQVEAKLSQMILDKAFHGILDQGAGCLIIFDEP EEDKTYESAIETLKQVDKVVSSLYQKASNLS PHYBLDRAFT_179972 MASLTLALRRTAPQLRTQSFRNASSSMFMRAITTETTKKPPTAI LMTNMGGPEKLDDVHDFLLNLFSDRDLMQLPLQSLTSKWIAKRRSPSIREQYAQIGGG SPILKWTRKQGEVMEKILDEISPETAPHKHYIAFRYVTPSTDAALEAMKKDNVKRAVV FTQYPQYSCSTTGSSLNELHHGIKAAGMEDSVDWSIIDRWNTHPGFIEATASLIEKKL KEYTPEERKDAVILFSAHSLPMSVVNRGDTYPAEVGASVQHVMKRLEGKYPHRLVWQS QVGPSAWLGPQTSDAIEGYGKQGKKNLVLVPIAFTSDHIETLFELDLEYGELAEKSGV TGFKRVEALNGNEIFTRALADIVKEHLDSKQLVSRQWYLRCPGCTSEKCKDTREYFAS KDSTQ PHYBLDRAFT_185609 MSLRRKPINFEKTFAELKDILDAIFSFSALGGVSGMNMFQLIYD MCNAIPQPFTEKVFTGIAEYIDKHTVELCQNILKHDDLVTAYARPFERFKLAAEDTSA GCNYLNGIITAAYSTGSRDRKPSVAGGKYKKQSVESLAMSLWKTNVLFTIRDRHQNRL LYQVFELIRRDRDGVDAPYGTIKGVVTSLVQAHSFTGQPLQLYIEEFERPYLVHTKRY YEAEAAREIASGSVSSFMKKASERLEQEIKRNNQYCHSTSHSRIVKEFEAQYITAYQD RIIDEFENMLRDERYEDCTRAYNLLSRIPDGLKPILTIYEEYISKIGKDMVSKLNSSA LKNPRLYVDQLLILHGKFHQVNQQVFLADPLFTAAGDKAFRTIINDPNGATSSNGSET LARYCDMMLKKNAGKKEAGVTASTTNSLDGKKKGALKKPVQDIEDGDPEEKLAKMITL FKYVDDKDVFQKFYSRMLAKRLIYSASASEEMEANMINCLKEICGVEYTSKLNKMFTD MSLSSDLNVNFKDYLKQQSCKMNVGFDILVLTQGAWPLNQKEDPNEADINKVQIPSEL EENVTLFEDFYGKHHNGRRLLWQWNLARGEMRLNYLDRHYELQVGLYQIVILLLFNSV TEMSIADLLVHSGLSLPDTLRSIKPLVDIHILESNASSLNKSSVVKINMKFSSKRTKI KVSAAGAQAEAQQESQATRKAVEEDRRMYIQAAVVRIMKSRQRLSHVQLIQEIIDQAN SRFSPSVIMIKKCIEQLLEKQFIARQGRDTYVYVA PHYBLDRAFT_141600 MKTSSIAVLALLGLSLVNSAPLSETQAVNGTLPELAPVSHTIVE MYKNGVDPSMNNFTASASIEILGFPQPTGASEITIQSLSSDAMPMTTPINGVLLTTAM TVTAMAFIIAF PHYBLDRAFT_60858 MSCRSRVLDVSSLAGDFLAYQMNRMDYHELLSTSLCVLIDPCKK EHPIVGTRHLLVGDDILIPPAHKLVDEDYLPIGAVKLWEYKDKSFCPEGLQKEIVPRA GSHNEFARLDRNVVPIKGKSKMISSHRIALNLLFITPRTLWFKHERAKKSRFKVWSKI CHKILIINA PHYBLDRAFT_141601 MSFTDQDYRVLKTRYEAVVHGHVFAFFKELTPSQQEIFYSQLAS IQVEQVSRIAQDILTMGHDNKVTQDPAKVDLKPLRSHAIDFLASDTKEKLETWRPGEI LGCN PHYBLDRAFT_141602 MTSEPTHRSTCDFFETNNYFGLDKSNFLYFQQGAIPCFTLKGIL MFEQKDKMAITPDGNGGLYDALYKQEFSEISEFSAHQSTDTTSSSSSSIGDEVSSLVF GSSNIVSHLFSTGFLESASIFADQLEYHTFSALHVNRSSEFSLVKNGPARQDMFKLHA KHVEQAGRVVAPQVKIELSPLVSYFGEGLAIFEGKIMAKSGIIHALVDISTFCL PHYBLDRAFT_164631 MNRQWMQSLCVCVRTHILERTVSNQTPLRAYGGLSSVRPPMGGF LKPRPPLPTRSATPIAPTDRLRRDEEITARFITLVDEKGQVHERIRLADALRRFDRSS YFLIEVDPSAEPNPVCRMFDKKAIFEKQKASKKKKQTTPESVLKEVSFGWNVSLHDMD HKLGRASQFLEKGNKVKVEIVSKKGQQRLDPKEQQKVIDQVISHLSAFRVTKPAAFSN GACQIQFEK PHYBLDRAFT_60862 MDCLDAMIKECMNLFSKTLETFHFCGNVDGPQLSNFTAHIDFFP VLVHLDISSSNTHLYYMYLDLSKIYGKYSNGSSRIDIDMSYTHFKYIPSRFNFIAPTK HRREKCAGDADYIILSQTSSSCPIINSGGSHSDWSLYSDTIKKDKWYFARYRDYYSAD GYIIYPLQESGKINTVDEKDEYVGFNMVPKEWFTDDIDLTYAVVRCGSISDINMPESK VQEINGSVGNLKDIKTRGVQTLYSGSTFSLFYYLALLFITLLMLASELPFDILRNIGR FLWLPDQLESCLVCRTWRIPFQDSLWDVITFKQFRMFDKMLDVSDRAHESYQQNGYRV RELSIHSEISISDQRFLAFQKYFPNIICLFISDGHLNKTTLVSVANWNLWGKTLTDLK IHSMDWEYANQADTLLDISLQLPNLKSLIVTLFCGNTPALMTFDQLESFHSNMPLLER LVLDMNLADFGNEEYVLSRILNVKPAMKLKHLQFNFFHSFYQWLCYFAIKYPSLQTIR RTNDFGEEDYVQHPKERHIVSHLYPPAFQYLESIDMTPTNTKQLHLDIWKQMYLLGTK LKHIKLNLHERVEMDCLDAMIKECMNLFSKTLETFHFCGNADGPQLSNFTAHIDFFPV LVHLDISSSNTLIELDVLLDRCVSLKLLRVEDCKILLSTKEYETPKSHGLRMLCLLYA ITTCNLLEYLSVRCRRLNYILLGLSEIYGEHSNSSSRIDIDMSYTHIKYIPSESKFIS PSKRKRERCAGDADYIILSQTSSPCPIVSNVSTHFAWDLYSDTITKDVWYFAGYNEYY GMDGYTVEQRIMTEEDITTVAKILRKQECGNINTIDEKDKYFGFDMVPKEWCTEDINL TYAVIRCGNISNDYIPHETMSDREVMERLYTTF PHYBLDRAFT_176984 MYKNGEPISMMTAQDYPSGLMVDRAGIDMCLVGDSLAMVALGYD STNPLTMDEMIHHCRAVARGNKTAFLVVDLPYGSYEASPEDAVRVSLRCLKEANAEAV KLEGGKEMAETIRRITRVGVPVLAHIGLTPQRQSALGGFRVQGKTAKQAQELLDDALA VQEAGAFGMVLEAIPSEIAGYITQRLKIPTIGIGAGVDCSGQVLVMNDALGLFDRFVP KFTKQYANLNQIMTNALSQYHAEVKTRAFPAPANTYPIDPVQLEKFWKSQETHLNKSK DEEEEASDVRLHAQ PHYBLDRAFT_164635 MSSSIEIQPGQAILFVGSSTVEQDQWIKMRSSALAQAGPQANIA FEIMERVADASLPKSSFDGIYSNIFSPSVSVHTPAILSRYLSTLKAGGRLVVEEPIVL VSLSNTVCPVTRQQDELVSMLKLAGFVDVEASVQPVSDQQLAQFFQIWGATRVEQGVS RLTGKFGWAHVTAKKPAYEVGQKMTLRFGKKKESPTPTPTAAAKKSVWTLETDDTMED DDALLDDDDLVKPSKESLSRPDDCELTDGKRKACKNCTCGRAEEEEAQVVSLELEDDM EDEIVEVDPTAKKVGGCGSCALGDAFRCSTCPYLGMPSFTPGQTVTLGGQFGMDDI PHYBLDRAFT_164636 MGDKVSSYSVSQPLQEDSLPSPCTEASPNSPDHGTKRPVRQCRE NPDLQRKKSKTGKVGNQVEVDRWEEDQRIGCAARVVEIIQTDQQSTELVFVHFEGWPP DQADWVKPQEISSPSTKTHYGPLGKESDESWEEYGDFYYQQSKDKQNANPVRQTGLVQ DLRMSFHACPCHERETVHPEQPGRIRSILDAFYTNRMLRYFRRVHAREVTKEELMRVH TFAHVRNYYPYHTKATSIEALLNPTPPPPTTTTTANVTPRPTSHLLHLVPSENTVNTL FPPSPPLLPLTTNNTTLTSPNTPPGLIHQMTCGELGIAGDTTFHPHHSSLSARVSAGA LIELSNQIVEGRLRNGFALIRPPGHHAEDDGAMGYCFFNNVAVAVASILETYPKVVKK VLIIDCHGNGTQSIFYDNPNVLYISIHRWDNGSFYPFSGGPEECGVGPGLGRNVNIAL SASEEKPRPMGDTEFVAAFHHIIIPIARQFDPDMIFVSAGYDAAEGHSEQLGGYSVTP HGFGLITKMVNDLAKEVCQGRLVLTLEGGYALEPLANSAAASVAQLLEADTGQNRILS YTESLHTTKPNLGAQQSFRQVVNIQKDYWDLPDYLFKPNYRFHLPSLWRATHSITHRP RRNRQPKLEVLESY PHYBLDRAFT_179975 MAVAEKLEKHGVRWAPITGIPLERRLQTLAVSGLIGLFFCSSAF MVYLFVFHPVLWPVLIVYLTFIVFDKAPEYCGRRVEWVRYWKVWQYFCNYFPVQLIKE CDLDPKGNYIFGYHPHGIISFGAFSNFATEGTGFSKKFPGITPYLLTLSGNFRFPFFR DLALMLGLTSASRQSCERILSAGPGHSLVLVVGGATESLSARPGTNDLILRKRFGFVR LAIKQKASLVPMFSFGENDLYDQFDNSEGSKIFEIQKKIQSIFGFTLPLFHARGIFNY DVGIIPFRHPIATVVGKPIPVPEFEGDEPTQEQIVAVQKLYIEELQSIYDKYKDVYAK DRKQELRLIH PHYBLDRAFT_76490 MLGLIRALAATNYVAFTGLLAALTVGTFDASIMLKLVHKSALAR NAFPYVEITQSMIAEFPLHHLAGYLIITTLTNLFGGFEYTLAWLVYYVLGLPVIFSWI VLFVEGLREEEVFSEGIKDLVTGGEPAEALQSPLSLPLVTRLINPLWTPPDVTIYPNI TYATTEETAQIVEETGDFSQPKLLSLDIYGRTDRDISDRRPVLIHVHGGAWRKGSKNM FKPHGKVLVQENNWLYVSIGYRLAPENPYPAHLIDVKRAIRWVKQSISKFGGDPNFIV LSGDSAGGHLATMASFTANNPLYQPGFESFDTSVKGVISFSGALDIQATRHHAEFFAH RVAMQKKGEFDQEFLDRHTPTRLIGEAKQNGNLVPFLLITASRDTLVPSTVGKEFKTI YDKYSPPATSSASQCSLLNLPTAHHIYYVGWTPRSIFLSRLVQAWAEQLYTKKK PHYBLDRAFT_60869 MTFVFCAILPSRGVLLCAVVRLCAPLCTFVYLCAFLCLWAKACE IVYTACVLNIPQPQGWSPRLDPSPADVSLFEANAGFGESSHSRMAVMPQLGRYCLRES LKLASRGVPIL PHYBLDRAFT_141612 MVRDFGFPTTSPLHYGQSEKNRRESRISMISTRFTGCYARALYD FSPETEHEAGMKKGEVVWIQYKQCRGWLVADVRDGSGLIPESYVEFV PHYBLDRAFT_60870 MSLSGVVSFALLFKKIVRKGSIAKRFKSKKETHGQTQTQNSEED PPFDSFNLCREKKPNQGKSTHVLYGQQEKPILPQTSSDTLVPRLSLYTKNRLSELPSL SPADQNDISPFRCASDNNANVNVNVNANVNMVNTPTNLDKDSNEDPAGQVSQSLSPVA RDKIPELVINELDNSSNQVYNPLSDTIVAETPGKRAI PHYBLDRAFT_76491 MSQFQSVAIGQEFTQTRRVYLEKDGQVISPFHDIPLFANGEKTV LNMIVEIPRWSNAKMEIATNEKYNPIKQDVKKGNVRFVRNCFPFNGYIWNYGAFPQTW EDPTVTHPETHARGDNDPVDVCEIGSEIGYTGQVKQVKVLGVMALLDEGETDWKILAI DVRDPMASKLNDIDDVERHLPGLVKATREWFKIYKMPDGKPANEFAFGGECKNKAFAT QVIHETHEAWERLIQGVIPAKADTHTIEVTNVNVENSPYKSSKPFHKSELGQYTQINP NDSAVKGRDDAWYYVSARL PHYBLDRAFT_185614 MGGGGGIFDPRTIESAKHTIADFMKTAFSQPNISTTAVICSAVV GLFAYEQYVYLNKKKGLPGPQFKIPIIGAFTDSLYPTFEGYMSKWKSGELSCVSVFDR FVVIASTCDLSRKILNAPVYAEPAVVDSMKHILCADNWVFLSGKSHVDYRKGLNVLFT RKALGIYIPVQDKVYKKHFAEWLSLNGEKGQYQLRFRELNMESSLRVFLGDHMSDEVA SKISQEYFNITAALELVNFPIPLPGTKVYKAVQSRKYIVNQFVECIKDCRTRMANGGE TKSMMDAWVRSMLDTEAELAAAGKPAPRKFDNREIALTILTFLFASQDATSSAMTWAF QLTADHPDVLHKIRSEQMKLREENPDQELTLELMEKSVYLRQVIKEVLRIRPPVLMVP YQTVREWPITPNYTVPKGSMVIPTTYPALHDPVAYPNPDNFDPDRWGTEGTAEKYPKN FMVFGNGPHHCLGKEYAVMHLMATLAEACMVLDWTHYRTDKSDDISIFATIYPQDGCI MSFEPRKSPASIST PHYBLDRAFT_141615 MADMSLDAPNASQSSKHCQRTFKYGSTVPSSHVVLKASRQTLGQ DFFLDQTSSSSPITSTGLNEQLSRILKSSANI PHYBLDRAFT_164643 MTMIAFGIELKFCLYSCVCTCTHTHTFVYPIIIAGALIALIARK PPIPENRPTCHPMQFLFALENLYLTKLFMKLHYVLTQTMGIRSFSTHLLTVSVIIVNE IY PHYBLDRAFT_141616 MNSSSGTPLGSTAFGDREKAAEGQWARAQDAEKLKAIREKMQKD AEAAKSKDKQ PHYBLDRAFT_185615 MAYYQRDDHNGGYKNSATPPYSNNQDTYAMHNMPQTAYTGANQQ SYYDDKNTNYNSYYNAPTPASSEGYNYPGPTPKAHQNPADYQHIYEPQGYVDKPDFYN VDGPKKRSCCDLLCCGCCVCCPRWFRWIACIILLIIIGLGIAVGVLAAIFKTPSVDFK GIEGQPSFNLQGTTANISTVLSISVNNPNIESVTFEKIIAKASNQRQRQSQKERKKAY YPNYHNISLGGGQKNDVHISSNAITNILFPFNLIIDLSLPEQQSIMTDLLGKCGFLGG EKEQISIDYDVQPTIKIIGIPITITVSDTARFPCPLQESDFDTLVSSTLGSIFGSAAT GSGGLGDLIGNAAKAAGITGS PHYBLDRAFT_141618 MSFQNGMFKDASHQPTLICLTIASFARLLGIGDIPSMKILETDE SFAFMDIDPLSKGHCLVIPKYHAEFLHQVPDEYLVDVMPLTKKIAIAAGLKEYNVLQA VPHVHFHLIPKPNQTQGLSIHWKPNKMDKKDIETKYQQILENLKVPL PHYBLDRAFT_164647 MNGTLSRFSRWSSRLPPASLSSLAPIENRRPSGALAGIRLMTNL QQRPALLTTQPFCRPTLLTSRPGYSGSSTSIRAFSLWRIPIMLMTAGKTKRRIALATT LGGMTLVSAVAGPVVWLVVGGIASILAWRVWRQTSVWWKVLAPPAMTVAGVASKAGNG IFDLLKAHVGTHRAADQVQKEAISQIMKWADTPMGRRVLTEEFNADHIKDLSFLPVHS SSFSSQTIKENNKTKFDVQRVEIQFFAEDDATPGDRGGGCIVRAVATIDGNGKIRMED IRLSAPDWTSEERIPIESLPYGDAPHRRIIEGEFKDV PHYBLDRAFT_60878 MSLEIYLCIVVRNRQRELQEQVENHASTETLELYLLNEAQSIEL FRFSMPEIERITMALGLEPTCYISKIRVSNIFGFAMLMYRYSSPKRLFDMSLIFGMDP TSISAVLRGIEDMIYEKIRWGIQFNTHLFLGGFLDVCISLWWNILNEKELRM PHYBLDRAFT_60879 MRVGEHKPQTHFTVGGNYVLQMPDKIILEEASYHQIKDYLKDIL FETWSNMNMIQKLSQDFYFNKEETTKSTLKQQLSDFWDQNGTDSTNSKRIKYRAEKLR LSLTPVVDSRPVSILIKKNLSEQEKLHFKAGFSFNDKHVLDLSKARAIPSRFKDIIDS VLIDAGLQLHPRLTISEYDILIDNLVLKPKVAVLI PHYBLDRAFT_108938 MISEFQCPCHGTMRGYVGDQYKTSRVIFYPGAQYEGNWKSSHMC AQLADGIPLFDAIHPNAVAVFLFDQSSNHKAYPEDALLTQNMN PHYBLDRAFT_164651 MKVAELPFEILTQIADNLSKDDKRSCALTCKGWRYPFKRALWRD LRVPSIDTAKILIKYIKNSQKLSTSYGLWIHSLHICHYCNITEISDIQLSDLSRYLPN LKTLGLCILLYKDPRLQITRADKTWMSLKSLEIYYKINSRPQPAEYLLEFINACSMVQ NLEILDGVLNHCMEFSMDDFDNMHQHVQDLTSIKARIYLGPDFSTTLDRIPDIIPAFS MTSLDINSKKNTYNSNDSDERQTTISLFQSNPNAFRHLETLELTADYYFVSLDFIVWE LFGALGVPLKHLRLNVTQEDKIGHTHPINIEKNLQSFSETLESFSITGFLYKDHYHNP TLELSSYYPNLTNLFISSANLSLNFDNLLDKCVALKQLKVFGGELLIDPNTTIKEPIY QQQRQQQQQQHGLYILSLQKCSADAKLFNRLSFRCRSLRYTTLNTVLVMGSICRNTGC LLLDMAHTFLKTLIIGHLRYSALNQRIYKDNSINLTLLNRLNDAPPSDKKNKRERNKM DSKYPIIASRNIESIYTYAYYWQAKEYLITKRFSEKETDIVFKYYQNFLSGKNIQTIQ NNNLSDKSTLRKKKTIFGMVFKNIISIFQKRPSSYPLVSHNGGLSSHLVRELYRGYGE LRFGNVESLTVIH PHYBLDRAFT_90478 IDLWSEEMQAIWVPIAIYWVYSSIFELIMRAEIPFFEKYRIHTP EERNKRNKVSFKKVLLMVALQHIIQFAVAVYLFRPVDPQIKELERQTRLAATTRHLAV MLSWFGYDDRNNKLGLASTLATFLRSVALPAFQFLAAMTIMDFHQYVLHRLGHTNKFL YKHFHSHHHRLHVPFAFGALYNHPVEGFMLDTVGGALAYELTGMSPFLAMVFYTFSNL KTIGDHCGYSFPWDPLTVCFGNNVIYHDIHHQPYGIKKNFSQPFFTIWDRVFGTEFTE KDAQA PHYBLDRAFT_76495 MDTVIEYYFDDVSSFYAELDDLLGQPHKDEADAERIASKYLWFM VRFQQDFIQTTQELAMVAYKLLDSNLFLEYSTTILSHLLHAHALVLDASDQQEELYFS YSLLFYAGKEDVRWMKYIVSEARINKQNQLFRKMMSELENPMSTSKMIGVLLSLTFEM CKVTKLRKLDRDMITDSLLNYMFDIVESTRGDEEESFNYQVIRLILVFNEQFMMSLKN SSENLVLKVLSKRVGTTNTFSENLFFMLNRSNDGCVQLLILKLLYGVFTEPSLHEYFY TNDLFVLVDIILRKVCDLGEDREAEALRDAHLRVLRPLLVNTQLRDTPYKRAEIHRLL CSLITPALHRPVDPATKSLVKKILEEWWEQVCEQPVAPVLGVHVKNAVIEGGGGDSAN TAVLCSSPLPNPSPGVNVNPKENTNTTTTTTTTTTTTAAAAATTTTNKTTTRSSSYSS SSSSASASSSTSTSSLSLSLSLLCTSDSQRQMTNSPGGSEISSIDGKIGTEPSESDKG EDRALDSSGDLKTQEIVCAGA PHYBLDRAFT_60884 MPISKHQSEYSIASLGKILPNSTMRSTDTFQDPFNTTKTTGGRR RSVFLYPFSRNRKYDSFLSAKDYETATSQPNSNINQPTDDSLGRNDFTRLLARLKAST TLNTTRSRNSVQINSTSDLHKEQQSEIFGNYYFGAIDQPTDGSLPKSTTTTTTTSSSL LQRIFRRKKPEKWNENSLFSVKVPQVVTNQMDISDSLQSPHLTLLISKFPTIDSPNGS FVWPDPTTSHPIHPTYLSKPSHPSHP PHYBLDRAFT_164655 MSSLGQLLKNHQQKQADTKRQNDQLRKDCAKSMNELTDRLTDQV NQGVSDIFGRQKELEQESRKLGVQTSRYVKQTKQWVALVDDFNSSLKELGDVKHWAEL MEHDMRTVMATLEFVHQGAEGKDSIQK PHYBLDRAFT_76496 MNTNIGTNPAINHIADTDTCTDTCPDICPATANTKASNGLSSFI TVNSTSTSTSTSTTLSTSTSTSTSTVSSQKQLLQQQEQQQNSSSFNILQYPQSDVYKA RVHDRRDLTITTNSGTNTNSPNLSGDMFSMMDIGFTQDDLNHYYSLPEPTRMVYSTPN NAGNTISDHSDYSAPSSLSASPREVGGCDNPEPIQHHYHQQESNYLASPVGSTSLHTD GIQPMDFQPTITHNHQGASWNSSNTSAANVMATPPPTSSLVADLVGPFMATMSVDQTQ NNQISSSSSSTSSSSSSTGIINSFDFLDSFEQGTLLSELHTPPSCQNYIESPTSQEQE QEQEQEQEQHHHRHQQQQFDYPVFDTRSPFDKSNMIDKVQGTYFYPSSFSPPPDKINY VPPSFQSMPCIFNDLQSSYNLLEHSLDNISPPLPQSLLPTATSLNSLSRNFSSTRQSS ILSCDKYPQHLTKSVFSTSDSPTTTMTKKSKRITNDGTNSGNGNGNSNDEENYYYNYN YYSTSNRDCIQPKHQTQSLSHSLSSQSSAAYSIRPKHLYNQKQQQQQTTNSILGVSNR RTHSMSKSTVDKSKLQSILQAYLLSPDPLKAGEQKAVIMTCKVAQKSYGTEKRFLCPP PTVLLMGSRWWSDTKNKSCEQDNQDDDQDDDNEDEAEAGNDLLVSTTMRANRMKQPNQ KSLAPPQLSISISGEPCLYTGQVEWYSGSGILMGQTGSTAELTNANSTSNSTNNPQST KKSDENEPRHRRLRMAEPKHQDKRWYQHEQEAPLMGRCVSKQLFITDADEKRKRVECV FKINLGNGLPLGAMSSRPIKVISKPSKKKQSPRNAELCIHHGGLVSLFNRVRSQTVST KYLGVSLDNGPLNAFPSHSSQPKISKIPENTCFVARTSSWDAFVVWIVDTNSPPPPPQ QQQQQNSSNPDNYTAKDFIGPSMSPLSVPYPPPPAVALRNTTDQPLVVHYNQPIVLQC LRTGLVSPVMVIRKVDRASTVLGGARCPDGSEPGGGERGDEILGDPVSQLHRIALQII QGTPSPTAGADQQQSHSHSHSRHLHSLTTSNLTEDEFMPRTSRPAAYLACLNDNVGMR QTTQARKRTAFAPQFSSLSASLSSSSTSTSTSSSSNPNSLAYLSALVDSKVGTKRSAA CDFADIPDPSSLTIHSRANKNTFNNNTNSNINNNLNNNNKSNSDINNSPMNPGPRKRM LSLNSSGYPCSVDRSQPMPNSSSIPSSSSSSLTSSTSPSSTFAMTRSISAPSSAVYKS GQQDQLSQPQQQQQQQDPMAGMDSYWSEDVSDAAIWTIVGTDCADYTFWIPPKHMLEE AGIKTEPTAPLVTNNLSELENSSLSAPSVVQPPQKDPAQAQFFPSLLYYTTSETSPCH LSNNANTSTLTSSSLSLSSNGRQSLLGEEKSHKQQQQQQQKRPLTMSLCGQHFTRDLQ VWFGDIPAPFVEYRGRDHIVCRIPSQEDLVHCVCLEKDEKEYKVPIMLVRGDGVIHKT NAFYRF PHYBLDRAFT_141629 MDSKLTSRLDLLADNTLSIIFERNKLKDLKLNYEKYEATINKNL AKLKEGIKTLEQQLSAEEETGATNTNDDEDKLVQIQVKLNKLEVLLGGDEASARDILL GNKRGKTVRFSSPHMEVDPSDLENGQILQLQQRVIQDQDDDLDQLSLVIGRHRELGLL IGDELESHAQLIDETEIMVDRTDARLRKAKKKLDYVGRKVKDNSK PHYBLDRAFT_164658 MSTTPCHRIPTLSCHSLGNTNNSNSNSNSGRSSIISRSSQEGSH RAALLGCERDDGSVISLPMASPKSSTSFPSSFPSPSPSPSPSPSMSLYSSSPLSSSSL CAKLSTMSASASASASISTTSHPSPRRFYSSHLGRPPGSNTNNGSSRQTNSPIKKPLI VQQTHTSGSNKTTPMKELEKMMADIKKENFDLKLRLYHLEEILVKDVDQQLIEENHRL TLDLEDKTDQVDALEHALSPFLSVQARSIGTQTNPVTLRTTCDDTHDDDTNSTNSNSN INDTENKVNLSLQKPEVYGSSSESYHAVLDAMHDLHITQQKSNRLDQTSDPSPPLPPI QKETSKEKRDKTTNAPAMEGNDGRIRGWLNQLVESKMKQHKIIHKEDTF PHYBLDRAFT_141631 MTPPTFSITKPKRQKLDAFIRDPNYRSIGKNDAAYGEISKALDT SRNGTKGYKEKNNLPSSRSAARDPGLIEKQKEIKLKWCLDKVKLDCWAAEIGCLVRRV QIHGKIDQDKYIDCLAQYFLPWYEELSRDNNKQILFQEGWCTMPHGRLCHMSTSNECG RALSNNPQDMVGDTTLSSGNFGSQHAR PHYBLDRAFT_141632 MESFRCSVVLLQPSLSDLPPNTLFLLQSVFRTVQTYSDVGEAIE WIQCQSIPTLLLVDLDTIASPVAWINHTRHELKELVNRVPIIVYSSTESSEFMIDCIN AGAADYLLKPLRPEVIKTLFLRLYSFHPGKSRDEITPPIPVCDRIKQMFAKDEELIKA IVERHAPRVTQSNYHKLSNERTVFLKQLISSWDFCPLDLEQNDLIYCVVLIFEQVFLL PELSQAPVTQAQLYHFILDLSRAYHDQNPYHNFAHAVDVLQCTYYMLCTLGVLSFADT CSQPNDRKSSNSSNTWPQSLLRTKDVYALLIAAIGHDAAHPGVNNLFMINSDTPLALL YNDCSVLESFHAMTLFQVIKRHGFDKFAGGVGSSEYQEFRKRVVTSILATDMAHHGDY VIKIKEQATRLRTTLFHEMCEAECEKERLLLCSAIIKCADISNVARPYTQAAKWAELL VEEFACQGDLERELGMPVLPLNDRDKIVLEDSQIGFIRYVTLDLFSSVADVMQEISFA VDHMQRNLKRWEIRKNALHDSGVSSLGDIYESEAKGVLQEMEYTRAPNSGQLLRLSME SQTNDGLFKLPSMPAMAMSDYGNHGMANTKPYHINQSQEDPMLDNWTNTTGSRGGPAL CQCTIQ PHYBLDRAFT_179983 MTSKATQEYRASFITLISQLTVIEKKGQEFFDRIENSVWTPYVP TSAQTDFEQLMISLQNLETHARTSGLLSIAGSSTDAADGQSSPQPKNLAVRDADTLQA VDTFFQEKNRLLMNIRAAVNAAHRPS PHYBLDRAFT_185619 MATYYSPSQIPSTVTLDDSGIGATTTSTEDELLSSSPSRSRTLR RKPNMDVATPLARNVSRTRPNFSAVQSSDASRFMTLDSLPFRSLMPSKSRDLLASKQD YISGGSLRQLTVETAVFPDPPIFHTNEARRVTSQGDVKSIKSGNESIRSQKKKRRPIA SSYITPTEIFAQNLSDAVLDVDDSDEHEGYVYRDKTSQASFYPPPWSNVDSDHPQSFH GPSSFYSTLPPHNRYSTPSTAAGSVVGAATDHMNPGGGGGGGGGTEGSDYYAREKPSY SSFYNYNYNSDQQQPQQQHNQQYQKQSRHSPRRPVLRSAVSELPTTGGHPYTLSSLQK KPRYQRQDYWYPSDDENRPLVNRAYGKKRRKPSGKNKGMILLWIFLGCMAGTGAIWLF LIFVASPLTEVEVVGISNVLGTQKELIFNLQVRARNYNWWTVQISHASFSVFASSHFV PTIASMNQTNDSPLESSESSLVGIDPQGADPAEFLGTIYQLEDPLVFEPGKIFHSTQS TAISQIQIKNPGATHGDNRGNERWSLLIRYPYELTVRGVLKYSLMSLPGVTQLHSARV CNVSSIDPATGKISDVPLPERTICDDPSPVEVLYPFSSQTYSPLSNLP PHYBLDRAFT_176989 MAQSVKRKRPRQSTINSNDSKVIKLEDIGKFLTNVLMDPSYIWY IATILLLGELVLNIVIIQKVAYTEIDWTAYMQEVKGFIEGERDYLNLRGDTGPLVYPA GFVYIYSGLYYLTNKGTNVRLAQYIFAGLYLATQAVVFALYSKSKKFPPYALALLCLS KRLHSIYALRCFNDPVAMFFMYSCILAMIHKRWVFSGILYSLAISVKMNVLLFFPAFG ILLWQAIGAWRTFAVLSLMGSIQIVLGYPFLSTYPESYLGRAFEFSRSFDYQWTVNWR MVTEKTFGSGVFAKSLLAGHAIVLFLFLYFVWCKRGGGLVKVFLDGFKGNSVRRSVSP DDILSMMFTSNLIGMVFARSLHYQFYSWYYHALPYLLWQSVWMTTGSQTFRNYIRIFV LATIEACWMTFPSTERSSWTLFACHAILLTGLFKRDQEETEQSKNE PHYBLDRAFT_179985 MHTYLTQGQNLTLASSIITFCILLTYLIYAIHPSTNLIQPPLDT DIKYNSSLTTQLPRPTTKPIQEEPTVPLACQNVDYQWLASDRYYWDGWVSKTMFMSPD GSYTEANVKISSGESICIVVLLGPIPASSMISPRKRFGPSDSIVMKAVGKQSKIEINL HQSPERSNVYFASITLFHPDTFYLQSVTEYRSYFWETPIYHAYRPFSFRSINRLVVMP AESKKTILLPCDASRSEHLQGSWRTNTRLNHIHNPEAGVASVNEEYTFVPDLCYRPFG RRPTDECPRFQAIHVWGDDHIKRNLKALEDPLWCEGGPKVNLDCVCNNEDNNANGSHW ENNPNVPLVLNSSTVGITSFYYNKLGSITLKDWREEITLQASLLPQANVVILGLGNID IGLSRMLPSQFNASFYDVLTHMVKQVYPHQTIIVKAPQYFGNGVLQNSAWNAGRSVAF SIVVEQAVHSMGDRVLLWNVRQLGMQDMTCSFAGTAYTKSNLVPLENELLSSLVCMHK PHYBLDRAFT_60895 MAIDLYFILNNFFTCDIWSEKDIQMKLVSLISCTDQGIFNCTRE NKSKDVLLRALDFAIQNCWLLTQREDRELKRGLIGHGVHFKACLPVSRRVLCELSRKY VFLVIEDQEFNLLFDISKPNLIQVNGYPIRALMTQS PHYBLDRAFT_185622 MIHFVLIVNRRCQTRFSRYYNDNEITKQKSGFELEIARKTVIRK PGQCLFFKHGKYTIVYRIYASLYFVVGCDEQENEFGILESIQAWVEAMDCYFEKVTEL DLVFNLEKVHMIMDEIVLKGSLAETNQERVLAPIYALTDA PHYBLDRAFT_179987 MFGRQKDLSEVDQAKETYSEYDSEKIEAGRHQESSYNPREEEHD LQEGIRRGLSARHIQMISLGGAIGTGLFLNSGGNIATAGPAGALIAYCVIGLMVYCIM TCLGEMATYMPVSGSFNHYGTRFVDPSFGFALGWNYWFSSVTIATELAAAATIIEYWK TVMPSAVWSTIFMLLIMSLNLIGVKFYGEMEYWFAMIKILIVIVFIIIGICVSTGGLG DQVIGFKYYHEPGAFVGGAVGTISVLLSAGFSFQGTEVVGITAGEAKNPTKTVPRAIR NTFWRIIIFYICTIFLLGICIPNDYPDLTGGDGSSATASFTIVFKLAGIEAGADVINA VILTSVLSAANSSVYTASRTLLGLSRDNNAPAFLSRVNRFGSPYLAVIFSCVIGFACV FVSIYSASEAFVWFVSITAVSGFISWAGIGFVHVRFRRAYVRQGRDIKELPYLALAYP YTGIFSCALCILIVLGQGYGAFTPEFDAVTFVTNYIGLVPFFLCYVIHKIVRRTKVVP LDEVDFETNRVSRFEVEQDEAEEKRRTIWQRIMDIFI PHYBLDRAFT_185623 MPILEITSNRAPRDVESFVKTLSARFAEAIGKPESYCLVTFTRV DSLYFAGNGAAGFLAKVGSIGHIDNERNASLTALITDVLKKELGTGHDRGYFLFSDFT AANIGFKDTTFAELSGNRVKFSRVHFVVFALLVVLLGSVPTTNADVQTVFDSQDPNTH GLLPQQVEELDTEKYTFQTEISRLMSLLINSLYKSREIFLRELISNASDALDKIRFLS LTDPSALGETQRLRVSIAADPETKTLIITDTGVGMTREQLKQNLGTIAKSGTAEFLQK LESSKNDEEVTQIGQFGVGFYSVFLVADKVTVASKSNDDPDQHVWMSEAVDDFVIAKD PRGNTLGRGTQITIHLKDDALEFLDQNTLKKLVTKYSEFINFPIYVWSSHEETVKKDL SNEDEDNDEDIEEEKIETPGEEKEEDEIKTVYKWDRVNTQKPLWMKPAKEVTDEEYIE FYRAMAKEPTTTPLSWIHSKGEGDVEFRSIIYIPHKADPNFFQNLAEKVHSIKLFVKR VFITDEFELLPKWLSFLKGVVDADDLPLNVSRETLQKHRSLRVISKHLVKKALDMFSL LAKEDEEKYGVFIKEFGSALKYGAIQSDSHRKKISTLLRYPSSYDPVSSSAGVSLDSY IERMKNNQKSIFYLSGQTVAEIEQSPFLERLLARGYEVLYMTDPIDEMLLQRMPGYNG KVFVNVAKGALNFGDEKETAEEEEKENQRYEPLRKYLKGILADQVDKVVLSKRLTTSC MAIVASDVGLTGHMEKLMAAQNVNGKEQDAMLQFMLSQKKTLEINPAHPIVKTLLDRV ENDDIDQDTEEMVTVLYETTAIRSGYPLKDLNSFTKRIETIIRKGVGASLTEEPEVDV EVAAEKSEEEKIKDQEFAKSADVEAEEITHDEL PHYBLDRAFT_154617 MTEGLQSLLQTTYRLLCFSTSTFGSLWNWGPVVQLLSYPDTYSR YFAVLCLSKVYGLGDIQTKKLLGSLVGGEEHLDSADEPLVTTIDNTRVDMRMIRLWEQ QQLAISQFALVKNDYATNSGHALTDSDLCSLTCNLCGVLLPKTLHTTNRDNKISSNLV LTETTSRNLHAISLALSIGAPTLLEGVTGAGKTALVEELASRTGRNDQLVKIHLGDQT DPKVLLGTYVSTSTPGSFRWQEGVLTTAVQEGRWVLIEDIDLAPAEVLSVLLPLLETR HLFIPSRGEKIKAKEGFQLFGTRSFVPSRSGRVSSRGGDLVTGANLWTRVHVDPLSME ELEAVVRQKFPVIGNFSVHVLTLFKTVVGIYQDPHFAALASSTMGRFISTRDLMKWCC RIETLMGNRLHNTTIADAGLDEQVRQDLFSEAIDCFCGMIPDYNTWILVLEKVGVPLQ ISEPMVRHYVDQYKPAFEVTESTVRVGRVNLSSIAASGKQKQKQGMVKRERQRPFAVT GHALRLLERLAVCVHLTEPVLLVGETGTGKTTVVQHLADMMHQNLIVVNLSQQSDSSD LLGGFKPVDGKVLAIPLREEFERLFEKTFSVKKNAKFMEMVRKTFVHQKWTNFVTLLQ QAVKMSQQKFEKEQDENAKRTSPELRSAWKTFENHLVEFQVQQVQSANKFVFHFLEGS LVKAVRQGDWILLDEINLATTETLECLSGLLQDAHGSLLLTEKGDVEPIRRHPNFRLF ACMNPATDVGKRDLPPGLRNRFTEFYVHPPDSRYEDLLQIVRRYLVGISTGNESACDD VAEFYMEAKKLSSEHKLTDGANQRPHFSMRTLARALTYVVQIFPTYGLRRSLYEGFSM TFLTQLSKESEVLMRDLIHKTILRSVKNPAHLISQIPRQPDGQFIQFGYFWLEQGQFE PQTDDQYIMTPSVETKLHNLARVIMSRKFPVLIQGPTSAGKTSMIEFMAKKTGHRFVR INNHEHTDLQEYLGTYVSNSDGQLVFQEGVLVEALRNGYWIVLDELNLAPSDVLEALN RLLDDNRELLIPETQEVVKPHPHFMLFATQNPAGLYGGRKALSRAFRNRFLELHFDDI PEEELETILSRRCRIAPSYCKKLVQVYKALMERRQSTRIFEQKHGFITLRDLFRWAGR DPTGYQELAEHGYMLLAERCRKDEEKRVVKQVLESVMRVKIEEEAMYGFETLEEFAIF DKILKEKGGKGDEGKLVWTKAMRRLFSLVARCLRFKEPVLLVGETGCGKTTVCQMLAE TFNRELHIVNCHQNTETGDLLGGQRPVRHHTESDTQQKPLFEWHDGPLVQSMKSGHLF LLDEISLADDSVLERLNSVLEPSRLLVLAEKGGKHVEELYGSEGFQFLATMNPGGDYG KKELSPALRNRFTEIWVPAVSDRDDLVSIIEEQMTRPGLEGYSHRMLDFVDWFALALG QSRVVVSLRDILSWVKFINTAVDQGIAPDLSYIHGGCLVLLDGLGSHGSSGSFLSGAP LKEFRLKCLRQLSNEPEASEKEILGEAKSSVKMSDEQFAIGAFHIPRGQLAKADIKFT LLAPTTADNAMRVVRAMQVNKPILLEGSPGVGKTSLVSALAAASGHRLVRINLSEQTD LMDLFGSDLPVEGGSSGEFAWRDAPFLQAMKDGDWVLLDELNLASQSVLEGLNSCLDH RGAVYIPELDREFFCAKEFRVFGAQNPLQQGGGRKGLPKSFINRFTQVYVEHLSADDL LFICAHLFPQFKLEIMKLMIKFNARMYEETMVRCSFGRKGSPWEFNLRDVFRWLELMQ KDNVHNPAEFLDIIYMQRMRTHEDRVKIAKLFEEVFGVPYNRPQYPEYHVTPHQLVVG HSRLLRKNGDHESDMLEREDHLLQSFLPSLESLIKCVESNWMAILTGPSASGKTSLVR LLSKMTGNRLEEFAMNNSVDTMELLGGFEQVDLNRHRQVVMDTLRQLTSRASKALLMY LAQQENHPKQCAIILEQIRQLNDAWFALESRQQFKRLSDQPDSHGLDYTLISRVLACL DGTAGLDEEAHTMMTTAVMSIKNLQRLEKESVAGKFEWIDGLLIHALEQGHWLLIDNA NLCNPSVLDRLNPLFENDGVLMVNERGLVDGTVKIIKPHPNFRMFMTVDPQNGELSRA MRNRGIEISLDVTKVANALGLRGPNLPLILYDLQEEVAAKRKHFGKRKNVREYLLFTT YAVERLQRGQSVVSAIHEAFRQVFVDVTELPESLVKLLADGTPEAVLENMVSPTNSPY FIGGTMFQEDSVLATVSIQGAYLLSLLQQPPSEDEAADMRRIEVAIDYFVESLTPEDY GLRLRWLAFMVQHGSVIRPAVLERLGYLIKTSYSHPVFGEYRKLQLVYEKEREVSLDT QPSLVCIKTTKLVKIIILT PHYBLDRAFT_60901 MAACHFVCSFDPQTTLWIMLRRRRNPAIEPHQNKQISNEDLTKN TNIPSLSIHTYPFQLTPSMSSSSEERTIIKEPKKEKDIHIKKPLKKWSTVIPHLGRRD DTKKHKRTLSQSSTLSVTTQEHKSKESIHSYEEIGLETPRASPTSPEPKEIENPCLNC QFKSECDKHIGPINKSTCKQTNFRKRWPHMAIKRHMSQNPTDEQLAAYSKYDPPEPPP QLKVYSMYWANDSEVPPSPASIQDTERTKLINERFQLETRLDGSRRISTGSSAVAVNS ISNPSPLPRRCSCPAEYFIDHQKEDTGKTLSLSDIKERSKPNYIMVPVPQSERGTDCR IMYKIPVPDSPKSQSRASSFSIISNSSSSSHRSSTGSSYNIAQIEEKKTPAKGIPDLI DPETKKTILRFQSMQPRAAHLKRKTSVKRETKALYVWRKSVEALLQKKPEAPKNTQEW SPEKKEKHALTRKFILQEFYTTEVTFWNQLYFAKVMFYDPICDHFDRGLPFIKPKSIE PFANIFDLMQLSANIIERFRYKQADQTASSYSKLNSAGSLEYYRCQVNMCIGKHLVEL SEGMVAFLRHALDYKANRKLLESCQTNKIYQQYRKKLHTRKETRNFTMSDYLIIPIQR VARYGLLLADLAKHTDSNNPDYEYIILAHKIVTGLATAMNYAQK PHYBLDRAFT_60902 MKRQIQKSISTSDVKVNLLFLFCFVLYAKHTPIDLSNQPNRNYV ASFFQVIYYLPYYRSSIVLWLSYDVSVRTVFTTLELKEKNPIMEMAAIVLGVNLVSIG EFVI PHYBLDRAFT_185624 MGAQASKEVGRRLPKNARAETLTSVPRESPSTLASARDPLATTD LKEDFFEEEVRDPLLHKNLTKLGPVKIEPTLTKMRPSDAMLGILHQRQLIEEAEERVA DPSQLPDRIHIDDLYSIMEARKRSAPGEFDKPETLKALSAKYNLGEGTLKTLLNHYNN IAVMPPALDDKNGRRLAVWVNNKQEWKDAVEKSELRNEIYSKAKLAAMKDNAPSPELM QALKKQQPKQQTRVETPEEIREKKLRELFDD PHYBLDRAFT_141642 MQNSNKNSKLLITTQSYQHASDTKESLKIQNNQTKEIETTKPST TKINTKKSHKKPCSASTRRATNETNSSKSPVTSQGKQIMLYKTEVCRNWSEIGYCRYG KKCQYAHGNKEIRDIPRHNRYKTQLCRAYHEEGACPYGTRCTYIHDIDPSSLSYELAA LIPFKYTALPINSSTRHESRFFRREEKISENSHPAVAFSKQQEEEQFHSGSEPAHTIW NSKLGLEIEDTISLSRRDSLSSSSESIHIAEDLPKSHTTEPEQGIPLETCVSPY PHYBLDRAFT_60905 MSTRNGIGYGGGRHDLSPQLKLSWTERKTIKENTGNEKSKKMTA KCFREQVLRQSCRKYNESIAKTLSIHETAKERLLSLSVIWPVDKKKPPFPQILVSTTV TDHSVFKAFGRTEKSNNPSTMQHHHYFFVCLILMTFIHWECEAFDFKSMLFGQQEVPL GAPPQFGFPETPASRKSDLVNCNGYLCESTLKCVREPSNCPCKLKTDIKCRIGDWYTC IRGDQTCSMIEYLD PHYBLDRAFT_131334 MCACFSCCIYQIFDLGTIFSVFDSALLAVFVVRYIVIIFIAILS VIHFLSRSLPQSKTQPLLSSLDRNPNYGYGSTSSSHLEGHPIEPDKISSVSNFFSKLK KVLPFIWPQNNLKLQLTVLLCFALMVIGLVINVFTPLQIGKLVDGMKDNPGKFAWATV LSFIALKFLQGGSGLIQVIQNWLWIPIAQHTTRNISVKMFSHLHSLSIQFHIDRKTGE VLRVMDRGTSSIVQLLNQIVFQVFPALANILIAVFVFYSRFSPPFGLIVLATMVLYLY ATIKLTEWRNNFRRQMNMIDNDARAKAVDSLLNFETVKYYGNEEYEIERYKNAIVEYQ KADWKCSISLNILNLTQNTIITTGLLAGSLLFAWEVSRGQLTAGDFVAFNIYMMQLYT PLHFFGMYYRMIQQSFIDMEKMLELFEEKNTIQDVPDAKELVIKQGDVIFDNVSFSYN SSQANLKNISFSIPKGTTAALVGPSGGGKSTLLRLLFRFYDPTSGRILIDGQDISKVK QNSLRDKIGVVPQDTVLFNDTILYNIRYGDVEATEEQVINAAKAAQIHDRIMSFPDGY NTKVGERGLRLSGGEKQRVAIARTILKNTSVILLDEATSALDNTTERQIQIALDKMTE DRTTLVIAHRLSTIVNANLILVIKDGQIVESGSHEELIKQTASKINKGVYFEMWQKQQ NEEKESLTKVEANEANN PHYBLDRAFT_76505 MEESEVLIIGAGPVGLYTALLLSRMGISVRIIDKADNLNTTNPA ILWSPRSVQLFQSLGIANQIINQGVKHWRFYAFKNKGHGSTAVSFEPYNYRVWDNSST EYDWCLSCECSQICAILQNTLLDQGIRVEYGHELVDFEDENSDNTTTSASPLNSSFID PSRQSFGFANESRQSFGSSREEEVVKVMSTIRDVQTGKVHDWRSRIIIGADGTKGFVR QKLGLAQRGKDLHMKFYTLEASVTTNYPGTRTMSTVNKGEYMIMVVGHRDRMYFIVEH LESWSHLPIDENALLTSAQQHIRSIMEPYQIDFGHVYSYSSWRAGDKASEEVSIDQRY FLLGGAAQQVSPPCLFSANPGLEHATNLSWKLFLHLRKRASPELLETFNSEFKSKHND TVEASYASMSLIASRIMPGLISGLNSTRRQEASYQMQRNENQLMGESSYEHNLINHGS ESTLSLGAASIDTMDIMDIMLAMATSKMGSNEPKTGAVGSLAPDAKLKPYTLLQLFSQ TQTQTSEATKMMESTKNSATGLIASASTSAGTGVADVSVAAGAQPRRSRSQSNASTAT TWSFNRVGPMLKKAMGTHSLVKCSSRPSDENDVLTACPMTTSSGVERWKSIKTNNYQL RERIVTKGIPVTFTVLVFCGSLADQENVSMLRSLKRRIDDPNSFFNCYENSPHVYQTL VEENTTVQPVSPQSPPSVSDVESGHSNENSRSSFSSISSQRSSTSSRSARSLSKITSI VTNSLPPATIPPTSPTSSLLSPVSSLQIGPWSTSFEQPRTSLTSTINNDSSADKPHQL FNFIYITTSTKNQVSKFLTETPPSVLHNTFPCGLSNVYLDHDQQCHTAYSIPKPTIVV VRPDGYIGTRVKINEHNDINKLNNYFDAFLRPPADMTSAAAVVAEDYY PHYBLDRAFT_157840 MACISTETENVTVESVVTENDTHLNKPQDDAPRLIKDYKTECQT DYFSVKSKTDKLNAQASMSSGKKGTPFIQLHQRYSRSYQENCTSLSPKTGRTLMAKVK SFEKSNNISKCVDEQKEKPSPPVRRFSENVIQSQYSLL PHYBLDRAFT_179993 MTTPNREKLYTQSKGYGFSPALERTRKPFQVRNVLTLVGLLTFT GSVYAYSMMAVKQDDFSDVPLPSTLPGVKDVTNEHKNTEK PHYBLDRAFT_141649 MLARFASRAASLAMGGLTTPFQRPIGNVIATRLASTSSTVNTAS FGFLPLGTSVPKMSLLSEQLSRLRPFSPPPAPVVETFELTSVLRKRRLKMNKHKHKKL LKRTRALRKRLGK PHYBLDRAFT_36600 MSLVVPERNQFQHIIRLLNTNVDGKNKIVTALTSVRGVGRRFAN IACKKADVDLNKRAGELSNEELERIVTILQNPAQYKIPNWFLNRQKDIVDGKNSQVIS NVLDTKLRDDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKK PHYBLDRAFT_141652 MLGCTCVRNMFAGLSTLLRAAGSCVEWDDPTVQNLCRGLAGYYV VILSIILLADGYLFLVFLGIGFIWGTISKNNSNPPTSHITDASEYHVEHLAVDEPLSE AAFVNEYKTLELFYPPLTNACTQLIEYFLEEFVVSWWKPLNEHGSPDFLDSIRARLNG SVSRLEKMLIKQKRNDLVMATVFGVANTLIIHMRECKAYEVADVPLDIYVEEHPQSPF AQLLSRAEQQNQMRALSSTILRRMLPKTDTDSGVVMRLLRELLATHLFGNILHACSDP DFINSWIVHYLAKDQPMVATEDNTDTEGDIFRDVVEKATEHVIASQAADIEPSISSNI SINTNVEKMIPRQLVYAHGTINFSVMDNSPDQGDRIDKETLSFIIQIERPAMEETSVS EGGGYVITRTYPDFEVFHAILLANNPKRVARLGLRLPLDRARSWLKRGNQASAAGPVD SQSIGDSLERYLQLVVADDELGCEPAIVGFLAKERGDSESSFADSYGGSSNNSGEDSV VNSPTISVSSPSQLSVSRAISRFTSTRSKRKMGQESPTQGPLIPSATVEEEVVAPVVS IVPTGIEKKAEGETLSPDDVELLIETTFALVVEMFDLTTTNNKAWMRRSLLNLIREIV RRLYTELVAEQYNDYIQTLLSPDALTQQVTDLVQRFWPDGRWSPGGASRTDGEIEATR RQARTLLIQDAIPNALRQLIGDQNCSLSMERVWQRLQDPALNRVVILQLLERTLKPIF DARKSPTTRAKLLQSMVTQLELTVTYKQSARSPSSHLYFPLLERDSKSTDNTQEAPSL YVMDHFLFLIMDYHNAKLEQYARKSPSSEDQRTRTYRFCLTIDDSTTNEKESSDHRFF NRLQHYN PHYBLDRAFT_141653 MLSHTKNKFSSYAKDYKELLSLDFDAILFDILLFIDLFTRIMSL SFFYLHIPRVRQHQLEPDRLIPYQQKGYTLSRLSCQAVRKASQNETPENWQDISVHIS TLEKRLRHGSLPKEARCEICYNVTPNQPVLVTQQRILGDQLTYRKYLDVVPVEEAGQK RKILELQTEAQVVQFVNNVYCIEDQGNREKKRIAQIAEESFMLWKTFHVDLNMRIFAQ LSTNFKKLQNEEIEIIGYARKSPSPEDQETRVRLLNSTINNLRFRSLETHVYVSTCSR SSTPFQERDRKSHKIYDELDNIDGNTQGKY PHYBLDRAFT_60915 MALVFVSVIYRIFFKATLIILVSLCFCASVQSTNAFWLWALSGN NSGVRLAPGPLILILNEEHHLAIFFLRIRVAGSSFRTGARLYSMTDYVGLGDCDRSDG PHYBLDRAFT_108310 MFCFVLFQFYRVALRIRPLTQKEHLSNCTECIVVSPDQPEIRIG NDHMFTYDYVFDNHSEQANVYLKSVQPLVEKYVDGFNATILAYGQTGSGKTFSMGTAL DEHTTPQNLGIVPRFIDDLFSRLADKQQQSPDFSSTVLVSFLELYNEDFVDLLNAQTF HQSRKRTPSAVSEVSIREDVHGNLYWSGVREEVCTNPDQLLEHLTKGSLCRTTGSTDM NSVSSRSHAIFSVILKQQIPEEEPTGASGQRSTRTIVSKFHFVDLAGSERRTNAQGDR AREGIAINAGLLALGNVISALGDESRKSTHIPYRDSKLTRLLQDSLGGNSQTLMMACV SPSDSNFMETLSTLKYANRARNIKNRVSQNQEFAGASVEVSKLRAQIAKLKQ PHYBLDRAFT_60917 MPAKKKTARSPPKTFRCETIEGCDMVFTRSEHLARHIRKHTGDK PYSCPFPTCGRNFSRYDNMMQHQQTHFRTKKPAAPRKPTAAARKRAAAAAKKAQAALE AQQKKEADAAAAADADADADATCSCTDEASPPPPSALPAGPDPYYMGPNEHCHPLYHP MSGPNRILPPIHHILGYHEYIYPSRQIPVFHPSGDPYYLHGGLPSLASLFPRPITHQR PYWVESSAPPHEHLLGYDRRYSQPELYSRRMSWQYTPDRRSSAYSFQSSALGQLPLGR RLSSNDLSKPIVSLSVALMGDACLSEEEEEPATNGEVVVSTDEYQALEGMSRLSVSQP VHS PHYBLDRAFT_164685 MVAMASKTKLVTILQQCFECRKTYSTPQSLREHMQKHNIQLPQR ITGIRRYNNDEYTYVKATKSHDDIEKHFGCPACIAHCMEIDELKTHYYANHLETLPEQ SQTTSQEEPATGQQQSYNSQDQPNKRRLSNILGTELLDPLCLSFPPLDHDDHLLVQNF DATMAFHKLQLSLCQHKWKLSLENHIHCAMAATHILLLSRNQYPEDLSPYFSNHDLKA TINGIETKYGIRKLPMSMATTTSMIGIAQNLTMGVISRDKAILPPVPIAEDINEFELS TRYVDPFLSGLFDDPDEGIYLRWTNEITLEARQNEDLSTKRPDICISRLHGMTWASNH GYGEVKSAAQGGNNYSICRDLLRVGIFCKNALDTHNMEGVLGLQVIGRMVTFYVLVLP STGLYVMYELEKIKIPSCLDDLTKLIVDMPRVCRVLDTFNRICKPSVHQTMPSRHRPT ITTSAFNGVFSPSQDRKRSCHLKYQHN PHYBLDRAFT_131340 MVNYKVADISLAAFGRKEIELAENEMPGLMSIREKYGPSQPLKG ARIAGCLHMTIQTAVLIETLTFLGAEVTWSSCNIFSTQDHAAAAIAATGVPVYAWKGE TDEEFIWCIEKTLFFPDGQPLNMILDDGGDLTNIVHEKYPQLLAGIKGLSEETTTGVH NLYKMLKNGTLKLPSINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMIAGKVSVVAG YGDVGKGCAAALRAFGSRVIVTEIDPINALQAAMEGYEVTTMEDAVAEAQIFVTTTGC RDIITGEHFSAMKDDAIVCNIGHFDIEIDVAWLKANAVSVSNIKPQVDRFTMKSGRHV ILLAEGRLVNLGCATGHPSFVMSNSFSNQTLAQIALWTEPSKWSIGVHVLPKQLDEEV ARAHLGKLGVKLAKLSANQSNYLSIPVEGPYKPEHYRY PHYBLDRAFT_141659 MHSKNSNRRRLFSHKINYASDPNCVLCDNSEDYKHLFRKCALKK NQPDIKAHPGFWIILLLFILTNFFSPPYRRLRIYNTNKHISAMVATKSVIFTRNGRPS RTGGIDNLYKRDHKAPEPHCSPCTLSTYFSGSSTKMATFPFLILPSLYLGLGTALKTR TTLVSSTRKPRDKDMEGVEEHFDENCEYDLINSIGEISHPSIFMIKIASMNCRALLIR DLRLCAIDFLLALQETHANTDHSQQMFHIHNSTQTLSCGLYTVVLFLFFRALQNNFFI SQPYTFYLEILTILIVLPLPTLNKFLSNGQTLLNYFMDSIPPNHVFEFPRLAICLNVL SDNCLVAATFMLTATHETVKGPWRTNPQLARNPAFCNKLEYYIHTIVFLSSPCGIQAL VTLEASLQTSYNNSSWRECKPLPTMRTNTGRRIERFQQTTLSVQLPNDSLERILTIFA ILLQTTCTLSLIELPRRSLDYVKLHVPHWQSYFPLTTYEECLGIQEKAPKYYIDSLMI LFSLPSMFLLPKKYCISSLKIWRPITQINADTKIFASLLNGRVARGARSILVMNHVNS TGHSGIGLLLYQEKAYDQHHLATYASASKARIKLHKSRILSVGLPSQSLNEHNLQLSF PLSLKAASSDLVMLSRVWLPQRILTAQFADLMIRSGSEVYLSALLSSLMHLTISG PHYBLDRAFT_179999 MNVAMKTDDHRTVTTAGTLPQSGAIRHGSWTGRHCCEARPTLAH YQSIEGQIISKVDDLNYSRTEQSSSWLVVKQKTISNDDIRGNKKEFDQIRNLSGVSMK PHYBLDRAFT_164689 MTSLVKMEPINQPLELVIFKRIYLIHSQTLRQILFKRDNEFYDW LVRNQTMVSYVREKLVFLKTKCVERLYASPYITMVVNWTGRFSFIFKINDVGSKIIGR VSCTIKYNITPGMKRENGILILGVVFLAGDLLVMDDD PHYBLDRAFT_36604 MPKIVVKNPVVDLDGDEMTRIIWQIIKDKLILPYLDIDIKYYDL SVENRDATNDQVTIDSAEAIKKYNVGIKCATITPDEARVEEFGLKKMWKSPNGTIRNI LNGTVFREPIVLKNLPRLVPGWTEPIIVGRHAFGDQYRATDFVAKGPGKLEFIYTPAD GSAVQKFDVYNFENGGGVGMAMYNTDESIEGFAHACFRVAIDRKMPLYLSTKNTILKA YDGRFKDIFEEVFQKSYKPQFDALKIWYEHRLIDDMVAQVLKSNGNFVWATKNYDGDV QSDIIAQGYGSLGLMTSVLYTPDGKTVEAEAAHGTVTRHYREHQKGNTTSTNPIASIY AWTRGLAHRAKLDNNQELAQFTQDVERACLETIENDKHMTKDLALIIYGKSMTRENYS STEEFLQQIADKLAAIRTRASL PHYBLDRAFT_108178 KEHLNVVFMGHVDAGKSTMGGNILFLTGMVDKRTMEKYEKEAKE AGRESWYLSWALDTNVEERAKGKTVECGRAYFETDKRRYTILDAPGHKNFVPSMISGA SQADVGVLVISARKGEFETGFERGGQTREHTMLAKTSGVNKMIIAINKMDDPTVQWDK ARYDEILSKLTPFLKQTGFNPKTDIAFMPVSGFTGANIKDRSSECTWYSGPSLLEYLD DMKTLDRKLNAPLMVPIAEKYKDMGTIIVGKIESGSVRKGQNVLLMPNKRVTEVTAIY NETEDEVDHAICGDNIRMRLKGVEEDEVSPGFMLCDKKKPVKTTTVFEAQLAILDHKN IICAGYTAVLHLHASVEEITLTSLLHLIDKKTGRKSKRPPQFVKMGQKVIARVETAAP MCIETFEDYPQLGRFTLRDEGKTIAIGRVVKVLESETAEQEK PHYBLDRAFT_60928 MVRIVMTTEQEALKYANGGINIEDNSAYGFLSLGLDCNTPGHIC NRFSENSYTLKCTKTYLLYSSESEKHSKDFSKKASFFTTPLLYVGLLLSSCLPPLFPK SGKNSHLLSPFLVSKTNFFPTFWDLFLSPSSYSSYRRL PHYBLDRAFT_60930 MENKSTSNKKIERRSSEYSSNYNTELTKLVLVTNDFTAELISSN TNINTAAQFLEFDRMEINRDYQARQEINEKCLVELSEFAASTLNTSRYDIASVYKVIH PTKSPIVSHQLLVQFFLAKIRLTVRLPNHCKEIYDTIQSVNHVESWCPTSLLTLDRLQ QKIILLLEVATMWRPRSNLGNLQFQDVHSMVDEKNHLRLRGVPLIARQPKEVCAKISK LGIFADSQACLKSKDMRRHLPEDHRPFLESILGEQSSGRSTYPTTIENWIKKMMIFGL HSLRSASSTKAIIEEASVQEVKLHANWSLNSSTLERYYFRLVYQCACERDIAEKFLGK VTKNKSTSKVGVEPTVLALGTIHNGNIGETKTEDVVPTCPNSNWKKFLNSFF PHYBLDRAFT_164693 MQEPIEAFFYGKVYDKQYHIRRSWGGGDNNNCVTKNKQWRHFQR LDNGQECSNFLPMTRSPYHLPQKNMRDNTSQKDVKTNITFSVVGELSATFPFLVINAM NDNVSEAKTEYAVVDFLASLLFSDYSK PHYBLDRAFT_131350 MDFFLIEMVDTICRTASTETDADSEAVFYKLETLGYAVGQRLVE RFTKDRPRFADTLDVVKFICKDFWTIMFKKQIDNLKTNHRGVYVLQDNNFRWFMRMST DIGGADSARKASPYVWFPCGIIRGALANLGVPSVVVAETSNLPQCTFQIKIVQ PHYBLDRAFT_93762 DTLDEPVSVTILRDLKQVAGKLKQVLHPKGDRNVLRDWDLWGPL ILCLALAITLSAKAPSDQAVPIFTGVFTIVWIGAAVVTLNAKLLGGAVSFFQSVCVIG YCLFPLVLSSVISIFVKLVWVRIPMALVTFAWSTYASVGFLSESQVHLNNRRALAVYP LCLFYFSISWLVL PHYBLDRAFT_122594 MSLSFKHKKDKHSKPKGGQSKASLEEDEYAQVIAGVKKIYNSKI RPLEVTYNFEGFHSAPLTDSDIEAKPIVLLIGQYSTGKTTFIRYLLDKSYPGEHIGVE PTTDRFVAVMNGSEDRVMPGNAAAVNQDLPFRGLNNFGQAFLSRFQVSQTPSPVLENM TIIDTPGILAGDKQRIERGYDYTKVIEWFSQRSDLILLLFDSHKLDISNEFKLAIHSL KGQEEKIRVVLNKSDMVSQQQLMRVYGAMMWSLGKVVQTPEVMRVYLSSFWVDKPPNC FEDCRELIEAESKDLLRDLKELRRNAAIRKINEIVKRARLARVHALIIGHLKKEMPSM FGKKKKKEALLNNLDTEFLKIQQRYHLPAGDFPNPERFKQSLALYDIDKFKTIKEDLL EKVDEALAVDLPRLMSMFPMMNPELDQSQRNPFDEEEEVAVADANGSLPASFWNFSSV EKASYAPIFSQLHPRDGKVPGSSVKPILTETGLGNDILAQIWRLSDWDEDGYMDLDEF SVAMHLIKAVQAGGKLPDKLPSSMIPARKI PHYBLDRAFT_164697 MVAISFCVFYNYLQTALVSSSSNISSLSVLLKQVPHKFLSFQTS ATNDNNNNLSSTKNNKNNNGQVLYPRRLKQQEPNKGTFIFDTITASDFFSQSILTNTT ITKDTNNNKNDDSILTTTIKPNDNINLVTGLDMPVTPHYVAPRAPIVLCHGLYGFDLW GPDAFPALQFHYWQGIEDALAKLGAKVIVTGVPKTGSIRERAQELHAVLKAIMKDKDV NFVAHSMGGLDCRYLLSHIKDRPYRPRSLTTICTPHQGSPVMDWFRDHVGVGEQKPGN PCNQRSLSAMTVSMKNSVTRTEEFATASMMAYYNHHHNNNDDDDDLHVPLSDRQNKTQ SQTQTQTQNQKLLNLKLKLGLLPKAMIDYFDEPAYGNLTTDYCQEFFNPNTPDDLGVQ YYSYGAAAKIPAWSSLLSTPWQIVKDKEGDNDGIVSIKSAKWGKYIKTVEADHWDLSG KSCIPYRWQSDSSSTFDREAFYLEMATRLYHQGH PHYBLDRAFT_180004 MSEVKATQNTDFEGFTSSKSKYPTEYDAELLNDDDKEIWLIRVP ESFTPKDLTEIKITKPVESSHTSIGKLTKKDETFALYRVPETTEDKAKNSDDEDEEDD EEGKNDFGISGQEMAGFQCLLPSRDHYGKLVYAPKTFKHNLILTREVEIPDSEKIAET IRDTPLGKRQQPEGLKMRFKPYGFDTGAAKVSDEEPEKKKVKKEKKEKK PHYBLDRAFT_164699 MAKDIEIGKIYSLGITVPLPQLSLNLDFRWYFYSENVTTFVKKI LRTVATEYHIYSQCSLLALGRVTVLNTLILSQVWHFLQSMPMLEYFFDTLRNRMGQSL CKNMFPIAKLVSLCTSILAVELGVIKLQMGQKTRQIGWLDYILEPNIAEYFVPPWINY TLIPQHDSSDPHLPLLFPCLDNSYCFPRTIFRHGYCSSRLFIQHPHMAHMAHMAHMAH TIHIGCDHIF PHYBLDRAFT_185638 MESTCPISSDGLEAIQWINTIFGECVYGWQECASLMFGYLSILA WLNAQMPQVIKNYRLGTAESLSFTFLVVWLIGDVANLIGCTILGQLPFQLYLSIYFIT IDTFLCIQWIYYVKYPTNSLRQWFNPGKCLTKKTETPTSTSSTETTCLLSEPSQKSYS ASASTSKATALLMIGLFTLANAGFSQSLTTNPTTNVTDHIVTQQQQKQSDENMIWIGR FFAWLCTSLYLSSRLPQIIQNFRRRSVEGLSMALFFFAAMGNLTYCLSIFTNPHATRQ SIIESVPYIIGSAGTLMFDGTIYAQYMIFDNKVKETDSQA PHYBLDRAFT_141672 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIG PHYBLDRAFT_60939 MPLSVLPGNVDRDAVFYKVRIVSIILFTNDTSGNRSKQYNPFES WLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMFSAED NEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKVFVKDLFYFCEC HERRTREHYVLANSSPGRDTEIPNAPKIGMNTPANKISFRDRSTGRLLELQSFDPEKD TPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTGLSRKFTRNLR HSGSFLGRDFKVLLQILPVILITEFSGNHELDLIIPCFVELGRLCSLVFVRQVTSDFD NYIIRVDNAVKCLIRALFDYDKGTKNELHKAYCTKPKVYYLTHLKEDIIRFGPALNYE TEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWINSSGNREKSGT GIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFVFKNDPISRPR IGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTDLHIFRNPFYIVNLSKFGSYWFIFNN ILFDE PHYBLDRAFT_180006 MLSRIITGFRNISTNQRTTATTTSRLAPLEDSPKDARRIYTQAK PLNRKQSSAYSSNGLSVNPADDFVQRDLVSKKKWRLFINYLYCHTFV PHYBLDRAFT_200796 MPRKTANSHSDSTVLEDEQTVESTQYEDETEDEDDKLFYTEIEE LQSGGINMADINKLKHSGICTVRGVQMMTRKSLMRIKGLSEAKVDKIKDAAQKASGFV SATEVSNQRSKVLRISTGSKQFDTLIGGGVQTMSITEVFGEYRTGKTQLAHTLCVQAQ LPLSMGGTASKAAYIDTEGTFRPERIRAIAERFGVDCDLALDNILVARAWNSDQQMEL ISEIAARFAEDKGAFSLLVIDSIISLFRCDYSGRGELADRQQKLNQMLSRLIKVSEEY NVAIFVTNQVSSDPGGGMTFVSDPKKPVGGHVLAHASATRLSLRKGRGEERIVKVYDS PDMPEGEATYAITNGGVTDISF PHYBLDRAFT_157849 MAESTLPAPVGPQNMVQWYLLSYNQMSFLGWFWIFFLTVQQLWN TGGDYTTVFDVVWPAMQLVQTAAIFEVIHAALGWVRAPIMTTLMQVVSRLFLVWGVNY MFPEIHTHWSFTTMTIAWCIAECVRYSFYTFHLASTVPKAISWARYTFFLVLYPAGVS SELMMVYQALPYAKQFHPAYYYALIAAGLVYAPGFPILFSHMLVQRKKYFRGDSKKTE KKSQ PHYBLDRAFT_141677 MSTPAFLTPFDVEWSAVEMEIGGYRAAYIVMQGLPKRRVYGFPL IGPDIELIKGLPI PHYBLDRAFT_141678 MHARKYIENYGIWFEISMGFSQGWLSMTRPMLSSNLSTTPQQHI FFLLPKLSRLMMMILMYMLLEQGCLCTADRITTLGTNNLIVLSSSLTARFPKNVPGPK CIKYNLQNGSAIEQNILRDLGNKSRLDLPSSGLNDQTLPNFRDFFLFLLLFSLEDLAG L PHYBLDRAFT_164706 MYLHSNTSNWSLGKIFLALPVLAKSKKAQETTHTFTIGHLNSLL KWYKFPKFQGSRIFESKKLDISINIRVILNVQPINTLYISSTAKMNTEAYIVSRQVAV QKHKPC PHYBLDRAFT_164707 MSKPVHIKNEILRSDTGLIINPYKACPHSETRHKQDFTGKVKQS ITGFHPGLQGLSHPDQVITNRSPSRATVFSDQVKHQVTYLHPGLQVYKNSMNIQSRSF MFSKHLYHPGQGSRIQSKSMVSVSIPSRSSFKDPDSVRGYRTMTKSTSIMEVCLRSGY TMKILVSIQCNMYIHLVGPLLTVGFIFLLSLPSKPVIKDPISVQCYRYTLLFGFLSIV NFNFFVFLPSEPVIEDLISAKNNSVFTIQVNYYGSNLCLVLQAVILIQGNSYAILLKS LPIMAYNSLVCFTVQMNYQAYGISPVLKWFYHRGQRLRIRPQSISLLCMLNNKNPLSP SKVIVKANRSRFTVLQAQANDQSFDILLGSQSTRRKGQQSRYDSPSWMLVHADYQSSA IRRANVIYQAFLESWSTIKILLLIQRLKYISVFKYYFTADCIYLVFFYSIPALTVPFS IKIYRHTGSFIEIRSYYQLSFSSLAQFIPTIRLPISIQGYKHTSLLHHLLAACFICPE FSQPMSTIMALTHISSHSHRDTSLVEHLPTSSCIFPYFLQKRQAIRVSLSIKVLGQGF QSRFCYSSRVKALSQSRAMIGVPHFIDDHSPLISRSKNYLCSTSWTCQGSLFNSYSWG TVKSNAKNLSLYQGLQTSPKIKISGSSIIYLHMHLFQ PHYBLDRAFT_88093 SIAYLDPGNLESDLQSGAVAGYSLLWLLFWAHVIGLIFQLLSAR LGIITGSHLAQLIRQSYSTRMSVILWAFAQAAIIGADIMEIVGTAVALNILFGLPLWA GVIMTAMDTLTFMMIQRYGMRKIEAFFMVLITVMTVCFWVELIQSKPDVKEIMKGMIV PKIPLHTELQAVGMLGAIVMPHNMFLHSALVKSRDLGPDPSVRKLKEANFYFGIESAL ALLLSFFVNLAIVVVFAKVFFDPEQVGNIVIPGLGQAEAVLSKTLGPAAKYLWAGGLL AAGQSSTMTGTLAGQYVCEGFFGMIFKKEWHRMAATRGISLVPGILVAIFAVDHFDTM GEMLNVLQSICLPTVLIPIMKLSWSSDVVPAEFRLSTPIHAICITLVCVVIGFDVFLF V PHYBLDRAFT_164709 MQLDQLEKALRQLPHDTLMTEIPEIQNSIAHLKKSNDEMREYDP DHSDPDFVQAIGENIALIKHYEERIDLTLRVIREIIGEAAAREMGSNVASFRERYQTP QESTQEEAGVFL PHYBLDRAFT_141683 MRTRNLIHLQITNHKAMRLLLYIPREKLTWYDEQDRHQSVLDIL KPIIHRYLLIDQDKTTSKQKKAMESAKVISQDTFQIVYQFVPYITQETMLTREHRIML ENNGQDALPTKRTSFSPLAIYGFQLLVSLADPDVNTNTSSLDNYFSVAS PHYBLDRAFT_108823 YNNGTTHFKPTVILVSLDGFRNDYLERGVTPTLTNFASKNIKAK HMYPSFPSITFPNHWTLVTGLYPEAHGIVANEFYDPLLQAGFIHKQPEISLQPRWWGG EPIWATSTLQGKRSGVIMWPGAAVPIHSATADYFIDYDRSMSAVDKMDMALKWLDLPL ERRPQVIAIYIPQIDQKGHGGGPDGPQMNMVLSNMDSAIEHLLNGLDKRNLGSHVHVV IVSDHGMAATHSSQLIYYDDIIPHDLLPYLEREAWPLLSLRPVSDAPPDIIERVYEAL YLYTQSVDEPHFEVYLRQDVPERFHYNSTERIAPIVTIPDPGYSFVTHKEFDPSLGKD YRPRGMHGYDNKAVEMRAIFMARGPTVEREYKAGVVLEPFQNIEVYEFITHIMDLTPA PNNGTLHGMFKAI PHYBLDRAFT_76518 MAVVTSPPICASIDCTKEAHLQCPTCVKNNNKEDSFFCSQDCFK KSWGSHKALHQSQRGPYDPFPTFKYAGPLRPVYPLSPRRVVPDSIEKPDYTETGIPKS EFAESRNTSIKVLTPEEIEGVRKACKITREVLEIAAKSIQVGITTDEIDRIVHEATIE RGAYPSPLNYNYFPKSCCTSLNEVICHGIPDQRKLVDGDILNIDVSCFYKGFHGDANG TYCVGNVDKAGKKLINVTLECLNKAIAAAKPGVRYRDFGKIIEEHATKNGFSVVRAFC GHGINQLFHCSPNVPHYANNKAIGILKPGHIFTIEPMICEGVYQELLWPDNWTATTKD GKRSAQFEHTLLVTENGVEILT PHYBLDRAFT_141686 MTNMLINCGSKYNKTKKVKKNNGDTTFESLTRACTKRDRWKPTK YQQDSTKVPLLVFGSGMFGKDDVKLKRHRCGAVGKLYKLLKKQEAEGQLIIITINEFK TSKTRSLCFFNDMKIIKTNPFKGVAVVSCKQCNKVWKRDSNAANNMILISKPIWSGEG RPDVFIPKKK PHYBLDRAFT_141687 MIEAYGPPRAYSARSVERAIGEYSRAIKSNSAIGINAGNIMLGL TQIRQMRVENSITTTATVTATTLLQYDDPSAGWPIDREGSNVGTDSDIEFWGPLRNRT IVDSLGGISCLPELLQKFYESKGEECSMIEAAIKTSRKAFVNGCVIDSALDHNCVREA HNVRLQVQVDENRNIGQSYSPVYKDFFGKVVVFFEHKLNNKRWPLVLVNVYAVRLVNS IPAINNGQMKPMVVHLADVKELVGLVKSDATINTITTTATTYVVWPELNRGPKLSLGS LADL PHYBLDRAFT_16653 MSELSQNRLTPLATAQTTEITRTTVKDTRSYVHFIAGGVGGMVG AICTSPLDVVKTRLQTTFYQQAKANVPTAFNKSNFVLHHFIETSRLLVRIKQVEGVRG YFKGLGPNLVGVIPARSINFYTYGNGKKFYTELNNGKETPIVHLVSAATAGLVTATAT NPIWVIKTRLQLQGNGTRKYTSSFNCAANILRGEGIRGFYKGMSASYLGVAEGTIQWV IYEHLKQKWATPKKIKTTQLDLLKPANRLIDWAGHLGAAAVSKLIAACVAYPHEVIRT RLRQPAENGIHKYTGLWQCLRLIVKEEGMVALYGGMTAHLMRVVPNAAIMFFCYEAII HNFGDSSSKPKA PHYBLDRAFT_60955 MTGASRTYSKTYKVPKRPFESARLDQELKLVGEYGLKNKKEVWR VGITLSKIRRAARELLTLDEKDLKRLFEGNALIRRLLDYVLGLKIEDFMERRLQTQVF KLGLAKSIHQARVLIRQRHIRVGKQIVNVPSFIVRLDSQKHIDFALTSPYGGGRAGRV KRKRAAAAGGAEEEDEE PHYBLDRAFT_76519 MSDGDSSYLAMLNNPVINPPANSEPAATQETTTVNAPLATFEAA TKAQKELKDASKNLELISETEAEFQSVNVAWGKNTELPTPEQLAQLGLISDPAAPCKT KTLDQFFSTRTSEESDSYGQAARFRQLEAKLVEVFGGKDKARVYQIGEYTITVLILGV INGENGNNALVGLRSLLVQT PHYBLDRAFT_164718 MNLYFILFILATLSSQTISACNFLKRSSNIQQESCIEIIYPAKR VTWETDSFHTITWKTNGKCSQPKSIFLIDNAQQHKHAHHVALFHQIDVSLGYVFVFIP TRVKQTRQLLAIGTEGDNGLVTVDSVTLINIVTK PHYBLDRAFT_141693 MGGPRLEVIKFGVYVFFPVGVMLYFGGPGFYDQYVKGIKFWPDY NTTYKPPTTSEEIKASLEKMKAEREERWRQNMRAKQALKDQAESQQ PHYBLDRAFT_76520 MATNRSLLQTQTLFRITFYFSSSFLMGNRFSKKSHDTIIEEATS EDKENIQSDIQIEMENSTDDDIMHFIQTAEEREHGQHYLLKHVVQANHFAPIENALKI EGSRALDIGCGSSGVWILDMAADFTNTTFYGIDIIDSFIPQGEKHSFAPTNCHFQTQD VIHGIPFPDNTFDYIHQRFMYMVYPSSMTGWGIKEMKRVMKPGATIELIEYDLRPRQP GPLFTKLFSAVNCALYKINKSGFRGEILGEILKRGDFNDITTDYWSLPICWGGEVGKT MYISVLGVCVWLGSKVYDQLDLQGDNLEESYDRFLDKAFDECVEYQTYFNLHWAYGTK PTV PHYBLDRAFT_60961 MTIIPFFSERLGNINALRATISVDKRCPVDRELAVKDNSLWLGS TKLIDSTVLGIPLDPNLITTTNMDQQPDKNNETILWDIKIGTQQQQVPRLAYDHEPKE LLPAKALREFELISCNHCSCPLVRAQDSKAPFRHKDMPSEHWYELVECWICHETKPEE HRARMKPILAKPDVLLVGSTYYLVHSDNLTPDSLVVDRDVASKINWDNGTYTKWITVN CTSCSMPLGEGQYEKYDEEPILRAVKLFKYCVSILPTPTLTPCEMPTFMDFLVCDLVN AAKAHATYRFLIQGRNTGKIYCLIWLFNWDTQIIYNRGFVSPSEPADVYHERAMKVLY LDCSDPISKQTEQHVAAWSVDKTSEHLLYPDAYCVQLISNLQKTTLLLPPSARVMNHP AMRTTMNFSVGFIKRP PHYBLDRAFT_185644 MTDLKELKQRLLHRYYVLHAIYGFSYVFTHLYNQLSNVTHFSEL NEGDLKAYYFLAGLSVWKGFMASTAEELASVLLLYGKVFTCLVLYWEYGFWKFVLYSI GWGVLSTFFPQPWYQGPTKIIELSENMLREKVLKPKKNKGKSPAYSDVDDIKGPRIIE LKSDDEEVEVEAADRVTPTSKYWVVMLYANWSVSCLNFEGVLAKLSLDYDCKHIKFGK IDIDVFPDVAQEFGISRDPASFDLPTLLLIQNGVEQKRLPELTVTKEDADGKPTTSKT NAAKNAITRLGWSKKAPTVIDTFKLNRLRDEKV PHYBLDRAFT_185645 MTSSRPFNPNDYPVVIGIDFGTTFSGCSYSFVHNDEVVDIVKWP KQNNNVYPKTPTLNFYQGSSNQMAHWGNAARLEMLRPTARNSVLLKQYKLYLDENIAK DLPPLPNGLTIVDAIADYLHAFHEHVVSELRKGFARNYGQHQFRYCLTVPAMWSDRAK STMREAAIKAGLIEENDHRDRLMLISEPEAAALYCEKKCEQYNLGHNDQFMICDAGGG TVDLIVFRIDERSGRKLKEWTKGHGQSCGSVFLDRRMRKLLKKKFKEYLSSIPASAFE TMMDTFVDLIKPQFDGVEDQFISLPAAMNLESLNNPAIGLEDGTLCISAAELKQEVFE PVIKEVLALIEDQLMKAGSMQAIFLVGGFGSSNYLFDRVMEDFGSRVGLVAVPPRCEL AVVRGAVYFGLNPRIVTTRIPRYWYGIDTTTSFEEGIDPPNYKIVRADGSVRCDNRFS VYVWRGRPLDIDNCMSKDFFAYYPHHTTCTLYAADTEEQPRYITSPGVRKVANFTIPM PELTGVMEGEKVDLSIKMYFGEVELRVEAVIRGKTYGTTCTFDTD PHYBLDRAFT_86363 DDEDNAPNGIFTVLTDCYSPTCTREQLCYSVLCPRRLEQARNSE WRKNHHRSTSRSSLLKEQEEERLWINTVDKKIYDSLSREEKKRQEHIYELFYTEKDFV DDLAYVKKYWIQPLTDSDIIHIDRRHDVIREIFWNINEVHEVNSRLSKAFKRRQDENP VIDQVGDILLEHVGRFQPFVKYGSHQIISKSAFESEKLNTPEFALFVKVTERLAQSRK LELNGYLTKPTTRLGRYNLLLREILKHTPKEHPDQEAIPKIMKIISEFLSSVNHETGR TENRFNLQMLNERLLNKQMSGMDLDLLAEHREIIMKGTLKKKGSGSESSDMHVFLLDH CLLITKQKFVQGAERYKLYGKPIPLAVLSICLPDQTKRSSTILPYGRPSTGSFTSAAN GLEIPPLSSNKGGYPISFQHLGKQGAGPIALHATTFSSRRQWVEKIESQQQAMMEKQK VFDIVPVVDNFFNPFNRVHCAATFDNGKSILFGSDQGVYLKKEGKESDFIRILDMAKV SQIDILESSKLILVLSEKNLYTYSLETLLGHESGIKRGRKISKHVAFFSVGPILDKSD PEKHIQKMLVSFVRINAITSTISILEPYENMDAKKKNKHNISRLIRGSNEVLRSNKEL YVFGEAFSIQYFKNIICVGCPKGFQMVDPNSAEVQSVLDPADERNQIIFERESMKPIS MFRHHNGSILLCYNEFAFFIDKKGKRLRDDWAIHWEGSPTACVFRFPYVVAFNSTFIE VRHIDTGDLHQVIPGNNIRCL PHYBLDRAFT_164727 MGSSSTSHHRSRSPTRKQRRRHSHGDEYRHSHKHKHRRNKSRSR SRSRSRSRSRSRSPTRRSQKVAPDNEKTKPEAEKIDVIAQLRELAQKHNNTASNNNNK KSRRKSGDGHSHLSRRTPMVPKTKEVYEKERSVINREYDPQTGRMRLVRATGEILESI VSRDQQYQINKAATIGDGIAYQAQIAKKC PHYBLDRAFT_141702 MLILNYYLPIYSTTSYSNHCSHHILSHPIPSYRIVVVSYRIPSH PITSHRIVSYRNRNRKDLLYSNRCFHHILSHPITSHPIVSYRNRIVIVSYRIVSHPIP SHHITSYRNRNRKDLLFG PHYBLDRAFT_76524 MLPQEIISIIADNLSTEDRLICTSVCKSWKDPFQESLWKHVEFK TRDKFDMFYDEASQHDSVYHLNGQWTEELSITCESFIKDQRFQTLLEVFPNIKSLTIF PDTMINTSKTEPGQIFWKSLTFLRIIPPIHYSEFDIKTFSRILSFTPNVKRLEMVDAV YDILECTWKDIDVIHDCLPLLEHLEIAPFLALFESTDTPDFSGIKPTRVTSLTIPKYE QCIVWVNYFAHKYPNINPRKCSFLKDITEDRETKNNIGGSEMKIGL PHYBLDRAFT_157857 MRGHSTQSHIFAAGGKDRDLCVYDASVLASQNDSTEKVVSDPKG PNKNTSKHKAAKNASNGIVFQAKNVKNDFLDLQQPVWIRDLQFMSEDTSKVVVGTHHH QIRLYDAKAARRPVLNVEIGKNPITNVRVGKDYNHVMFTDTMSNAGTIDIRTGAVVAR YKGFTGAVTDLVVAPQPTFTTASENAMLVSVSLDRFLRVHEMSSMFRRCVNKAYLKQR MSCVLVDEEYELPESEIKKVVDEEEEEENAMWDSLAKVDSVTKRKRASN PHYBLDRAFT_60970 MYFFSYNHSTPPDSERLTKLPVELLENILQRLSSKDLCELNHTN QLIGQIALVTLYRSPVIKTIEQLEALSTVSPSKLQIVSELNLEHVGFSIKDFHLQELN QCNRLRILNLTNCKASSSAINQILLPSLKYLQTVILNNCALELTTLQLLGQAGQHTLL DLDLSSVVLKPCRNFDETNDLDALVNLPLPSTLVTINLSYCRWVRSSTLENIARNCPR IRYLGLRWCGMIHFTTLRLAIMLLPNLRTMDTMHMSAITHHDTAERLIKEIINLYK PHYBLDRAFT_10188 ISGMTCSHCTNAIHKALSELPSVLPDSIQVSLDTATAKLSFKIP SPPEITPDYLRDTIEDMGYDVEGIDWNDDNTSQQDNTTQEIERQSQQEQLPSYKTATF SVIGMTCSHCVQTVNKALAGLPGVIVDSIKVSLDQKNAVLSFQGDYIQPSLFTSTIVD LGYDVPKEEDEEGNNNSGNLTVTKLTVTGMTCSSCVANIERTVMKQPGVTSCQVNLLS KTAVIRHDPSIVGARSLANMIEQIGYKAELSHSQSSDALSDQRKTMRETMDKEIKILL SRFLWSLLFAVPVVLISMIFMMAVPGTQRVHQVFVLPIVPGLSVGDLILFVLATPVQF WLGLPFYVKAYRSLRYAHTANMETLVAMGTTVAYVTSVASVASAIAMKQQGSMSRNYF ETSVLLITFIHFGKWLEALAKGKTAETITKLMDLQPEKAILVEVKKPEKENDSDSSGL GSMSLKEGQKDVEHKEHAEEMLQEREIDSKDIQVGDILKVNAGARIPCDGKVWKGDSS ADESMITGESVPVLKKESDSVITATINLTSPIYIRAIRVGNDTTLSRIIQMVQDAQAS PKAPIEHLADNISSVFVPIVIVLALITYIIWQVLSSLNMYPDEWVPMGESKTIFSVML GVSVLVIACPCGLGLASPTAVMVGTGVAARYGVLVKGGGYALEMANRITTVAFDKTGT LTLGKPSVTDSWINNATASKQTELVLWKILGRVASGSNHPLSKSIEQRARDIIASQPR QSIFSGDTLLEDDIELPNDSTSNDSSNENDNSVISDFQGIALTNAKEIPGRGLIATLT LSAEAARHLPAVIRHQRALNTAIADIARPESKAVIAALQTKGIEVWMVTGDNVRTGQV IGEQLGIPKEFVLAGVKPEQKADKIRNLQRRGTRAVVAMIGDGINDSPALAQADVGIS VGSATDIAIEAASIVLIRNNLMDLLIMYDVSRTVVRRIRLNFLWAFLYNVFAIPIAAG ILYPGTGEGLPPYIAGLAMVASSVSVVCSSLLLRLYRPPKDSQSQKI PHYBLDRAFT_104200 CQCKFSRRFNLGTHMKTHVKDRQKDFVCNTCHKAFDRKHDCDRH VATVHRGERSYGCDLCSSSFSRKDALFRH PHYBLDRAFT_164734 MNNSEGRQPFNYDWPIFNPALMESYNQRALSHNNPVPFIDTPSN SYTYTTETQETYNNQHSDSSTENSHSGTQQHQEQQKLMPNEYFTMPTPTIAIKHASAS SSFEDRPIESFSSENNYEITASESEDTLGNPPRSSSLHRNYSAAEKTNSKPLRNLSSI KRSSRPNTLERKRSPQKTYVNLDTDIMAAGSLLTGKTLMKFAMQSKLSKEFIQEIQRL SQERTLFCSEVYPFSFTGSEAVKILQTLLQGSFVDKTYVRIGRSLLHTFPPVLAPVIY SEKATKHNAFYDSDSEFYTIVEDTVDGNMPQGIYTQLTACYVPTCTPGTSDCYSTCCP NRKVKMRVFDESETDTSSQKVPKIQRHMSINSSLASRDTTNSQAWSATVSREILEITP KDEIKRQESIHELIYSEEDYLRDLNLLEDLFAKPLSEAQCIEPDRRAEFCKKAFANHL ELIAIHKELCQDLRDHQTLCQSKGGAGFVDRIGHVFVKHIHKFMDPYVKYGPQVILAE DLVKSEVNTNILFKNFIHEKEKQAETRKLSFNHFHFRPIARLQRYILLIGTVQKATKP DSPDAKDLDECIKAIQKVASFMDEGVGQMKKKLRIRKINERIIQETGQLGADGKPHDF ELLDPNRRLIHEGILLRRTNFVTDPIEMHLFLFDHMLIITKIKKPSSSSGDQTSSNAH EFYYLHKKPIPLELLVLQDSSSSLIRGILPTPTLSATPLSFPKSSSPAAQNGSNILPQ NGFFSQTSITIQRLGNDNEETTLYDNKTGDLAIWSGKLMNAKSDLEERKKESQPYETE VLNDINFSCSSSMGSKYNHGKVTCSVPYAATSGKRMVAIGTQTGVWIGASGSTSFRKV LSLLDVTQIDVLEQHRVLLVLAEKVVYGYPLDTLDTGSQNKPVERVPQRISQHVAYFS TGVIKGKTLLIVMKRKGMDSHFKAFEPVCGDLRDPKNAKFLTTKSSFLTIHFLNARLM IVCIRGFEIINLDDLRENRNLPDLKNPEFDFVVQHGEYIQPLGMFKCSTEDYLLCYDR FAFKVDVRGKFSNQNYERIEWEGTPQSVAFDYPNIIAFNSRFIEIRDVNTGKLVQVVV GDNMRSLQFKKPSYSKRPLVHGTMQAPFKPEIQSVFQLTLKASPSES PHYBLDRAFT_164735 MVTLLILLSAPENVYKCLEYILNQYEGKHELNYAFDIRRLPIPR LFSILLNHLMHWRSVVYSVNSLSAFVKNPLPKGYDNDLQLFYEVFNFKLLEYSSIEGL MFNGENNVLLGIIDRSDGFSVDFLFYKRSHNTTDEISIVDHDFGIKHFIFEEVTKMYR PSFLDPGRNRVFTAAVRLDPNDHQLRRCTTKEYFHLTGSTVMDTVFTFYGFNTVPWLA DILEPKFYVNKVEYVLEQKSKQTNAVTATVSAISWPNSLTLLYRRQKFKSRVAHLYCV LFVQVIRENIPSFLGSSFYVYGLPTMIRKFYKKTWGVYRDLKLPRYL PHYBLDRAFT_164736 MHLKNLRYIILVACIKLSVHLGYILRGFCFNFSSYTIVLWLYIS LFQAASISDVRDIVVLHSLGAECNVIVYKDSGTEYYLPGISASKNYRWLQLLLIGKCL SQSLLK PHYBLDRAFT_60976 MSIDLCTAGRIYLTIYFASSDECPCFCPWGFRASVLFRWVYTHR YMSVCGAVGSEQSFPVVKKRVKPFSEALWRQAWRPLDIVLANRPSSRIPSKPDLHGRF VSVVEKFPGQTYEWYCANALVLCLQWFAADYIAIFRSCTSVWQGGFSVRDATLVDTLE SVACWKSYDDLGVSFLDCPPSYILDLQETYARTFFCIVSTVFVTVALAILAIANGTLC SSMSSRVGSCVRDAVAVSADTLEKASWLRFCLRGNTGVKSSQMGPHFFLDLQGDIARN APLYIASIYTCAKCLAELVCRAFDAVAVANSYFRERWLVERV PHYBLDRAFT_141712 MTTIFTAQAKQQGSKLKFGLQFKYQGSDLKSRLLVHTFFSVFTC FLSYWPNVSTIRLHNEEFVFLPVQQSKPAIMNQISVHVLAVNGNEQGPIKFNAHGSGF HKAEELGLDIHPDLQIYIFLWAIVYWLFYLSIVFTVKENHQGSDLHPCFHRPNRRTKI SSASTVTVYSQERPTIKMPLSFLDFGSLFTIEAITQDFIVHAGLQSRLLIEIPLLIWV HADYQYSAIRRGHTKDQDFSLHPGSQTFKPRDKLSNLNNEPQCFKSDSNDQASNFHSL IKFSVFIMFPLNILGVMVNDQDPAINPTP PHYBLDRAFT_141713 MSKPVHSKNEIPRSNTGLTINPSKAYSRSETRDKLTGLTIFHGG LFRQSQAIKNRFPSRATGTPRLNEHMLIIFCMLLVSSAQVKR PHYBLDRAFT_180018 MTDPASEYSLPGVLHFLQAEWRRFERERNEWAIERAEFKARIAL LEGERRGVENLRMDLMKRVKMLEYALRQERKRHLGTTKSSTINSSSPAISHATTTTTT TTAATDPTIGLSSEAKSHSDTKLREKSQDVLKSCLQEINYLLSMPTKFSLSHSTTTSS SSLSETPSRTSSVRRVTKPTSSPTLHA PHYBLDRAFT_108746 MFNIAFMLGSMQLAKKVDWEDPQTLFLARVGYYGAQVLVIAMSY LLITLIKKKNDTTPLRYVNQPKPSLGSPSGGAAETVETTVAEYDISQVKQFIQSTATS ILMISVMHWQFKFTQPLLLQSILPIKNLLTHKEALIHLWGDAPEGALQRPFAAENPLG ALMGALGGGAATEAAAEDNHEKKE PHYBLDRAFT_87636 FWSKVISDFESVAKSEPKILSLHLQRGIPPSLRGMMWQLLAKSK SDRLEEEYLQLLRAESVYEKAITRDLLRTFPYHPYFQGQEGQNALFNVVKAYSLYDAD VGYCQGLSFIAGPLLLNMPEEEAFGVLVQLMTRFTLRGHFTPQMDLLHKRLYQLDGLL ADTLPHIHRHFQAQGIQSGMYASQWYMTLFAYKFPLEVVFRIYDTILADGLDILHRVA LALITKNQAILLSMEFDCLLNHLKEDMLAVYEDDVNELVREAYSISLSPKRLERLSKE YSVELIKANSEAEIISDLRRQNKALAETVRQLERITKQLENEHALVAKQLQVSNAQQL ELQ PHYBLDRAFT_185651 MLSSKINSSTLLAGTRHLTKRFYSAAQEVKKTALYDFHVSLGGK MVPFAGYAMPVQYSGMGMLASHLHTRENASIFDVSHMLQTRLVGADRNKFFEKLVVAD LNNLPVGQGTLSVFTNEQGGIIDDTIIMQQKDSLYVVSNAGCADKDLAHIRKHLADFQ NKGGDVDLKIIDDHSLIAIQGPKAAAALESLTGTSLQDFAFMNGRFMDIAGVPCHVAR SGYTGEDGFELSVPTPEILNITEKLLAHPVVEMAGLGARDSLRLEAGLCLYGHDLDET TTPVEAGLTWTIPKSRRETGGFLGAEHILPQIKGGVSRRRVGLLVEGAPAREGAEILN KEGQVIGKVTSGCPSPCLKKNIAMGYVQNGFHKRGTALDVKVRNRVQKAEITKMPFVE AKYHK PHYBLDRAFT_141719 MKFLFLSVLIVLTSAAVVLGVPVPPSTGSDALSIKINLPQPGTV WNAGSFQHIDWKNPQDHASYYNITLYKGEKIPNAYERVLVKDIAADLEFARIHVSEDV VPGDDYWIEIGSGKLKTFVKYLTIKAADSSYNDSSEGSDYPSSEELQKINELPLFGSN GF PHYBLDRAFT_180021 MLTDEREETLREVSALGNPKAVHHFIHAGVNINSQNKINGWTAL HWAAHRGHENVVRLLLSNGANPNIETSKGQKAYVLAQKYPDIAALLKPDAVVECTETS AAAAEPELPILPAYMQNPDLQKTWLHPDEFSENRIENVVRKQAAEDALTNPTPAPTSE TSSSVKPINEPSSCEEREILVYLEKRLDETLLGSVFVKNETIDNVVNDIKEELDGLPE KFSLSRNNGKVNIPISSKQMNKNLLDIFRSEEDVIVLIPVSK PHYBLDRAFT_185653 MYVCVGGFVRVCGFVCVWVDLRTWVDLCVCGWILSTRRGTVEQK KIFLVDCRAFLELKRSDVFSNLKTPGSVAFLALVLIEKNFNSRVATNVTSTMNGNNKV DEYVTLFNSVSKGNMDSKYYNSVKKSTNKLNSFKSYLPDPPTKKGRIINVTHQVPCEI TLRNNVLQDQPMWELTPRRGHGAMYGGVQSLETDWETIYIGWTGQMYRPSSPEFSSNT TVTNIQTTPIISLDEKEKQSLIYELQNLNCIPLFLDSDSVFGHYDGYCKTMLWPLFHY IIWDNATDGRLERQQWESFSSVNQLYADAVIENYQEGDVVWVHDYHLLLVPAMVRAKL PRARIGLFVHSPFPSSEIFRCLPKRQQVLKGMLGANLVGFQTYAYARHFISTCTRVLG YESTPEGVDRGDGHFCHVGTFPIGIDTESVDAKFRDPDVIMKVKAITDMYPGKKILVG RDKLDLVKGVLQKLAAFEKFLADYPEWRNKVVLIQLTDGSTHTMEREVTEIIARINGV YGCIEGAAVYHYHHPVQVDEYYALLSAAHAALVTSGRDGMNTTSLEYVMCQQERHGQL ILSELMGAAGSMSSALMVNPWDYAGLAKTINDALLMSEEEKLYRHKQLLKHVKSHTAS FWARSFVKMLMESVELSEKSSHTPLLRTEWLTQCYRSSTKRLLCFDYEGTLTPLRKMY GLTVPSKSTMDTLEQLCRDPRNEVWIISGRDESTLDYLLGSIVGLGLSAEHGSFMKYP NTKKWINTTEHLDMSWKHDVVEIFTYYSERTAGSFIEHKRSSVTWDYRLADPQYGLFQ AKECQNHLEQAILSKMPVDVVVGKKNLEVRPTSVNKGQIVKRLLASNQDLDFVMCCGD DKTDEDMFKAIKKFDVDEQWTFSVKIGTENKKTLALWHLPTTQDLIHSLNAVVTSNV PHYBLDRAFT_154634 MSVRENDPEMAGPENATTSPRVALSPKVTSVPPQSTASIAESVP RHEDIRRARYISMATSTEKLKAQNQKKKKEPKKPVGCWTIASWVFTWWAPSFMLKTFG LKDQQTQQAWREKVALVQVIALLCGSVGFLTFGFNAAVCGLQPNRIRPTGVSGDQIVI SGRAFDLESFRHPTPFPNMPGSGDLRELGFGGRDLSFMFQIVNYNCKGIFKPILQDDS NGNVVNYFPCVTLDRYHPKVNGTDNPIREGCHVSADSRTALRDLDIVGDVYYNWTDLQ QPGTSLIAFNGNVLDLTRLRYLTPNVPLPLQVARIVGPGSAFIGRDATYWLSTTADRL QIGKCLTDILKVGVLDTRSTGCIISDIVLWVSLAVILGVVFIRFFLALVFGWFVSWKL GSIREETVEERKARREAILQWEMNNTEQMHYPRSRSIASIQDNPPNDSLRLRFNNESL GVNESLISIGQSGNGTGNGTGTGTGTGTGTGTGTGAGSGLGIGTGNQLYGSSLSISGI GPNAPGISSQKNRRRFFPTTSRFTQQSSPAFPKSTVFDVRSASTDGFGRRAGSRPSSP SNITHSFASHIHSNKDNATMSQSASMAEIEAENNYNFNFELIHTFMVVTCYSEGEEGL RTTLDSLANTDYPVSHKMLLVICDGIITGSGNALSTPDTVLSMMKDDIVPRDQVKPAS YVAIADGMKRHNMAKVYAGYYRYNDDPSKGAGVRPPEEQQRVPMLVIVKCGNEAESTD KKPGNRGKRDSQVILMNAMQKIYYGERMCDLEFQFCKAIMKLTGRHPSCFETCLMVDA DTKVYPDSLARMIACMSRDPYIMGLCGETKIANKSDSWVTAIQVFEYYISHHMSKAFE SIFGGVTCLPGCFCMYRIIAPKGDRYVPIICATDIVEMYCENVVDTLHKKNLLLLGED RYLTTLMLRTFPKRKMMFVPQAVCKTVVPDTFEILLSQRRRWINSTVHNLLELVLIRD LCGTFCFSMQFVVFMELVGTVVLPAAITFTLYLIVISFFITPVPIIPLLLLAAILGLP AILIALTTRKMVYIGWMLVYLFSLPIWNFVLPAYAYWHFDDFSWGQTRQVEGGGDGKG EDHGRREGEFDSSGIAMRRYEEWSVFLVPMRTENKKRKEKQSDKEPCATIDL PHYBLDRAFT_185654 MKTTINMSHRNPIHSIASSTSRSRSDLPRILTITPPNVVHLSRS ATPTSRRVRSHWLSNFICNWSQSSRSSKILFILSFTLFTLQVIVTTAVLALYWDMYCD KPLRLFLVVYIIRLVISSPFSIYLYIVPDQALQSIRVRSDISMAERGEYYPGTDQDIL LPPLSMPTTAHYSQPIETSPTSTPTDTSTLIPILTPTITATSNRSFNNASDSIRSMPR YRASDLMNAIRRIRSTLDLFAVFWFLLGNYFLFTSTTCEDTAGPIYYLSLSFIIYGYI IIAFPFILCAAVVFCLPCVLVGMHLLHVDDAVEMGGATIQEISLLPMYQFKTVPIPMK SFPSISQIYLPQPLPHHHNNNNNNNNSINKNNDQRQQKQQNFKLDNSISSTKNKPQVK PQSVSKSYFDLFWVWVGAVEPVITPSQPEPKYPTINIPPEQDRLCVICLSNYEDGDIL CKLWCKHHFHKACVSEWLVLNSKCPMCKRDCRCKPDHTSTSSTPSISNHFF PHYBLDRAFT_108718 MKHLVAGGLAGGVSRTVVSPMERMKILFQIQGPEPAAYQGIVPT LTKMWREEGFMGFMRGNGTNVVRIVPYSASQFAAYEYFKALLMEPGKTELDTTRRLTA GAGAGVVSVASTYPLDITRTRLSVQSARIGSSMRDGGGAAGSKKLPGIIPTMVSIYKN EGGIRGLYRGLWPTTLGVAPYVALNFQCYEVLKIYLIPPEVDQSSVSRKLLCGALAGS IAQTITYPLDVLRRRMQVTGMASIEYKYTGTWDAIKTMVQKEGILSLYKGNMANYLKV APAIGVSFVTYEWCKDAMTYNR PHYBLDRAFT_60988 MESPTINEHVPQVQADQPVEPVTIPTVPETPNREIKVFNPPANA PPPAEVPESFYKLDANEVGRLYKSQVDNRQKMENAPLRTQKMRTTEEQERMKKYPRTT IRVRLPDGTILQAVFQSKEKVSALYTFIRSTLETPDRQFLLCLPPRTKLVDPDLTLYK ANLAPASNVMFVWIEKATGSDTQVLKKEYLDMKQPLASSSASSSSPLASQDPAQQQQP KPTPPVKTSKVPKWLQKGLYKK PHYBLDRAFT_180025 SKKSHLILPCLTTKKPTTRSTPSIFPLRSTRPSGPTSSWPLPLA SVPCTLSTRTRPRRRERKLTTILPRSSSLVSLPLPLTTLPSPRVWTGSIARRPSTRLR RRLRSFTASRLVTSSK PHYBLDRAFT_141727 MRPTRRRTRAPTPPVQESDGTSEMELNESVQSSEMSSDDDEQIV NRHTIQEPIEDEEQEEEISDSEESVESAAVQQYPSAVDSDASESEDEFKEIVQTRPAT KRQRARLNNHEPEDYIELPMESSRKKLLTEEEAALKRSEVTRRRKNQSLQRAEKDKAD TINRLLKKQATKSKQKIRDDVIETKEGEKRKEHGMRYIHNKEGSVLAIPIKYTVEHVF GTHKVSAPKPPRKCEVHGCHQLKKYVAKKSGKLVCSLEHYQTVEGVQ PHYBLDRAFT_108720 MCIYTFNFLIIAVYAARNQKIRDDWVKAMEARIIKEKLDECYRT EGVNHYQNCRELANMYFTALKENKVEGFRKKTDRS PHYBLDRAFT_141729 MLPQEIIVTIANNLSTKDRITCTSVCRSWKDPFQRALWKHIEMK TRERLWMICYEASQQGNKYHSNGHCTHDFCISFHESIDNEDFYTLLKVFPSLRSLKIV ADLSPTITLKREPGNIFWKALTVLDIVPPRYNDETDIKEFANLLSFTPNVKRLTILQS PQGGVECTWNDLEVIHDCLPLLNHLEIYTNLSDFESKDAADFTQIKPTRVTSLIIHSY DQCIFWVYYFACKYPKVHTIKCSLLGDLAEGEETDSVCAKLKNLSSPFQHLKTLETNT NLTEIYKNDVFYTLLDQNGVKLKDVTCILGWGNDTFIYSRMRDLFDVTLTSILSKIRG SVERLSINCPTILKLDSLLLSISLCTTLVDLQLCVKSETVLLEHIIRCFPSLKRLAIR SHSGLSILNNSKKMAHNSLETLELSMVTISQDVLRTSSQNMTNLKRMVLEDVTIEGHL SKENGEFCIDMSSTRFDYLKLKDVHFHYYYHLRSCFCINFSSVLQSDYQEQRESEKRR FMFSRQYFESRKLEMKNKPNPDRQHNSCQGHLGNDMWRDDLSRGYATLRCKGVETLNI HGCAAHNIFPE PHYBLDRAFT_164752 MLASLPFEVLTMTATFMAQKERLVCATVCKSWSKAFQHSLWYSI NIKDEQKVDTILNPPATQENAYKKNGNRVRDLTVERSVNLNNEQISKLQSYFQKLLAF RSGYGALDSDILNTTNNWRNWKSLVELDITTSCMDEKEPEEKFFELLIHLPHLKQLKF WEQCYKNTHYYTWETLEDIHKILPKLEVLVLNIVFIEMPLDTIKTMTDISPIIRMKTL DLPHHNMDIGWLYYISLRYPNIEELSSKYRSYTNMIDQDLPDDETVMLLNNHTNGFLH LKKANISYSVAMMGCLELLCAMYKNLFKSITTLQYSLHLESGDILDFPATIVTEHLNS FPASLEDLNIEITSDFIEAYRLPDFQNFPHVKNLSINILNSVTSIDKILDNFPSLNVL HIKGNYVTLSSSDTSTEKLHPLRSLSLADIKADSSIFKYISYHCRSLNKMCLYEVKVV QKRSESRRRIYMDMLYTNFHVLTFIRVKFFLTTETGSFDEEIPTNFFILGRVVNAPQY FDPNFKNLHSNYKKLLEIHTNYPWLEGETMWSYLCFNKDSKYNTRKLWTLDYKETLTI KKAFEKYSPTDPKTSKTQRKRHPGGLALQRYWRSDLPNGYARILCGHVQGCYFDYVYL NFTRRKV PHYBLDRAFT_141731 MDLSSFINQLQADKRLTENIQKFLGADDNAFKSPGYLLYNYHIQ TTDHFLEECSITL PHYBLDRAFT_141732 MATTVQIHRPYITDHSLGIQWNRENYLRHPWLHMDLDRMNTTIH TSTYFYYESVFSNLACLEWASFLIGFLFTLSFIHTTTPLTSSEADELKDQWEL PHYBLDRAFT_16617 MTTTRETKAYTTLDQSSMPNFNSSWVNYKGAWFTTIFVVVALKA LFTVIPFISPEASWTLTNLSFNCGHYIMFHWVQGIPFENNQGAYDGLTLWEQIDGGVQ FTATRKFLTAVPIALFLLSTHYTHYDFFLFAINFTALLVVLVAKLPVMHRVRIFGINK LDYDMD PHYBLDRAFT_141734 MPSSRQTEQKINNNIEKASNVAQDEVTRLRAELDEFKRKAGPKV QEAENILCSPTAIGFYQGLVVGVAIVLGYAKYNGGLRL PHYBLDRAFT_141735 MNDLYSNINDTIYKLNPEVEKYGTQVKDFHPTIVRDYYCEPPCD YSFQSICAIPEYSRFSQEELRLIDYRNFALRRNTSLFERAEDCSKISSFSTGIIDNNN NNNNNNNNNKERQSSQSQSQSQSQGQSQIQSQNQSQSQSLSQSQSNRLNAKGYYTLPS LDSLRQLLLLPQPHGTTVISRFRIVRPGFGEVVYDQIDLGEFEPEDLERIAGDLVVIE PMYLAVCPRLKNTHTLQGPVTVMLENCFTTEIYSDIVVKDPFHPTYQEFVNYLKARKH TRFIDYIGWNGQWKFRVNMISQL PHYBLDRAFT_60995 MGNCFGSNKRGPGHRLGDSSTDTTPTRTPNHSSVPINNRPAPST GRTLGGNSPDTGRDAMLAAAEQRRLQEENRGVKESGKLSKQLAEQSKKPQPTPEVPET LRVPEDVDLCLRLPWQINGIFKNIDP PHYBLDRAFT_76534 MSTFAKKKINEASLDKRLSRKLTVDAHEPYISIRSVIKKADKEA AYTLCEAYQDDPVLNWLTGSIKDRAKRLELYQDIFKSLIRAAVRKSREFAVQVNGCKG VLIWSESSGEPLTLANVLGKRKLWSSINGLSLMRASFIHHRGLSKARKRLLEGRRHIT IHYVGVLPAERRHNLGNALVRHVLNKADDVQMPVFVELWGPDHISWFERLGFSVEDRR YLTSDSELPVFYLVREPRAPPATTLSSESHTLHLEPPREDTLIEGN PHYBLDRAFT_180027 MPREHLQSTKVALILCSCFFAYGTFWSDWAFDYYILWANTAEHP EAIPRAVLYYTTHAQAPDILKYIPFVNLLIAAFGFAAGLANMTDGNILFDGASLVLLL FGLSTYATSVKPALKVITTSLDQDELRTSLNNIAAAHFIIVLAITGIVGLQVAHYILS KRANKAEVVYVQEVITKKKTK PHYBLDRAFT_60998 MAYKHYILRLQISVIKRRRKILCTNFNFISEKLGRKNKKMRKKK KFIQGTRQKTIISIQVLHYMRGIERLDLLRSTVPEMQLKKKKAKAKEINQEDKSFCSP EFVLSTQIKFAT PHYBLDRAFT_11342 KAMFMFLKAFIGSGVLFLPKAFQNGGLALSIVLMVLIASICLFA FLQLVKTQKQIGGSYGTIGGYLYGKTVRYIVLFFIVISQIGFVCSYFIFVSGSLVKAV DVLSSCRSPIQEKYYIWMPLVVLIPLSLIRHMARLSFTAIAADIFIMFGLICVVYFSG SQLATNGVGPNVQAVNPANFALMIGTATFSFEGIGLIIPIVESMKRPSQFPFVVTVGM IIVCSVYILIATLSYLAYGDTIQAAVIYNFPRDNKLTVAVQIVYAAAIILTAPMMLFP ALKILENGIFQKFAPGHYSVATKWGKNIYRVILCVVCAAIAFGIGGDNLDKFVSLVGS VACVPLCFIFPGMFHLKIATNRKDKIFDTLLIIWGVGIMVFTLYVTINSFV PHYBLDRAFT_164760 MSTHGSLSTNGIRSGPWNGVNRSLHNSNTRPTKCIIKALPLFNS PESEVLLKQVAIDAAWARLVHPLSVIFNVGKIASDHEFCEIMVQTLGSAGSYIPRYSK TKDLMVEVLFSNPETRRKATTTGLDVKGSRIVAFPGFSSGDNIIKVDLCNIPACDANI ELREPLKAAMESYGKVIDIRAYVDHYDQFRGKATVFLDISANAYPKPLHSQIRLGGCF NATISARSKIFKGINSI PHYBLDRAFT_108723 MTLAAPTESIIGTSHRFVSKINIGNSLVFSKPKYCDTQVVQYSG YLNVGTNDNYFFWFFESRTNPSTSPLTVWLNGGPGCSSMVGLFQELGPCRVDSTGTKD SYNPSSWNQVSNVLFFDQPAAVGFSYGSDQVYSTDNAAVVAYKFLQLFYEAFPVYSTL PLHFFGESYGGHYIPSFANYIIEQNKAIAASSSSQSKIIPLKSIGVGNGWTSPLIQHK YSVTMACNSTYGSVLSKSDCTTMTNNYPKCATLTQKCYDTGSNSDCISANNYCTRSVQ YIYQNSGKSVYDVRHSDSTDDVPEDYLNFLADSTVMANIGAKSDYVECSDSSYQHISS TGDSSRDFAPDVANLLNSGVRVLIYAGDADYICNWYGNYAWSSQLSFNGSSSYQALSL KPWTVNGKEVGQAQSGSKLSFVRIYGAGHEVPYYQPVASLGMFTTWINGQPFN PHYBLDRAFT_61002 MALNKRLYSEIINVLHPEDKGSITKYLHKFSFFLFKEVRELIKV ERDRKRQKTWESVSYKFILYHQAIYVLDGQSYSKTTKDFIRAIKSYKIIGNKDLGQKI SMKYVAYYCPVDVNTSVHKCRTIGDPKNNNHLCTSKSFP PHYBLDRAFT_17220 MTKIFTPVSRLCLAALALCLGPLAAFAAPAENNLGLSRRFVSKL NIGHYLDFSQPKYCDSQVVQYSGYLNVGTNDSYFFWFFESRTNPSASPLTVWFNGGPG CSSMVGLFQELGPCRVDSDIKDSYNPFSWNQVSNILFLDQPATVGFSYGSDNVNKTSD AAAITYRFIQLFYEAFPVYSSLPLHFFGESYAGHYIPSYANYILEQNKAIVASHSSRS KIIPLESVGIGNGWTDPLIQHKYSVTMACNSSYGSVLSESNCTSMANNYPECATLIQK CYDTGSDDDCFKATDYCLYELQYTFFYSGKSGYDVRLEEDIDITTTNYFYFLDDSTVK ANIGAKTDYSQCAEVPSERFYYSGDNSRNFAPDVTDLLNSGVRVLIYAGDADYLCNWY GNKAWTDELPFKGSKKYQSKSLRPWKVNGKEVGQVKSASNLSFIRVYDAGHMVPAYQP EAALSMFTTWIKRKSF PHYBLDRAFT_68614 MALPAKTPPPLPRDPSPPPGSPTPSLNTPSTPTGSPPLSPSYVA AAVTSVDNSCTSRIIGSIAGNGAPRIWKEGSSPFSVFYEVPAEGNPLRPLFFEALNTA FPLGVGRGLTYASRTSRTSFEFHLVDQEACSRACQVGFPFNGRTVFASPAIPSTFKLL RLRVSRLPLHGYADFDELAENLRRCLAIYGQVQEISLNLKYNYPDGTGTIHMLRPPNP DLHLRHLEHEIKYNETTTFLATWACMGTHCTFCKEMGHEKEACTKRPKETRTCFRC PHYBLDRAFT_68613 MEDDSLDWESLFGSDNDSVDWNSLFGSNDEKEDDDRYSTDIPGL ELVREALDHSQQMKIIQAILDTNTFSDAGRVNQAMCFGKLPPHLDWLSRHIKNQLPHL LPRVLMQREPVFDQAILNLYRKGEGIVSHVDLARFEDGVVILSLMSSCVMTMRPVPKV SPADPSLEVDILLNPGDILALSGLARYEWEHGIKECEYDVVRGERIERGTRISVTLRK LGTTVETPTIETTATRTSI PHYBLDRAFT_164767 MSFSSFSDDDNDPQTRGSPGIFEENSLDRSRHSSEFAYVEEGAR DVFNEEDGTDSVRDVLDEGDETDSMRMNSVEEGVTLEMYYKTHPNHMLDQRMREITDE DSLWSVSTRRLPWGVENLRDNNPLTYWFSDCPNQKGAHTIDVIFSKPTWIKQVSLFID YFQDNSYTPWIVSIRGGTCYRDMQEIMQIECEKTVGWQHADLEETGECTRVFRLQIAI LSTHENGRDTHIRQAKVYSIPG PHYBLDRAFT_108656 MISVLHSPITQQKFVILDCPTEQTLASYVPRLQEENVRALVRIC HRPGTQNPYDGAALEKETGIKVIDDIKFSDGGIPDPAMVERWLKLIDEAKEQGTTVGV HCVAGIGRAPVLVTIALVESGMDGLDAISHVRTHRRGALNKQQVRFIDTYRSKRQKTR SWLGWLRG PHYBLDRAFT_108868 MANNELWAQILGYFSIACWIVVFTPQIRENYKRKNTDGVSIQFL LFWIFGDIFNLIGGVLEHLMETMLLLALYYLLADCLLMGQVIYYRKRQGLLHRDEIVD TSESAPLLQSVSSSYKPTMSETTRRTVRIFFISSVVSMTLLLVGTGLFFFWPNAQDKI DFSKLHLVPQLLGWASAVLYCGSRIPQIMQNFRNESVDGLSLTMFVFSVCGNLTYCLS IFFRSLDRTFLLTNFPWLLGSGGTLFFDFTIFFQFYTYRNKKLLTDTK PHYBLDRAFT_95284 LPQRKTQKPLEGPHHRYHCSLCPKTFSRPSSLRIHGYSHTGEKP HGCPYPGCGRWFSVQSNMRRHLRVH PHYBLDRAFT_141750 MNLELLDPWEQEYPQVIEETLEDGYVLSCKFNRRGTLLAGGCLD GRCVVWDFDTKGVSRNLIGHVKPVASISWSRNGRFLLSASKDWTCILWDLVSATKHTK LRFSTPVMMAQMHPRNNFRFVVSLYQESPVIVDLSSGKVEQWTLATETEGKEDHKSSS FVTSSVWNKAGTSIYAGTSKGYLNIIDANTRKITYSMRVTSTTIKGIQWSRNGRDMLV IANDRVIRFFRLDEKGIPVLQNKFQDLVNRIQWNQASFSADGSGHKAEHNIYIWDKNM GNLVKILEGPKEPLDDLAWHPVRPIIGSVSSYGNIYIWTAKHEENWSAFAPDFTELEE NMEYEEKEDEFDVVPEEEATKRKQDDEDITVDVTTDETIQAFLDIDEDRGGEDEVFYL PTLPFDGDAQSESDSDSVYTDEELKRSDSQSTLDEGPRPRKLYKKEKSP PHYBLDRAFT_185663 MTDSISHSYPTLAANPHLLSLLLSIEPTQAKSVAAKQKIYVDSL VDITVQAIHTIWPQPIVSHKPVASLTTFLRHILKYSRTTHSTLQLAIFYLFRARTKIQ KNKQDGVASCGRRMFLAALICAHKYLQDKTYKNVAWSKVSGLKVAHVNVAEKTMLQLL DWQLHVKKETYDQWLSMLQTHLV PHYBLDRAFT_122621 MLSEVLTHLAPEPGKIYCDLTFGDGGYTKAILDSCDCKVVAVDQ DPTAYEKALQLAATPDYKGRLFPLLSKFGQIDSAVKKNFDWSFPCFDGMVMDIGVSSG QIETASRGFSYKLDGPLDMRMSRLMRKSISAYELVNFYSREQIADIIYKYGGDRLSRK IAAAIVDARQKAPIETTGELAAIVQKTCPQPRWQRGDDDMLRNSAARTFQALRIYIND ELAELESALRSSEYLLRPQGRLVVVSFHSLEDRIAKNFLYHCAGKRSTQEAETNHVVP SFELKSKSVVQASKEETAVNSRSRSAKLRVGQRTMAEPLSPFGPLDL PHYBLDRAFT_122623 MASFYDEIEIEDMEFDEDEQVYTYPCPCGDKFTIYMDELRDGED VARCPSCSLIIRVIYDPDEFADEEDQESTFQVESTITVA PHYBLDRAFT_180036 MPIYYSLTFGILLTEMIAFGILVTPLPTRWRRAMMKFASTSPVI ANGLYGLKIVFAFIFVLFLDTLNRLHRIESEVSDEHKHDYNYEANLKAKRFYAQRNIY LTGFTLFLSLILERTSKLVLDMLKREEELDNAKKEAISLLPFSYIFTQSAVTMKDQQR LIDLESTYTKQVNELTKEIKELKTKELDIETLKKQVEQQSVEYNRLSDERNEFEKKAN LMTLETRKDI PHYBLDRAFT_185667 MKLPNTFSQLPTLSSETMYLYVAEDRFVFTRGKNILEIAFEGQL MTRLDNYEPSKDVSIYEVYGIVGIMEGGLEKYLVVITHVQTRGLIFGKPVYSIEKVAC LSFNSTKAKDRLNAKAKAIRTPEDSESDEEFPVETIKSTTDTKTENFTPIKLPPPGSG PLTSPDGTNIQPPILHPSNSLITRLKRSLGKKKNEERPILNDGVDEEVNEPMVIKEED IVESKSAEEDISLTWTTSEDTVLENRLLSQVTELFGRSMFLFSYDLDITNSFQKTYEK TKVEPSLLDLPLYKQVEKRFWWNEHISRDLIKQKLDEWVLPVMQGAMQLEPCEVDGYP FDFVLVSRRSRERAGMRYQRRGINEHGEVANFVETEQIVLFEREGIQHVVSYIQTRGS STVSPIKIPVFWSQSPYSLHPAPTLERTEAENDDAFKAHFCKQESLYGKQIAVNLTEL SGRESIVGQEYRKHVEHLGDPNIKYVEFDFHRETKGMRFENISKLSTSLSDDLSKVAY FWEAGEPGAETVYCKQTGVFRTNCMDCLDRTNVVQSAFGRSVLNLQLMRFGITEYPDK GIRFYEEFEKRFNNAWANNGDMISRMYAGTSALKGDFTRTGKRNITGMMNDASNSLAR MYFNTVKDFWRQATVDFVLGKNLWVLTNNAGYHKFEIFRHVPQATLMSAEPGIERRWA KIRSDAVEISSEIVIADDEVKISGWTLLSPVETQKRNAKKFEEKVVLLTEKAIYVCSY NYNLEKVVQFKRISLDTIIHLQIGEYILSSLSPTSRRPDQNYGFLLFYRANGEIVRWN TGSIRNQSLGDLNIDSNETNSRGDSGRRHDSDDNSSDSSDSDSDGDDGNAFVAFKAVR YNALGELPEDKVLNCRDQVRKIVSEIADACGHSTKDERFVEHKPIISLEQAEKTDGIF KTMGYKIKKAIWI PHYBLDRAFT_141757 MTGRTATGENSSEYSFNKHSTAPNTESKPHRCNGHLEDVLTGVS KLPMDFAKPFSAFLSCLKSNPGQEPSRYVPAKPEEP PHYBLDRAFT_141758 MSENLLINFNGPFDVGELDRTVDAFYNGNTAMRQHAQEILTQFQ NHPNSWQLVDQILENSQSLYAKFIGLCILESFVVVRWNTLPLDQRLGIRNYIVKIIVD LSSDKPKDGPEKTFINKLNVVLVQILKKEWPQHWAGFIPEIIESSKTNLSLCENNMKI LKLLSEEVFDFSGDHMTQTKMKKLKHQMVDEFGVVFTLCRQVLASKAASSSLALATLE TLQRILSWIPMRFIFESDLIEALQSKLLEEKDQRNMALKCFTEIVGIEVSPRYHQKLT QIYESVMKVISQIIPFTSDIAVLYETADRYDQELVQDLALFLTTFLSKYSTLLESQSI KSMAVESHQYLLQLTRVPEREILKICLEYWGKMVYEISEQASRSTSITPLRMQHTLYA EILKELRSILIENMAQPDEILVVTDEQGDIECEFVKQSDLTALHKSMRQVFGLLTALD MNLTEQIICENLARLLNTDQWSWSNMYKLCWSVGAMSSSMDENVEERILVRFTQSLVN LLNASAHNKDQACVVASCILYLAEQYPRFLKSHWDFLVLVMGMILKYLHDPQVSVREM ACDAFLKICQGCLRELSVVQPNGQPPMLESFVMDVQGITSDLDPGQVCIIYESIGHVV SAAPIDYQKQLIGPWMRLPNETYEAALQQFVTSPEALESPGTLKALLNILKINTSACS SVGPGYLIQLQFIIPRLIITYKSASDRIKIAPVQDGQVVRSLRRIKSEILQLVEKFMV ASEKIEEDNNSLLSELLNVIMEDYDQSLAALREPGVLDVMTSVFEKMKNDLWPGLLEA TYNTVFKPTLEMISQNFVDFPEHRHGFYRLLRVLNRKCLTELLTGPPATFQLFIDSIL WGTKHTIRDVSQVALQTCLDLIHNVSQLEDEDQASDFYSAFYVRILREIISVLVDPDH MNGFNYQSQILATMLGLVQEGEIYTRLFDPSTVENPLMSNTEFLQEYAQQLLCEAFPL LQKDQIDVLVMGMFEYSGDLSRFQTDLRDFLIDIREVGEDTGQARQIQEQEAELELLQ CL PHYBLDRAFT_141759 MDRNTTGLYDTLGLQKNCTPEEIKKAYRRLALRYHPDKNPGSTD QVLGDEQKRRVYDRHGELGLQMMGTVASPLFDPEIESMLCAAFSLLSLVLALFIIFFA FLTVRIDGITQWGWAVVWIPLWIIDVIGCFVSFQQVLRGFSKAEDDEDDSEYDQDDEE RRERRRHSSEESQDGERKKASRRRIRVMGRLLHFVYWLLLVIFQVFIVVRLDNTVDWS AAAVFAPYFALEGIHFLLNSFELFMAVLAYRRMNPNEPLSLKTISSLVFKQYWFFVLR LIWFILMALRIDQTITCSWAVVFIPLYLVGLKYAVQLWLNYRMYSHLPQPEIARQGKA TTMVAMAGFVIVGTLFYALVGLIAKRLDGSESIKMSHVFVPIFIVLSFLICCTGCCLP CMLFLSSVTDLEDPEQQQQLVDPNRRITQSGEASYQNSEYSTRT PHYBLDRAFT_108322 DKDVHRTDRTIDFFEGDDLPNPDPDMSVGTNANLEIMKDILVTY NFYNPDLGYVQGMSDLCAPLFVAMGNEAMAFWVFAKFMDRMKSNFLVDQTGMHGQLKT LDSLIRFMDPELYKHFEQTETANLFFCFRWLLVWFKREFQWEDVILLWEVLWTDHLSN QFIMFVALAVLDERRDIILKEMTQFDELLKYINDLSGTINLKSTLERAQVLFEQFRRQ VKAMDNKKLELDEQLTQTRGWNERQERAKIQASIEKLCLDDNLRNLLKAFA PHYBLDRAFT_141761 MASDNANDKFNDMGAQISRKFRSNSTSRKLSTLISDSETSNVSS ESKYHNVPSSSYWLASDRSEQDRLMGEHFAIKEVVGGNILTKAMEILDLEKGVKILDI GCGPGTWVLDVATEHPNCEVTGIDMSNIFPQNIRPPNANFLIANVLEGLPLEDNSFDF IQIRQMVAAFTIPEWPLVIKECIRVIKPGGLLQIAEANYKDSGEGASLLMVQTVQKFC QLRNQDPFIGAHISDLMANEGLEIVQKICKSVNQGDDSKAAAEWNWDWCHFADIMRDV FGPFLNIPENEYDAFITELEKSMKESNFHTYANTVVGQKPFA PHYBLDRAFT_141762 MASLQRSLFLLTSQCRSMPMRSLGLVRHYTSEATESLLDQLKQD RKTLMREKKQPDLNVVKSVLSDYTYYIKSPNAVPGQSENASVLSVLQKGIKRRQDSVA QYAAGGRPELAEQEQAELAVLQRYLPAQMSAEEIESHVRALVAEIGATTARDMGKVMK AWTIDASKADKKTVSDMVKKVLGA PHYBLDRAFT_108851 MRPLTAEETTTLFEKLAKYIGANIKHLIDRPDETYCFRLHNNRV YYLSEAMMRIAASVGRDQLGSAGVCFGKFTKTGKFKLHITALDHLAQYAKHKIWIKPN GEMPFLYGNHVVKAHLGRITDDTPEHTGVVVYTMSDSPLGFGVTARSTVDMKKLAPTD IIMFHQADVGEYLREEDTLF PHYBLDRAFT_68596 MEPSCVFYSCYLIRSLNPDYPNRVYVGSTPDPIKRLRQHNGEIT QGAKKTTPYRPWEMVMLVYGFPSNLCALAFENAWQHPLESRHLKRSKCYANKDNAFGM SSKQHANLLLSKMRAVHDVLSTKPFSRWPLNIHFITPAFKCLFEAEGQGMAGILLPHH IKTTLGPLTNLPLKKFQLDKKDDPVYHNFCSMADKVPCYMCKLDVEKKNTQAFVGCLD CKMVTHLRCLASHLLLMDSDSDNELVPVKGKCPKCDTDMLWGDLIQALKKRSTWALEE Q PHYBLDRAFT_108391 MDKLKINVDRTATGNYSSQERSRDIKIESYSLNYHGRVLIDNAT IELNFGRRYGLLGANGSGKSTFLTSLAERDIEIPEHIDTYLLNQEAEPSDMNAVEAVI LHAQKEVARLEKQVEELLSQDDGADNPLLDDIYERIEGMDPSTFEARACSLLSGLGFN TAQMKKATKDMSGGWRMRVALATALFIKPTLLLLDEPTNHLDLEACVWLEEYLKTYDR ILVVVSHSQDFLNGVCTNTMNLNHKRKLIYYGGNYDMFVKIKEENEVNQAKAYEKQQE EIAHIKKFIASAGTYANLVRQAKSKQKIIDKMEAAGLIEKVEKPQAFKFAFTDVDKLP PPVLAFQEVSFAYSGKVEDCLYRHIELGVDMDSRIALVGPNGAGKSTLLKLMSNELVP TEGRVQRHTALKLGKYSQHSNDQLEMDLSPIDYMKKHFPHESTQTDHWRQQLGRYGLT GAHQTSPIAHLSDGLKSRLVFAELAVLHPHIILLDEPTNHLDMESIDSLANAINSFKG GVVLVSHDFRLISQVAKEIWLCENGDIARFPGSIAEYKEGLRKKVKI PHYBLDRAFT_68594 MYAYITEFAANINIKCQISLDTSQPNIVETLLIVLFSMLSSILC AKSNGTRYYGSEWGLLQRKAPKDKNKRSVSAHIKSFVKFTTKKNRSIKDIKEHKGSIE HC PHYBLDRAFT_141767 MPARSMTRSHAIASLQQTARFSYRSCPLCSTPLLVRIHGSFVYK WLNSHFAKSHHEFMHLVVNLHPSNH PHYBLDRAFT_108575 MSKINWKAARTRRLIISYLKDWLLVIVMTAAFFAIDKVPPYHRK FSIQDKTIMFPYAVEETIPVWLLLIICFVVPIVAMALVSIGIRHSLHDFHSGLLGLCL GLSITIMITDIIKITAGRARPDMLDRCQAPPGIIDPVYGLTDYTVCTTPADSAIMIDG FKSFPSGHSSFSFAGLGFLSFYLGGKMRMFDERGHTWKGFVFAAPLAGAILVAISRTR DYRHHWQDVLVGGLLGLCSAYFAYRQYHPALGHLRCCTPFAPRFPATDDNGPYNVIDD SEATLYNRNIDPVTGRSVPLKGIDPNSGLPQTHHRSEDPFVDSASSSTQQ PHYBLDRAFT_68592 MLNISYTKNIGFLFWHMNITWTEVKYIYILLSSPTKFRLWAQVK MFENSISFMQIVNGFSNWQENHLDIHDILITTLIPEILTTFNKGLDALDISIVIFNIS SKAFACSI PHYBLDRAFT_23474 MSTPSVSFDIAKHPAKDTIKLLTSLLEKVTMANDRAHSATPNTT DPTDHSTRQGRARSISHPSPYTCFHARSIPSITIHAYLIRILKYCPCANECFLALLVY FDRMSKPNHLTNSPSLRLDSYNVHRLVITGIMISSKLFSDVFFTNSRYAKVGGLPVSE LNTLELEFLQLNNYGMFVTIEELQYYGDQLLLHWVRE PHYBLDRAFT_141770 MDTNTNDSLESRILRAAAANHADLPEFPDDKSDLPSDYEYDPNA DEEEEVEEVEEIDENDLLEYLDQQEHPLTIAQRLFYHELNTVESQKHSSSWDLKCLQP KNLEELYSQGWTIADGLIDLETLKGARKEAEDMLAKGAFCHPCNPDNDESFDPYRDEN ARDDTIVWLNPAKRDETDISRILEFFEGPLHHDIAEMIRLNGKTEYQLAHYHPKEAHY ERHRDAFPVDDPNDTHQRRVTAIVYLNPGWSHGDGGELKLFGQLEKHGLRESADRIVQ PLLGRVVLFMSGVVDHAVMNATKDRFALTAWLR PHYBLDRAFT_164789 MYTKGFINLETLLRLPSPTEEECEYLFTLWSYDNFLEYSHNRPM PDDDKISNTLLLVQNILFLKRTSFKHNSQNSYENKNPPVVHRIYEKKGPYVIRLTIEI NNNLKSPSERVFKIPSRWVLYYLRRDSRPERKATPRSTLEQEPLSVRSSLSMVTPLNT ISIFDLVPQNPSVGISVQEEGDKVQEIITSTEEWETTSSKAALKRKLTDILEADILSK ERSDYSSKKRRRLD PHYBLDRAFT_122635 MGRRPARCYRYCKNKPYPKSRYCRGVPDAKLRIYDLGRKKAHVD DFPLCIHLVSNEYEQLSAEALEAGRICCNKYMAKTSGKDSFHMRIRVHPYHVTRINKM LSCAGADRLQTGMRGAFGKPNGLVARVNIGQIIFSVRSKDSMKPVVIEALRRCKYKFP GQQKIIISKKWGFTPLSRADYVEARAAGKFRPDGCYVKFLPNKGTLENYFREVSRS PHYBLDRAFT_68587 MDKKETLNQATSDHAPNYLQPKKLFRSMCGILKEEAMSTKNREN LPISDIICDPTTERRNHGLKLVELFQPELELNASLLNEPHNRLLLDSLKGLFNSLLNN MRTAEPYQTY PHYBLDRAFT_164792 MNHSSEPGSTDEGYLSSRQQYYSWDDLDLSIYPESLQPNQYPIN QDFAIPHPITNQELLQSSTQDQSQPTYQYPPESQWGNAHMITKRTNNVETMVSQFPFY SSAQLAADVQMQDTNPRSYELEIVEQPQQCRMCGFGEKDRRPIDPAPVIRLIVRNQAG FLDQDCVEMPFFVVHASLWSVDMQHQIDVLKGPASVAFRILLGSTVSSPLPLKGLDNK TGTYFSFPELSVRMPGQYRLKFTLIHLTRSASTTCVFTEPFVVYTAKTFPGMKESSNL AKHLARQGLKLPVRNLARGNNKRDSEDKENIES PHYBLDRAFT_141774 MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSF LFPPTLNPSMTAASVFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRLCSELE LDSADSSLA PHYBLDRAFT_68584 MSAQLARQSLNLLLKPTVKPTADNGGKAKRVEKKSPQWLPKTKT GLKKIKHEIRYGQHQKTKQKKEEEKKRENPIDALIQTEKTLDENLARNVKMLTSKLRA TKVERELHKQASRQFSLLVLKYRSTKQTKSSKEEADDESD PHYBLDRAFT_141776 MPILRRRAFRYPNTSNRSSWLDKSNTLVQSTTGPNSSRRHPSKE TREKVEAQIKARIFCHGLPYSAMLLPVHLQDTIANGYKSGQHSQSCSRLVSMARWFSG CVDSFFKGKQTLGSASFLQKPTGPYLSAPHLLSHA PHYBLDRAFT_185673 MSDQVNGASSGITVVRKKLQGYVGFANLPNQVHRKSVKKGFQFT AMVVGESGLGKSTLVNTLFNTSLYPPKENTELSHETPQTVEVQSITSDIEENGVKLRL TVVDTPGFGDFVNNEESWKPILDNIESRFDAYLEQENRVNRRRMVDNRIHACLYFIAP TGHALKPLDVEFMRRLHTRVNLIPVIAKADTLTEEEVAAFKRRILADINYHKIQIYQA PVYEYDDKETVAENREIMSKIPFAVVGSDKEFEVEGGRRVRGRKYPWGVIEVDNEEHC DFVKLRQMLIRTHMEELKEFTNDVLYENYRTEKLQAMGIQQDPSVFKEVNPVQKMEEE RIAHEQKLAKMEAEMRAVFQAKVQEKETKLKQSEEELYARHKEMKEALEKQRLELEEK RRRMESGRPMTPEKASKKKGFSFNK PHYBLDRAFT_131404 MTVGLASHFAGVSLDPNDLKAQQKALDLVLQDFDVSAERMGAIV DQFNSEMRKGLAAPKATVAMIPSFVTGKPTGEETGRYLALDLGGTNLRVCEFSLLGQG EYKVHQQKYVISDELKTGDMRNLCDFIADCVDNFVTENGSDSLESNLQLGFTFSFPVL QTEINRGTLIQWTKGFNCTNAVGKDVVVLLQDAFLRKNVNVHIAALVNDTVGTLMAHA YKYPETSMGVILGTGTNAAYYEKLNSIKKWEGGAVAFDDMVVNMEWGAFDNERRVLPL TIYDNKLDRESINPRQQIFEKMISGMYLGEIARNALLQLVDRLLLFKGVSSPELNKQW CFETAYMSAISSDTSEDLEETQHILEAVMQIPSTSLMDREMVKQVCIAVGRRAARLSA CGIAAVISQQGSIGQDTLVAIDGSVYEFYPNFENDMTQGLTDLFGEKDGSCVRFALAR DGSGLGAAIIAMMSHKAAKLKAGSL PHYBLDRAFT_185676 MPHKNVFILSKAIILWCFRPDQCIGYCVHNTFHSTRPLLSKQYV VKLRVASSSYDEAAKFVSIIGGLSVFKKKETREIAMAGTIDAGLQNSYKTVEKSLILN KPLDQTVTSQIPAAVRQAFEDIVAGRYGNVIQNSPVFKDAHEDRAIILETGSVEEWIT KRLASLAKDDETSMHLLATGIACLNAFVQINWTGPIFNLAFESLFSAGDKEIEETLKS KIQASCLSSLSADSEEVYHLTEQLGWLAAARKILLNDDLRHSRYTAAFWAQRAVFIQQ QLLDEGSGSIQSLIILLSAESSSKFGINNVDLDKETLALKVRHSLEMGLILSFYGQDK EAFEKMTESQKASGFEWSLTGALGRRTKFQTFDVSQLVVHAESKKEDSTANTDEEAPR PETLELDDDTILDDVAYAKTEENDKDESKRHGNLNIIDQCLLLAFCLNVKNTNPDHGI TTEEMNPYVVRVLGNINNWMVHTMALLLRTRLEAHKTRTVERSALQLQALVDQIKVDD SGPEERLAYFYDLLLPSKWDMERELAGRFVSLGVVRSALEIFERLEMWEDVISCYQML EQPLKAKQVIERLMAENPNSAKLWCILGDIEVSPEYWKKAWELSNHTFARAMRSLGSY YYKHENFPEAIECYKKALDINPLFEGSWYVLGCAGMQAEQWDVAMRAFQRVVALDQEQ AEAWNNLSSIYVRLGRKPDAFLALKQATRIKFDSWKMWQNLLYVSVDIGQFSDAIWAM QRIVDMRWDKEREDAVDVPVLRLIVESVIQNWKDAHDRDGSRLAGQVQRLLEDVILAR ITSSPDIWSICSKFYLWQERYTDALEASLKAYRAVMHDVRIETDERVFDEVANLALDT VDMYETLGEKKENGALVCADWKYQARLIVKGLMGKTRDNFEDTATYERLKERLEDLKR S PHYBLDRAFT_164800 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTVAIFNWKRN EILETLLRV PHYBLDRAFT_141782 MALGTANKKHRSTLVSSILTSAKRTEGEPPILSSPLMSDVEVQR RSDRLVFYGLTAERSSDAVDL PHYBLDRAFT_164801 MPIIKDFPICFCLSNSRKIVCTEVRMVSRRDFELVHIRNTCHED ADMLGFFVTFLVKDKHLCSSNYNIYEVVTSLLLVIRLCEIRTHALPTKVGKTPVKPTK KLTIDRDGGKKSKDKGELHFFRQQRPTKLYGERVTLFPAQSDDLKAFSQKLIEQAHLR ENRIRTPITREWRLE PHYBLDRAFT_141783 MTRSGVENRGEVKQRCTSACTVPSQQMFSKSTVSTPDLTLPQPT RLDTCAGNCQ PHYBLDRAFT_180047 MSSENQSPAPSVQSSLNTSEIASNEQANKSMLAITRLRDLLAAA SIALADAIEQNQPEDTKNQIRLTIAATEGDLAILMSAHTHLLRSGPARAEQVAPQSRV VPRELPVLQWQGNEWDNTQKVYSSIEECLDKFEDVLHSYSLDLNVDWHRLLPIVLSRE QRSWYDNYLRSSPELPWSFVRDAFIKVYGINDLERQVQLTHELMLMRMSPTETVSNYT DRYQRVRREADVADNMQAAIAYTATLLPELARQVSLLQVNMPREKRDTIDKAASLARS IYSKVFLMSLHNDPVPKGRHLSPEQTTRMSAATSSARSPGSPREATQSRERSSSKRCS LHGKGSHDSEDCRILKNALAAKGGNRVEKAPYINKYVGSAPCRWCGEVWSHKHRCSSV AGSSSSSSSASGSPRGSAPHFAVRSAHTVSDDSSPSDVSTSEDDQSMHMDFEQCSP PHYBLDRAFT_164803 MHELSLVLCFTVFIGVSHWQFPAQVSRRVGCGSVRSGVETVDLE NICWLGTVHADVQRCLTSPLFSTPLFFEKRATNSFFPLRCQIRYIINKLLLNKILAQF LRFMSSIFLFTAISVYLFSDRISFLYSGPTRENAQMISCTVFYGLYWRITLAVSCASV QMSWL PHYBLDRAFT_164804 MHITKYFLIRFYLINTCKIVCTEMKMISRREFELVYVKHAFHED TDMLWSFIVLLIKNKHLCSSNYNIYEVVTSLLLVIRLCEIRTHALPTKVGKTPVKPTK KLTIDRDGGKKSKDKGELHFFRQRRPTKLYGERVTLFPAQSDDLKAFSQKLIEQAHLR ENRIRTPITREWRLE PHYBLDRAFT_141786 MALGTANKKHRSTLVSSILTSAKRTEGEPPILSSPLMSDVEVQR RSDRLVFYGLTAERSSDAVDL PHYBLDRAFT_164805 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTVAIFNWKRNEILETLLRV PHYBLDRAFT_74183 MTTVKGTVMVTEKHVAMFYLQSKSCLNIMRKIRFHVVQHTLVSG GWGFLIHIPQYLVCRKGEEALIMINMFFSFTGIQAAAFINDRTCIFRYLYHLHSRILT TYFTVYKTHQIQPLVQLTLRI PHYBLDRAFT_73852 MSKITKAPRYQCRTCKSTFNNSRTYKRCLERCMTNRAELLANGE VSQTVSLPDQSQFTSAMVPNLAFGENINTSSSEDMDIIDSTEDDESMYDFGEECENVI DEIEGTTPSLVFDFSQPLPVPSNDDKKNLAFMQLIQEFGISRRAHEKIVEHFNQILDC SINITYRACSPHLGNKLLERFSEGMEVKTPTTTIRAKVHVLMATGDIPALAKLACHVG HTSKNGCRICHVVGQTPKHGQYFRMLPGTQTRSLESFRNYNLASSEDRKGLNGQSPLA SMETFSGPFFFALDEMHGLCHGIGKQVWGLVRGKYGIKHPLCLSLATQREIGAAMVAA KSTIPTSLHGAWRDVTKNAGFFRAVDWADFLLFVVPTLVAERVQDLVARNALLGLVQT CNLLMSWELSAEDQTSIRSNLVEWNLFLESLLSTADIDIGVFTINQHIIQHYPQMIDL YGPPRAYSTRSVERAIGEYSRSIKSNSQVSVNAGNIMIRLAQSRRVAELTTVANTKTP PANLLVYSAYTDGWPVTEGGDPANAECEIEFWGPLKNLTIFDSFEDRSHLSLLLKTFY DLKGEEFSMLEPSIKTSRKAYLNGCVIDAAFNQSSTREACHVHVQLQVDMNSRRSRSY RPGYKHFFGKVVIFFQHVHNSKRWPLALITIYSVHLKNGLPITSVVKPKTIVIHASDI VELVGLVPSNVNGSHYIIWPSLKRGPKLTLGALSDI PHYBLDRAFT_164808 MNNTDNTVIQLLQGIQAALISLKSGQEALLGRQEALEKRQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTGIIPRPVSKINDITLKHIYKMITDDLRIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGILASTIHE PHYBLDRAFT_164809 MPRCEPQFRTRSLTPTFRILSRNLTYYQRVMCTIEYFTQCCPLD QNYEAGIIGYYEANRTMYGRIIYKTITTSGGMITTSDTKDTFTHNCLDNLLSLTFSCD KYFIQEKGHCQWQKDRNLWNFESQKLKDEDLEVALQFDYVYPLHKSLVNSLNGKHHYG KKSGLFGGLLGGILGGLLGGSFGKTSKKTIPIFGNIIRSPLTDGC PHYBLDRAFT_122650 MVDITFKFEAFSFNGICQTVALSLCPLIGKSNGIEPVCYSRNVD LAGNLIFQPATLIIDVVAIVMAAIMIYHIRSKYTAVGRKEIVMFFYLYMLTVFLEMLL ITGIIPTSSPVYPWFTAVHLGMMSATFWCLLVNGFVGFQFAEDGTPLSLWSIRISSFV IFLVVGFISIATFQKIGPFTYENPAALWAFYFIINGVAFIVYVISQIILVVNTLDDRW PLGDILFGAAFFIVGQVLMYIFSVFICDQVKHYVDGMFFGTICSLLAVMMVYKYWDSI TKEDLEFSVGSKQNVWEVKELLGEDELSQSYQGNQYGQQNQSYGQQYHQQQYEHPY PHYBLDRAFT_94945 CFDCGVKAPTWTSVPFGIFICQDCAAAHRNLGVHISFVKSTLLD SWTTEQLEMMKQGGNQAA PHYBLDRAFT_180049 MIVRAASEKIQGQVIGIDLGTTNSCVSVMEGKTPRVIENAEGGR TTPSVVAFTKDGERLVGQPAKRQAVVNPENTLFATKRLIGRKFTDDEVKRDISAVPYK IVAHTNGDAWVEARGKQYSPSQIGAFIVGKMKETAEGFLGKKIKNAVVTVPAYFNDSQ RQATKDAGTIAGLNVLRVINEPTAAALAYGLNQDKVGDKSVAVYDLGGGTFDISILDI QSGVFEVKSTNGDTHLGGEDFDILLSGIDLSGDRMAVQRIREAAEKAKIELSSTVQTD INLPYITADATGPKHINTKLTRAKFEQMVKPLVDKTLEPCRKALKDASTTAADIGEVI LVGGMSRMPKVQELVKSIFGKDPTKSVNPDEAVAMGAAIQGGVLAGSVTDLLLLDVTP LSLGIETLGGVFTRLINRNTTIPTKKSQTFSTAADGQNAVDIKVYQGERELVRDNKLL GNFQLTGIPPAPRGVPQINVEFDIDADGIVNVSAKDKATNKDQSMTIAASSGLSESEI EAMVRQAEENAETDRRYRETIEMANRADSVVHDTDKALEDFKDQLDASEAEGLRSQMQ TIREEVAKAQAGDASVQPDDLKAKIDSLQQASLKLFEMVYKKRAGQNEGTDASSGTTN NGPKEGDFEDVDKDKK PHYBLDRAFT_108350 MPNASSCSNITAKNSDNARSTKRKLMFATALALVFFGTELVAGY FANSLALMSDAFHLLSDVASFIVALAAIYLAEKPATKRHTYGFHRAEVIAALVSVLTI WVLTAYLVVEAIERVKRPQEIDAKLMCITASIGVAVNVVLAYVLGGHHHGHGHSHDDH DHSHGSEHDHDHDHDHNNDHEHDHSHSHSHADADTEALVNTQPSRKETNINLRAAALH VLGDLLASVGVLISSIILIFKPSYTIVDPLCTFMFSILVLYTTYHLVKDSLAVLMEGV PGNIEPEAIEKSLSQIPGVVAVHDLHIWTLSPGKSSLTAHITVSADSELSYDEVLQRS QHIVCDMYGVHHSTIQIESDQAVFTSHCKPDICNTRH PHYBLDRAFT_141793 MVSNSSEWISSGCGKFCTYKTSLEKSVHDHRKYRLIRLENQLEV LLVSDPDTNVSSAALDVHVGHLSDPDDFQGLAHFCEHLLFMGTKKYPRDNEYRKYLAD HSGQSNAFTGTENTQYYFEIDQKYLEGALDRFSQFFVSPLFSETCAERELNIINSEHD DNKQNDHWRKFQLEKSLGNPSHPFCHFGTGNHETLCDDLKRSITDIRSELINFYKSYY SANIMKLCILGKDSLDCLTELAVDKFKEVPNKNISPPQFPDSPLTSNELLKQIFIKPI KNIRNIDIVFPFPDQYPLYAHQPENYISRLIGHEGKGSLFSLFKNNGWAESLEVSAKH GGIGFGFYSISVDLTEKGQSCYKDIVVAVFQYIKLIKEIGVQESFFTEFQSLSRLEFE FGNNEFGESAYVSDLAGLMQRNYHPNRIINGSHIIQEYNPTVIQDNLNWLRTDNFRLL LTSQEHDVECTLREKWYGTEYQVLEFDTSFKKKLSHLTLHPELHLPLPNIFIPLDYQV HRLDIKNIKKKPDLIIENPKLKLWHKKDDTFWIPKANVWVFFKSKFSGDTAANSVRTM QTAGLIFSLKGGTHGLELIIDGFNDKLPFLFEMIIRNLLDFKVDPKAFISLREKLVRS FENFEMEQPLRIANHYLDYLAGDDRWTDTERLYELRNTTVEDIELFYSSFLSELHIEA LVNGNISKEKAIYLFTFATDLLNYRPLSKAKLCQSNISRSVIFPKGTKWLYQKQVSDP EIVDSGVLYMIQIGNEDNKWERARLNLVAHISREPFFNQLRTKEHLGYTVFSGMNIQA GHTSYRLMVQSNNHTLYVERRIEEFLKNLGGIVEAMSDTEYMAQIQSLVHKKLGKMKS IGQEGDKYWSHIRSGYYNFEQDEEDADELKKVTKESLVNFIKRYIEPESAHIRRLSVH IQSHNRSSFPSWLLDPGLRKQCVNRIQEHLGPKEIRLAGLHELKDTIIRMMGSTPLVA DTLLSVVLESLGNNDGSEELNFTAINTSTDTVKLITHVMSELENIERKRQREKTRDDT NDYDYGYDVYAEDNANYNDLVITSTRRIFDLIIFRNSMKLSPAPLPIEF PHYBLDRAFT_99262 SQTAYIVKDIPGLIVIPNPFSPEAQRAMIAQCLTDFARPPNTSN HDAFFKIPETGLWPLYVAEQSGAKSIPTVPPKEKSQGAFLANSLLSPTDMLRKQRWVT LGYQYHWGTKEYDLERDIKVPSTVGEMAVDVVTAIQGIGGEGWTNSYQANDFAAEAGV INYYQIKDTLMAHVDKSELNMEAPLVSASFGLSCIYLLGGPTKETPPVALRLSSGDII VMTGACRKAYHGVPRILEGTLPDYLGSDAFGDQLDGELLGKWMNSTRINLNIRKVFP PHYBLDRAFT_141795 MQSERVDSAKHFVDPFLPEPAHLVKYLAYGADYLQLKTLTCATY RSVFLDTYAKTTFLTQDPMYPKSFEFYFDIDIGVGCRRFRRQNSYM PHYBLDRAFT_108764 MRILAFALLSLATVLAQPVKRDPLRHYYALHFPQEHPHTTTHAQ SIANSLGVRYEGPVGELQTYFLVSAPITSNLSKRDTNDPTVEAFWAHKQKRSLMARDE IRSSAWDAVKSIDKQVLKRLHKRGPIPGWDKRAPVKLKGPEILADAQKSLHITDPGFP QQWHLVNQQYPGKDINVVDVWKQGVTGNGSTVVILDDGLDYESQDLAANFFAEGSYDF NDHTPFPKPRLWDDSHGTRCAGQIAAVKNDVCGIGIAYNSRVAGVRILSGDITDADEA AALNYKYQDNDIYSCSWGPSDDGEKMEAPVGMLADAFQNGVKNGRGGKGSVFVFATGN GAASGDNCNFDGYTNSIYTITVGAIDHTDNHPPYSESCSAQLVVTYSSGGGEYIYTTN VGTSVCTNSHSGTSAAAPNAAGIFALVLGVRPDLTWRDMQHLCVQTAEPIQLEDKDWK RLPSGRIYNHKFGYGRLNTLALIEAARAFESVNIQTHLELSVILNKAAIPDSSGAKHR VALKSAITVTEEMIKAAGLLRLEHVTATVDIEHQQRGNIVINLQSPHMVESELATERP RDLSPDGIRDWKFMSVKHWDENPVGDWTLLVYDVSHPEMTGNLLKWTLTLFGE PHYBLDRAFT_79190 MNTKIFIAFLAALCLFALVSAQSSSDDVQVQAADASGFSGTPTS AVAQSTGAVDAILSSLQDKASALAGSASAAVSSAPSSASSAASAQTSPAASSGSSLVS DLFNGSIFQSATFATLFVASFMLVLA PHYBLDRAFT_141799 MSSFVSIMGISMKMDFRFVASRINKPLYDNEENGYHKKVNGVRQ RTDQVIQELQT PHYBLDRAFT_108531 GEGATVYILDTGILANHNDFKGRVTIGATIVGDVDDPTDTNGHG TFVAGVCCGTTYGVAKKASIVSVKTLDDEGNGRLSDLLKGIEWVLQQHLLSNSTKSIV NLSLGAMRSQATNDAVEQAIAMGLHFTIAAGNYGEDACLYSPGSARGAITVGAIDEDD SVSYYSNFGKCVDIFAPGTNIRSTWNTGVQDTHVLTGTSMAAPCTILLYIYQACFL PHYBLDRAFT_154650 MASPTASQAVQVYTKGTKAWFTDEKEAWVSATCISASTSGDKVR LVFEDDNDQKEHIFEATMKDIENDNGSKLPPLRNPPRMEYTDDLTNLSYLNEPSVLNT IRTRYIQHLIYTYSGIVLIAMNPFDRVALYDPDIVQQYSGRRRGELEPHLFAIAEDAY RCMIREQTNQTIVVSGESGAGKTVSAKYIMRYFATADDQESVGKKKKVDGGMTEVEEQ ILATNPIMEAFGNAKTTRNDNSSRFGKYMEIQFDEGANIIGAKIRTYLLERSRLIFQP EIERNYHIFYQLCAGAPSSEKKELDLGDYSRYHYLNQSGSGTVPGVDDAAEFEVTQRA LSTVGLSVQLQWKIFRILAALLHIGNIQITGRGDAMLSDSDAALLTATRLLGISTAEF RKWIVRKQIVTRSEKIVTNLNPTQANVVKDSVAKYIYANLFEWLVCVTNESLSCPDMS RVTNFIGVLDIYGFEHFKKNSFEQFCINYANEKLQQQFNQHVFKLEQEEYVREKINWT FIDFSDNQKCIELIEAKLGILSLLDEESRLPSGSDQGFIQKLYSNFDNPNFKNHFKKP RFSNSAFTIAHYAHDVQYEAENFLDKNKDSVPDEHLALLQDSEFEFLTDVLDKAAANN PVPTPENNKRMSMVVRKPTLGSIFKLSLINLMDTIGHTNVHYIRCIKPNEAKVSWGFE PNMVLSQLRACGVLETIRISCAGYPSRWTFEEFADRYYALVSSKDWDPKLKPDIRELC TTILNNSIKEEDKYQIGTTKIFFRAGQLAYLEKLRSDKFTACAILLQKNMRRFIYHTR YVRMRDLALRLQCVTRRLVAAGKMQALREEHAAVTIQKNWRRYSARKEYLSKQQAVLK IQTAARGYIARRNFSSVRETNAAIQIQRVARGWIARKHYRSQRERVVYLQSCVRRRVA RKKLLKLKHEARSVNHLQEVSYKLESKVVELTQGMTALRDEKKSMSEKSNQLESQIRL WKEKHEKVERKAKALEVKLLEPTVPQAQWEALQTEKDTLFSEHRSVLEKVKSQNREIT ILTEQLNVQKEENARLQKEVDLAKEQSINAADEAEVAEFKSQIVALKSQLAQVMNTPR RQQSVSNIRSLSPTNNGIRSMSPSPVRQLESKDKKGQVPEPRSQSPAGVPINRKIRRN SAADAIDHRPKTSIDNIRKAELLTKNPRPTSIGPFSGIMSGMTSDAEEDLSEEIGKIL KDEDSLQEEILEGLIKALKMPLPSLQNPPSQKEIFFPAHTIGLCVTQMWQLGYIGESE RLLFTVMDTIQKQCLSFTGDESVVPCAFWLTNVHELVSLICIAEADMEQEMLDNSTNG RRPVGWHEFEKLATTVKFEMQCLEDNIFHTWMKEMKKRLSKMVVPAVVEGQSLPGFIT SDSGRFFNKILAGTAKPTYSMDDLFNFLNKIWRTMKCYYIEQSIATQVLTEILKLLGV TAFNDLLMRKNFCSWKRAMQIQYNLTRIEEWCKSHDIPEGTLQLEHLMQCTKLLQFKK ATLEDIENIYDVCWVLSPTQIQKLISQYHVADYETPIKPEILKAVASHVSGDKSDVLL LDPVMIDDMTNPFEIPVVREIVPQCYMPSWVRI PHYBLDRAFT_141802 MSQGLSQWMSNSASLRSRSLLILQTLKSLFLSAVFIIISLIALR RISLSSQRPNLKTRSLFPDKEFAQHHP PHYBLDRAFT_164821 MIDQARFRVVDRSSLDDRQWFFTKEELLDTPSIIDGLSFEQEQM DRTKGCHYLLAVGAKLNLPQLVLVTATTFFHRFFMRQSMRRFHVYDIAATSLFVATKV EENARRLRDFVNACAQKAQKNDRLMLDENSKDFIKWKDTMLHYETILLKTLCFDLSVE HPHTNLLNLQTQLNEKWNISPGRTLVPDACVRRAWILLFQSLGSPICVLYRPKVIAAA ALLISAHLSGEEIAERRWKDVDVDIGQVHAGSRDVRILRRPLSEESRSFTASISNITT FFIQWITIAATKLHACFSGNANHRLDNISS PHYBLDRAFT_141804 MEECIIESNVFENPAEYRYQLILGRTSILRTKLRDRFGCIKNNA VSLYPNLIRGKLDYEGILRHGICNMSALQPHFRTKRRKEKLFRVLKAYSLYDKRVGCC PNLKYIAGSLLTRMNEAQAFRLLTILLSSRSDMMDFRSLFFPSTDGYSPFLEYFDFLM AAKLPNMYNYMLSGDIRPSVYISHGFKSLFGLQGPAYLIENTIDLLLIEGPWILPYCM LALLESNEDTIMKMNHDEIPGFLWKDVFKPFESESSTFISSTLRLADIYVPF PHYBLDRAFT_141805 MRFNLILVLLTLAVASVNAGAFSEFWDSLFPKPIAVNDVMMSHD SSTGNSATKTKDP PHYBLDRAFT_185687 MVVVASAQQSVEVYTKGTKAWFPDKREAWVSTTCISNTVVAGKV RLVFQGEDEDEKRCAFQEYVFEATWADIEKTAGSKLPPLRNPPKMESTDDLTNLSYLN EPAVMNTIRTRYLQHLIYTYSGIVLIAMNPFDRVSLYDPDIVQQYSGRRRGELEPHLF AIAEDAYRCMIREQTNQTIVVSGERQVIRNSSKGERTNGAGKTVSAKYIMRYFAMADD QDSKGKKLKTSGSMTEVEEQILATNPIMEAFGNAKTTRNDNSSRFGKYIEIQFDTDAN IIGAKIRTYLLERSRLIFQPEIERNYHIFYQLCVGAPSSERKEFELGDYTTFHYLNQS GTGTVPGMDDAAEFEITQRALSTIGVSVKLQWKIFRLLAALLHLGNIEIGGRSDAMVA ETDPALLTATRLLGIKTADFRKWIIRKQIVTRSEKIVTNLNPAQASVVKDSVAKYIYA NLFDWLVSVINESLSCPDDEKVRNFIGVLDIYGFEHFKKNSFEQFCINYANEKLQQQF NQHVFKLEQEEYIQEKINWTFIEFSDNQKCIELIEGKLGILSLLDEESRLPSGTDVGF VQKLYSNFDNVGFKKVFKKPRFSNTAFTIAHYAHDVEYEAENFLDKNKDTVPDEHLAL LQSSEFDYLQEIMEKAAANAQTHTPENNKRMSMTSRKPTLGSIFKLSLINLMDTIGNT NVHYIRCIKPNEAKVAWEFEPNMVLAQLRACGVLETIRISCAGYPTRWSYEEFADRYY ALVSSKHWDPKSRPDINELCSVILAASIKDTAMYQEGISKIFFRAGQLAYLEKLRSDR FNECAVLLQKNIRRFVYRTRYVRMQELTVKLQCIARTKVSVKKLQALREEKAAVILQK NWRRYVERKKYLAKQQFILKLQAAARGYNGRRLFVFLEKSHAAVQIQKLIRGWFARKN YKKQRDMVIHLQSCIRQRVARKALVAMVTEARSVVHFKEVSYALENKVVELTQTLTAV RDEKKAAMDRSVQLEAQIRNWSDRYEKMERRAKGFEEKLQEPTVPQAQWDTLLSEKDV LAADYKKSLDKIKTQDKELAQMLEQNNTQKETITELQKAVEEANERASKPADEAEVAE LKSQIAALKTQLTQVLHAPRKQQQSAGGARGLSPAGTRGLSPAPNAMRNLSPSPARIL ETEALKEPLNERNLSPASIPVNRRPRRNSSADVIQARPKTSIDSIRKTENLNSSKSPR PTSVDQLSTILGNKTVTGAIDEEPEEEIHGILRDEDSLQEEIHEGLIKALKMPLPSLQ NPPSQKEIFFPAHMISMCVTEMWRLRYVQESERLMFTVMDTIQKQCLSFTGEEAVVPC AFWLTNVHELLSLLCQTLQEMEQEMFQNNSNGRRSTAWQDFEKLVRSVKYEMQCLEDN IFHAWMKELKKRLSKMVVPAVIEGQSLPGFITSDSGRFFNKLLTGTTQPTYSMDELLN FLNKVWRTMKCYYIEPSVSTQVLTELLKLIGVTAFNDLLMRKNFSSWKRAMQIQYNIT RIEEWCKSHDIPEGTLQLEHLMQTTKLLQFKKASLEDIENIYDVCWILSPTQIQKLIS QYHVADYENPIRPDILKAVASHVVSGDKSDVLLLDSVAIDDTTNPFEIPGPRETRPQK YLPAWLNLKRLRRLTMITQTTPTTTLLEAQM PHYBLDRAFT_141807 MLASVGCCFVRKRRGRSKTLDSAEPTQRGSFGQLTTGFNIPTTV DQNNTIETSVTMSIPDLASCTEKDSASFTDLPSPRRQDTKPKSPTLIPQNSLFSDKME LNSNEAMELYTTCVIASQEAAEAKQARGFVSIDFEKMQQKATTMKNTLHQSLRRQRSL KLSVPVNQMFHSGDEPPSPLLSSSLYSQNPLQPRSKETLQKSPIDNDFTVESLAILSP TRHSTKMSLKTQCEPSTITGDDWVVSPVSVRSHTLQSIPAFESTTAVAAALAEHGQEQ DEELEGYDMADSPLKEVTAVNAARRVIRSASRKTKTRSVLISDEAVTMMFGQPDDSLT REGRPTPRMPPVPKADESNYFASVRSRDPRTLENITITSGSVRRLVRDSIVTEGRATV SAGVRSGPGPGAREIAGWWQQSPKQAQTNKEANLPMSVANLPRLVPPVGSGSHTSNTA KTLAVRDLRILGNKGEGLTRTGSKRASTLGRSSQKPVPSLNGVKSLKHLFETPTSRVT PDPQGSLKPARPIPSWGIADKGVLSAGQDLSPEATDEPSDSAKYAVSDQDMAMQASIY AAKAKANANTNASASASASASASASASANASRSKIGSASVSGKKGGEVATIRRMLQST WENSLNGSESTTSFTSDLSNCSQQSPSMLATPHQSTQFLQSLSVRANPSRRPTMPASF VDHEQGSFRYEDEDQDQDRAPTSSLSSSTVRTMVPTYDTRQYSGESRRLQEMSDTFGS GRESEFRPPNDRASNTGTMLRASANNNSVAKTWNGRAQKSRPGLLAEPSAAVMSRALE ATSIGRSKGRKPIFSTLRTGSRQRGGLPWAEDDEDEASLESQTEYDRYLGSPDVRSPA TGSERSSWATIRPSS PHYBLDRAFT_177014 MIHKNQQNNHRPNKTSLTIVVKVGTTSICDEETHFPLLSNLSLL VESVLKLKQNGHRVVIVTSAAVGTGLRRLNMAEKPSKLAARQAVAAVGQGRLMALYDD LFGQFHQPVAQLLLTKNDLADRSQYLNAVSCLEELLDMSVVPIVNENDTISTQGIRFG DNDTLSAITAGMIKADYLFLLTDVDCLYTDNPRTNPDAKPVDICDDISALRSKVSIST SGSSLGTGGMETKLVAADLATAAGVTTIITHGAKPFNMLKIIQGDAITDNADQKKHDI PLNTRFVAKNNPLLDHKWWILHGLHTAGVIVVDDGAARAVTSTLRSSLFAAGIVEVKG SFVAQQAVQIVVRKTINGKLTDMVIGKGLVNYTSIEISRIKRCKSHEIADVLGYVDAE CVINRDNLVRVVNDA PHYBLDRAFT_164826 MSMQFLYEDGKGHVVDEHGVEPMDLVVDEELFAIETISSRTQFL MNKPPERYSNPVMHPVSDDRNNDVDMELFNKRRYTVYSDDEKTRFFHLFFSKCLSASA AARQLGIHIRAAQRWVKRYYEDPESIFEKKEKVRCIDENPSAVLTEVVGSLMQNFVDL NVSRSTVYNFMTTQCNLSIKQVQFHSVERNSEEKIQQRYVWVQKWQQTDMDFTTNCVF LDESAFHINLKRGMAWSKKGTPAIVTVPMTKAKATSILGAISATGLINVSLRVPKRIK KRKLGHETDVYSTGTQCFECRKTYSTPQSLREHMQKHNIQLPQRITGIRRYNNDEYTY VKATKSHDDIEKHFGCPACIAHCMEIDELKTHYYANHLETLPEQSQTTSQEEPATGQQ QSYNSQDQPNKRRLSNILGTELLDPLCLSFPPLDHDDHLLVQNFDATMAFHKLQLSLC QHKWKLSLENHIHCAMAATHILLLSRNQYPEDLSPYFSNHDLKATINGIETKYGIKKL PMSMATTTSMIGIVQNLTMGVISRDKAIAHLLDLDLPTNENKFKKCIIDLIRKLPPVP LQKTSTNLNLALDTLILFYLVYLTIRTRAYTYEARQNEDLSTKRPDICISRLHGMTWA SNHGYGEVKSAAQGGNNYSICRDLLRVGIFCKNALDTHNMEGVLGLQVIGRMVTFYVL VLPSTGLYVMYELEKIKIPSCLYDLTKLIVDMPRVCRVLDTFNRICKPSVHQAMPSRH RPTITTSAFNGVFSPSQDRKRSCHLKYQHN PHYBLDRAFT_164829 MAQPQLRRAAWDGQNNRPVVVDKATELLHLYIYDYCKKRNFAQA ARVFSAEANVSTDHLPPVDVPTGFLADWWGVFWDVYHAKHKDAQASKEAGMHDEVLPS EVQRAAAQSPQHPHHPHPHPQAGQAPHMQSVPSQHTPMSRDTRQSPASSVASLPTNPM PPPQSTIVNTPQSLPATLPQHLPGRHTASPSFSPQQMQRANVLGPDGIHPMSDPMLNS SMTVGVPINPQGQPMMARPPNAVHMQSAMISSAMAALGFAGRDPQTLSPEERTLLQNQ YKKNSAQMTHNTMLRNA PHYBLDRAFT_141812 MDFFPSPPSSPRQLYIDDKVVCGSCDKVLSSDWFCSDCHTKCTI CNRFLSPHEHCSRCWSFNTLQNLYIRKPNLHLLIPCHSYCTTTNSATDSNSNGNNGNS NNITSSPNPSPSTSHETNYGS PHYBLDRAFT_164831 MPLEIKRTKNVVEIKRFDYYGLLAVKLKQFEHHTTLHYTTLHYM PIIEPIDIDIDINMYIYANPFKIRKAKKRSSFCYYNNYLYSPSGVICTRLFVHGSRIC INDNENDPYTQKKTLYELRVYLMHRSANTVQLDNTTCGFRRENASKHGVDKGRIKEVD S PHYBLDRAFT_164832 MATLIVAPCPKKPSALRTYTNYYDQAFDFTSDDVQDACQELRQI LAHSRPQQPTTPTVVAAPTPLTATPLPAQPHYYYSNTMSAPRSFIRPLEHPIVRTGNP LPQDSSFIPLHQAVSHLQVSDCPPGVKHRPRSYSVGDHRNQQFLQTPINLVH PHYBLDRAFT_23418 MTILLRRLVLSSRPIGVRLYGSKVDKVEAVVYSSYGAPSQVLKW HSYGLPALTRDTVHVKFLASPINPADVNQIQGAYPIKPPFVALGNEDNFSTDGGDNDK VVKAAVGGNEGVAEVIAVGDAVSGLKKGDRVIMAKTGHGTWRTYAAGPPEDFQVVPNA TSVSLIQQATISVNPCTAYRMLKDFIPPQQGKCDYVIQNGANSAVGQAVIQIAKAWGL KTINVVRNRYIIAIIAILRQELTALGATHVITDDQLGSFETKSLVKSWVGKNRLLLGL NCVGGKSATEMARYLSANGKLVTYGAMARAPLTLPASMLIFKNISFYGFWVSKWAETH SKEERSEMLADLVQLMAAKQLKEPRWTAVEWNEKAVKEAVENGIQGYGKGKQIVLFDK AQ PHYBLDRAFT_68548 MPKKIAAEDDYERNIRSPEMCVDYLSYHFDEMDLAASWRVMTKQ KKDIVNGLRLENASWRTWAKQKNKLKTVSPETLNWLKDSDVTWLYGPLHTVIHDQEDR YSKPKISSTQDTLGLITAPPPLTEQQTNPPVLRPEQSQAESTNTVNQQSQTNPESQPL TPTVAIEGGVAKQPEQQKENGQEKNTRRPLKSALKRVTMSDILKRSASEIHVDTLNSL GPDPSSLSITEANKQLGVFSPSVIATHRQPKLRFNQQVEQCIALSIDEKTARSRSNSQ ATDSSRLDNTDGQSGGEDPTNSTISGSNGAGAGPGTGGTTTTTTTSSSNGRQRPLFPR SSIKKIAPARLKNSSQSEHETDVSSLSSSASSSSTGHCGGFANIQTANSSRRNSYSSW DDNGDDDGDNLDDDDNNSSSNELMPAILNSTRPIRIQPPEGQAIRWEGQPCVYDVVPP SDMYQNNDDIEEDDDDDDDEEDDDWDTNDEEDNRILHEVVAGPSNLNSHHNQTIIHSS TTSTSTTTTATTTTTTTTAAAAATVQRATTSLPGPQISPKSPPLSEPSHYQTIADPQQ ANSSPSSYQAVADIHRMDQEQQRTSPSFISQVANWASSLIWSNSPR PHYBLDRAFT_68547 MLALTHMLSSSPSQSHGSASFVPGSAGIQSPAPQSPASSQPLAT QSTNITTTTTISNNSSSSSSSSSFSTASPFHSSFLVSSQKIGIMQNDHNYGLLSTLKP TSSVLLSSVTSDILLNPATVMAANRQNIIDKEYEPVKIPKDFSTLDEDSHTIHSQRQE QQSVVSGRVYHEEEDLLAKTHAQNGTGHGTDPGTDIIINVPVPFDVNLQDVLETKAAT KEHLLDSVWKNRISNRLGLFPGTAATSRNLNDTSSFTIPNNNTNHANEPTVSYDLMGL LEYMHHSNQQWTMLGQGNDPSSFGLDLKSSTDLHTTFMSPWYDADHATLHNIPVTFKQ KTEYCIPSVRPIQQVTEKMSLDSLFVMFYANPRDALQELAARELYKRQWRFHKNLQLW LCKESGTDLVGQSATCERGSYHFFDPTTWNSFQKEYTVMYDALEIRDNEWYQQ PHYBLDRAFT_185690 MKLLSLGAAALSMLCLVVAQDGTGSIPTTTTASSYSCDPTTCKL PTCLCASQSPPNGLAAKDVPQFVTITFDDSVQPSLLATANQLLDVKNPNGCGAKGSWY VSMEYTDFSLVQQWYANGNEVADHTFSHVGSPSSQEIAAAKAMLHEYAGIPQGKIKGF RAPFLNYTAGTLSEISQQGFQYDSSATAVVDDCYWPYTLDNGMANDCWTGICDGAVKL PGIWEIPMYAVNDNASVPQLMDNYLAGSVSDVTEWSNTNFDRHYNGNRQPFGIYVHPT HLTNYPGLPDPSSLRQGVISFIQSLAGKPDVWFVSNDQLLQWMQNPVPASELANQSYM KCELPNTGKEICNGLEKIAISDVGLVSSSLLNTCNFNVASWGTCFNCPSVAPTLATPL PPLSVQSTDPSYRHPVPDNCDSIWWDPVAGQCLCTTASCAYKDVSVTVNTTTAGTTNS SKTATSSGAAQSAATTHENAGAVLLPTLSIGAALMAGAAAVLM PHYBLDRAFT_141818 MSKVDKKDTASPPPRRELSEFERGGIIWLSIAEHNPTDISRYMS IPRTTICDTIQRWKNDGTTNTKPRPGRPKKLSVTDERSLSLSVKRNPTETYAYHQASL AAAGVSISKHTVIKYIKENNHKTKIAGIKHQKKEHKKKEKAKAVKT PHYBLDRAFT_131434 MSEKFHCRMYENEFPKVDDVVMANVRQIADMGAYVKLLEYGDRE GMILLSELSRRRIRSVQKLIKVGRNEVVVVLRVDEEKGYIDLSKRRVSPEDIAKCEEK FTKSKAVHSILRHVAEKHDMPLKDLYEMIGWPLYKKFGHAFDAFKLAIADPEPVFEGL TIPAEILKELISNIKRRMTPQPVKIRAQLDLRCTGMDGVNAIKAALIAGEAQSTEQVP IKVTYLAAPFYVVTADALDKTAGFEVMELAVNAIKEKLESHKWSRFKVEKEAKLVSET DDRDFAELMAQAEKENELVSGDEDEGDAVAASDDDM PHYBLDRAFT_68542 MSHSTKSHKLLYNHLKKKNTEIPISTVIYINIAMIEEIPCLIDE FGVVVEPAMLIEYEDDEDEGEGEEEDDEITLENYLWSYTEKLLFDELPQIPEEDPADC EEPDTSPETSSTKLYTSNFCHPYDSSVDLIVYPFSDVTMQL PHYBLDRAFT_23543 REHEFMSLIDHPNIIKSGQLISDTSKIYIVMEYIDSYDLFGLIR KLGKIPEKDCCKIFQQIVRAVDYLHKNSIVHKDLKLENILVGKEKQVVKIIDFGYASI FSHLNKDVTYYGTLSYSSPEALEEIPHLGPSSDVWSLGVILYTMVAGYKPFKGNSRSS ILKKIKSNPVIYPDHFSATLIDLLNQIFAVDYSKRVGTSEIQKHSWVNQTHNYIKENN MLSRMSLQ PHYBLDRAFT_141823 MSVVQKIRNPTMVEIVMKNEQETLKYVNGGIKFEDKNAYGFPSF GSDCNTPEHICNRFPKNRYILRLPKYSLSSNSENENDSKDPFKKANIFTTPTPFYDLK YHTLLHCSGLLTFPPTPFPGNPNGIVPYYRSIFLFNP PHYBLDRAFT_164842 MPITKDFSICFCLSNSRKIVCTEVGMVSRRDFELVHVRNACHED ADMLGFFVTFFGQGQALVLYLLLVIRLCEIRTHALPTKVGKTPVKPTKKLTIDRDGGK KSKDKGELHFFRQRRPTKLYGERVTLFPAQSDDLKAFSQKLIEQAHLRENRIRTPITR EWRLE PHYBLDRAFT_141824 MNAINGFHTASHTATTSSSGHLCRKLPVKYDNNDQRVVWKAEAR SSSATRQHTPFQANGCFQNNIFRTTLRIITTNSSGHLRRKLPVRYDNKDRKTQYKRSF VRSLFIRLGHKNFRRAYVSLFWTYKRERTNDLLYCVLRSLLSYLTGSFLRKCPDELVV IMRNVRCLTSPLLSIPLFLRKRAANSFFPFAVKSGLRPEGDGSTLFSAQVSRRAGCGS VRCGVETVDLENICWLGMVHADVQRCLTPPLFFTPLFLRKRAANSFFPLRCQIRSSS PHYBLDRAFT_68537 MHFSFGLIALVAGLLGTVVNSIEAAKNVKICAKCSYKTINSALA SLPNDATTYNLLLYPGIYHEQVKIRRNNVNLKPMQPGFVQIEYNGFKDTQRTNGTNED SATLSVFGTNVKVYDMTIANLFPQNGGMANLALNLAATQASFYNVKFYGYQDTLLVNR GATGFFKKCYVEGSVDYIWGEGTAVFEHSTIATNRKGGYATAHRRGTADSAGGFFFNS CYIKATLPSGPLVATQDRTVAFTSSSQFPNSWFLGRPWNEFARVVYRYCNIDDSVKVE GWSKWDSAVKDYKNVNFGEYKNSGAGQWNSKRVTFSHQITDAENAKYSTAALFGSAPW IDTTYQ PHYBLDRAFT_164846 MSIQQDPPPPRIPPHGHDISYTASEKLAYLDSALSERMAGNPST SWIQSAHPSPPPLSPHRTNSPLTSLQPAGWEKFTREYGYPTRAASNTITPPIHYTRET NFGRRNHDSTTETSAILASSFESKSRYTENRHDIPSHPLGMTSSGIQEPRNITEQANI RQDKILFSPDEVQAQRSRSFAYGTQSSVSRGHSKQIKQRLPLVYPALLSRVAQELRHR VILRDRIKNNVEYKNAFEGEEAIDKIAMIIRTTDRWVALRLGRALGAQRFFHDVNYES RLVDSTTEIYQFDYRVCHYGASESPMASPGTLTTSSSSASDFFNENASVATSLLELTD QYDGLPNGVFTELTHCYSSTCHGSQPCYSYTCPKRTAMRKSVDYAGMSLTRTNSNPFI RQQSHKLWADIVDEEVLLSVSSAERKRQEHIYELIYTEADFVKDLEYLEEMWIKPLRT TDIIPILSRENFIQKVFCNILSIRDINAQLVEAFNARQKESPVVSQIGDVILEFMVDF EPFIKYGARQHEAKFELDHERAQTERHPSSSKLELNGYLTKPTTRLGRYTLLLNDILK HTPDNHPDRVDIPKAIDYIKQLLTRVNTEAGKAKNRFDLERIHNNLAFKHKADEMDLH LLEDGRYIVKQSTLRKGVHLDSTEYQVILFDHYFVVAKVKLVQGIEHYIVQKRPISVH FLSASPPEGNRTKRSSSIIPYIPGGPYPSLTPNLHMIRISSELANPSMPTSHTLPTGL IAPSKTGYPIIFHHLGNKGTGSFTLYASSMATRKPWIEKILKQQLEKNQVHPVVEVVP AIPEREFYVDVKINHMVTFNSGQQYLLATDIGVYVGHTSRSKTPHKILSLYKVTQIEA LETAQVLLVLSDKILWEYGLDVVNGKPETQPLGRKVQTHVPFFHVGTSLNRKLVCVPR VSTLKSTISIFEPANSPELKKPGILDRIVRTQLSSDLHLKRFKDCYIPAEALSIELSP SKMLITCPRGLIMVDMRTDKVQHLLNPGDKHLKFITEREREESNLQLRQQMIRIVVFP VPLGDYFLCYDVYGFYIDSKGNRTRRDFIIEWEGHPESFAFSYPHVIAFDPSFIEIRN IETGELEQVIKGNNIRCLSNGHKTELPLIFGVMTDPQKDIYQTIFNLKLLPDRPSKSS I PHYBLDRAFT_185692 MGLSGVSLSIQKRLASSVLKCGQRKIWLDPNEVNEISNANSRAN IRKLVKDGLIIRKPEVSHSRFRVRVHAAAKRLGRHMGYGKRKGTADARMSSQVIWMRR MRVLRRLLAKYREAGKIDKHLYHSLYLKSKGNGFKNKRVLMEYIHKAKAEKLRAKTLN EQSEALRAKNKALRERRKLKAEEKKASIGAEQ PHYBLDRAFT_79181 MPSPHQKSNLPILDLSKYQPNDPSSHSSQEFLKELDKTMRNIGF FYVKNHGIPLTVQQDAFKAMKAFFKLPLEEKLKIELINSPHFRGYTRMKGETTNFKQD NREQVDLAREQNAETLEGRPAYVGLRGPNQWPEGVPGFKEDITALMAAMTDVALILLR AMVRTLNIDEDKFMAMFGNDYGARVKLLRYPAMSNEEDRPNEHGLGVGPHKDSGFLAL LLQDELGGLQVQTQTGKWVDAVPIPNTFVVNIGEILERLTRKTFVATTHRVLTLTSTD QDRFSFPLFLAPALETRIPQLDVPLAAKNVVSDVKEEQLLQDEVYGVNELNSYCRSHT KVAQKWYPLQCTNGVADKVITKP PHYBLDRAFT_157889 MAEKSTKIMVVGTGAVGSIYAWRLAKTAHVTTVCRSNYEIVKNT GLEIDSKKFGKEIFKPDNVVRTVAEGVASEPFDYIVVTLKALPEVYNVAEIIAPAITK GKTTVVLIQNGLGVEEPITQAFPENPIVSIVAYIGTSQISPGQILMVGDESLIVGDYK NAPVDSTAQREKFAGILEKGGVTVQKVDDVERVRWQKLFWNASFSPVCAVTQMTTSDV LRNKEAMKSVKNLMSEVIQAANAMGYDFNEKEQMATMIERTEATALNYKPSMQLDLER GQPMEVEVILGTPLRRAISKGLNVPHLEMMHDLCSAINSHTMHEKAQL PHYBLDRAFT_108731 MPLYAFGSNGNGQLGVGHRKDLQVPEECHGIPFDDKIIKVTGGG NHSAVLLQSGRVLLSGLGQQGEARQRELDSEHGEVLGSQWVRYHEPAVFGEHTWCDVA CGWSFTLLVSTEGYVYGFGTAKYGELEEGGSFDSLLRIGTMENIVKVDCGWRHAIALD NQGNVYGWGWGRHGQIGSLNKMPKDIYLPQKIPTGEPVTQIACGHIHTLLLGKSGSVH GLGSNKYGQVGGHDNSEIYKNPIVSISAGWHHSASLDCQGQLVMWGRNNHSQLKNLSN VSHVSCGSEHTLAIQGHQVLAWGWNEHRNCGSDKDIVSEPHAIQLSAILIGTGCAASW ILTI PHYBLDRAFT_79179 MTDATSAPAQTALDQIAQTSSESIIQTAAQLGDFKAMGLCNFTP VGGLEAMLEAIHVYSGLPWWGSIAVATIVVRLALLPLMIKIQRNNARLMNINPDVTRI MANLKAAQAQGDSMATGKYTHEIQDLFKKNECHPMKSLALPIVQMPVMISFFMALRAM AELPVPGMHDGGMLWFTDLADKDPYYILPVVSAAGVMAVLEAGTEAGAANPQSKGMKN LFRGLTVVMVPFTAWMPSAVFVYWVTSNAFSIFQILGLKNQSVRNVLNIPKLVKPPAE LQKDTKGFFENFKEQQVLHEKSEKERVLRERQQAAAMARRASKRRF PHYBLDRAFT_180066 MVEHSSVPPQFLGGNSVEKAAQGAVRDFVVASEGHTVISKVLIA NNGMAAMKEIRSVRKWAYETFGDERAIEFTVMATPEDLKANAEYIRMADNYVEVPGGS NNNNYANVDLIVDIAERTGVHAVWAGWGHASENPRLPDMLATSKYKCVFIGPPASAMR SLGDKISSTIVAQSANVPTMGWSGDGVTDTVADANGHVVVPPEAYASACVKTAEEGLV AADKIGYPIMIKASEGGGGKGIRKVDGPETFKQCFAQVQGEIPGSPIFIMKLAGNARH LEVQLLADQYGNAISLFGRDCSVQRRHQKIIEEAPVTIAKPDVFEQMEKAAVRLAKLV GYVSAGTVEYLYSHHDEQFYFLELNPRLQVEHPTTEMVSGVNLPAAQLQIAMGIPLHR IKDIRVLYGVQPNSGSEIDFEFSSPTSLQSTRRPVPKGHVIAVRITAENPDAGFKPSS GIMQELNFRSSTNVWGYFSVVSAGGLHEYADSQFGHIFAYGENRQQARKNMVIALKEL SIRGDFRTTVEYIIRLLETPDFEENTINTGWLDMLITKKLTAERPDCMLAVFCGAVTK AHSASQECWQQYKQCLEKGQVPGKGTLKTVFSVDFIYENVRYNFTVTHSAPSIYTLYL NGTKTQVGVRDLSDGGLLVSIGGKSHTTYSREEVQATRLMIDGKTCLLEKESDPTQLR SPSPGKLVSLLLENGDHVKAGEAYAEIEVMKMYMPLVAGEDGHIQFIKQVGATLEAGD IIGILSLDDPSRVKHALPFTGQVPVFGPPYISGDKPIQRFQDTKHILEYILQGYDNQA LAQTVVKDLTDILRNPELPYSDMTQTMSVLSGRIPQRLEQALQALQASSAEAKKEFPA VELQKIVENFARDNITTHSEVNAYKTATEPLTTVFERYSHGLKQYEYSVYVELMEKYY DVEILFSTQQREEEVILSLRDQNKDDLEKILSIILSHTKVNVKNNLILMLLDIVGPAS ATGGAIDKYFVPILKRLSEIDSRATQKVALRAREFLILCQLPSYEERQGQMLQILKSS VTESVYGGGVEYRTPSLDVFKDLIDTKFNVFDVLPNFFYYDDPYIALAAIEVYCRRSY HAYKILDVAYNLERQPYIVAWKFLLQTAGHGLNSDQRVASYSDLTFLLNKTEEEPIRT GAMTACKSLEDLEAELPRILTAFEEEPLPPMLKRSGPKEERMENILNVAVYSDVNKDD AYWRPKVAELIRRHTAEFRKAHLRRLSVVVCRPNQWPDYYTFRERENYTEDETIRHIE PAMAYQLELTRLSNFEIKPCFIENRQMHVYYAVAKENPSDCRFFIRALVRPGRVKNSM RTADYLISESDRLLNDILDTLEIVSHEYKNSDCNHLFINFIPTFAIEADEVQHALKDF IDRHGKRLWKLRVTGAEIRFNIQSKRPDAPIIPLRFTVDNVSGFILKMDVYQEVKTEK NGWVLKSVGKIPGAMHMQSLSTPYPTKEWLQPRRYKAHLMGTTYVYDFPELFRQALHN QWALAIKKDSNLKCPSQVVEAKELVLDEDEVLQEIDRAPGTNTVGMVAWILTLRTPEY PRGRRVIAIANDITFKIGSFGVEEDTVFFKASELARELGLPRIYLSANSGARIGLADE LIGQFRAAWKDNANPSAGFKYLYLSPKEYILLKQTNQLESVLVEEIEEEGEKRMKITD IIGQMDGIGVENLRGSGRIAGATSRAYDDIFTITLVTCRSVGIGAYLVRLGQRTVQNE GQPIILTGAPALNKVLGREVYTSNLQLGGTQIMYKNGVSHLTAENDLQGIEKIAQWLS YVPEVRGAPVLMRVGLDPVDREIEYMPPKGPSDPRLFLAGKQENGKWLSGFFDHDSFV ETLSGWARTVVVGRARLGGIPMGVVAVESRTVENIVPADPANADSTEQVFMEAGGVWY PNSAYKTAQAINDFNKGEQLPLMIFANWRGFSGGQRDMYHEVLKYGAQIVDALSNYKQ PVFVYIIPNGELRGGAWVVVDPTINEDMMEMYADNLARGGVLEPEGIVEIKYRKPQLL ATMARLDERYATLKREFEEASADKKEDAKRLLEAREQELMPVYQQIAVQFADLHDRVG RMSAKNVIRRPIEWRHARKYFFWRVRRRLFEEYAIREISAATKGKMERNKMCSHLEQW FLADTNSLVEDWKNGDEQVSEWFEKRAELIKQRISKLRSEALREHVASLGAVDKEAVV DGFGKILESMSAEERKSVLRKLEFLSGSLSQ PHYBLDRAFT_141831 MDLPQPSGYEHHQAHHYQSPSPSFPVLLSPPILQSTAATTTYDR TCSGWPTKYQISLPPLSHVLLNTQSDSLAPIMSTHSPSPIEHKLPFVSTALTEADRKS GSGSCSGGLEPQTSSTSNTCVTSSNRHTIAHTAQTFSCMSLSSDRRQGYKSKAEIEED ELPRAKRPLLFVEDVKQPVISKPRWQDSERLVLLEAIVHDKALDDLSTFRWDWISLTV GKAKPACKEQWLCDVLPALLQKPSSSLE PHYBLDRAFT_177020 MTSQPPAITKSLSDSIGLTPMLDIGTTNKVQLLAKLEFTNPTGS IKDRLAKYVLDEHEKRHGIPAENKRRTILVPSSANLGISIATLAAKRSYKVISVVPER TSNDRILLLKALGAEIVRSPNEVRPEAPESAHSVAKKLAEQWEDALVVDETRIVDLTP YHNLAEEILQQTSASLDALFVGVESGAAMSGIASYLKQRIPGLKVFGVEPVGSGLGSH QPQGHHADWKVEDIGSTFVPSSLNKRVVDEWIQVSDKEAYSMARRLIRDQGVMCGPSS GAVVAAATNHAAGITQHTTHTYRSVVILNDTSRNYTSTLLSDDWLLENDLADDVVMRE LEYLSHDRYRAASVEDLQLPAAVTIPPSASIANALDLMLEREYSQLPVIHPANKKLVG YVSLASLQARLEQGTVKLDDRIESCMYAFNKASGNLSKYQVITPDTSLAELAKFFEKN SFAVVTDSQRKWCLGVATKYDLISFLHRRQFL PHYBLDRAFT_177021 MRSKFKDEHPFEKRKVEADRIRQKYPDRIPVICEKVEKSDIPTI DKKKYLVPSDLTVGQFVYVIRKRIKLSPEKAIFIFVNEILPPTAALMNSIYDEHKDED GFLYITYSGENTFGSLLE PHYBLDRAFT_96785 SGDSVHEYLKVYTSVSHLAGTENDKNQAEWTRDQFLSFGIDSKI ETYWPMLNYPVQRRLAIISGPEEFLYEASLAEDIVEEDETSKNPENVPTFHGYSKNGS VTGPVVYANYGRLEDFEYLVSQGIELEGTIALMRYGGSFRGLKVKAAENYGCIGALVF SDPIDDGPLDKEKFPHTNPAESYPEGPWRSPSSAQRGSVLYLSLASGDPLTPGYAATE NATRINPEDSPALAKIPSLPLSWRDALPLLKATQNRGVVGETDWTGGLNEVGYFSGPT EGLVNLVNIVDNKITPIWDVISRIEGSEEPDRAIILGNHRDAWVYGAVDPSSGSASML ELGRIFGKLLKTGWRPRRTIIFASWDAEEYALVGSTEWVEDNRKWLVEQAAVYINVDV GVSGPHFNTQASPSLSNLLYDVTKTLTDPRTGDSIYDTWGAMTNMTRGESAKPYVGPL GSGSDFVAFLDHLGIASIDMGFGGDYGVYHSNYDSIHWMEKFGDPTFKYHESIVKVWG LTALRLAEDHILPIRPFDYAVDLEKSVETLHEYAYPHVFFELEEAVQQLTKTSLKFNT KIEEVEAKLSEYSEKSNAKLPSSLTKQIAIANKRLTNFERGFIDPEGIKGREWFKHVI YAPGLWTGYSSQVFPAIADGLDAKNISQA PHYBLDRAFT_164858 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTEFRMRILKCFLKQNNLTCTLYQFLPDLNSLDCKEKKKPSYKFCKIFEALAQIHQD PHYBLDRAFT_141837 MALGTANKKHRSTLVSSILTSAKRTEGEPPILSSPLMSDVEVQR RSDRLVFYGLTAERSSDAVDL PHYBLDRAFT_164859 MPIIKDFPICFCLSNSRKIVCTEVRMVSRRDFELVHIRNTCHED ADMLGFFVTFLVKDKHLCSSNYNIYEVVTSLLLVIRLCEIRTHALPTKVGKTPVKPTK KLTIDRDGGKKSKDKGELHFFRQQRPTKLYGERVTLFPAQSDDLKAFSQKLIEQAHLR ENRIRTPITREWRLE PHYBLDRAFT_68522 MSIYLFIFEPYYNISLYFFKASLSYSFFFGRLNITLWHKGILCR LSGRFVPRRAYVSLFWTCKRECTNGLLYCVLRSLLSYFTGSFLRKCPDELVVGIRSGR KKFRFLRKCPDELVVVMRNVLNKILAQFLRFMSSIFFFTAISVYLFSDRISFLYSGPT RENARMISCTVFYGRYCRISLAVFCASVQTSWLWNLTFSLQSIRSGRKKFCVDSLGVS FSGELTFLYSGPARENARIISCTVFYGLYWRITLAVSCASVQTSWLWLCAMWCGKFSS ENIR PHYBLDRAFT_68519 MYILNDAVLELIFTLEKCDSQEPLLGSSNQHIKKDAIKLVEQNG IEDALELLNRCIEMGTTYGSAYNNMVKLYRMLHENIEAIHDVNKVVSLEEEQSKAYIQ RAILKCQDGDILGSKVNFAIGPKYGNALLKASLFKITHMSRCATKLHSKQ PHYBLDRAFT_141840 MSLPIWLDCDPGHDDAMAIVLAGYNSKLNLLGISTCHGNQSHDK TLRNAALFVKAAGLTNIRIIPGQDKPIMRKSLAAPDIHGESGLDGTHEMPVFDPRFIN DSEKAVPYLSRLLLQAPTPVTLVATGPLTNYGLLLTLYPEVIPKIKKIVFMGGSIGPG NYSAAAEFNILVDPEAAHIVFNSGVHVCMVPLEVSHTAIVTDRVLEQLDTRCKSSPFS RLVIELLLFFKKTYEEVFQFNQGPPLHDPCTIAYLIAPEIFTVKTMGVDVVTDNGPCL GQTVCDIYGRTSYPKIDVTMTMDPDAFFRLMLDAWVKADGQSPMNQSCHLKTV PHYBLDRAFT_131460 MPEFIGAVDQGTTSSRFLIFDKQGNLITHHQIELEQEYPRPGWV EHDPYKILESVLTCIENTIARFELMGHKISDIKAIGITNQRETTLMWDRKTGKPLHNA IVWSDVRTDELVHQLKKRPDSDIVVDRCGLEITSYFSAVKLAWLLKNDKNVAEAAKEK RAAFGTVDSWLLYNLSGGADGGSHCTDVTNASRTLLMNLKSLKWDPELLKFFDIPDHV LPSLVSSSEKYGEVHKGVLKGVPITSCMGDQQAALLGQKCFDKGDAKSTFGTGAFMLF NTGTTAVKSSHGLITTVAFQLGRNAKPNYALEGSVAVAGSSLRWLRDNLRLIDSMEQV SELASRVQDTGGVYFVTAFSGLFAPYWRDDARGTMVGLTSYTNKYHLARATFESMSFQ TRAILESMNLDATVPLKVLKVDGGVSNSDVAMQIQADLLGIEVHRPAMRETTALGVAI AAGLAVGVWKGVHELDQVNQKGTTVFVPHLDKEAREEKYQAWRRAVEASYNWTDVEC PHYBLDRAFT_108806 MTKRPQVYLPDECIYSCSTCHSHVACHDSIISKVSSGKHGPGYL VDEVVNVGMGEREERVLPSGPHIIADIYCCVCHATIGWKHIIASKEEERYKDGLWMIE QTRVVKERL PHYBLDRAFT_131463 MDENYTSRGVHGIRPTAPYNNRTGPEELTMKVAMCPVDTLALSN NVYIAPGQFNSQDHYIILNGEFVFTMRVDNTMQKGLIGTNAMQRRWAQFSLNQEVVAT PYDPFSGGTDIYLATLKIETGFFRKSMQVSDDFDTEEMAKAFSLTFHNQIFTIGQPLV FEFQGIKLTCKISDLDVVELETLKAGSKNEAESHGSGRKATRGLLMHQTLIQFTKSAD STIRLKGAKRSSAAKPLIRADFKFEDLGIGGLDTEFSAIFRRAFASRIFPPSIVEKLG VQHVKGILLYGPPGTGKTLMARQIGKMLNAQEPKIVSGPEVLSKFVGQSEENVRKLFA DAETEYKSKGEDSSLHIIIFDELDAICKQRGSRGDSTGVGDSVVNQLLAKMDGVEQLN NILIIGMTNRKDMIDEALLRPGRLEVHMEVGLPDEKGRAQILKIHTAKMRDNDILDND VSLEELADMTKNYSGAEIAGVVKAASSYAFSRHIKVGTVAGVAPDVETMKVNMDDFMA ALQEVPPAFGVSETELKQCVQNQVIPFAPHIEQILADGKLYVDQVRQSSRTPLVSVLL HGPAGSGKTALAATMAMESEFPFIKLISPETMVGLNETAKIAEINKVFNDSYKSPMSV IVIDSIERLLDWVPIGPRFSNAVLQALLVLLKRKPPKDRRLLILGTTTERSILEQMNM TDSFDSDIYVPTITNLEGVDIVLQQLELFTNQERERTLSILADSGADKRLAIGIKKLL MVIEMARQDADKIEKFVNTILALT PHYBLDRAFT_68514 MAIQWKNGKLGCVYYDHLFQTLYLMEDTPESTRFEFVRQYECIR VEKRSFKEFDSRKGRISLLNWYIHQSTSQDFHSRSQAAEDYSNNNNNDDDDDDDSKRT QAMIRLTGLINPQSHITIGCAGALLSYLQQIEDCAYSTTSSGSRLYPLSLKTFTNISM ILSKLCVQINAETSKSLSLFEKDTHPNMHQKRGKEGFSLFGVLDQTVTPLGHHLLRQW LQRPSLDISLINTRHLSVEYFSGHGRAEMVKELVGYLGNIKNVARLLANIREHRATHN EWNQLLNFAYYGIRINGLFKHSPQLPIISKIQAIDKHLLRTLGTDINDTIDFGQSSTE NRVVVNTRINKDLDLLKKKYEALDDYLLSITQDMSADMPIGIGAMLNVVYFPQLGFLV TLPYTITSYPKDFELQFTTGENLYFKNPKTKELDQDIGDVHAMMIGKHPIQELWVDRF IPNDTRLRQASFSAEQQSTIDQDGVGPGKVMVLSGANYSGKSVYLKQVALITFMAHIG SFVPASHATIGLTDRIFTRIQTSETISQARLQVQSAFSYDLQQLLQAITYSTSHSLVI IDEFGKGTSSSDGIGLFCAVLEEFLSKKARCPKVIASTHFHEIISRQLLRPDGSIGFY TTQIIINQQTNGSESEPCETDRVKDIVFLYQIVPGVGLSGSYGAWCASLAGIPPKTVN RDKKESKGDNLIFTGVALYLSDRFGSQQPIEPLESEREFGIFKQLEDYSEEFLMIPVR KDSQGLTQHRDLIKSISDLFSINQE PHYBLDRAFT_108565 MRQKYVLVTGGAGYIGSHTVLELLQTGYKIVVMDNLSNSNLEAL RRVEILAGRPVHFFKGDITSTADLERVFSQYAFWAVIHFAAIKAVGESAQIPLDYYHN NVTGSLNLMRVMNQFKVKNLVFSSSATVYGEPEIVPVNETARLGPVTNPYGRTKLFVE EMVRDLCKSDPEWNVCLLRFFNPVGAHVSGMIGEHPQGIPNNLLPYVIQVLQGRLPHV CIYGDDYDTPDGTGVRDYIHVSDLATGHVAALRKLEKRPGCVAYNLGTGTGYSVKQIV NAMEKATKKKIPYKVVDRRPGDVATCTADASLANRELGWSPIRSMDEMCQDMWRWTHL NPQGYDGPLKATAAAVAGDHKSS PHYBLDRAFT_185704 MTGSNHKPTNPIQMSIDNWNHQQRLAIARVSGQALSLVDPIVHR SWGMTWWDLLLDHLDLSQLETESTPYAFEDALSLLSRQDSDIRIDILTDLLAIALQLD KVDYEKSGIIYDARSRCFFFALTRLLGLDTGDMCAVERSIGQQMYFALQEASKADSDS DRVAGMGRQAQKAMDETNNKKKAFRWLATGAGIIGGGAVIALTGGLAAPLVAPLVAGL TGATFFATAGGVALITSLFGLTGGGLAGWKMHRRTRGLEEFEFVQILNDSDLPPIPAL SCTICITGFLAESKEEVEAPWADAFSRKGINSDIYCLSYETEALLTLGHSFRRFVTSQ AAKYAGVEVAKQTVLRAVFAAVALPATLLSIADVIDNPWQIASDRSRKAGLILADVLQ ERVQGNRPCNLIGYSCGTIVIWHCLKELFDRGCEGIVDNVVLMGAPISCHEQQTWNEI VSTVSGRFVNCYTSKDWVLAFVYRLHSLDTSVAGLEPVQINRIENIELDLDGHLGYTD AVEDILCNLVKIV PHYBLDRAFT_141848 MDSLHHRLHASPHSDDEAEYLDEQEQEKLLQELWIQNEKSNLFI QRGLILIGFLVSTIYVAFSFEILYSQSGLPLIPIPTSIPQTSTIFFPRAAAVLSILSL YASIYTLLTTCRLSVLEALWKTPAHRGLGSLDLKGAAVTSALALTSPLLALLGQTSWV ELGFWTIPLLVLLLDFSAYHMMCQVEANFGDLEKARYKFKAV PHYBLDRAFT_154666 MALLRANSRLSLVLQTQTRQIRIAHSMFKPITPPALAEVPGLYK ELVKAKLAGLVALTTMCGYAMAPGAATVANLLYTTIGTGLCISSANAINQWIEVPYDA QMLRTRNRVLGRRQLSSFHAFSFGVLTGTTGVALLATMVNPLTAALGAANVLLYTAVY TPMKRASIANTWAGAVVGAIPPMMGWAAVTNGLEPGAWVLGGILYAWQFPHFNALAWN LRADYSKAGYRMMSVTDPKLNARVSLRYSLAMIPLSLSLPLLDITTWLFAVDSTIVNA ALIWGAVKFWRNSNEKTSRQLFFGSIIHLPVLLALMMVHKTNSTSTEEEEE PHYBLDRAFT_164872 MSLSFFYLLIFSESDNTSRSLDCIYLFSANIKKSVTKYLGTEAH LSSIPLFSSGKTNDIDPIFFKWYMQLTNFKFEDNSGKWMELQKATIAILGEAITKYDK VVVQIANLLHTVFVSIFCHCFRTPRLLINSNKSNFEDTHIHNFVSYIIKLLFGIEDIL NHQWANGRLNQVDQNGKFKPNYIAYVKTRSIRHNLTIAEVKPTDASSGKPLRYIKVGH DVSRYEAHAIICGPVKSKHRLPPENVVPLGLALSVSLLVPLLRY PHYBLDRAFT_180075 MKSTFLFILLTASCALADKILLGYFPNWLGSSYPVSKINLSKYT HINYAFAEMAKGDVPEWPDKSVVDVQLPQLVKAAHAKKTKVLISIGGWSGSRTFSTMV SSSSRRKKFINWQISQIKKYNIDGIDIDWEYPGTQGQGCNVVNLNSDTSNFLKLLKEL RTSLDTTFGAKKKEITIATHVNTFVNAAGNMKNVSAFAKVLDRINIMTYDINGAWGAN TGPNAPFNYQPGEGSISFVSSIQTWLDAGVPANKLVAGIAFYGRATTAKQNMLITKYQ YQPQITGKPAQGDSLDGPWKDLSCPADSGDRTGIWRYEKIRSQGLLSSPTKAVSPWVR TWDSVSQTPWLFNPKTNTYLTYDDPASIAVKVNHTLCKKLGGLMVWSVEQDSSNGELL NAAYSVRTTRKGPQFEKYKRYTDTWLMVHPPIRGNRANRRFSSSSGQSGLSTLTSSSS FHRKALSLDTVPLLNTTPTTFFEKATCTIATDYSLPQEISMTTPSVKQQSSTSLQQPT LPKRRSGTGNENEGYVMTVRTPGNQQRRSMTPHILVPISQGLVMCVRVERDYSKGDGI TKFQTVFPPELEGRIQHDKLLHTVETLNAILLQAETLTFRHVFDNIMECLTIYTWPLL FPSKYQKALDHLRQFVETQNKEVYNPLGMEITSPVRVAFLYLEIKIFERNEAS PHYBLDRAFT_185706 MSNDIQEIQHDLQLRYPGRSKEISILLGLMGNPSDAVVPSVFIY GHPSSGKTSVVKAVMERTLRRSSWAHVNCVERHTPRMLFEHALNQWCDWTPAWENKFM NVCKADTALQFVKAIQEGVMVGNKKRQLGIDSTLYLVLDRAERLRDMPPTLLPVLLRL SEMTQRNICVILISTVVFEKFRVKGGSYEPLFIRFSDYSKQDTLQIILLDFLASERRI AINPNESEEEENGHGHGDEKGELKIVELDDDFFMSFAEIIYSIFNHNCKDLNELRYFS ALLFPLYLQPVQQGRVEVHEKAKLFKFAQPYFAEATGKLYLREISSAEWIKETNELEE HEPTDKPNHTFLAQTQAHEKSGFDLPYYTKFLLLASYLASYNPARFDVRYFAKMGEER RKKKGGGTHKSRAGQPGGGKMRPQLLGPKAFPIERMLAIFYSIIDEPLEDTVDIQLQI TSLTTLRLLVRSTNMDRLDSAKYKCNVSFEFIRAVAKSVRFEIEKYLYDFC PHYBLDRAFT_40563 MADYCPVYAPFFGSMGCTAAIVFSCLGAAYGTAKSGVGLSAMGV LRPDLVLKCIVPVVMAGILGIYGVVVAVLLSGGLAMKQTLFSGFIQFGAGLSVGLSCL AAGIAIGITGDAGVRATAQQPRMFVGMILILIFAEVLGLYGLIVALILNTKAAGGESV CL PHYBLDRAFT_68503 MPPHKSPLEYPTRSFVTSRINGYCPPVATHPAGRKKLTDVLPSI QGQKAGVANGRSRNTFCLPSDDEEDVDENESSDDEREVRPKHPLGPSNRSAPVKTGRA AQPLPSDDEDEDDSEDSDQDNQGLLKGHPSLWSNSQRQMRANPTTMRASHPVFDHQKA FRARHGQEQYNSSNSSSSLPRHNTSAPVSASTSAEDLALHNYLHNQESDDEVLGQSRR SGQETHLQQLQHTQRYQQSQLSQFQQQHQSHAPTNKAAQRGQSSVQPQRVTMSGMDLL KQLEQERAEAKRQKPKMSSSTAKIEGLLAKLPEPGLHNISFQQMHVQTPLPKPKKSRS PQAWTGTYEPQRSTMPAVHRTVPTYMAQSVYGYPPPVSTPQRSGNSGKMAHQDTLRPS SSAGDLQPLQRR PHYBLDRAFT_164878 MSIKDHGKDTSLSNEDIASIVSASTFGHGDDRSNQGSSFTAYFN VVCVVAGTGTLGLPFALRQGGWIGLLILFLSWIFSVYTGVILIRSLYSKNKRLTSYQE VAEASFGAIGGWVAFFFTAVTLVGVPVLYLLLAGQNLHSVLQGTSGELTFPIWVIICA VLVTLPFVCFKSLKEIGFLSTFGVLSTTVVIFIVLAVAVREKGTQGAKHHDAVIWDQF PIALSSIAFSFGGNPVYAHVEAGMRRPQDWTKVVTAGLTTCVVLYFLTAVPGYYVYGV DALSPIYDNLPEGGAKIASVIIITVHVLLATPILLTSFALDLEKMFQISTGKFSTKVE LVLRSAMRLALMVIITVIAIYVPFFGDFMSLLGAFSNCALIFIFPVIFYFKLTGFRGK AWWEYILAFFTVLLGVVGLIFGTISACQALNKDFKGQA PHYBLDRAFT_23387 DEEDRKDYCKGGYHPVHVGEVYKDGRYVVIRKLGWGHFSTVWLV RDLRTGNHFAMKVVKSAEHYTETALDEIKLLERVAAADPNAVGSDFVTAIVDHFRVTG PNGTHICMTFEVLGENLLSLIKRYHNGGIPVHIVKQIAKQMLLGLDYLHRLCGIIHTD LKPENVLMYLDNAEELVRQSESSSAGLDISPARSQTTPEADSHSRGRSPVRKRHGNHV KMVQSQPLSSGAEEDVRGRRPRNGSKRTRSRYYLRCRRSPEYPKSRWKKGREDMKIKI ADLGNACWVTHHFTEDIQTRQYRSPEVIIGAPWDAGADIWSLACLIFELLTGNYLFDP RKGSRFTRDDDHLAQMIELIGPMRRTFIQSGKDSNQYFNSKGQLRHISHLKYWPLKDV LHDKYQFNRLEAEEIASFLGPMLEYENRARACDLLDHPWINGVDPILEGEREDKANWK TERPWKEWEQHKKQKRR PHYBLDRAFT_141856 MGVINSHHAQDTIALDFGSQPLQHKKDSYLSVKDKIFESRRFPL FLRNDRRHRSYAQPLPSTRRWFYRLFLIVVAFLFIFGYLPFFHLISSNGPIVEYDGSV ISDALPQHPLAVPTVPVPRDQIILYRIIGNDLPPRHKEGQTLSNLRFILEHEPTFPNT RKLFILNRIADPTNEEMIIQLLSSHQMDYVRLPFDPAEYESLDFRLEDFPETDFLHSD WYRRFSKVSKLRTLDYTYHDKNVYAMNNNGGRNAALQHGRALPNVRWIMPFDGNCFLS KSGFEEISSQLERYGEEIKYFVVPMARLLNNTVLLETEEEKPKAPEEPQIIFRFDATE EYNMNMRYGRRSKLELLWRLGALENRRLNRPTVPWEPVERPYSKDKGSYLTIGWVFRL FSGNQQQEENKKEASSIRAFNRLLAIQLSLDTLDESLARRSFRPENLFLYNEREMTMI RYAYWSKDPAVSAAMSILEERAESILEYTQTRMVTSEPVKIPEEVQLEFEEYDSTTPP LPENTAENLGSLSQNVTIMTLANYFLGNEAYGKCAANMIRVNFLNEYALDQQDEYSSA RVIPDNTHLLDFLSDQGYSFPSMSRVSRALTKRVTMPILNTSDLTKTDMSSMLDSIRL LSRMQALTHKEYLDLQAIMAEFLEYLVTSPTGIHLAQMNDYRGVLYDLQVTALAAFTD DVRLFLRVANRCRMRIGRQFNEDGSQPLQKSSTHARFVSPLESESGSAETSQRVQLHY ETMNLQYWTLLARGIQNSGVGKDIWHYTSKAGGSISHAVVAHLKRQAVVLPQLSSADG VFMQSRLRPLAYMAQAAFGFSARINGPQQHIEKSEDWRWLERYVSTFGTQWAEEVPEM TLNSTDDQSIVHHIMALISEDQHKGRLGVPPFWMLSIA PHYBLDRAFT_157898 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELEGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQDTFNNVKQW LQEIDRYAAEGVNKLLVGNKSDLTDKKVVETEQAKEFSDSLSIPFLETSAKSATNVEQ AFLTMAKQIKDRMGSTMQQQQTKQTVKVGQGAAVQPKQTGGCC PHYBLDRAFT_164882 MSATLFLNQRTKASINEMEEKLGHLYNTLSKTSAEATEESKDLL RKYISSTVEDLITMKTNLSLLQAQQINDTQKVSYISSSILDNLLMFQWIGREWNYNYE VFATSKECLDQFEEITRAHDIKFDSNWHYLLPNILSSEQHLWLKEYYPNPARLSWSTV KDDLITTFWENDFQLRVELTKELITMRMGDDESVLQYTERFQRVRRAAQIDDDLFTAV RFTNSLLPKLSEQVFYLQKNVPLKKRNSITHSAQIASSIYKTVMERKDFRIILSKGYN GSSTRSSHTSSCKSSRKYRSMEYDSYRFAKSRRCE PHYBLDRAFT_122719 MNSAIQCLSNTPQLTKWFLSGKYKNELNRDNPLGMHGEVAEAYG ELVEKIWSGMGSSTAPRDFKATIGRFNPTFTGYQQHDTQELLAFLLDGLHEDLNRILK KPYIELPDFKDMSDQEIAQCNWNYHKARNDSIIVDIFQGQFKSRLTCNACNKVSVTFD PYMYLSLPIPVEKKKLTLNSCLDEFTKEEELSEEDLWYCPQCKAHQRATKKFDLWRLP EIMVIHLKRFSQTRTWRDKIDELIDFPTEGLDLTERVLGVEASKTVAAEDRLIYDLYA VDNHFGGMGGGHYTAYAQNCIDEEWYYFDDSHVTKVDVNEAKTNAAYLLFYKRRRQVN NLGQDKDEEKEVEEEEEKAEEKEVEKEKDTLKEALVL PHYBLDRAFT_122721 MVQGSFKRQVEVGRVVLINYGTDSGKLAVIVDIVDHNRALIDGP TTGVSRQAFPFRRMTLTRFVVKDLPRGAGQTVLKKYLEKNQTVATWEKSAWAQKLAVR KVRAGLTDFDRFKVQKFKSQRRYAVGAAVAKATKAV PHYBLDRAFT_68494 MCYIAKLGLQLLAKLSDIRFRLSGLTRPMDSMAHDMFRDPTFDD PHAMAFLDCLQKSVSDTASHITQLLSDNVCKEFYLPTTPIVGQPYTESGTLLDTFKAL AQTNLTIDTGNATSVQFLTKKGRTTCIEAKLCQRTVF PHYBLDRAFT_164887 MVPTTPSPTILTLTSVHRKLPLAIPTPKAQAKTLAVLPARLQTH LLLRLRSHELHRNWSWNLYFTQLWCVYRTVHFHTFGKYNQSFKKHNWVEVHQSRGDIH NDICDLNHNHNRNIKNSGSNGFPICLLLSFWILN PHYBLDRAFT_79160 MQIKGLVVLVATLIGCSLVPTLTALPITSKLPNPDRQPDDVYNV NVDQFAQLVSTHLQFDHLDSIVSATYKEIASQFQHHIEITTQSTDPSSLKPIRTIRLS SIENDQYAFQQPAASSGPDVMDLEILKAQMFGAIQAHTEGDLPVAWDRLADKLGRPAL ESFVRQLISSHCGTANINHPKRQSFSDLVVLSECLAQNSVLLSSRLDQYISDNLLDIF EALDSKVLPDMLSHTTRDLKDVLDYFNTAFLQDDDQELVLQVKPWVKGALNNSNQPTS LTDRLMALNVYPTNGEEDHPIVIFSHYASLAKV PHYBLDRAFT_185715 MNNATHNHSSNTEHPPAMKVGGMRVKNPDPHRTALKDENATSED LTEEEEDAQRQIEQEQQKANQMRQTSEMLAEEAKRNKNISKNPGTNLHQGPKGDYQPR SMNH PHYBLDRAFT_185716 MSSKTSTLTRSLPPKTIKSSERERRQNDELAETKKALQLSEAKN SACNVRLAKWKKIALDAERSSSLKMTDYENKLKKDYEAQLEEIEAQFSARSKEAAETI ERLEDTNKKLKKEIALLKMKDNKKILPPTPPASATSAASVAAEPSRVIFRRATVQTDQ SLQTVPPPVHVSRAKSNALVFSINDTVRAIEDELRSHRRRPTDETNSKLCDKDETLVP TRSQSPISNTVLPEPINHNHNHNHNNYSSSSSSSSSNSHVPSQRHLRNLGHEAVVPRS NIDLGRNSYSSSNTTNTSVYSTTSTINSTASPPNRSSHGSYASYGANSTNGSTSTRSS VSSASASYSYSRPPRSRTLEHLSRTLPEVFRKRGSQPITSSSLRPTSTTASTPSQSPS TRHSYNNELYTSPGTPQFGHSPSTSSSFSSSYASSETSADFGQQKYHINVHPHCDPRW LYGDTLTDDPVSISPDDNYDYYYKNGYQEENDDDDLKSSQPLSHQLRDSILDTLLSQG NYK PHYBLDRAFT_122724 MVAYNVPSANQVLVPETLLKKNQSCAKAAAALVAKKAEAKKARI AKRRDLFKRAATYHAENKAAERREVFLRRQAKKNGDFYVPAQPKLVFVVRIRGINNIA PKPRKVLQLLRLLQINNGVFVKLNKATSEMLQLVQPYVAYGYPNQKTIRELIYKRGYA KSDKQRIPIHDNSVIEKGLGKFNIVCVEDLINEIATVGPHFKEASNFLWPFKLSNPNK LWHARKFLHFVEGGDAGDRENFINKLVQSMN PHYBLDRAFT_79156 MSSTATNSHAHDDDSDFDDMLDDVLDDFNGLSTKEVPTKPAAKG DQKSTKTTVEDEDPTEDSLESMFDNDEFSRQLAAGMEELMGQIGNGAAGIGGGGNSNS SNSSSSGQDAEMKQVFEQVWKSLDSEFLLPTGSGSSKTPTTESSPSKNPNTTTTTATS NTTNKDRGTGSSDTGTQTPSAQSFQDTIAQTMNKLKDSSKQVDSSIAEETDDAFMAEL MKQMESLSDTGEFENVLEGMMQQLMSKEMLYEPIRDLAKKYPSWLEENKTKLNKTDYE KYSSQYLVCQQIVAKYEAPGFDEKNESQSKEIMDLMQKMQDFGQPPPALLEEMAPGAN FGNPGEMPDVKDLENCTIM PHYBLDRAFT_23503 MWEQRNPVFQAEIDPSKKTIVVLGSGWASTSFLKAIDTDHYNVV VVSPRNYFLFTPLLPSCTVGTIDVRSLIEPVRFITRHKSREVRVYEAECTDIDTDTKT ITITDNSDVKGENSVSTLAYDYLVIGVGAQNQTFGIKGVEQYGCFLKEVWDAQKIRSK LMDCIETAAFPGQSPEEIDRLLHMVVVGGGPTGVEYAAELHDFLVDDLSSWYPELAGK IKITLVEALLNLLPAFSKQLIEYTESTFKEQNITIHTKTMVKEVREKDIVVQKPDGTP DTIPYGLLVWATGNTTRPLIKNLIARLPETQTIRRGLEVDDWLRLKGTEEIFALGDAT ATKYAPTAQVAAQQGKYLARLFHQLAMQELLQNEVGLASTDAEKVAKERKLRKVKDIK PFKYSHQGSLCYIGSDKAIADLPFGQGNVSSGGVATYAFWRSAYISNLFSSRNRALVI TDWLKKTVLGRDISRE PHYBLDRAFT_131485 MRYQCGIYYFEVEIISKGVDGHIGIGFCWPSSKLNRLPGWEVHS WGYHGDDGHIFSGPETSKNYGPTFGTGDIIGCGVDFRDMTAFYTKNANFGNQPYKYDI HQYIESERIQMQEIVYRTTPVRPHPHPNPNLNLNLNMSSSQKKDKHSIATTAAATAAA TTPTPSPTPAQTQTQTQTPTMINDPPAIEEAKSKDVMNALILDYLGYYGYYNTSQALR STSVKHLGPLIGETPMLVNKVESPDARNRHDIRKALVDGDIEQVIKKCETLYPGVFVK NPQILFRLRCQMFVEMVRVAQGGSGVGHESSKTTNEDLKDTPPTKRKVSEAGLDDTDK DNDDDDDDNIDDTGDDTDDTGDNDNDCNNGAELTQPDGKRRKKKHPSGSETEVEPVVN VAPKGTECFACENTLEKAMVYGEKLKKLYGDLAEDDPERKKTLRAVFSVLAYTNPVEE KTIGYLFSQTHKENLASDLNAVILLHQNYHEVPSLERLYSQLSTTIHELTLEGNGKAA MVRPHQDCL PHYBLDRAFT_68485 MTTSAAPSHYCSPHAFHHHPYSGYRFDDNRLYTYHGSLEDSLDD EDQLPTIKEFGAIIADYLNNLSPKKRDKALVDHHRYSLIQQVLNDPRNTAISTAQFRF WVKKMFSLHPGTTDLVCHDNKPVAVKEDIYEILVKAHREAHHGGRDKTSALVRKRYSW IPKELVARFVRQCPFCVTRRTSGHSVTMFASRTSSPREVYARNSFGHESAAYTAPSIR EDCEFSSSPGPPSAESGYESAPYYYSGLPSAASPLDRPYFNNCDPNTGSHYLYDQTNN PSNPNHNSNNHTHTHNNSSNNNNNPEFLGCPSTSSSSYQTPYESQLQQHTVQPAATMS PGYSIRSLLEQQPVHPLAQSITSHTPHSSMLLSHHNTYAVYPSSSYSQSQHCSDLPGI VSYGTEGDTQSITGRVDKGHFMQSPPATSVNTLDMLNYRQDQLSYITSQVQPADSFGH YQTMPMPNPSFGNEL PHYBLDRAFT_157904 MTVKPNVIPYDLTKEQIQSYKDDGFILIEDFFSADEHATLTGYC NQFQGWGQEKGKWMQYYERNTVSGKDQLCRTENFTPFHQGMCDYVKSERLFTILKKLH GEDYLLFKEKVNYKLPGGGGFPPHQDAPAFIQFGQASHMTVMFTIDPTVAANGCLEVV PGSHKNSFEKGILPQEVYDGSIARTWCDKQTWIPVHCRPGSVLIFGAYLAHRSGDNTT DKPRVAVYLTYNAAREGDKRDTYYAEKRRLFPPAYEREPGIDYSQGAIVYNLATPINS PHYBLDRAFT_79153 MDKSTFGSVIDAVFKVLIDGNADDETVLAALLHDIGQFVTSPDH KEMLFDAAALTDLDPAAAAAAAAESSASVSGSTTAINLNTPNKKKISVGVTGHERIGA EYLRSLGFSEKVAVLVEAHVPVKRYLTGKYPEYYDGLSGASKLSLKYQGGPYTAKEVA LFEKDPLFELKVQVRQWDDAAKVVDLKVPGLEYYRPMAIRHLEEQASNRCSVVV PHYBLDRAFT_40545 MSDVAVSQVLEALSALYSSSNSQAKKEASRWLEDFQKQPEAWTV ANYLLTMENSNTETRLFAAQTLRQKITYDLRELNPEARRSLRDSLVQLLWVSATGSKA IMVQLCLAVADLAIQFMEWNTVVQDLIAKFGKTPESATCLLEFLKVLAEEMTSNKRIP LSDKDAQARSALLIEGNVPTVMRLLEMYIQSTGNLKIQEEVFQCLSSWLRTGDVDIRL LETSPLLAAAFEGLSNEELFDVSVDVVCEIIYETKDVTEYRSLIERIYPLFGTLIQQL REAKADEDSDKVRGYCRMFVEAGEAYVLLIAQHPESFGVLLQGISECTAYEDLDIVPM TFKFWYELTNTLSTESYKPAIPALVGYYDSLVDSIIRHLHYSDDVEEMSAKDRDDFRD FRHQMGDTLKDCCRIMTPQKCLLKPLTLLTRLLTQAETPPTWQQIEAPIFSLRSMGSE VPSDENEVMPQIMEFLSKLPDHPKIRYAATLVISRYSFWTRLHPEYVTYQLNYISAGF ENQEVAAASALALKHLCKDCSELLIDFVGQLHPFYINIVRTLPFNDIIEVTEAVSHVI SVIPASEILNALKSFCLPIAQDLHSIVAKNKNTITQNEIAKAGDLLEQIAIFFEIIRP EDIPVGAPHPCVAFLNELWPVFDMCLSNFGSEPIIAEPLCRCFRNCIQSYKLHFAPLL PLLMERLVGGFDSSRLGVYLWVAHKLVREYAIEGTESVTVCFQLLESLSATVFTTLNE KKFEEIPDVVEEYFRLTSAFLDCAPTLFVQSQLISPVFQAGLAGLSLEEVRALTAIIS FYRHLLSIDLTTSELEKPDTPLTASTLTLNTERIISLFREFGGDFVRLLFDGMIHHYS WEMIPDVAATMKSLAQLMPVESAQWMVAVVNGFPEQHMSASERTEFLQDWTRQVYIAI QEKQWVKVRRVLSDFVSQYRRRNTNRK PHYBLDRAFT_79150 MSLIDGIIILLIQLILLTLGTNLATRLLFKGYVPPSTFTQFTNN LIGPHIIFAISLSCSCTLFLLVFAEISNVFSKTTRWHYWQWNLNVLLILVILVIPWYQ VYTFLRHSRGWRTKSAAYTTTFVWIIYMYLFEHIGHISQGDTSGYTWLEIGIFRVSII GITLIAALSGFGVVNTPYTTWAAYTKQVSERDYSIAEHAYEQTKKMIQERSVQLKKLQ SQSEEQQPETKASSSKMSQWISSLMNRTNTRELDQLEIEISQMEGLATSMKANLEELA RARVKSQFSQTWKGQCWNLVGSVFTVYCVYRLIVTSFNVITRRVGSNDPITNMISLMI SHFDKEGSKLDISFWSQQLSFWFAGIIVFGSVRGFLQILTRIMRSWSNKMSISTSTLL LCVAHMMGLYFLSSVLMMQTSLPYDYRYLVSSSLGHIEFDYFRRWSDIIFMIASLLTG LVLFVINQTSDVQSLAADFADMNFLSAESGLNSIPDSRVK PHYBLDRAFT_40543 MPAAHQAGIQHQINRLQHFHTQSPVYVAKPSFELKDFELLDTLG TGTFGRVYLTKFNETNKFYAMKVLKKAEVVKLKQVEHIMSEKNILASIRFPFVVDLFC VFQDSVNLYMLLEYVVGGELFTHLRKAGRFTNDMTRFYASEIVLAIEYLHSKNTIYRD LKPENLLIDYQGHIKITDFGFAKIVEEQTWTWTLCGTPEYLAPEIIQSKGHDKAVDWW ALGILIFEMLAGYPPFFDESPFGIYEKILAGKPLFPGHFDLLAKDLLKRLLVSDRNKR LGNLQSGSEDVKRHKWFRGVDWIGLLEKSVPAPIIPNYRHPGDTSNFEKYPEIEEAEA PTSDQDQYKDLFINF PHYBLDRAFT_122737 MNALRTIAKPSHQSVKALVTQRAFHAGQTCFTGKTITATSKDFD ELVTKANHPVIVDFYADWCGPCKMLGPILTKVVAENPAVTLVKIDVDENTDIASKYRI AALPTVMAFNGDKVVDQFVGMRGKPQLEEFVKKHSELA PHYBLDRAFT_57646 MENQDRKVVIFYGSQTGNAEEYAKRLGKECKKRYGIDSLVVDLE QCDMKCLDKLPEDSLAIFVVATYGEGEPTDSTLPFWDLLHSSYPTFTGLPDDKPLKNL HYMMFGLGNSTYNFFNEASRALDKKLTGLGATRIGERGEGDDDNALEDHYVEWETHAC PLIKEAVGASESSDNSIMSEYIVEEEEIEPEYIYHGQLGNRDQTTFDIKNPFLAPFTS KDLIHDSNRHCLHLEIDISGSNFVYETGDHLGIWPTNSEDAVEKTSRLFGLKNKLNKA ISIKARDIHAAKQSPFPSPTTYIAMLRHYVDINQCPSRQVLAGLAPYAPTPQAKLMLN KLVSNKEEYARVVLDSARSLADVLEYTLAESETLTFGAVPPEVLVECFNRLQPRYYSI SSSSTESPDNISATIVTLEYKPKGTPDRSVYGVNTNYLWAAHCLLNNEVAATHLPKYY ASGPLGLYRDPETKAVKIPIHVRRSGFKLPDDAAKPVIMIGPGTGVAPFRGFVRERVQ QKEQGCDVGTTLLFFGCRRSDEDFLYSDEWDGLFKTLGGDSRLITAFSRETSKKIYVQ NRLEENAELIWDLLVNQEAYLYVCGDGKHMAKDVHQAILELAKRFGNHDEEGAAQFVE HLRKEARYQEDVWV PHYBLDRAFT_180096 MNPIEQKKTMGNRPDSSHAFGKSQRNKGSRSRSRSMSPLSMPNF PRPSKQPPKPHQGSKAKKRKPDSYKSANQRAPPPPPESRVKEDLGFVVVKPKDQVPVA NFWAAVEPCFRPLAEEDRNFLLEQSDNTTPYIIPTLGRPYSELWAEEDHNLVPALSRS HSPALGSSTASSRQGSHDHLANETGAPPERLKYLVSKHSLTDDHLNTQDLSCGSLTER LLSSLIREDIVDPSEAPFQQDADDDFTGVSTGEKDIEMPGKTVLEILPYPPPEIVDFE ERLRRELRYAGLLGEDDVDWNSREDDEICAELRKSSRELKEQVAMNTSRKKKLLDIVD RQLQYEQYRHVLDTLDTQVEQCYIKRFRTQKSKKRKMASSSRPSCLSENAVYAMEKRR TWINALGGIFKDKNLIMPTKSIYEETEQG PHYBLDRAFT_185726 MPTKRNLTPEEVCAFFQVTPQEGLTNAQAIEQQKKYGKNELPEE ESTPLWKLILEQFQDQLVLILLSAAVISFILALFEEHEEGAASTAFVEPMVILLILIA NATVGVLQESSAEKAIDALKEYSPDEAKVVRDGQLRRVRAEELVPGDIIDIAVGDKVP ADARVLSIQSTVLRIDQALLTGESVSVNKETEAIRDAGAVKQDQINMVFSGTTCVLGK ARAIVVQTGSATAIGDIHHSISSQISEKTPLKRKLDEFGDTLAKVITVICVLVWLVNI RHFNDPSHKGWMQGAVYYFKIAVALAVAAIPEGLAAVITACLALGTKKMAKRGAIVRS LPSVETLGCTSVICSDKTGTLTTNQMSVSRVLTVGNSTGRLDELQVSGTSYAPIGTIV NEANKALTSVLATDPIARELALVCSLCNDSRIAYDETTDAHQNIGEPTEAALQVLVEK FGTDNALVNQGLSSLSKKQRVTACNDYFASQFTRVATLEFTRDRKSMSVAVSDGTDNT LYVKGAPESILDRCAYVAVADAVAPLPMTPALREVLNKKILEYGTSLSLRCIGMAKVD NIQPNSFDLREQSSFVKYENNMTFLGLVGMMDPPRPEVRLSIEKCKTAGIRVIVITGD NKNTAEAICRKIGVFGEDENLQGKSYTGREFDALSQTEKREAVKRANLFSRTEPAHKQ QLVEILQSLGEIVAMTGDGVNDAPALKKADIGVAMGSGTDVAKLAADMVLADDNFATI EKAVEEGRSIYNNTKQFIRYLISSNIGEVVSIFLTVLLGLPEALIPVQLLWVNLVTDG LPATALGFNPPDNDIMRRPPRSGHEPLIDGWLFFRYMVVGVYVGVATVFGYAWWFMFY QGGPQISYHQLSNFHSCAELFPEIGCEMFNNTFSMKATTMSLSVLVVIEMFNAMNSLS ENESLFTLPLWANPYLVASIVLSMALHFMILYVPFFTNLFVIVPLNLDEWKAVLWISF PVIILDEILKFVTRTWISPPTKVIKEKKE PHYBLDRAFT_141882 MSMVVTNAKLVDPIHKWTTDDSKGKSKEALYSSVRVSFLEYGIA TRNNNDIRAKIQNFRDKFQDTYKFLHNTGEGIKETTFSDDAMHSRPSAIPPAEMISES NTDVETILLSYAPSGVGALKEVTPQEEEETSRLLMEEFKPERKSRISIAFSSATQNPK KKKKSPVHH PHYBLDRAFT_164906 MKKRVTIATVYKHTTNLYHSCNISNKFTIIVIKSRLTRKGDFVH SELLSESITFQLIHQPPHSAWGTGYMASCTQINLLTNSLVHVNLRNVISNILFKIFAK NSMFNQALGDSVFDRLKYSGFFRPYQTWDIQEDFMTHFQWFFLAQIAKSSHTLSLCIL NVSLVCDVVRPILFTKNIKNNKDKALQLGVRVKENQKETSAGGIIITR PHYBLDRAFT_141884 MKYFYFILSALSLVYISVVHARSSAIDDSYAPSADSGRFDIPDN IQGGDLDCETRETEQNLLLPFGRPTKGNGRNAGREPPAIREQLDSVDGESASEAEIEN LTYYTYLVANAYCRNVVLNSDWNCPHCKGYVDDVSLIYTFKSEEYDMRGYILRDDNNK VINIVYRGSNSLKNFISDFQTDKIDYPPVRGTQVHRGFYNSYLDVADLVVPVAQQEIE LHPDYSFVVTGHSLGAAVAILSALDLYQKDTRFGPDNMRIYTFGGPRVGDPAFAYYTI GTNIPLTRTVHRLDVVPHVPPQNMGFLHAGPEYWIQQDSGRVKVCNTQTEIGECSNSI VPYTTGDDHIKYFNIATGTCK PHYBLDRAFT_141885 MTTVDVQTCFDILPPFQLVRSIDLGLGENYVFDVAMNTQYTAAS ASDNLIRIYDLQTLQLAHTLSFHQKRISKMKQVNEQFLFSASEDGTLARWDLRVADKP VQIFRYNRPLSAFDLNCNDSMAVVGTEFVSSIESAEIGFFDSRQASLLSRFVESHGDD VTEIQCHPTFPAQFISCSTDGLVNHYDVTEFDEEEALLSVVNSGSSVNKAGYFGPNAE YLYYLTHQETFSLYTLEGDLIFDYGDIRSLSVASIDYAIDCSYDPTSQRFYLVTGNNE GEVNTFHVNIGQLQLCQSLKAQGAHTDIVRSLCWNHSTQSIITGGEDGRMCAWQAVA PHYBLDRAFT_9513 MQIFVKTLTGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLK PHYBLDRAFT_108288 MEYYQYSEKYDNVTPSLVSYPQLPEGVKQHVFVTHDESTFYAND YQKYAWVEGGESYCLPKSEGRSIVISEFQCPCHGTMRGYVGDQYKTSRVVFYPGAQYE GYWKSSNMCAQLTDIIPLFNAIHPNAVAVFLFDQSSNHKAYPEDALLAQNMNLCAIEV KDSDSGQGKFRDSSFYVRKQYDYAEQQKVFFFKKRVMKKNLKNMNNVLCIETKQRDTL KRSCNNVATADSRCCAIHIMERQPDFANQKSALEEIVEGSGHKFELYPKYHCECNWIE RYWGAAKKEARRECDYSFQSLNRKINSFLDSVCPPEDDVPEKIRRYFHQSFAYINAYS LGHDAEHAFEIVKQFSKLHKSHCKLRLNQ PHYBLDRAFT_68470 MPKLGVNLNLQRQTLRLMLELWGSDVGDVWRKIRRDARVQRERE ERAGHVEDMKKKFSLLFLSTSLPSQKQYRKTKILGLHKNYKYKNWLALMSNDPNSKF PHYBLDRAFT_91944 DPHFYWWCGHVCLVCNSALYFSSTLSLNANPIYHTRAYIGVLIS YAVVISKSIGDSSWFSKEFFRDENVQYFALAFYWYSYQPMAVTLVPYFAFSIFHVFIY LRTILLPVFFPNNDNPTVKHAREVIEQYTDEYHEKALQIAAYAEVVGILGRLIAGVLV FQTSILALVVFIHFLRLRFYLSPYTRDAMYAVTAKLD PHYBLDRAFT_164913 MPTLEILKEGHNSLDISGALRNSPQNFESLSDEDKAALMNQLAS SFANDPKAMDRLASSALNNVTADDFDTVAVQPHPGFVCKTHIVNSKNPKHPVGKVVYI NICHASEIPEPTLASEKDIQIALNGDPDSTYRVPLSMGQARTDKDNAGRLNLIMDSCF HTRAYIRAERDLEYRLYLLELSIEYVEDNENVVLSREFTMPNVISKGQIPKRILRLPK PSFISSLTIDKKKSELYLPWECKPRFSVFKGNTLIVVIPMPTVDTMLWTLDIEPNALI QTIQGKSSTITLPHTVDVTHVDNSAEFYKKSKDLVVRLTIVATSKIRQQYL PHYBLDRAFT_185730 MLSRKLLTATYPLARPSNLRLQQCAFHALRPSIVLFKAKNKKGG VVELLDENDPEFLDIEDVKAAEASLARELSMENTPDSGHNTNVFNSFYDVLVSETRNP KELHAMPKETRLNNLLQHVKQPDEADKLPKLVEQWRNKRLPITPFTSSKLIQSVCEVG RGDIAYKLLGDRYRYGLFPSSGDFEKTIETLCKANELDKALVTLAMAPLYKSSLTGNM YATLVDGFSENVDDENAIEKAAQIAEEFIKTTEKIEKKENAKDALTKLADLLVKCGDE NRAQAVQSFVATI PHYBLDRAFT_141892 MNGQNNNSTNAMVNNISLQRMMDNRSAMHTRSQLVLAQNMELCP KNTSKAYAAKQEEWRRGCKLKRAEDGSPIPLGRESILAYVKAVSDICSKKKALGWNPN GVARGSLVRAFLDTSSKKRSQAVRTNFEDRRKNTLNDGYTRQKLEKISHYFLNKSNIR GCRDRLCFLISHSMLFRSQTGVTECIALVATITFGKTNQHGKIEYGSSAHHFQVDVCS AYEDRFTPIQYKAQHKTFAKDFKAVGLHTSKVTQGNCKSALNTIVQENISGD PHYBLDRAFT_164915 MKLGGLGVLNPKLQQGALQLRWLRPLFRSPSSPLGLSILTAQFP DMMIWIGSEVDPSPLLSALSPNFSWNRLSTRRYRSSCQVAIFSTKDMQQDIRAIEWRQ FWSFVLPYASRNIWFQLLHCKISCRSALHHCVPTVFPSATCSLCGTTDESQDHFLFAC PLKLPLWKTFWHTHFGFSSQTSDIHNALYKFTFPPPLDPTLESASIFGSALLAVWRHH WVFVFDQALFIATNAITTANSLLSRLYAEENLDQSPYPI PHYBLDRAFT_164916 MASSAIQPDPPTGEHLNTGQTNKTPTSTPPALSTTNTPTHGTPL SYSSAIITVVLGSNGPLTKPRTWRESTSQFSVYYNTPLESSPDFVPFFNALLQPFSTG EIIGLNSTNKAGTLYELHLTSKDDLVIRTTVFASPAIASFSKLFQLNLLKLPHYAPED YDTLTNKLRTTLAKYGYVHNMIVNTLFGFMDGTGYAYVERPPNKEVGHLCSRCPHAAE VDNLYKREQKTPVQHGPPTHTRPSVPTTKKPAGQSVVIKTRFEILDTSLSSVASKHNP ANANIVSKDKKINNSPVEGIETGPIQLELPKRIGTSIEHFDFSAIDRKDRETIPEDDP DLTDHNIDEVDNYFETDIDENNFSVHDTPHPLQ PHYBLDRAFT_68462 MVLYTIRAKMLDDVTPGEPCHCRVKVVFGLPCRHSLPRDHVLTL VNIPESITIKESTPWMKSVSWLEKLFRCCEDEQQVRGLMAKVNNFIENAKQYLDHPEM VFSVASEVKAPGRSKHIKRKSALPKDYVLHKHRYLLAQKSKDEIREMIKQELRDAVKE CLEEEPLKKSIKKIKKEKEFTKEQEPLKEGIYVLSIAKTNNIADKQELLKEAEKHFFG IKRLNHLPDDYCKKTNVHDFALPIQIDQSAVSLTFNPKSDEGGENQFPLVKKKMLETV VTHVTLYEQNFEMDIAEVTKDIAHGSERTIGASCL PHYBLDRAFT_141895 MNNNFATPIEKMYSVKTNLSFPKNGYPDKQSVLQAVNNYALSNN FTIKIKDGKFPTLHLACAKAGTYCNKRNISEDKRKKVPNSSLTGYLYILRFSYKKNSN RYLLLPARGNNEHCHNHPITSENLSSSCQGRIATDIFLCLPVATMNIATTTLLPLRTW LLPIKEE PHYBLDRAFT_164918 MQNNKRQSRNIRNPQPPKKPGEAVFSIYVREHICNECGKVMTTS SSLKRHLKTCQAISSGKPSSSVESVINDYLMMALNKELLAEPTMTAANINRYDYSSGE ENEDDEDDEYNEQYQDNQAFDPYFENNLLGYNALYNSITNCMSSFDDDNDVERDSDDT DSANTAEKLMSGPIAGCHPFPNLQMILLLAMNMLIKIQAETAGQGTFKLSKLNELMNY QRNKKNRIPTLPTVEVKDPNSNLLTVIAQMILPLTHIEFLMTNPKKCERVYSLPNRTS DQATCFQQGKKWRENEYLQQPMFTITYWIGIIDTVYYLKSETVDVKVEDLDCIDTLAK NAIFYSRITTSVTGLDKEYYDLFYIPHHLKKAVPGESNVGTFYKYNPYESWSMFLVAM SYAERSSTKNICFLSTVPKKKGVSDLFLLPTIAKNLKKLEGGILIYSAKHKEYVLVIA PLLFIEANTPCHSELCGILGPATLHPCCKCYCMLQRNVSLQIKEYYLKKHTPRTWEHY VMANSTNKRNIIMPDVLGTNKPVTARALSFINYSTGCLLELKVFDPAKDTPAEILYTI LLGIAKYLMNELVKEILKMNPEKLERLANNFKVLLQILPVALLRDFSNDQVIGTILPC FAELGRLCSLVFVRQVESGFEEYLAQVDSAVNALTRQIQHEYPGSEIAECIKHNDDTA FWHLFLGGSRNFADNNDTNDVTTLKDNSFAAFVIKNSIGMTPSIRLISGSMVTFLWPT ARTSEEIKNNYLKVEMTSDHMSLDSLKPLYKIDLMKVNDTTYMVNLSKFGSYWFYLQH GLA PHYBLDRAFT_164919 MNNEEVPEVTSFLISSQPASVAVPSLIINTSSNNNTDLDGLTYR ASTPYYASELLKRFSSIEEKKSGYFRAVDWADFFLFVVPTLVAERVHDQVAWNALLGL VKACSLLMSWELSAEEQTSIRKNIIAWNTYLETLFANGDIDITIFTISQHLLQHYPAM IIEYGPPREYSTRCVERAIREYSKAIKKGGEHVGAKSDIEFWGSLRCRLIDESFKGIS CLPILIQAFYESKGVECSRIKLVITTSRKAFVNDCVIDSSFAQTPLREAHHVCLQVQV DLFHNVHQRIFFGKVVLFFEHENSGKRWLLALVQVYSVEEYNGVPVAKNGQMKPKVVH LVDVKKLVGLAKNEVTITKNAPFFP PHYBLDRAFT_164920 MNNTDYTVIQVLQGMQETLWGVQETLLVLQKGQEALQKKQVLLR LKIANICKDMNGRESPEPTTVHNNLSGAIPRPVPNIKDITLVHIYRMMSHDLEVELDK ENKAIINTCTRLVCNELASIPSVQALGPNPNWSAISQEDKNLACTRHTCLLRNNGIDF TRCHKNWVSVTKVSQL PHYBLDRAFT_141903 MEISSSSKTKHGSYYKADDLKYDGIIYLHLTEISSTKISAIVQI KLSTVKKIIKRFQKAGSPLSGKSSGRSKIVNKRTERHLVQIVCTDPFANYDQLRLALR EIEIFVCRATVISSLRNLGFGSYIAAHKPALTERHKKT PHYBLDRAFT_141904 MSLDSQLRVTMDVLDCFWTGGFGPLALLEGNVNQEVYVDTLSQK FVSWVKNLSEKYQKDFKLQENGTSCHTGAYAEWWKETYKIKNFEYWPAQSPDLNLIEH VWWALEIKLSKVRASILNVNELKIAIQ PHYBLDRAFT_141905 MNSPADLTPIPEKATLSPMSADELFSDLSEPIFFPDAASGFGFI SGSDSPSIGREVATASRSEHTRLAVTNLEKCSVMLASPNTNTERADREEELTLSRRDL PKFQLASSTIKPFSNEEKNFLPLCLSYTDDGWVEMDFKKCIDGKAAKSCFNKRHGSSL VSRQFTNQVFTMMMKNTESIGDYLKKFPCAVYNAGLPKDNAYIANRFLALLILSVQTL VRVIMAHSGYNGESKRDWTVEQVTQISRYILADNNRVYSKTTQLILSSCGQPEKNNEE HSRKKLHHSNKITKHKKILFCSHHEKNPTHDKNNEPILMVSQSSAKDKFEQTLKTIQN RIDEDMEEISLECKYLLDRVEKN PHYBLDRAFT_68452 MSDFYKKQAITPNMHLHLHLSKCINDFGPVYAFWLLSFEHYNGL LKNFETDQKEWYTSYTSESYQPLMTENIELWNEPLTTLKYDCILPVHCLYLPIAAVRY RLNITSDFKRLVMSFLQKIEA PHYBLDRAFT_68451 MHIWERSYFRPLNITIDCSIPKVNAITSVFSYVAIHYCEFHILC AWQTNLNNKTIYFVHARIRRLNRLLFVLTNNIKYFYKQEVDCIHLNNGKMGPVENELA KNEFAASKIEDDILPSKMISLLKVFASVLYVLHENARVGLMDRYRFFHY PHYBLDRAFT_141906 MDMQNEDIDMEKDFIFDNDNEDNVNSDHNTNLESLVIDSNEIEK ETISFDFEQDKTLDVDTESSRTSRVCEFSFQPFDDQTHSSGAIYLSINNLLQSKNLKP ENIILVGMMLGPKEAGTDRISYYLEPFITKLIDLYSDVSMTDYRNTQLTVRAALMCVA CDIPAARKTSGFTGYMIWCTIIDPMHNLYLETAKQTINIWRDSKLINDKDFLIMQELV NGVVIPSGYARITKKIGDAFSFMKADKLKL PHYBLDRAFT_141907 MSNLPNIINLEADTSGKNVEQKGDAFWEKITNHYHEYAPEGGIL HNERSLPACWQIINKGVNKYVGILLAIVCSNSSGTNDEWNKTKTKFNLYHYFRILARH LKWKPSAPTKKKRRNSKVAASAPNPIGEGIDEEEFPACPAGRKFVKEQEATKRKWEKN IERLIALHSESIAKGDKAQDIKILLIDTSLIADSVSHQMMLDLKKKVQERGIGNTPIS NDDSMSSNLFDISSKGDDCDGN PHYBLDRAFT_164927 MDGIYPTYAAFVKSFKDPQSARHKNFAKTQEAVRTDVERAFGVL QTRFAIVAGPARMRSQETLHDIMTTCIILHNMIVENGRGEKEYVGEGNGVEREVVRER YEVDTSLNGMTSLMPRSEIVLPDRSFTSFIQRYMAIQNCEQHFQLRHDLVESLWQRKG DSLIK PHYBLDRAFT_164928 MFPSIQMHNTDSEKRSIEVDTEIILTYQSDSVEAMDGQVNSPIL DAVSMFDNDVFVGNDYNSDESDTTNDNDSDDNGEEDTAKIYVEEFNNEDPFAASGMPE NPVHRFIATFTVLFASSYVVNKDSVVLIEFINKLLKIYGQDFQLPKSLAGLHKMTGFS SITKGVKRFVSCPNCHCIYEKNMSVPPHCVFTNVGARSPCGLRGTIIDPMHNLFLGTP KRMMDRWVDKKTIGAEEFAAMEKIAETMVLPRDYTKLTLKIGKGFPYMKANDWKSWVL VYSPVLLHGVLPFEMYNNWMNFVCACRYLIKPSITFDEVNSAHDYLEMFCKKATELYT PTILTCNMHLHLYLRETIHDFGPVYSYWLFGFERYNGLLKHIKTNRKDSFEATYMRSF VQNAFKGDYVNAVLKSSSHVPFFNILSKLSPKFTPTTTLKKSALMDETDYAHLLQHYK TSYDLPDLVSYQYATLTNSFVDNEITKLKFIDLFGQQYHGKNSSTSCGSLVHVIFVGS DGRNTLAYAEQI PHYBLDRAFT_164929 MAELEIAKRLLSNLERRFGSTSMRRSDLQKRLHTNFTSRTRRER MSDDEIAETNAFTQRAARADNNECCRVLAYKDNKEAIDLVMLRDCANTLQKAVMSDGE SADEMDEDDIKHVIHIVQSGWRSNECNRFIALVDTYAIQAMGSSANQRIRRITTSVSN SAVPYNISPNFPQWALRDGL PHYBLDRAFT_164930 MTNTRRERAIALCPVEITNQQLMEILSTVRANMSTIKGQIGNVE QTLTNMNGRINILATTSINIISAIDSLVRAPLAAPVRAKLTLAAPVVISNHEPTRKES NAVYVSNIHLA PHYBLDRAFT_68443 MNINDLITQTSENDVNEDRVELMNYLKDVFNLTEFDQQGIQARN NHVSRRFSALAYDDNLYSNESYDLRESMLKGTTNCPEKESGCDYFHICNTVDHVDFPS QYKSGKSDESKLDETPRVSHYEPMSGAEAASLELFSMFVENNMSCNVFDKCVKMMNKY MTECRLSCKLTTILAVINSLMSYYKMDTLLRQEYTVRPVTHDMCKKGCTRFEAIEAGQ HADEEEWCPHCNSQQFQCDRGTLIPVQTFQVVPLSEQLRFKLGNAQKRTKMEYGKNRL SHNVSTTRSNILDSNAVRRLVQSGVVSQNDILVTMFVEQFNPFNDSKMSATVVHLNML RYKRDNMMQLAIIPGPEHPKNITSFLETIVEDLHMLQTSGLRVQTNSGQRYSVNGPNV FRDLDTLTSPAFFGLDEMHLIGHEIGHQLYKALGGKFALDQINRLISESRANIPVIFT GSWRSLKEMTGRQKAVNWLDFLLFVVPAVVIKNFVLVSTRNAVQDLVDACTIAQKWEV TEAEICNMEDHWALTFLPSERNCRRKDETYNFRDEPAHAGSSRVLERNRKNMKNILFS KAGIRHCLSGEVVTREPRNRRTSNFEAASNNVAGPQLWSNPTRKTLVAVASETDINYY TLVCFLADLWGQNVVSMVEETNWVVCTSKMWKDQVVYRVQASFDSRHVQATDLVVLKH PWGKLYGFVCKFFSYSVLGETRLYTIVDHLCGIQPNNEGMFPVWESTTISDKKVVDVK SIKGMAGLVHDVNDKTVRYVVEVSQSHYQ PHYBLDRAFT_141915 MTQAAAPSPSVRYIDIARWIAVAEIFQELPGTLPPGCPMSQRQE ETSVWLWGLFFTQLWCVYHTGKWTMFSKGMLFPISRRLSALACNWATVASRASRRACV MRS PHYBLDRAFT_164933 MDFFDTRVLLPSDASPSQYPSGLAKTISPKLLSTIKHGYEHDEP FSHEHITNQELSFHTSVIDMIGEVYGSKQYKKKSLRLDKINSNTTKPCKNWHICIINL SFIVLLIGKRACGKNPYTENANTSYYPAVLTFSYVRKLVLPPMSKPASYTCKNYLITS WGIPQIYLDILDTEQERSKTDYIMYMALMVYLYYTPHLLTIYTDSSLTPPIINSKKTR L PHYBLDRAFT_68440 MYNILIDSFQTMVNNHQSIAPAPSLEYTKLLRRLTAMEESLKIM DSNIGIVIKGNKDSLEILDSIANAFGELLAVIASTTIPASASVPFAASSIGSTLDWYT TPSEAFFGISSAAPSVGPVVLTGANAGELSKQYRTRVLALIPGELKKHNFKSKKLELV AANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKHFDRHELTYHTFKAEINVKVGKSC DGLLQKEAMSEGESEDDMLGVSSNHAICTVRPSWRSDEYNHFLAVVDDFMRNCMDFNS RQMLKRSFGRDAVLAVLPRLTSLLPHWAFRDEFQ PHYBLDRAFT_164935 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEYKILTKCYILNVSILTHQPGALEESYTQTNSPVWEGASMS DTEDVSVTNDAISNGNNDDSGSNSNEISEDESEDNVIELDNNELNSEDPFATPDMPQN PVHRFIATFVVMFASRYVVDKGAIALIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSA MTKGIKKFVVCQDCHKVYKESASVPSHCNFVKLGAHSSCNCQLTKTSASGALVAKCSY LYQLMNHWIKDEDIQDGDFAVMQKTAETMIVPGEYTSLNSKIGKQFSYMKADEWKSWV LVYSPVLLKDVLAKDRFKNWINFVDACRLLIKPTITFDEVNTAHQFLQTFCTRCDELY NAKILICNMHLHLHLRDTFRDFGPVYGYWLFGFKRFNGLLKILKTNRKIGFEETFMKK FIEDVHKDDLVNSFLQSTRQTSAFPLLTKPTSSFTPATIPSIRQHTFRIQSFVEASED PNVLVKGNEPLPPSTFPLSLKSATTMSDIHYVHLLQYYKVVYNNEQLVHFQQVLESPY FVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFIHS FTPPPTSSSLTPLLRTHCRPTQLLHNSQHTFAFIKWYTPKNDKSREYEHVETCFPTFS PDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_141919 MNNEEALNKFVCGLHPSIRGNVLAARPVDVDDACNIALAYASGL QLGYQNNYTSVPPLQQYQQQYQPQYQQQQYQYSGPEPMDLDAINNRRSNYQSCPPPRR TNATCHWCGDSGHFKRYCRDRLAAIKKLDEESLKKQGFCEAQPNEPTEHLSNKETFDQ YKIVTNEEKKAISKENCDPCLTTNQHFLEDLHSAVDTDLSLYAATLHGQQILILIDSG ASANYVLPWIKHLATKVSNIPGQSVETAGGHSIKINQKATLTLSLNGYTDSTAKPVPD WHRDNWSLYRDGQEFVLRPHGNRPMKPQLNYLISAKQVQKLSRREEAECFLLHIKTEK EVTNDEQWMKLVKEFDNVF PHYBLDRAFT_141920 MNPGERHQENFDKKTLSQFEIEEQVQDLLPKELVNDYKKNRHVP HIPEEQRLKNTPAREQKWSKLAKKLAATLTGKNKELEEMAPQTATVTAEAITATVAEA MKAITAQQESRAERIKQPDCFRGEHSAAVVDGWLRAVEHYTKYNNFALTKACNFAVNL LCDRADTWWRCTELKTDHPTTWIEFKAALTREFCPVYSLQTSRDRLA PHYBLDRAFT_164937 MRHLLSTKGVIWYSQWKECRLIQECVYFNNLLMIAQLFSVGIWS GHLIQTFDIGSLFCILGFGFLILDQIYSLEIENHIAMDSIYIIVGILQLLMVVIIIVL HLKINKLMISIAQ PHYBLDRAFT_164938 MPSNATRKSGRKGKQNARGTLSHVAASRIEQCEIAPRVLPLAAG PSGTEAPGMTVKSLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTATNVSTNRHTKGEICAIVLNLINGRMWARNFRSDDFELVAENKSCSWVLGGYDSA ENKKQLQDMFLCCQRNPEQMSSKRRNNCINNHCIEIHLHRVDTYINNWLAIDTEMGYK PGNPDEMAYLHLLKKSVISDSESEDENVTPIIRVRVLQVARPSWRSAELNRLIQFIDF LAAENDKKIATPQSKQRMPRYLKTIEVTPVPSHLTAILPVWAI PHYBLDRAFT_141922 MQKIVENMTLLPDYTMLRSKISKGFPFINADEWKSWCLVYSPVV LQGVLPKQKFENWMFFVNACRFLTKPNVSKDDIQSAHIALEKFGKDCERLYSKDLLSP NMHLHLHLRNTIKDLGPVYGYWLFSFERYNSVLKNINTNRRSGFEMTYMKTFIEDTRK GDFVCNFLKTSGPFNFSGIFDKLVTGYSPADSTTSTALYNWFSLPDFLDAAENPNLSI RGYYQAVYNDPTISSCKDVIQDTAFVNDWIEMLKSVNLLGQTFKGSRGTNGRGSYIQA MFIEGRNGAKYAYVGEIQYLFVHSFSPLVSTPHHRTPQSSQHTFAYVKWYKASKETSR KIAGVEIWDVAFSLPDFQSILPVHQILLPVAIVDHTTLQNISKKLIVSLSRKLYF PHYBLDRAFT_102164 NLPRSVRFKKENVILVGLMSGPKEAKTSEINLYLRPLVDELEKL YKGVRVQTYQCPNGITICAALFMVACDIPAAQKVCGFTSHISTNTCHKCNRQFSRLAG TSSVDYSGFDFSKWLLRTKNDNCKDAEVWRNATTEAERHRLEVENGVCWSELHRLQYF DVVHCMIIDPMHNLFLGTAK PHYBLDRAFT_68435 MLKKGQEILWDEQALLRQEIANVCKDINGQESPELTINLSGPIP RPVPNIKDITLVHIYRIMSHDLGVELDKKNKAILIICIRLVCNELDSLSSVQVLESNP NWTIISQEDKNLACIRHACLLRNSGIDFTRCHKNWTSVAKVSQLWKNHKK PHYBLDRAFT_68434 MKITEKCLILCLKNQLENMRNAHLSVTPLLLMSSQSVSAAISDL ILKENTSTISHESTDSNNSTDLDDLMSNNDAKNLEFMQIINDFGISCQAHEKLAAHLN NILGMPTKITYRVCTAYLGKELLKHFPSVEETVYDVCQNGCMMFNDGEVACEYCGEAC YKSNKTDKDGILIAEKTMVQIPLDSQIALSLANSDTHINQSNTFSLVKNDVAISLSVN GFVPHNIPSSITILYATVLNLPPMVGYEKSQMLQIVMIPGPFTPLNFWSFLQPNLADL KVLQEEGIVVITLTLTIRAKVYVLVVTGDILAVAKLACHADHMSKKSFQNFDQTSLFS KGLVGQSPFSSLASFTGLLFFILDKMHGLCHGIGKQVWELIGGKLSRFPSLHCLYTGG RACKRYNCQESIAWTCASLQLTHELGVISRGTNLYKKSMYLEYLYWNDKIEITVFTID QHLLQYYPIMISAFGSPCAYSTKSVKCAIGAYSCAIKSNSAIGINAGNIIVRLAYTRQ LLTDSDGSKQRGVILQYKDMSADWPITSKGECAGADSDIEFWKPLEYETIDNSFENIS CLPILI PHYBLDRAFT_68433 MLWWLKLKKFFRGRIEDLRFGTKIRENLCTFCLLRKDFISKFDP VPTLNYYCYLYCFGLGKLYDWGQLQVPEKKYQIETWQNNRNIHHSIIDKEKTVCAPGW ARTTNLLINSQTRCQLRHGSVVMVARNVVIYLALV PHYBLDRAFT_141927 MTDKADHHSAANSLPHEEQSPLTKVGIPSSVLSEQTHLTEIGDI SPLFGSTALSIDSDIEMIYTSLDSLPPLSVVGSVPPTPVPTVPSAINSNTSSSITLEM LLASAKKDLSIKKNNIYVAYANYVALSEVNPMSDAARHASFIKREAQELFENAQKTLK VLEKANAPPAILEDKKSMVIPSNLPFLQLCTETRMKQNLSFPVLGLMLGASASHMPEQ GGNILKKAEGILLDHYDTPFRRFLNMGHVWKMMQKKCESNLHLEVWEGSLISLSANYG TKLPSKVEDIISLVSVATSNSTALLNQPAESGTPAKWKLFANAHSIFSLISHKGKNRA IARDNDPKCCGEKIKQNII PHYBLDRAFT_164944 MSHIPGVLFFWKDPERPIDMTLLQSDQSKSFGAWENGHTCPERD NYLTKVSRMAVRSPADRSVASSPACGGFTPSCDSHFSVCGNSSPACGGFTPSCDSHFS VCGNSSPAGSSSTSWSMDQDNTSALAKMALNCKDNHKDMVIKKDFKNVSTNITFPILV NNSIRTIALLDCGATFSSVDKNFCLQNKISINYVNHINKALVNNSNVHKYFIRLADSN THIKRIGTCVFSVTCNSKTIQREFEVINLTNSYEYDFSIGTDYMSTLGIDIYGLPLSY DDADSSEERREADRCFNNKSDLLKSLERENE PHYBLDRAFT_141929 MQKIVENMTLLPDYTMLRSKISKGFPFINADEWKSWCLVYSPVV LQGVLPKQKFENWMFFVNACRFLTKPNVSKDDIQSAHIALEKFGKDCERLYSKDLLSP NMHLHLHLRNTIKDLGPVYGYWLFSFERYNSVLKNINTNRRSGFEMTYMKTFIEDTRK GDFVCNFLKTSGPFNFSGIFDKLVTGYSPADSTTSTALYNWFSLPDFLDAAENPNLSI RGNKPLLPSALPLQKKAYEMMPRQEYDCLVGYYQAVYNDPTISSCKDVIQDTAFVNDW IEMLKSVNLLGQTFKGSRGTNGRGSYIQAMFIEGRNGAKYAYVGEIQYLFVHSFSPLV STPHHRTPQSSQHTFAYVKWYKASKETSRKIAGVEIWDVAFSLPDFQSILPVHQILLP VAIVDHTTLQNISKKLIVSLSRKLYF PHYBLDRAFT_141930 MPSNATRKSGRKGKQNARGTLSHVAASRIEQCEIAPRVLPLAAG PSGTEAPGMTVKSLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTATNVSTNRHTKGEICAIVLNLINGRMWVRNFRSNDPELVAENESRRQWNTAERID HPDNVEVINYLRQYIIAQPRTAGFWEDMIVQKIKNNYKTCFCAVNATPSKCLPKGAII IHLHRVDTYINNWLAIDTEMGYKPDNPDEMAYLHLLKKSVISDSESEDENVTPIIRVR VLQVARPSWRSAELNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKTIEVTPVPSHLT AILPVWAI PHYBLDRAFT_157914 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPTINER VLRVNEELKKKYNHKNILIDIPKGTHVMVRLPHRPNKLVPIYEGPYTVVQRNKRGLYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHCGAAGEREYQSHNNTKV LEEGQRNQTFRE PHYBLDRAFT_157915 MYAKATQLIPGANMHTERRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKYFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_164948 MEWTRTSAGNPAVTNLLSLRSFLPTEVNEATTKTIVAQKPKTNL LEKVNPNSVPTAESIGRGSYQKYNQNQVNKLFSLVFSENQTAAAAARETGINVRTAQN YVRLAREKIQADFDAATVETDESNGLETMEVEEFFENKPDATLEQARVAVMEEFSGLQ ITKSAIQKHLVKKCALTMKKLEKLPEKRYDVSTIEMRQDCILEWQQLADFNYLSNCVF INEAGFNMHIKRTFGRSVSGTPAMTTVLTQRGVSITILGAMCERGIVSLSLKKPTAVT TKKKRKLDIYTNVEVNGRIGTRTQHYLDFLSHTMDRAKALSMSFISI PHYBLDRAFT_164949 MLTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLLEKVDPDSVPTAENIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKIAV MEEFSGLQITKSAIQKHLVKKCALTMKKLEKLPEKRNDTNTIEMRRDRILEWQQLADF NYLLNCVFIDEAGFNMHIKRTFGRSVSGTPAKTTVPTQRGVSITILGAMCERGIVSLS LKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQHYLDFLSHTMDGGSKFRWQWEQIELA MDANSISMEANQMSMGSN PHYBLDRAFT_164950 MLHEKLEEYNSAFEKIMEELEEPEKPEDPKSSAPSTTDETPKKS RGQYQKHTDKDIKKLLYLYFIRGLTIEKARKILYKKNLSCTLPPIVKGWEQIQMAMGA NSVSNGCKFNFYGSQSDVNGF PHYBLDRAFT_164951 MLNIASTIASIIKHNASTLPTTASIVKKKFSIVPVILSPIFTFS LPIATISKSSPNMSQLLPTNCMQSLPPKLVTFLTSMQSQFNALNEPNVRQENADLQSQ LLQNNVTSPVPSFASLPASQSTADLGTTASTWTIKTNLILSAKTLRVPSARWVAASQR LFSDKTGRDGFHCKRPLILVPENLQKFYYNFRFLIFLNNFYFFLL PHYBLDRAFT_164952 MYAVFDLKDSYVICKCPDCTKLDSCGKKQKRQNAQQHYEKHIVP VAKDDAMDVPEEHFDNMEVDSIDSDNDNDYDYENKGEGEYEDENEEQNIEFDQEVDLP LSQKESIFTAEDTITRAFVVDSNEIEEGDTSFDFEQEENFDETSGTLIVESDMN PHYBLDRAFT_164953 MPLYIRFVAVFIVIFHLIFLVESSESILIEFCNTLLSLCDMSGA LPLTINSLKHKTGFNMATDGMTVYIACSQCHSIYPLETSQRVYTFKKFSQSAICNNNL FKVSTGNYSLPAMIYPFNSEVRLATKILQARFHVQDQFRMIQIWRECNYIIEKNQLTM QELANGIVVPCGYARITKKIADGFSFMKADEWKSWCVIYSPFVLKHVLPAKNLENWIL FVDACRLLTKPSINDKEIDEAHSKLQLFCTRFQTLYGKSAVTPNMHLHLHLGECVHDF GPIYAFWLFSFKRYNGLLKNIETNQKGGFESTMMKRFLERTYIGSFIQSFVNHLPQFA IDFLHRISNSQDQLAALHPSSTASTFSLSDFVEYSLNPCHSALGCELLPPSVFPIKLD QRITMCKGHYECLLEFYRHAYGSHDLFGHYSNCESNQIFVNNRIEKMKRISLLGQEYS SGSYFRTYYLENNSEDKAAFPGRILYLFQHLITINETVITHTFAFVEWYSSYSLGSYQ PMLNEGIELWNEPSSVLNYECIIPVHRLYSPIAIAKYRFTITSEFKRLVTPLPQKIEA PHYBLDRAFT_164954 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLRRQEEGK KIVRHIGNRKLQEKKTEEKKQEENRRVCLCQRHVKLCERRQSALKANRAYFVNSFGEN VDSILYADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSRRSEEGDRFVDELDADYEA AHDKKNNTHPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_68420 MSRLFFKSYWFAPFCKRVSIISQAKVSLVNNFDPLDPKNVADPK FANLSLSGLETQALVLQNANCIQALKFLYPHLVLPVAHFFVQSGWISIEEIPACPIAE NFGLWNANRLQPRAIKDVLNHCQSLYMLLITKTWLLSPAHLPTSWSQYHLYGSCVAGN YRGSKGVSLLVFPSCPYAVTQIPMPNNYALAVKIGTLQLICLYLPPSMPTHEALDILS AIPLTDDTIICGDFNAHLGSVTGDYTYNPHGVALEQWLEERFLTVLNGVFSPCTPTYI SFSPPPMRQTWNLSRLYEDNVRSLYVTTFVTKSAPFSLYCKTLSRIRQPYVHPLMLLL TLSMHSCMTLLVAQLVLTPLAPHIGNRFGPLHCKLQPTIEMIVTSNGVELVALTRSIG GAGTSMHTRSSVSKFRQQSICLGMLFVAQ PHYBLDRAFT_141942 MFDNVSISVLLGNFSSHSFSPKTGVLQGSVLRLHLYSIYINSLP ALLCSVASPLTSTHVPSSSPSSLDAYQSLLAPPDVNGYQHAVSSMPINTLLFADDVAI FGSAHDVQAMLHLAAQHSFTLDLSLYDEILPAVEEFIYLGVLFCNKGIHEPSIVTHHR SGVLATMAMLNAVGVCWSGFSLLLSSRLYRTFIRPKFEYGLAILPLKRTDTIQLEKIQ DKCLCIIVGGHQTSSTTFCIRAHYLPSGCLLSLLHHHYSQSSSLVTLCHNTLLQSIPI DLNVHSSKALKRHFETFQQFKTDELRLSSNQVLFLACRPLLEVDPILFLPATRVERSR LVCWRMGWLPGTPKDCPCGTDHTSRRHLAVCSLVPAHLLACLPIPSNQNCNPIDFAIT ALPNSSQAPCPSYWVALLTIL PHYBLDRAFT_164957 MPTSNNSLEFVMGKHCEMVSHSGSADQTQFSGIAFDPLCNFNQE IVDEMHGEVVLRSVTDVFVNHANMEWTCTSAGNPVVTNLLSLKSFLPTKVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVWTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALRMKKLEKLP EKRDDVNTIEMRRDHILEWQQLADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKT TVLTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQH YLDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_141944 MLHEKLEEYNSAFEKIMKELEEPEMPEDPKTSAPSTTDETPKKI AFDFTKPTNSLTSASNWYTIYQTDCRNLYKSKRPPLIVEFVLKEDNNFSKEILINWGK SSRSFERQEMPSKLSWIVLFLKGPQNSISDPAPTRPPSSVNGQPAVESSYYKCCAVLA SVIMTVALASASCTLTHI PHYBLDRAFT_68415 MTSNSILDSYQYNQCKERHTNLKKAKSCRAQCFKNCHRKHNDIQ TSQTTPVPGQVSVVFNTVSNNTIDRERADAIEDQIMDTFNSKDNNDLIMNIFSNDDND ESIYDAELGNNMDIIENKTCPLVFDFSQPAPTSDKDDAKNLEFLKIIKDFDISCNAHE MIVKHFNSILETSTCITYRAYTPHLGKKLLKCFSGVEETVHDICQRGCMLFTSPSQSE CSNCRQSRYKTRRGETKGGDLVPTAMMIQLPLARQLALALANENIRADMHYHHNHESS LDGSKTNVFDGQVYQQAKHLFSRKDDIAISLAVDRFTPHNVPGSVTILHATILNLNPM VQYERSRMLQIAMIPGPGAPANFWLFMESTMKELLVLESKGMVIKTPNETIRASKVGM SFWTYEQRRLPYLLCCQPMSQARTIFQNFAQHQYLYAGKFPEFFPGQCIQPQRVKQTI PPATLKVFSGPLFFVLDEMYGLCHGISKQVWGLVSGTYGTDHCFALSSGVWKEIGTAM DVYKNPGSFKAVDWADFLLFVVPTLVAERIGDATAWNALLGLVQACNLLMSWELLAEK QTSIKRYILNMYLKFLLTSGKIKINIFTINQHLLQHYPLIIDAYGPPHTYSARSMERA IGEYSRAIKSNSAINVNTGNIMLGLAQIH PHYBLDRAFT_141947 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLKM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAIGLICGVVTTSLYNCLNSIRHTCY KSTKKFWVKSLPLLKNTSLELFNIVYRDHSLLNIGF PHYBLDRAFT_141949 MQSLQTPSQVSLIYELVDSFPTLVTVTAATTTTIVPTVPYLSTT SSGFNLKTFLDSAEVNIDNVKGNEPLPPSTFPLGLGEFVQMQEDEYTHLLEYYRTAYC DASLRGYREAIFGQLFVNNMIQKMQSINLLGQMYTLRQDKTLSLPQKYTSF PHYBLDRAFT_23515 MGIVHHFGKPDIFVTFMCNPTWPEITNSLLGRQSANDRPNLCSH VVVHINVIEFQNRSLSYVHILFIVAIADKLVIVDDYDAIISAEYLDSDFEPEALAIIS CDMVHRSYRQEACLANSSPSCCIKNGNCQKHYPKSI PHYBLDRAFT_68411 MSDPFALWLVYCSSMVEDYLHAFQQIENDFSLESTEVMYDRCLW SIESYMSANGFSLTSFSEFVLTSLPDSSDTQIPASGLKTLIDKQETLCTKALSLPDSD TLSFNEKQQAVYCVVMEAVEASSTTLRLYFVNGPGGTGKIFLFNAMLRKVREQDKIAI VVATSGITALLLDSDQTTHSRFKISLDINTDSMCSIPVSFDMAKLSRKIDLIVWNEIF MVSKNLINAVNCCIQDVIKFVDLLLESVPFSGKLLEMTQLNVFAALLLTAGHNINYLT RAIFCDLSNNVSNFSFLTGHAILNSKNAEVKINNIIMKLCSEQKTMFKSLINVSAKMI S PHYBLDRAFT_68410 MDKNLNIPYFTVNLILRRFKRTRSMEYKSLDSDLRPIIKDYHKQ LILNIIDKKISICILCNDLQYIETVTLKRVTAIEEKRNDEMTLRKRHKYILRLQLEEI LYSQNCIFINEADFNFYLIKSYIRAKTEKYALA PHYBLDRAFT_141952 MASSTTIPDTPEQGTPHQHKSPTKAVLLTHNHNPSQNNETNTVI MPSHVWCNGCSSGSIIFDVTGHKLIAQEGLIALVHGKYPSRSCILFHKNGPHCLFEIN FLPQNDMDIQRACQTCLHFPDEKVTLLATSGLETGAVIQCISLSRLPIFDLSDLLYGL QTSLVLYGYILNVGICCEPKFQTFLDGFWLPRASCLFGVGIVTLTVMTVRTALNAQPT NDSAEYVTKLGTSETSALGLKPRTRILEKDPT PHYBLDRAFT_68407 MHITTVQDRDRDRDKDRNRKMQRGDPCIGRCRQSEHQSTSYGWD MGRVFLKIIYTNEIDRLSPLGLLWWLKLKKFLRGKIEDLRLRMKICENLCRFCLLRKD LVSKFDEVPTLNYYCYLYYSGSGKLYDWGQSQ PHYBLDRAFT_164966 MTPAGPICASCKQLGHSRRSNFSCPLNLRHKTLLIPQKRTSDNL SAQEEYQAESSRAGASRPRVEAVQNPVILTVAEINALFRTAQYSAETAASRPRVEVVQ SRVVLTIAEIIALSRAAEYPAETAAARPRVEAVQDFVVPIQPLSIDLSFTEQYTAESD RDLTAAFEALQVSDVERVLDLTTTTATATATVIPCCSSCNGIGHQRNNVLFSMCCDKG HALIPALSPTPSGIADLLVHSTPDGQTFFDKIRRYNSTMSFTSMGANIDQSVANNIDS WDLLHKIVSVIPMTQAQMDQPKFAQIYIFDPASQIKYRQRNAPDLDRVILEKIQAILM EVNPFVSLFRSMEQIARENSGTADLTICLKANGPQDQQCYNAPTAKEVAVLIRDNETG SSRDIILHTHANGLQRINEYNRFYDALQYVLLFPLGDYCWTIASYSATGEKVSAMDWY ANRLMYHSNSMHFLHQFGWLFQQYIIDIYAKVEHNCLDFLTQNQKKLRSKLYCGIQDA LHLTDNNLANLGQQLAVYRVVKEAVKASPTTPRLYFVDGSGGTGKTFLFNAMLRKVRQ QGKIALAVATSGIAALLLDVRLAFAMPINKSQGQTLDKVGLYLPDHVFGHGQLYVALS RVQTPDSVKIMVDMDSISTETTSNVYINNVVYNEVLSRN PHYBLDRAFT_68405 MCQGAEQSVVKVVWVNLLELTCVSNYKCCKKCMVQYNLLELPCV SNYKCCGKCAVQYNLLELPCVSSYKCCKKCTVQYSLLQLTCVSDYKCCKKCTVQYSFL K PHYBLDRAFT_68404 MSSTQRRCAACHMLGHSRSTHKQCLMNPKNISLHIPQKRTNVDE YPAESSQTAALRIRSEPVQDQNLDIETSTFISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEVTGREEEVEVTSSDEEVTGREEEVEEISTVNRGSILPHCPHCNGTDHRRI TSRFCPNNNSSRARGSRNRGRGLNNIARLPAISEPAVDNRGDMDIEC PHYBLDRAFT_141957 MCCNKGKHVLPQIEPTPTGIAELLNCRTRDGKKFLENIRSYNST MSFTSLGAKIDTSVGNNINGAYNFRIHGTICHRIGSILPVTESDIAHPKFAQIYIYDS AAQIDQRQYHSPQLERSVLEKIQSILMETNPFVHLFRTMDQISRVL PHYBLDRAFT_185732 MNSPADLFPAGLTPVPEEATLSPMSADELFSDLSEPVLFPDAAP GFGSVSGSGSPPVGREVATASSCVAVLKAELGNHIEAFSAAHVANNEQAADDALRRIE RTRLAITSLEKCSEMLASPGTNTERAGREGGLTLNRRDLPKFQLASSIIKPFPNEEVF ESVGHFLRTFKNVIESSSLDIERVWKKFLPLCLPHTDDGWVEMDLKKCIDWKAAKSCF NKRHGSSLVSRRFTDQVFTMMMKNTESIGDYSKKFLRAVYNAGLPKDDARIADRFLAS LTLSVQTLVRVTMARSGPNGESKRDWTVEQITQIGRDILGDDNRLYAEATRLIPGSRG QPEKNNEEHPRKKLHHSNKITKHEKTFFCSHHGKNPTHESSKCFTLINRKNKANLTLR LVAEGPRDQRRYNAPTASEIAVLIMNNEEGTSRDIVLHTRANFQQNINEYHRSYDALH YVLLFPHGEDGWTIDASSLSGEHVTVMQWYSNRLMYRRNTQHLLHLFGRLFQQYIVDM YAKVEHDRLHFITSNQNRLRVDLYSGIQDAVIHNDYGTGKTFVFNALLQKTRQQGKIA LAVATSGIAALLLDVRLAFAMTINKSQGQTLESVGLYLPAPVFSHGQLYVALSRVRKP STIKIMLDTPANSNETANTVFTDNVVFKEVFDI PHYBLDRAFT_164970 MQPFESICASCRLSEHKRRSNMLCSLNNKNTRLYVLEKRTYKDI STNEEISAESSQSAALRLRHEVSSEKTTQVQVQHVLESNGSNESDENDEDIESDDTES EDETDDSQSHGIACSNFTTEPGIDYQGSMTAEFSCSSVENLLFSMCFNKGSVLPDFEP ILPEMAILLVHGTAASNIFFQKICAYNSTLSFTLLGAKIDHSVANNRSGAYCFRIHGT ICHNIGSVLPSTAEDLIKPRYAQLYMYDPVSQVNFQHNNASHLNRNIIEQIQSMLIRV NPFVSLFKSIEQYSRTENQVVDLTLRLVADS PHYBLDRAFT_141962 MIEEEQEEAMEENISSLKERIFNMASLTINSNVESDFSILAFED CQSLLDFSAASAFVDWDNSMISVSMLTKIMYTINLLFELKKRADEDKVEFKLPKVGTL LNYQHNKFNKIPLFPTTAIIAPLAIFSDDTSGNLPKTYIMYNTVSQQAGFKSTQLMSI LAVDLKSLENSIEMYLSTYNETVTVCALLLFITADNAHHAKLVGLKHATSNFPYRRCY HRSLTRFSFDDFDSDHLVRHFQRRTKEHCRIAATDPTCKEKAIPSVLLNIDNIYMRME KPIDKMSILTDLGYSHTGAKGLLCLQSFDPALDTPEVLKGNTALQVKLSNLLEQEKGS RDFTRTFRKKLRHSGSYLDKELIILVQVLPAILNAEFANDTEVSMIAKPFTELGILLS LLFVQEVNSDFDQYLSNVNNTARLLVKSLWKYDVYSNTKFSLTLKTHLLLHLKEDIKR FGCALYFETEKGEQFNKFIQTHLVYSNYRADNRDLAFKFEKQDMLRHIASGSSWINRT TGTQVKSGSSISAFLQDQGIKYSDNYFGKYQEFVDSNHVKTKVVTGVSVAFFYKDNIC RLFVGRIMESNSILCIQYYQLFSPNLNLATVGCQPSEHYCNLEEIKIECMLHLTSGVH LSSFGLLSISIGCFSKKQNFSDLQTLQALQALS PHYBLDRAFT_164974 MCLNMSLKIIQCAALLQAQMAGERMAYKLNFAYVFAIFQLFFAH YKSFNQVFVIVSANTAPNSCHFSMLAMNISTCIYTNSLPASLSLHTIICFFIYYVSEL QCNLGSFSSQAIILLLANANKTVLKTCPNLDTDFFWCDAN PHYBLDRAFT_68396 MSMQFLYEDGKGHVVDEHGVEPMDLVVDKELFAIETISSRTQFL MNQPPERYSNPVMHPVSDDRNNDVDMELFNKRRYTVYSDDEKMRFFHLFFSKCLSASA AARQLGIHIRAAQRWVKRYYEDPESIFEKKKKSGRRRILGEEHKQFILRCIDENPSAV LTEVILMFLVVLFITS PHYBLDRAFT_141964 MASKTKLVTILQQCFECRKTYSTPQSLREHMQKHNIQLPQRITG IRRYNNDEYTYVKATKSHDDIEKHFGCPACIAHCMEIDELKTHYYVNHLETLPEQSQT TSQEEPATGQQQSYNSQDQPNKRHLSNILGTELLDPLCLSFPPLDHDDHLLVQNFDAT MAFHKLQLSLCQHKWKLSLENHIHCAMAATHILLLSRNHYPEDLSPYFSNHDLKATIN GIETKYGIKKLPMSMATTTSMIGIVQNLTMGVITSSRTFAEDINEFELSTRYVDPFLS GLFDDPDEGIYLRWTNEITLEAQQDEDLSTKRPDICISRLHGMTWASNHGYGEVKSAA QGGNNYLICRDLLRVGIFCKNALNTHNMEGVLGLQVIERMVTFYVLVLPSTGLYVMYE LEKIKIPSCLDDLTKLIVDMPRVCRVLDTFNRICKPSVHQAMPSRHRPTITTSAFNGV FSPSQDRKRSCHLKYQHN PHYBLDRAFT_73606 MSSTQRHCAACHMLGHSRSTHKQCLMNPKNISLHIPQKRTNVDE YPAESSQTTALRIRSEPVQDQNLDIETLTFISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEVTGREEEVEGQSIDLTLRLVAEGPRDQRRYNAPTASEIAVLSMNNEESTS RDIVLHTRANFQQSINDEDGWTIDASSLSGEHVTVMQWYSNCLMYRHNTQHLLHLFGR LFQQYIVDMYAKVEHNWLHFITSNQNRLHVDLYSGIQDAVIHNDCNLANLGKRVILPS SFIGSPRYMAQLYQDSMSIVRRFGKSDLFITFTCNSKWPEITNSLLAGQKANDRPDLC SRVFNMKLKELMIDLTKKIFWGKFWPLYMSLNFKSADFLMPTFI PHYBLDRAFT_141966 MLHEKFEEYNSAFEKIMEELEEPEKPEDPKSSAPSTTDETPKKS RGQYQKHTDKDIKKLLYLYFIRGLTIEKASKIVNMKQTTAGGYILNWRKSPVVFFIKN NKEATSDEKINLLFKTYSAIKKEYK PHYBLDRAFT_164977 MPTSNNSLEFVMDKHCEMVSHSRSADQTQFSGIAFDPLCDFNQE IVDKMHGEVVLRYVTDVFVNHANMEWTRTSAGNSAVMNLLSLRSFLPTEVNEATTKII VAQKPKTNLPEKVGPDSVPTAESIGQGLYRKYNQNQVNKLFSLVFSENETAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKSDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDVNFNYLSNCVFIDEAGFNMHIKRTFGRSISGTPAKTTVLTQRGVSITILGAMC ERGIVSLLLKKPTAVTTKKKRKLDIYTNVEVNGRIGIRIKNLSAMYPSANSKRGEQIQ MAMGANSISIEANQMSMGSN PHYBLDRAFT_164978 MALPITPPDPLGDPPSTFGSPSPHSTTPSSPTTSQRTYLVAATL APTALLPHQPRIIYGDSIDGLPRIWRAGTAPHTVCYNAPLPTSPLHNAFWHALKSSAF ADSIVKVTLPSRSAPTALEVQFLDAASCAIACVHPIFVSDQHFPACIAVAPGRKVYRV TLSRLPGVRYTDLVTGLQRCLAPFGIVREIVVHESYTFFDGTGSVLLERPDPPAQQVA KLAYEISYNDNTAILGEWAHMGSHCKYCKQMGHDIDAYPARSSETRTCHSCNKPGHLQ ANCPHVSKPASSSLLPIEPQRKAKVVNHAEEETPSDNTAYIVDPEDDTMLDALPEQVN SDKAQLQQEPEKAADEQALLEAIQATETERVRRLTRHTPNRNMRRSLSTSPTRRNTAS RNSSLSPPPRFTRQALGTEAKSNQ PHYBLDRAFT_164979 MGSFLQRGTFPPISLDTFCLPRMQGGLGIIDPKTQQSALQLRWL QPIIRAPWSPPGLVPRWMSGLLQASLPSLSPLFPLLFPSMRPSGWRDLTSPLHLAFAA IDHLPHNFDNVVVNSTTCLALPLSAVTIVPASQARFPPSWQDLLVSHLYTFDPALASL RSISITSSHPRSHVINKFLGRVQLNTLTLHLIIVCACCSPRELTEQYLSLLVQDGTSI DLFPFFNALVPSQTWTRLSTRTFRRLCSHHLVRARYFDPLVALVIGGNFGLSPFPWWL VTFGFVVYTTRFLAEPVFTLCFLSPFSLLPALFALSPPTPKTTSSSLVLPKTRCGSAC GWNSLAQYLPQPHYTTLFTSSLFPLP PHYBLDRAFT_141971 MPSNATRKSGHKGKHNARGTLSRVAAGRIEQHEIAPRVSPLAAG PSGVEAPGMTVESLTQVMAAINMMYDPTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMHAIVLNLINGRMWVRNFRSNDPELVAENKSRRQWNTAERID HPDNVEVINYLRQYIIAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNN RINSHRIEIHLRRVDTYINNWLAIDTKMGYKPGNPDEMAYLHLLEKSVMSDGESEDED VTPIIRVRVLQVARPSWRSAKLNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKTIAV TPVPGHLTAILPV PHYBLDRAFT_68393 MLRSKISKGFPFIKADEWKSWCLVYSPVVLQGVLPKQKFENWMF FVNAYRFLTKPNVSEDDVQSAHIALEKFGKGCERLYSKDLLSPNMHLHLHLCNTIKDF GPVYGYWLFSFEQYNSVLKNINTNRRSGFEMTYMKTFIEDTRKGDFVRNFLKTSGPFN FSGIFNKLVTGYSPADSTTSTALYNWFSLPDFLDAAKNPNLSICGNKPLPPSALPLQK KAYEMMPRQEYDCLVGYYQAVYNDPTISSCKDVIQDTAFVNDWIETLKSVNLLGQTFK GSRGTNGRGSYIQAMFIEGRNGAKYAYVGEIQYLFVHSFSPFVSTPHHRTPQSSQHTF AYVKWYKASKETSRKIAGVEIWDVAFSLPDFQSILPVHQILLPVAIVDHTTLQNISKK LIVPLPRKLYF PHYBLDRAFT_102181 NLPQSVRFKKENVILVGLMSGPKEAKTSKINLYLRPLVDELEKL YKGVRVQTYQCPNGTTIHTALFMVACDIPAAQKVCGFTSHTSTNACHKCNCQFSQLAG TSSVNYSGFDFSKWLLRTKNDNCKDAEVWRNATTEAERHRLEVENGVCWSELHRLQYF DVVHCMIIDPMHNLFLGTAK PHYBLDRAFT_68391 MSLGRLTVDVNAHESGTTHHGSPPDQTTKGIHRPVFPTRNWLKL ELFTTHVTAIEILKSGIQLVIPFNICPQSLQKNAQFPYVMGSMTPTRKSNKTKECKCS VCKTRFGGSDTVSVQTFNFHKTKDNAGMNIFRNRLIIKRSVKTTVSYVPEVINNNEQN LVAIDNDYDMDYDFDEMDTSIEVDMETQEPIRVLPLSESDAVFGYENEEFNSDLDSDG CKDDSSEDDMLDSEDNFPEFNSELSFIYQFFHTGPCTLCILVRC PHYBLDRAFT_164983 MIKEFPEYRDVLLSVIIKELDLKRSMEVDTEIIPTYQSNSVEAM DGQANSPISDAISTFDNDVFVDNDYNGDESDTTNDNDSDDNGKEDTAEIYVEEFNNED PFAASGMPENPVHRFIATFTVLFASRYVVNKGSVVLMEFINELLKIYGQDFQLPKSLA GLHKMTGFLSITKDIKRFVSCPNCHCIYEENMSIPPHCVFTNVGACSPCGLCGTIIDP MHNLFLGTPKRMMDQWVDKKTIGAEEFAAMEKIAETMVLPRDYTKLTSKIGKGFPYMK ADDWKSWVLVYSPVLLHGVLPFEMYNNWMNFVRACRYLIKPSITFDEVNSAHDYLEMF CKKATELYTPTILTCNMHLHLHLCETIRDFGPVYGYWLFGFERYNGLLKHIKTNGKDS FEATYMRSFVQNAFKGDYANAVLKSSSHVPFFNILSKLSPKFTPTTTVITLSSHPFRL QSFLLASSNPHLPPKGNEPLPPSTFPLQLKKSSLMDETDYAHLLQHYKTSYDLPDLVS YQYATLTNSFVDNEITKLKFIDLLGQQYCGKNGSASCGSLVHVMFVGSDGRNTLAYAG QIQYLFTHSFTHPSNSNLHLTRMVHDHRHVFAYIK PHYBLDRAFT_141976 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARTPLAAPVRAELTVAAPVVISNHEPTREES NAVYAHIHNLMWKRKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAERLLSNLEHR FGSSSMRRSDLQKRLHTNFTSRTRRERMSDDEIAETNALTRRAARADDNECCRVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKHVIHICNRFIALVDTYAVQA MGSSANQRICRITTCVSNSAVPDNISPNFPRWALRDGL PHYBLDRAFT_141977 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARTPLAAPGEPNSL PHYBLDRAFT_164985 MKETHSSSHSDAPSSQQSSGLARVNEHPSYERAIPQELPSHATV ISMIISWSAKKSFVFVKEIIIPCFTVNVLFLCPFVLGTSDKIFHPKYNKLAEQEVAED IE PHYBLDRAFT_141978 MSSQHSAANSLPHEEQSPLTEVGIPSPILSEQTHLTKIGDISPL LGSTALSINGNIEMIYTSLDSLPPLSVVGSVPPTLVPTVPSAINSNTSSSITLEMLLA SAKKDLSIKKNNFYVAYANYVSLSKVNPMSDAVRHASSIKREAQELFEDAQKTLKVLE KANAPPAILEDKKSMVVPSNLPFLQLCTETRVKHNRDVFDSVYDFCQEFTMVLESYSL SLDLCWKRLLPICLNKEERSWFEDKLKGKAYKWKKTEGILLDHYDTPFRRFLNMGRVW KMMQKKGESVRAFGAKFQTARRQASLENGIQIVLCFWWNLHPEVREASLIPLSANYGT KLPSKVENIISLVSVATSNSTALLNQPAECGTPAKWKSFADAYSISSSISHKGKKRAI ARDNDPKHAKKSWNFKKAIKDNVSRMAVRSPADRSVASPPACGGFPPSRDSHFSVRGN SSPASSSSTSWSMDQDNTSALAKMILNSIHILKELALVCIGTDYMSTLGIDIYGLPLS YDDADSSEERREADRCFNNKSDLLESLERE PHYBLDRAFT_141980 MRRWGRPYVTQQHLRYLTNNYIESWHNQLKTIYLNHIHIRRLDR LIFILTNDVEFYYKEEIQEDILPTMIISPSGEIRNSMEKSDGEWKIKSFTSSDLFASL DCVYFLQQDQYRLQLQLPEVSVAVDEKRNQLMASVSTLHH PHYBLDRAFT_141981 MSFSNTNQQGDRLSTEKYQCAQCVLSFYNYQQLQNHKRVHRDDH ITVAVIDQFILHDVKIQHDGNSIIDDNELVSDSDNNNQYYAMNTMEIDEIISYKCGCS FEDSEGKAHVYNSSQIGGNTFTKAKLMSIHLSQLMLQHRISRAAYRYIVQFVNTISHG KTVNALLKSKSSVKGHEYDVCPSGCQFYGINDNQESCIDCSKLLYKTDPEQSETSSTS MKLMSLTNIFDGDSYKQLVQQGLFSNSDDIAIELYTDSFINQKKGKSSYNIVHAVLAI LPGPKKPTHLDTFLTPIIIEIKDLEVHGLVIKSNGVEVCCAKIHLLLASGDIPAVADM AYIGSHASLFDFQICETKGKAPDNRWHEMYFEDSSAPLRPLEDFKTSNPIIARGIGKH IYNLITVSLTKETNIFISIRMIPSPPHNTHSSYQELIDGTCVVDWLDFLLYIVLTLVV PFLPNRAVKTAVLSLVKCCALTLQWMLTSELLDEIDVIQNEIDLIQPKPYGIESYMDL PNDPSGAQLWEPFHRFAHLNNDLVEDVTSPSVKDALTRYYQQTSVSSKCIKVTIGDST IVVASHLWMNSTVNHNIIAYSWLVGVAIFFFQLEDSLGSLRFLAFVDVMKEHDAAAHD SSVPIVKQRSQNSATGR PHYBLDRAFT_141982 MSDINTTLINSVRKIEIDIAEIKQMIRMLQDQFSKQFVLTLSTQ DFTLERVGGDFKGENEFQKYNLLLPLLHKQDWKACYKEIPGEQPLPQLVLLLDSNLTI KRLQLKTLSHSIKHDLIDKNFSAFSIEWKGILAKHQEYYMMQLERLAKDNGFAIYKCK SAQQDASDSSLSSDNILETDGGKLPIMVFSGRNEC PHYBLDRAFT_73599 MSITKKVSNFGWKNSMRIETQLCSLFIKMNLLFFHRFQIGKKNY NSILKTLRSGVLTLHIKQVNPLILQLVKIQKIAFFIQLSLWLKKCSTTKNLSIRTCKK DIVLFGYCRENNINIVLECLQDK PHYBLDRAFT_164992 MFANRDGHCEEDASEIKRMIVSMATESSLLHSHFYKYSHSNKMP KVNCTLAPHPSKENADLIARLDAMQQSLKDMDSKIGCVVKGNADALEVLDTLIGTSDN VLEIAPASAPSSAPSSAPTATSTDVNQEVYNRLFSLIQSQLRDPKFRSNDAALIAAND SKSSWNTKIHFNRFPNKKLTLALMAYLKPKLAADGLRPSKIRSSIYTNCGDSDTRMLD LSHIRTYSYWDSVVAIILRCSAERKLLSALDAGRSRSRRASQATTNFDCRELAYSICK ADIDTLMGKDRKGLINKAPISEGELEDEIPGVLGNHVICTVRPSWRSNEYNQFLGYVD VAVLKCLNLNVRQMAKKTFGRDAD PHYBLDRAFT_102003 KPENIILVGLMPGPKEPKSEEINHYLKPLVEKLETLYVGMKIPT FECPSGVNVCAVLLMVACDISAAQKTSRFTSHNSMCTCYKCNHQFPRLPNGINVDFSG FVFSQWNLRNGVESRLHAEKWESASTPSGRHQLEVENGVQWSQLYCLGYFDLVCGTII DPMHNLFL PHYBLDRAFT_164994 MENVEPIFISAGFSYVHIENAVLLYSIGLDITSHTIPTHQSRIT EEAYGQTSSPVWEEAPMSDNDNVLVENEEIHNSESNSDESGNDEESSGDDESSNSKES KEDDEDVVEIEVEEFVDEDPFATPNIPENSVHRFIATFVVMFASRYVVNKSAVVLIEF INKLLTIYEQDF PHYBLDRAFT_164995 MSTLTDLSSLDLAFLFWHLNSHYTAVNAQEDITVHKEVLQLSFL IWDGLPFTIAKSKGQTHWGSESIFCIHTILSSIHPKYCFNHCIYCQTQRIYPSHHRIH CQKIFSIVPATLSPIFTFSLPIATISRSLPNMLQLLPANCMQSLSAELVTFLTSMQSQ FNALNKRTTYLESLAAENVQLHAQLANVRQENADLQSQLLQNNVTGSVSSSASLPAPQ STADLGTAAFTWATKTSLIFEVHHSLHTLGVDTGRLLDINFPACKVIGVLVHVQYLKE FKSQLASAKVSLVNNFNPLDPKNVADPKFANLSVSGLETQALVLQNAHCL PHYBLDRAFT_141987 MPNNYALAVKIGTLQLICLYLPPSMPTHEALDILSAIPLTDDTI ICGDFNAHLGSVTGDYASNPCGVALEQWLEEQSLTVLNGVFSPCTPTYISFCNEVEIS SIIDLFITNTNFANPSLHIATKLSLGSDH PHYBLDRAFT_141988 MRQTWNLSRLYEDNVRSLYVTTFVTKSAPLLTILQDLVQNPPTL CPPIDALTNSFNALMYDSLSSSIGSHPPCPSHWKSFWTPALQAAADHRDGCYKQWR PHYBLDRAFT_141990 MFDDVSISVLLGNFSSHSFSPKTGVLQGSVLRLHLYSIYINSLP ALLCSVASPLTSTHVPSSSPSSLDAYQSLLAPPDVNGYQHAVSSMPINTLLFADDVAI FGSAHDVQAMLHLAAQHSFTLDLSLYDEILPAVEEFIYLGVLFCNKGIHEPSIVTHHR SGVLATMAMLNAVGVCWSGFSLLLSSRLYRTFIRPKFEYGLAILPLKRTDTIQLEKIQ DKCLCIIVGGHQTSSTTFCIRAHYLPSGCLLSLLHHHYSQSSSLVTLCHNTLLQSIPI DLNVHTCRPLLEVDPILFLPATRVERSRLVRWRMGWLPGTPKDCPCGTDHTSRCHLAV CSLVSAHLLACLPIPSDQNCNPIDFAITALPNSSQAPCPSYWVALLTILWHFDKLCNP DGDYTHETHFGTLWAELS PHYBLDRAFT_164998 MSTLLPSSVMQGMSPDLASFLGNMRTQFMSLQQCTNELESIGVT NARLTAQLVNAEKLIADLRSQLASQGNYPITTNASTSSAPTTPKEPGTEVSTWATTAA AAHNSVVVPTALSVRKTPRPPSVCRVAASARMFAIPTGPKGYQYVYIPRSCRLTHREV RNSLKTLGVDTGRILDINFSAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCLKALKYLRPYVAVPVGHFFCDQGWISKEDIP VHSVSGLGAGIHDFQSPSRRLWNANGLQPRAIYDVLQHCHSLHMLFITEIWLLPPSCL PTSWSQIHLYGSPVAGNYRGSMGVSVLISPFCPYLVTQILMSSNYALAIKIGSLRIVC LYLLPTMSTHDALAVLSSIPLTNDTIICGNFNSHLGSLTGYYATNTRGLALCQWLEER ALTFVNGQLSPCTSTFISFRQNVEISSIIDLFITNMSLTNATLNIHTNLSLNCDHQPD VLKLYAHTFVTNSANLKSTLQSTFKHPPSSRLPIDALTDEFNSLIYNSLSSSIGNRPP HPSHWKKLWNSALQAAAEHRNFCYKKWRRACGIDKIHWWDKHLKAQAEFRHQVQSSKC QSWHAFCRSLEHDFSKATSKIKQLKQQRQPQHVFQHSDGPATAATIMCEHLASVYSGS ILPDQRPPPPHLHSNSLPFASANSPFVSSVIEGCMQFMPNCKAPGPDHIRAEMLKAIP ICALLKKDYIILEWIQDKCLRMIVGGHATSSTMVLKHICNLPQMHFRANILITKFCIR AHSLPSGCLLSLLHQHHPQVSTLRSLALNFLLHSIPDNINCTSQTQLTKHFESFRQNL FNQFRLSTTQVLIHTCCPVLEVDPILFLPATRTERSLLIRWCMSWLPGKPKECACSSD HTSRRHLQFCTSIPPQLIAKLPIPPTFEDNIIDFAISALPISSANPCPLY PHYBLDRAFT_141993 MLHGDVGKMNYVHCRRGMLLAWGTMISNEYNIDEYINKGKGKSI VCRRNREWKRDFSCARKNSPLTTKGTASHQQTVDTDLPVLDTTTWPNAVPAHNTCNKA TTQL PHYBLDRAFT_164999 METRFPKNLGGPLLSLKTRHLVDSPLTSMSKSLVPPITAHHQTK PLEEPTVQCSPPETGQRCTCLEHMCQKQLSGFSSASKGHQYQTRSDKNVSKTQWDRRQ KNQWLAETGKTVGQQLHSGISAFDATP PHYBLDRAFT_165000 MSNTIFNLSNVQNALVNSPTKGIKMLPLDVVVKVKASEWEQYLD RIQSLCSTKWNKCRKLCARELIYGETKKCHQAGFYISDRNVCLAQKDTKLYYCEATIF IKQYVNNPEVVLICMTNNHTNHVPDNTSEIRTLPLSSEAIKIIEDQLKGGSTYRNTRI SMKNILYKFHSDENKSLDIWMHEKLPSQNYCIFTGNLSAYSNNAQNFAFGFPSPSQMM LMRIYQSFCLDTTYNISARNIEILYSLVTHHPDTGKGSPVAYMIINNHSISSINQ PHYBLDRAFT_73588 MQDANSSENTHGSYEKANPYKYRCIMYMYLANYGATQISLVVGM SLSTVKYIVKRVDKTGSPESRKGSRQPRKIDERTERHLVQIVCKDPFASFSRLRASLK NMESCFWASGFGPLVFLDGNANQDQYVNTLAQNFLPWFQNLSWEQNRSFVFQEDNASC HTGLYLRWWKDSHEIRGFDY PHYBLDRAFT_73587 MLPFMIINSLNEIGNSMENSNGKWTVKSFTVQRENNHLQLQRLL ASEHEVAVVNEKVENETNTVVVSGRNNSVWLQRIIAQNTTLHHQREDLEQLMNVPEID EAELQVISDLLGEAMNCIDTFRNANSSCFRNHNTQR PHYBLDRAFT_141998 MPPSSSPAPMRERKSSNISKYLLASEMFVSGPNGESLSWLLHMN QLKKGVGMTNEEAILVAATHFYGMAAKWWAIHEAKVTTWEVFLEGFKKQFASQQMEDV WWTEIDKTRQSMGQSIGEVALHLQELFGLVALANEAQKIWILLKALRLEITYEVEVVK EKYCRSGLCPVPEFGHFSRQGLVSKNSFVEDALSELIQEFKSIKIHLVNTRHYLPQAS SSSGFGLPGFGSSGAGGSGSGFGGSCPGGFCPGGSGGFSGSDPQTYHCFWCREEGHIK PNCTKRLNKDNDLGKGQGRQVVDSSSFKGPYTCNKGKAVEKTVPLHTLSNTLLLLQQH LYAQGQLNTFPTNNTYMTSASDLSPPVHSSPLAPKPHHLRPPPRELPVHISHKDVWER LKSVDASLSLANWLLLDKCASKDVKDSLRFLSGWKQKVVASGVNMVHHQEESSDGESF YSDDWETQSLETDAANLNSNSKLNGYDSNDTVYNYHYNYKDFASS PHYBLDRAFT_165003 MKETHFSFHSDAPSSQQSSRLARMNIPLMNVPCLKNFYLMLLSL PCRDDIIALQIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFILGISNKNFHPKYNKPA EQKVAGDIK PHYBLDRAFT_141999 MTNIRRECTIAFRPVETTNQQLMKILSTVRVDMSTIKGQIGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARAPLAAPVRAELTVAAPVVISNHEPTCEES NAVYKPKLSLRTPENILANNLKPRWDTNVPFNKSPDREIAERLLSNLECRFGSSSMRW SDLQKRLHTNFTSRTCCERMSDNEIVETNTLTQRAAHADDNKCYHILAYKDNKETIDL VLLRDCANTLQKAVMSDGESANEMDEDGIKHVIHIIQPGWRSDECNRFIALVDTYAVQ AMGSSANQRIHRITTSVSNSAVPNNISSNFPRWALRDGL PHYBLDRAFT_165005 MIIDPMHNLFLGTPKRMMDQWVDKKTIGAKEFAAMEIIAETMVL LRDHTKLTSKIGKGFPYMKADDWKSWVLVYSSVLLHSVLPFEMYNNWMNFVHACHYLI KSSITFDEVNSAHNYLEMFCKKATKLYTPTILTCNMYLHLHLRETICDFGPVYNGLLK HIKTNGKDSFETTYMRSFVQNAFKGDYVHAVLKSSSHLSPKFTSTTTVITLSFHPFQL QSFLLASSNPHLSPKGNEPLPPSTFSLQLKKSSLMDETDYAYLLQHYKTSYDLPDLVS YQYATLTNSFVDNEITKLKFIDLLGQQYHGKNGSASCGSLVHVMFVGSDSRNTLVYAG QIQYLFTHFFTHPSNSNLHLTRMVHDHQHVFAYIKWFNTSSDRSREDDGLEFCLPTFS PDSHHCIVPVHHIFLEIATAGITTNRNVSKRLVIALPKKLYA PHYBLDRAFT_73582 METNPFVHLFRTMDQISQEKRQSIDLTLRLVAEGPRDQRRYNAP TASEIAVLIMNNEEGTSRDIVLHTRANFQQNINEYHRSYDALHYVLLFPHSEDGWTID ASSLSGEHVTIFIVEFRMLLSITIVILQILERELFFHLLLLVAPDSMSIVRRFGKPDL FITFTCNSKWPEITNSLLAGQKANDRPDLCSRVFNMKLKELMIDLTKKIFWGKFWPLY MSLNSKSVDFLMPTFF PHYBLDRAFT_73581 MSSTQHHCAACHMLAHSRSTYKQCLMNPKNISLHIPQKRTNVDE YPAESSQTAALRIRSEPVQDQNLDIETSTSISVSELTEFSLANETITEVLEAVMEEEI EETLSDEEVTGREEEVEEILTVNRGSISLHCSHCNGTDHSQIISRFCPNNNSSRARGS RNRGRDLNNIVQLPAI PHYBLDRAFT_142003 MPTSNNSLEFVMDKHCEMISHSGSADQTQFSGIAFDPLCDFNQE IIDEMHGEVVFRSVTDVFVNHADMEWTRTSARNPAVTNLLSLKSFLPTEVNKATTKTI VAQKPKTNLPEKVNPDSVPTAKSIGQGSYQKYNQNQVNKLFSLVFSENQTAAAAAREM GINVQTAQNYVRLAREKIQADFDAATVETDESNRLKTMEVEEVSAPKERKHGNQKLFQ VHSTFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDVNTIEMRRDCILEWQ PHYBLDRAFT_73579 MLHEKLEEYNSAFEKSMEELEEPEMPEDPKSSAPSTTDETPKKS REQYQKPTDKDIKNLLYLYFIRGLTIEKASKIILALLSYNCSARIKKLSAMYPSANSK RGGANSDGNGSKFRWQWEQIQLAMDTNSISMEANQMSMSSN PHYBLDRAFT_165009 MADIVLVDNEISKINGNDSDIERDMNSDSGSGEKEGVETDVEEF VNEDPFDASNMPENPVHWFIATFAVLFILRYIINKGITVLIKFINQLLKIYSKDFQLL TSLIGLQRMTDFSNYINGIKKSVICEDCHKVYKQDVSLPTHCDFKKHGSQSVCNCELM KVSSSGAMVAKQSYVYNSIQRSLQFWVLVYSPILLKAVLPIEMFRNWISFVDVCCQLV KPSITFSDIDDGHKFLQEFCTECQRIYTPTLLTCNMHLHLHLCETICDFGSVYDYWLF GFEQYNGLLKNVSTNRKDSFEATYMQSFVQDTFKGNYVNAVLQCPSQVPFLLLLTKLT ATAQPSSLKNKITFPQRPFRLAAFV PHYBLDRAFT_142006 MPTPNRRLAPSLASTDVQLLQALNAMKEEMKAMKNKITLIDTRI GVVITGNTTAINGIDALSALPASVHVPTSVASTSAALPITESSDTNAVFLKSRDQAEI QVNAIKPKWAVDVRFDCSPNRELVKQLLYYLEKKFAGTDMRTHDLRKYIYTNFCSRRC QQRELPETRRALNTNSRRSGRETDNYTRHRLAYDAYKADIDLKMG PHYBLDRAFT_165011 MTKKVEVVLGSGANSAIHLNVGVDVQLSLLLGCDCSGFLQREAD NPSLAHCTKDGDGHTSLCRDSCHSQGATDRTAKSSLDVHVEACFIDEHTPRELFHDSY PCLFQGSIWFTFEKLQKKSRVRLEQLLVATFSFFRRRNLLNLHRLQPIGLISLHCGSI KIGLDLLSGQPDIVLGSPDIDPRFSGSGSSSLVFQENQGEELVHLVLVVLSVQTSTYA FCSGNRIRIDLLRQIRLWLLCNNCLGCGLVALQKQINFAYVKYT PHYBLDRAFT_165012 MAPIRKPTVRKESHEDTCNTISSAVSEPVNQEEDSFEFEQEDVE INSELRNLNDTNDILDIRTRNQPFSETDCVFGPEDNVQYTSDTYEEEYEDESDVEMDN DEDSLLESISELNLIYRFIVILVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPV SVAGLKRLAGFEVLTSGVKKYVACSECHAIYDNEAAPLCCTSPNFGTAKRMLERWVAD GLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWCLVYSPVVLRDV LPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLYDLDLLSPNMHL HLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQFIEESWKGDFV HRLLKPMHALACFEIFDKFTTNNNTNTNTNTNTNTNTNTYLSHSFSISEYLEASQNLS MIIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGCKDVIDDSPFV NDWIEMVKSVDLLGQSYKGCIGMNGRGSYIQAYFTERTGSEHAYVGEIQYLFVHNFRP TVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQDEFYKQDFQSILPVHRIL LTVAIVDYKTTKNVNKKLAIPLPKKNYY PHYBLDRAFT_142011 MPSNSSRKTDRKGKGKASASISTSANRVLAGHVGPQEIAPSFSS ATIQDQRYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFA DFATAYANNQTCMASLGPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESENPA LVAENKSKKKWNLNEKINHHDNVAVINYLKSYISAQTCLAGTHPRVISDKIKNRYKHS HRTFHESPEQKAKKNSKGRANSRTLQVSIDAAMGYKTGNPVEKAYLKLFQKDAMSDGE SDIEIVDNLPRQCLHVARPTWRSEEFNRLLTMVDDIDRTHHVLNAGVGTKPRMNRYPA TLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_142012 MDKNKKLIIKTYQQQKFIRTANISMYLIEWLVFENRFSLNNSQC ALCLVLTKVLPSLTSEIP PHYBLDRAFT_73572 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDKMHGEVVLRSVTDVFVNHANMEWTCTLAGNPAVTNLLSLKSFLPTEVNTGELDFY TYAIVWEILSDRIIKFWNLAFIDSVRNMLCYLDVRFF PHYBLDRAFT_165015 MSSQHSAANSLPREKQSPLTEVSIPSPVLNEQTHLTEIGDISPL LGSTALSIDGDIEMIYTSLDSLPPLSVVGSVPPTPVPTVPSAVNSNTSSSITLEMLLA SAKEDLSIKKNNFYVAYANYVALSKVNPMSDAARHASSIKKEAQELFEDAQKTLKVLE KANAPPAILEDKKSMVVPSNLPFLQLCTETRVKQNRDVFDCVQFLPRIHYGFRVSFPV LGLMLGASASHMPEQGGKILV PHYBLDRAFT_185733 MNLTNSYEYDFSIGTDYMSTLGIGIYGLPLSYDDANSSKERREA DRRFNNKSDLLESIERENEQKENNPAVGLKQFEDAMDYIRPFIKDNQDIPKGSFCTIP ESVVCLDTPENATAFRSPYPIPYKMQDVVDEQVKEWLDN PHYBLDRAFT_108717 SQGWMEKFGKRHYIKMNRIHGEAGSTDIESLQIDKAAIKEKIEA YSACDIYNFDETVLFYAAPPRTTISCQKFSGWKENKKRLTVGLLCNADGTDKWSDILM IGHARRPNCFNKNNKKQEAVDHGFSMYHYNSNDWMTRSIFYVFLHRFDRSMKAQNCKV LLILNNFSGHIVDDAPINVELLFLPPNTTSHLQPLDGGIIWAFKAYFKRKQYAKAYQY IGMIQNDQQNKIGAIDKIFEIDQLWAMKWIREAWESVSAKTIENCWNATIFHFIEDKD SEGISKTIYWL PHYBLDRAFT_165018 MSTIPELYNEKCHCAGCSQNNLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLI PHYBLDRAFT_63300 MLPLNSTILVKASEWEKCLEQINVLCSTKWNKKHKYSGKGLVFG ETKKCHRAGQYITNRQLRFAQKDMKACSCTAALKIIQHLDNPNVVAFCQTRAHVNHVP RDWDEVRTLSLPSEVIKIIEDQLKSGSSCRITRISVLRQIDSWGVGVRKPNYEEIYNR MRKMTTLLYMFASDENASISIWLN PHYBLDRAFT_142019 MSRNLNNNSVNNAFGKEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSLGGTSNIHDVYKKLDTINGVLNTVLKNTSSEKAEATASNAQ LLCDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLCCQEEGKKVDLPTLRTKIVQH IGNRKLQEKKAGEKKQEENRRACLRQRCVKSCERRQSALKANRAHFVNSFGENVDSIL HADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFVDELDADYEAAHDKK NNTRPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_165022 MNDIDNIIESLLLVIQLQLSVLRANREQIKMDINSLGNEIIIKG SLKQNLSLFINISNGFIFKSVVNIRNITLEHVYQMMSQHLGIKVTASKKATLRICMKL ISSDAIKIGHPLQESVITRKTVKGGNYLTKIELESV PHYBLDRAFT_165023 MSIITKLSHHKCSICYKCYINKKLVAKCEVQCLEKVYKEMSNTQ SSQVASVSEQSNLILNFTSSSTLEESTNMSIDENITPFVINEIDEPMYDIEHEDSMEN DLAIMDVTENVIDNTSPQLVYDFSAPVPVPGYDDAKNLKLMKIIREFDISQKAHISLA KQFNEILSRSTGYKTGERSQDAGENLIPASTMIQLPLGRQLAVALKEIGTAMVSSRRS ILTSFHGAWINITTRSGYFRAVDWADFILFVIPTLVAEHVHDQAACKALLDLVQTCNL LMSWELSAEEKTLIKTNLVTWNAYLEALLAKGEVQLKVFTINQHLLQHYPAMIEAYGP PRAYSARSVERTIGEYSRAIKTTVTATTLLQYDDPSAGWPIDREGSNVGTDSDIEFWG PLRNRTIVDSFGGISCLPELLQKFYESKGEECSMIEAAIKTSRKAFVNGCVIDSALDH NCVREAHNVRLQVQVDENRNIGQSYSLVYKDFFGKVVVFFEHKLNNKRCLLVLVNVYA VRLVNSIPAINNGQMKLMVVHLADVKELVGLVKSDMTINTITTTATTYVVWSELNRGP KLSLGSLADL PHYBLDRAFT_165024 MKDKENWVNMYVYKHAHFGNRTSNRAESAHSSLKHSLGTSSGKL KAVTLKVKKWYDELVADRKHWLMVESLGEGTKIVFDKVNAARLNDIRLKVCRFAMDQI KLELSKSIISEKLAKECKCLIQYNYLLPYHLTIQNATPVPPNINNIKPITPEFNYALE LICKHFANAQSEQEQINIYQLIEKTLKQIDAQKLENLKGPTVVEAIKGRPKNTKHKMI ALEHCINTEKEKITKKIKTEKEQKKQKISSAKEQKAIKNIINLESPCNPTLLTNLTIA PKHISTIFSPEADGNCGYRAIAMEVYQDQEEWSKVKDKMLETFLKHQNNYYHGRMEHG NMSASNNPLIRSLQDKRSPLPQQHWFGTIDHPQLVADTFSRAVAVYWNTPIETGDCLF VPFATLPEKVEPIIIILDFFNLLAFACLISVQFVGNTPKSQL PHYBLDRAFT_165025 MRHKVVFQDDGGHLLLEYYFLQPTFCQAQYQICVSLFGYTLSDI SRMYLYSKTTGIVFIVLKNMFISILCQVFSVFIPTIKVCIPCLLPEGFKLYIASKNLR TDNRSTLGFRVPVVMMICISTIDDIVPLLKMIYHKNCDDIGSIIYIFGTFDPDEFTLF FQCTIFGY PHYBLDRAFT_165026 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISKPNFVSTDPL KVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNIIKNHYQNQ LLDRRIITYQTYTEAIHEGMNRYDCGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQ TFISTIDELTVIRLKKNSESLKKRIPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_165027 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEDNVDAEDQVEAEDLPLFDIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVPLKFLNEVLA HFGQSFRLPLSINGVNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFERLSG IECGNALFFATSRALTIPKKIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDP MHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMK ADEWKSWCLVYSPVLLRGRLPEAHIGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAF CRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDG FEGTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIPFD INAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYKHLLEYYRETYDDQTLV HYRQAGHSDDFVNNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAG QIQYLFVNTAVNSFAGHASQHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSIL PVNRICYPVAVGEHLGLEGEVQMCVVPLPRKIYI PHYBLDRAFT_165028 MGVLSQIDRSNFEFRTPYYWTTISLSASADELIKDILEVIKKEV NIKLEQMTAAKELKRTLKANKYIHKVDNVLPDVESNRVPYQKLKKLPMRFICCDRAKY TLLWPGHTSFGITKPNRSRSIIYEKQNVKYQITLQVTMGFMSLGPNFDRPAISIYESI ANNNWKCAYNFRTHKLVCYEVGLKLLLTHKIITLIL PHYBLDRAFT_142026 MSSVRSGSLRIRSANRGKIAGAALQRQKVTTQEPPKSPAVKKRK KPEAEAPPKRAVRRKTEKKSQSEKDIEDAKNNDYCDACGGLGRFLCCDACPKAFHFTC MEPPMEEEEVERIEGQWFCNECQNKQDNNTISDSDSGSGSGSGSGSGSGSGSITISDK RSSERYRKNGLFEALLQDLKGRNPKAFSLPKEIRTFFTGGEYVDSTTVKAVRYRNGQR EEPDYRQLKDKNGKFIVCYKCRKTAVSAPIVGCDYCPLYWHMDCLDPPMCIPPNISRK WMCPNHAEHPKRRIQRRPLIIEPKFPQSTNNGDIEIVSDDSTDSIDTLDDMDSFDQLA SCGGVVYRLPAKAIQTDFMEYAKSLRHAHQRSTTAETLSKATSPLSHPSPEPFLPSPQ TLDGSQDPTDKATEGEAKEWLESLLFFQTSGEEYQKHNNDHDHDHDHDQKNDLSHDHD FNYQQPEQGMDESSEQNTNVDQVGLHALLHAIFHDKYDKQDDTPKSKRRKVEIDQDRY EKCLRIEELLRRKGEEALMKILTTTD PHYBLDRAFT_100611 IGQAPISYFSLKHNVFNKYFVKIGWFWVTVVYLPYLALVLSKRK FDSQRFAQGILRYVVATTYWYLMTQSFFGPSIIDRVFVATGGHCSSKIYKNGSDTIVA YDNIFQQSSCRRLGGNWTGGHDVSGHCVMLIHASLFLWEELSWVFWSVPTFQRIRSQG GLEWFSILSVFSLLGLWWWMVLMTSVYFHGHLELLS PHYBLDRAFT_180106 MATIKQINNSAEFQQLLTATPAEKLIVVDFFATWCGPCKTIAPL YAQLSSKYPNVQFSKVDVDQAKDVASSCGISSMPTFQFYKAGNKVAEMKGANPGQLLQ YIKQYQGDASGSEGAASSSAAPVNYGVPGHSDLTEFITPNQMDALNQQEEHNVKNIFK NNDAYLESDVDEQLIISVPFNQPVKLHSLKIKVPSISHAPKTIKLFANRQNLGFDDID SIQETQTIELIPKDFEENAIINLRFVKFQNLVNLVIFIEDNQEDEETTKIQQLIFIGS STESTNMGDLKKEEN PHYBLDRAFT_30105 MVKYAVICASNQNRSMEAHNVLAKKGFDVASYGTGTMVRLPGPS IDKPNIYPFGTPYDQVYQELEGKDQQLYTQNGLLTMLDRNRKVKDAPQRWQESVDTYD VIITCEERCFDAVVEDLSNRGQSLNKSTHVMNVEIKDNHEDATLGGRAILQLAQMIES SFDIDADVDDIIDRYTEKNPNFPILHTVAYF PHYBLDRAFT_63284 MLSLILSHSSRIILFGLILLGLVQSGYAKKYSACQGKFTPNEGI CAKFKFGDGHQRECITPKNGTTYYNLSKLPKVTSIDDRTRKMSSLITNVFEEGSTNFA FASCVDIGDLRGYTCGYVGFTTGTNDAETVIKAYSKKNPNNAFKPYLSKLAKISALPF CDRTGRGTTKGLEGFSKAWKTEACRKGEAFPKVQKDWAYNNYMLPSARYAAAYGVNSA LGRAIFYDTIIQHGYQYVEQDINIVRVLTLTGGRKKNETEQSFLTRFLTTRRELQCCY PDDVWPDSATRSEDLQGLVSKFSTNKDLAKPVKLKNFGVTVKGTEQDLIDTRRCKK PHYBLDRAFT_63283 MSRFDPIIELTDRYINHSSRRIAEEQERGWVSVPEQQEEHADVY EDQEPTNEEIQEALAQYARDHEQEGERIAVSLHCQGCLGPSTLSDEDSKLLFRFTQP PHYBLDRAFT_165036 MGDIVYFRKGTPSEFSLPMTLALMIVLRRMVFPARLVDLSLLFG KGKSTLSVIFNEMIEKIYIKFYSALKFDYCQFRESNLMRFSRAIRERSPAMYCVGFID GTFNKIARPIVDQEGAYNGHYRGHGLKYQAVVTPDGITSSIMRLDCDRNHNVCMYCES QLDVMMCVAFDFTSINGLCYYLYGDPACTTSDHMMILYRRYNLMAYIFFVLKMADEQK LAINKSMSAVRISAEHEFAHVGSLWAFLKYSQTQRSGQSPVGLYYIVGTFLKNLHVCY NGGNQTSKKYGVAPPTPEQYIYGLLNQ PHYBLDRAFT_142033 MNAHMNTFAADAPIRFNHKINLIAGRDRLLVESLIHRHPSYAGH GQSGAAWDEILKKVNDAGAETQESGQAVQSKTGPRKKMTGSNESYSDLDQLLFQLVEL EAEGDRGKAMKKQLTSEEEVQKQAAADKVTDKVEGSSSGHLVSQSTADFSDAREMLKS FRDELSSMVAGISDGEVLTSMRRLEENVERGFLAIETRQRTLEQRQARFEYNMQRYLL PHYBLDRAFT_122757 MIDTPSRTDGKTDQFKGNVKETVGSAVGNDSLKREGNTQNSTGH VEETTAKTTGYVQGLTDQVSGAVKGAYNSLTGNTTDEAGNKVEQKKGEAQKNFNS PHYBLDRAFT_185738 MSQPVDAIDRTPEYEEFMRRLKEFHEKKGSVLQPEPVLGGKKLD LYLIYKTVLEAGGYDKVTLNRGWKQVGDPFNFPPTCTNSAYILKGVFTKNLLGWEEED FWKRPWNPPKDMVDQKQNTKTGSPLVNGTNTKQRDSARQTATAFTQPAYNPSVYNNRQ PYSYNPTYPGVPAPYYPTGKQHQHPLLFPPPPPSLPLYHHQNHYHNQQQQQQQQQQYQ QQHQHQQAMFMDEEFRTRILLALKSNLPNEVDWAFNTLIKFSFISENFSLDFMPVLLD LLLGFADPFFDRHVRFLMKDYNNNRDEAEEAEYEVERQDDAMFSTKEDQELFERVLQV FHILRNFSFLDINVRRLAHQEKLRQMLLLGITLPPDSQYAELTRHCLDILENIAPQVI VNSPNHPFLTIISGLLFTNDRALILGAIRWLTRVAVTEINERVLSVANPNIVDRLAQL LLVDDEELTAATLEYFYQYSGLHGDFSIQLVQLCPGNLIGLLVGFLSYKSSLVPASAS VNSTIHGIPSAQLSNPTNNSNKQKPQQTAPLIPDLTNYAHLDEPYRCLGWLKERLESA TIEDTLILKAIYSQYQTLFGLEKPLGVKEFYTVLKIAFPQPPSVEATVASGAAPLEDL VLHNIKYAPAKRPEGLDCRWRECELGFETESDLHRHILDDHIGAENTDGENTSSPSSP ASYACCWMTCDRSGFPTRQAIIQHMRTHFAAKPSKPQPAAKKRDFVIDSMPMDDSEVS GVPLTAALLLRNLVKYKQHHEYYIPYESELTQIAVQRPRLAKYILAVLSALEIS PHYBLDRAFT_142036 MSLLLLAFAQLTGSHHVPPNAPIMHTHQLLQKAKYLYNTHRYKE AYHLFAFSAFEENHVCREYRIKCLMAIGEWRTALQESKHMIRLYPTASQWYLVAADIC LTSQQYRMSREILQESLSKVPYDDSYYADLSRLERVAYQGMLELDCAKCIDVLGVLPY DLILNIFGRLSLDSLVRATRVSRQWREYLINTPRLWHSLDFGSKNNFSLSIATINTYL GRLDGTPLTHLKIHHEQPDGDSILKAISLQASPRLHTLDLSELTCTPEMFSSLLSSVG SSLHTLRWGGIAIKLDDLMHSIANTCTSLKHLDIHDCFVASTALSHNSSNHNTITTNP LSPTNSTFASNLTSISAYSTLSINTLVLSGVYGLSPSYMAALLHRCPSLTRLELQRSV LGISHVLATLRTCCPSLKDLIYQSNRYYEPSRDFDDQQQIQQIQELQDLPNTDSLVLE STNLIHIPSSSSSSASSSSSSSSSSSSTLSSLPSTLPVLSVTSEDQPKKIKLGLGSGD HVPLREISLRKANGLTDAMVDLVLSESLGSLEVLDLYSAAGISDKALRQIISHDEQIV SQSTITAPLAALRQLTLHGCTGLSEQMILSILKRAPNLVHVDLSGLATVTDTILHYMA NNSNNNMAALQTLDLSDCLSVTDSGVRSLVDSLSKGSLKELTLTDSSLCLDTLVYSAC KIRKNPF PHYBLDRAFT_180111 MTTQWPLDGIPLFPNELLMQIISFTSLPDKITFLFVNRYTYWQV SQQVYRKAIFPDSATTDEIVCFCQKHGKSLETIKLPQGHAHSDAFFTLLLELCPSLNF LQSSITPKQLNRLLLPSSSACFMLTHVPCTDLSLKVDAIRSDHYSLVALPCCSSSFVF PSQAMPPDNTDGGSITHIPHYFHHPGALQNAILPTFGPDLISFTLNPYDILTASVARL IVSKCPRLRYLVAPAVKAEGLWMLLRWCHSLVTIVVGDHSQEQLEEEDDDEEEEAEAE ADAEAEDEREEENVEGVEEGERTSRRRDRDRVGGRTHLGMREGMIHNGIRHRNDNLPS IRFRHCVVDEENARAVATIEHHRRVWCVHRQDGRPMQDQTLWHIGIIPKA PHYBLDRAFT_122760 MNNLPDNLHFGLPYADFSQAQNLHNAVLNSPQMQQHPSSIHTSH LQDSHFSGLSSPYQSFPILQSAAAMQPPQLHQPTVDVTKDVKTKHRPKPRPPVETLPE PTRVTRPPNAFLLFNKEMRKQLKDQNPTMKVAEISKEIGGRWKCLNQVDKDRYVAEAE RLKDHQRALNPNA PHYBLDRAFT_180113 MSSIPQKRQVIAGYDPPFDFTLFSLVASETFLLIGDPQITDAFS YKRTGILQTLTEYYSDRYMRRNYKLLTNILQPQTVFFMGDLMDGGREWDDEGWYKQTE RFMRLFKTSAKMQFMAGNHDIGFGNGVTTFLQERFESVFGPPSYGLTTNTPYSIVVLD TVSLSSSDPAVRQKALDVLYGPLPPSPRILMSHVPLYRPDTEWCGPYRGRQNPGTIRQ GRGYQYQNLLTEELSRQILERVQPIAVFSGDDHDYCEVTHTYKYGAGYGYNADNVNDN YNENTSNNGGDGEGDGEKNNGINSGASRNSGTRAAASNYDILEVVEMSVPTFNMAQGV ISPGVMLLDLSSGIGVKLCWLPDQISLFIGYGCLAGLSIGVLAIVHGWRWIRHRQKTS SGFKTLEMADERLMMYSRNSSIKNALGYFARDSSNARACMRVCGYAVLVMSKDDIE PHYBLDRAFT_165043 MTLHHWRKGYNRQRKEKYFVRYGGIFYGQVGLDESFSIKYQYLG GFPITSVDLRRNFGFYFQRRNSAVILKSCILDPRYSVTTDQKFCDSFGRWGGAYTCTK IKSHD PHYBLDRAFT_165044 MRRLLSTGVLPEGSNTTGKTQSIRSACSYSVSHLWINTVFYESL FVSTKVIMNDNPVLFTEIVLLLKTFLKMFICTKYLLILNRTGSRTVPQAKRTCNVLTS SSVVPLISSILIGVPLLGLMRLDMKGENGTLELQCERDSDHVGRD PHYBLDRAFT_63274 MIITSNQPQPLYEPGQGMDAIDEYITDKGPDSCLDSHDPSSDSQ DQHEPYEQLEYASSYPQLPPPPPPLPLTTKLDEEPNPFEQSFSTPKTKHSSVPLPILP PASSIVHPNGPGKDAASQLAWDSLHSGSLSPSMLQGPAKGTEETSYMSYQQQNTHQHN TQTADNPPSSVHRRRSHPAKSPYHSPKANASDSENGSGNKLVAGEEEKRKHFLERNRQ AALKCRQRKKEWLKDLQTKVDYLSSDNEQLQLQSIALREELLNLKTLLLSHRDCPINQ RSTFEAIHRPLPTLAPHQPPLDIIQTMPHSPPSN PHYBLDRAFT_63273 MLFLVKTYFSRSLNCRSYSTVSLAFTHTPNKSTNPPIVICHGLL GSKQSWKTLGKQLANRTERNVYALDARNHGNSPHTTNHNCEAMAQDVRAFIKDNKIEQ PIILGHSMGGKTAMTFATLFPDALSKLIVIDVSPMRLSLHDEFVPYFKAMQEIKRIEP QTQKEVESIMFDYIPDKSMRQFLMTNIKRTREGVYRVQCNHDTLERELFQLEKPLNVF YKGPTLFITGDKSPYYNAFVENPKTIKSMFPLSRVETIHDAGHWLQSEKPEEFLGLVE SFAKP PHYBLDRAFT_165047 MWVHDKESDEYLVLRLNDCSVSVSMCSVLSALSVVSGFSVVSGF SVVSIGSDVSSTAIGFGVSSLPPILSSFLARIGSIFVFGIGDRITAVPVVIAVGFGLA VRVEVISFQTKHEFPSSWVFGLRPRFFFGMCRTVSMLAFVNAVAMFPCPSAV PHYBLDRAFT_63271 MNMTKRMVTMALLPPLHRHRRPHFLHSDVVVDELFVDFDFDFAL VVALEFAIVISISISISISISIEFVVVFVFAFVFVGVVVVAVAVVVVVVFASEPVVAL LVKVAKLEQKMKRIEGCHHSHSNRIPGLGADLGCASKSGFDYGPKLEGAVEELGKEMK IGEVVNMAVERVNRWVRAEEKQSTEEEEEEEEEEEDDDDVFGRGTARGEWSGDRAGDG ARRGEGGEKLRVGGVCVCVCVEIVGGEDDRRDVKRCEIDGSVG PHYBLDRAFT_180114 MLTYMEVHLYFTLPVLALLAFLYKPFFTTKDRFKYIFLCTVAFA TASPWDNYIVYHKAWSYCPECVTAVIGYVPLEEYMFFIIMTLITVTFTSLTMRWTLPS FFIRPETPVFQSVCVRYIPIVGFLTIAAKAWASSIPDSHPFYGACILWYVCPVLALLW IGSGEYMLRRWKAVLFSIAVPTIFLCWVDQYAIARGTWDISRRTSTGIMVLPSLPLEE FLFFLLIDTVLVFASCATDRAHAIVHIYITPMNHNKVSTWYMDFFYLCWAFLQTDQAL SGETLSDLDATWRILREASASFYTASSVFSFEARQDLGVLYGFCRATDDLADNNDVSV PDRKKQLELVRGFVRQMFDSKHGHPDIDWTQYSGSIPDSFIAAFRSFTRLRDVLEIKA VEELLDGYTFDLEQREVKNEDDLVYYSACVASSVGEMCTRVLMASEPGGNRTMLKWTV ERARDMGLALQLTNIARDIVTDSKQLGRSYVPRDWLTSQESALLKAGKARELGDERLR QIALKMVYTADDLNLMASRAIDYLPPSSRCGVRAACNVYTAIGVSLHKANGYPDRAHL TKLERMKVTFRCVYGFRKGHQGVQGDRGKSQAFTVI PHYBLDRAFT_37852 MAPPKHVIIIGAGAGGTATAARLAREGIKVTVVEKNNFGGGRCS LINHNGHRFDQGPSLYLMPKLFEEAFEALDEKIEDHVELLRCHNNYKVHFDDGDKIQL SSDLSRMKPEMERIEGPDGFLRFLDFMKESHTHYEGGVEMAIKQNFETIWKLIRLQYV PALFRLHIFDFVYSRAAKYFKTKKMRMAFTFQSMYMGMSPYDSPAVYNLLQYTEFAEG IWYPKGGFNTVIQKLENIATEKFGARFIYEAPVAKINTDDKGKKVTGVTLQSGEVIEA DAVVCNADLVYAYHNLLPPCRWTTNTLAEKKLTSSSISFYWSLKRVVPELDVHNIFLA EAFKESFDEIFTDHKMPSELSFYVNLPSRIDPTAAPPGKDSMIVLVPIGHMKSKTNEA EDYTMIVKRARKMVLEVLERRLGLTNFIDLVEHEEVNDPSIWQKKFNLWRGSILGLSH DVLQVLWFRPSTQDSTGRYKNLFFVGASTHPGTGVPIVLAGSKLTSDQVCDHFGVKVR PSAITSSKRTYAPEDSKSFIWDIIWFLLIALFAATLVLFIAFPQYSEVNQTAASYINN LLPAAFRVPVANLSLTS PHYBLDRAFT_185744 MAERVQFNLERMVPALMDLINRGLFTKEEVKKIVEKRKSFESRI SRRIPQKIDYLRYIEYEMSLDSLRKLRKARLAGENAKTISESDYAGNKRIFELFKRAT NKFKGDVRLWIQYIDFAKKVKANNILSGIFVQAIQFHPTKATLWILAASWEYETNANI GAARGKYILMQRALRINPENKLLWHEYFRLELLYVEKIKIRRRILGIDEKSIEKEEAM EVDEEKTEDDGNVIRLPTITGEMVQDWNNESKERKTVDTLEKSSANALKEGINPILRG LLAKIVYNNAIQAIPNDLEFRAGFVNIYRIFTDVEEGCKHVFDTIMRDMATSPKARAY LAERHLFETTVDNTQTGSEKAEESEESKYISVSDPRFVTAIRACVADFEVAVEELTSP EMWMLYVEFLTNWKETVSEENLKLYLTKLLQKTFKACQKKGLVSEKVYEIWIKQLQSE NNNLQAQEVAKKAVKAFPQNANLWTYRIDLAQVEGGKDESQQALYKKALEKNPASYTM WSSYNDWIMRQWELKTFSTEETNQIYTDAGFTITQLLPSVTLSTNDRNKIKDLIMSSH VKWASRASGIEGARATYKSIIKNMYPTFAFFKTCLAIEEEESKEHDTSSEAEQLYDMA TRMDGDKEDIYLSYIAYLRSQKKFDKANHIYKKAIKEVPDREKFDVLCSV PHYBLDRAFT_165053 MAITGIPQMIDAICLIVYYRDTHNLIAVVWIKLNLEIFLLVIDT NEVSFKLRHIKASSFEKVSGSTILCSYLKLKRLGISSRNTVLASGDVNSLISFLALYS CLIRADK PHYBLDRAFT_109039 MSTIQIIAGSNAKAPAGTISASIEHNVKLFQPITQKSITTHNRV VVSPMCMYSAENGSLTDFHLAHYGAWAIRGAGIIIIEATAVVPEGRISPGDSGLWSDE HIEPVRRIATFLKSQGAVPAIQIAHSGRKGSTAPPFLGNYTVSEEDGGWPDNVYGPSA IKFADHFPQPIPLTVEGIKHSVKTWVDAAIRADKAGIEILEIHASHGYLLHNFLSGNS NKRTDNYGGSLENRMRFPLEVVSAVRAVWPEHKPLWVRISASDFANPEVMGEDKQGWD IYQSIVFSKELKKLGVDVIDVSSGGNISYAKYPPPSMFQVPFSEAIRTKVGIPTASVG NIRTGKDAESILKEEKADYIVIGREYLRRVAWVNDAATELGVEVSLPKQYGWAIHRGR RTNKTKTEE PHYBLDRAFT_63263 MAATLITSCPTSKTTKWFSFVSTEPNNTKLLQPTTQKSITLDNR IVFPSINTYSAKDGCLTDSHLLHYGSLAAHGAGLIIIEATAIEACGRTTAYDSGIWKD EQVEPLKRVVNLIKAQGSVPGIQLSHSGRKLSIVPHLICSRVTPDEDDDSPEEKYSAC DLKYTGSDPQPNELTVTEIRANVQKWADSAILANRAGVEVLEINAANRHLLHYFLSGN LNNRTDFYGGSLQNRMRFPLEVARAVRAAWPDEKPLWFRLPATDFSDSDPLSCDRDGW DIYQAIEFCKELKKIGIDAIAIANGTTTMNIKYPAPSTCQAQLANVIKHEVGIQTGAT GSTCAGEEAEYILQKYQADYIIVGSGCILKTCFPKKDTPKLLADKISLSESGSLIDSM YKLSI PHYBLDRAFT_122770 MSTIQIIAGSNAKAPAGTIPASAEHDVKLFQPITQKSITTHNRV VVSPMCMYSAENGSLTDFHLAHYGAWAIRGVGIIIIEATAVVPEGRISPGDSGLWNDE QIEPVKRIAALLKSQGTVPAMEISHAGRKASTAPPFLGNYTVSKEDGGWPDNVYGPSD VKFADHYPQPIPLTVEGIKHSVKTWVDAAIRADKAGIEILEIHAAHGYLLHNFLSGNS NKRTDNYGGSLENRMRFPLEVVSAVRAVWPEHKPLWVRISASDFANPEAMGEDKQGWD IYQSIVFSKELKKLGVDVIDVSSGGNISYAKYPPPSMFQVPFSEAIRTKVGIPTASVG NIRTGKDAESILKEEKADYVVIGREHLRRVAWVTDAGTELGVEVSLPKQYGWAIRRGR RTNTTKTEE PHYBLDRAFT_131533 MADLSDIDVDTIIERLLEVRGSRPGKQVQLSEDEIRFLCTKARE IFMSQPILLDLEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFMGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKIFTDCFNCLP IAALIDEKIFCMHGGLSPDLQSMDQIRRVMRPTDVPDTGLLCDLLWSDPDKDVTGWSE NDRGVSFTFGADIVAKFLQKHDMDLICRAHQVVEDGYEFFAKRKLVTLFSAPNYCGEF DNAGAMMSVDDSLMCSFQILKPAEKRAKPGYSAGALPSGRLPAPKGGKK PHYBLDRAFT_165059 MTYYSIVIIIDSISGDIALLWLDFIENSKPIKQWVYYLRKSESS KQAWASEDINFSWTANCGIIILGKLLPPSNVFKNDHSNNNKIFVEFEYISWDRLLVFW RGPYNAF PHYBLDRAFT_63259 MPHGLRCYCTVGLATSLSTDSLSALSLRLAYAVGRISLDISAIA GLRTLIKPKYEIELSFPGYYKLFEDLDSLSNHITYFHGLTESKELEFDESLVNAQKPL ELHMPRESTENCQTNNRIIRNVISALNLIPFDVESDPTNDNQHKFTALTSATLFKRVL EFKEGDTKKLKLNTPYESTISVADCIHINDTSNNQPLNEILELSPYRQLLALESYTKI IEENIELLNAHWRKQSWMSMGVSQLLTGAFVVYGKKALLLNGVESYSRYPCIDVHFEK VQPTQESSTPDLMSQYPNMLKITKVYFDNSGKLVLGTKAFNILVISKHYGFIKWKNTT HENGSFSLHKTATIKIPPKKVHILLPDFIAFSLKSLPFNFFPFTRLIENHGIDWKFGT RGKPSIFIKRRCCSIDTKEF PHYBLDRAFT_63258 MGMKSLSLTQKLQGISQDYDYGIVPGSSTIFMRDEVNGIARLDL TLLEGVMVIIEVCDQGYKLCSYISLSATPSAFQAGEAIKEHVEVPFESMDNLLMTISP AFRERLERVLFDKLQDIHSDPSLPVTHSPTPHSSSSTKLQDSFDDINDWIH PHYBLDRAFT_157931 MDRLTQLQDAIDSMARMFTNSIHYVHERSSMASLNNDIPVAQQK VQADSPEVFQQNMQELATDLVKKAKEIDLLIEVLPGIKNTEEDQLRILAELEEENRLA NQEYEDAVHELEMAREKISNALRTISNNQSQKFTEILVKDDQ PHYBLDRAFT_177037 MPHLELLATLEGHQDRVWQASWHPTKTLLATCSGDKTVRLWAPA TPTDFTKWVCVDTLKGAHKRTIRSVAWSPSGNEIATASFDATTGIWERDPQIADWECM ATLEGHENEIKSVAWSSSGALLATCSRDKSVWIWEVESDNDFECLSVLQEHSQDVKMV AWHPKEEILASASYDDTIKIWKEDEDDWYCADTLHGHASTVWSLDFNAAGDKIVSASD DKTLKIWKQDGGKDGSWTCETTLEGYHDRCIYSVSWSKIHGLMASASGDNTIQIISKD GEAGYRSVAVMQDAHGVHDINGVAWFPTQTHQDWLASVGDDGLVRIWRMVE PHYBLDRAFT_165065 MLVSNLPFEIIRKIADFLDTSDKSQASLCCKAWQIPLQESMWTH MTIDDDQRLGRICDPGSLENNLYKHYGHHVHTLHIDFPGSIYSKILALQKLLPNLRQL RAEFRAKNDEVFQEVMYSENAVDCSLWRSLTDVSINLKYSYLQRGIEEFYPIIYSLHG LKSLSLDQDCFVNLLALTFDKLELIHSHLPKLEELHINTEPVAISPERLSDISRLTPV THLRVLDFRIKNSVHRWLYYLAVKYPNLRALKTLYFTSEFSKEHNYSNMNLTNIPFAF IKLQEIHVKIILSALRAYLDVWKQLRLLNRSVKRIHYEIDHDMDGQDGISDLIKSCMG SFSSTLESVTVITHFHCNMDLSLTTSLEYYPCLVEIHIAIIGITIELDILLDRCPVLE KLSVYDSTLLLSNDVCSSSKKHGLCMFVLRQGQIDVGTLNYLSIRCRNLDHMAFLEVA ITGDISQKTGNICANMSYTHFKVLWLLNVRFQILNNDKNTNLIALSRHKPNTSVQTNI KKNTDRLDCKRNDAKGPKELLWFYITYKDDELYSKNNVQRLDKVEARQAGKYFRNYQR KKGIDQKDLVFEPEPGSGSDNTKEMWKKSLPNGHGTIECGSIAEYYLDGYLDIDYYRL YTLFNNSP PHYBLDRAFT_63253 MIVFEVLLMTYLLGFQCDSVNPWVFKLSFFCFSELFTDINHKLP CFFPLMTAPTCVSGPIVFNIYPPPEPPKEKPKPPPPPPPPKVIEVIETKSLVQGAPTW NSPRKITNTGTPVNTDRCIIL PHYBLDRAFT_109058 MLSRSLYSQASKALLSQRPRVARSGVLGRISARCLSTFDKHHDV FETLGIQKTNNKGVFDGEWSGSGPIVPSINPVNNQVIAEVVTGTTADLDRALDRVAEA QKIWVEMPIPKRGHVLLAMRDALVKNLQPLGKLVALEMGKILPEGVGEVQEYIDILEY ALGLSRMMQGAIIPSERPGHIMLETWNPLGTVGVISAFNFPVAVYGWNSALALVTGNG VLWKPSPTTPLCALAITRILEQTLRQHNLPPALCSLVTGGTDVGVSLTADKRTHVLSF TGSTQVGREVGKVVQGRFGKSILELGGNNAIVVMDDCDIDLAARAILFAAVGTAGQRC TTTRRLIVHESVYDSLIERLIKAYGQVKVGDPLDDGVLCGPLHTEAAVSTYKQVLDSV IKQGGEVLCGGQVLTEGEFEHGNFVVPTLTKVRPDMDIIKNEAFVPILHTMTFKTLDE AIAINNGVAQGLSSSIFTTNPANMFKWIGPKGSDCGIINVNIPTNGAEIGGAFGGEKE TGGGRESGSDSWKQYCRRGTCTINYSGALPLAQGINFG PHYBLDRAFT_180122 MTATETKKVDMNEFLSHRPEVQDLVEKNILKDPKIAPDVQQQRV ELSKKQIEDALRHKIENGRTPEVLVEHNILKNTHVSPLLQQSQLKLEKHQLHDKLEHK LEKRPVPEDLVKQGILSADEVPR PHYBLDRAFT_165068 MTRKRQDDLPWPASTRSNKWLGQKDPTLVITVVIATTLIATLIN KWLTTSTEYKFPFPYFVIFFQVCVGWMGMMVWRLLGSILPVWARAPLFWNTNLRSLVP LACLHTTVLYFSPIFLQHVQITNYAFVRSLSIPATLAILSLYGTPVPRQTTKISALHT LGFLVGILDDLHFSLEGVFFGVIWAILLALYGVWIKQRLPSVGNDVSRLLFSLTVIAI VPCGLVVFLSGELAHIGSVYFLSHPGFWLQLIITTITGFSVNIAMLLLIKYTSPLTHC ITVTSKM PHYBLDRAFT_157933 QFSEINEEFKKKYDHKNILIDIPKGTHVKVRLQHRPNKLPPIYE GLYKVVRRNKGGSYELKVEQGELLHRNYTPSELKMATIDKSTIENELYEVEDIRDHRG AAGEREYL PHYBLDRAFT_185751 MLDLMNDGRTYTHQSMTIQDAMSNEDLISCESVLSKSCSSDKMI DNLSEDKNQRVSSHKNRWTAEEDALFLSGLQLYGYGNWTPISVYIKTRNIRQCKDHGR AWIRSGKIKNQVIPTPLETQSEDKISLSIETEIKAEAEIDTYIKTEEEAEVEIKTEIF ENDVREQSTQEIISDIKTTIVKGPFEQLKSKDKVSFEGDTITAQEKKKNPEWFENKPS KTPERYLKIRNFILSEWETINPTYLTKIHARKGLKGCGDVNAIGRVHSYLEEVGAINV NCVATAKPPQATRRPVRKTFKSEDLYEEASQTRLKRKAQHEPGYCDRDTDSQLPTKTG DKVRPKRVIKRPEHYHDPGSFRDYDPFQLVPVGYHTDYYPAPFVVEIQNQALLVMDFH AHLAYTEIIGLMGGSFVEEDGVKKLKVSAVFPCQSVSTGIQCEMNPESEMEAREVFAQ KQLSVVGWYHSHPTFEPHPSIRDIENQTVYQTLFRSENGDEPFIGVIITPYDPENLTD ISKIEYLHISKQWSSAHTYRIPFACRRKVIQSQLNDETMGQLEKLVEDFKNYEHKINM SSEFGQKSRLEKLLGSLEMHIELEDKKEQKAFMDKIRLLVTTVFDSALQTDVKKNRES LKLSVTFEQPSTIESLGVLE PHYBLDRAFT_63247 MLDIRFFNARAFFDQKPLHVSPVNGYIDITVAIAKIQEFLHVTK GGSIRIIKQEKKVVKRQIYDSSSSSTFCKNCKKVGCRSMRSPGCSKYITPKEELLQEN LGTYHNSFTRKLSLRIVIHANLQRLFKKKSSRLQKTLSIS PHYBLDRAFT_63246 MSLYNSVYLGHGRKTVYTAVCGFDKDIHLIIRCSTKEYYHYTWS AKFLADQQKLKKENGIDKSAEANRYYDYVKYMLEHIDSLFDFYNVSSGKKWLTLYQNQ YSRDGMFGKDSVKLKGNLCGVTGILWKTLNNRERDGRLIAVTIDKYNYSNNRSSCHLK KLKPLEHICGHSILEFISCRISWQRDINASKNMMTISLRLGEELVDRPLSKDLKKNSN NNSETSTTPPHYCIMNSLSLSRDLDNYRFLTCLNLK PHYBLDRAFT_180124 MLKQSNGEQSALMALPNELLLYVLRRYLDLGDLWQLLQVSRDIR FFAMDTIHTRWKFEVTPSAETTMKVQCRAALIALESLSCQLSLQTRVYTLQYPHGPET SSQRLLTSPTALEPFMLGTDRSPLSADLEPLPAQLPYDDDENEFLLTTITYDNKLFSD LMQREERLHQRIIRGISSYKHKYVLIEDIDIRNRIRSAVDVIFHHAVFVAALSRSPVR LACTHASSNNRALAAMIVRLLTRLDAAFPSYCREITYTLADNIKAFLEYTGYKLLAMN QQHDPLVLYNMVFGAACRQSSVCEHPRLPSLVRQNRQSSLLHTLHSISACFDLMGAAF IGKILSESHVECAVQRTCELLSDDHLRSVKRALLVDLLEGWLTIKRGLVAGELCRLVR LEIDKCDRHGYAAPRRQLTRHYAALIE PHYBLDRAFT_63243 MRRLITPFRHQLTLVTRPSITQCSIYRPRIGIMYGMSTMVDPVD TLQHYRHQIQTDITSAWPSYEKLKRDEPETWQKLSREDFVTIRAELWHTKQWGVEDLV LEIIEDMRKLDHQWSILEYNDYFMVKLYQSKYNDILNMYKGEFQQKGIPLSVGSFNVI LATYIMVGDTQSAAELVKKMVRGDVVPSIRDFERTMRRCMPHNATIVETAKALISDHG FTTTRILDTNLIHLFGEKRAEDVRRLYLAQKSRKLDLSTYGILLRGFVDSRQIKVAQS IYEDMVNAGVKPSTFVCSTMLTVFTHRRDVASAEKIIQETLKNGHELDITIYTQLIKV YFKARQTAKAFHVFNEIQKSPSMKLNDVGFIKANDHASAAAVMTDMQKLQLNPDTITF TTMIEAVLSSKQPSSAQESLQMLTTAGMRPNIYTFNAMLNWWVKAQNMREAERTLDIL KSPPYNFHPTVHTYTNMLQGYAETMDLPKAMQTFQTMLRSDQKPDRAAFHFMIVSFLR ASRLQDAMVCVKRMRAMDVNPTKDTWMLLLDECHLRRDWVVGAEVVQELDASGFVLTS DALKRAYQSIKNRCT PHYBLDRAFT_165075 MSFYLRKGLSFLGVEDKSAYPPAELLADDDCLSCQDPCAEHKPY PSSLKIDQNLPLVGSVKPYGRHIIISTGKSDWPSKIEKDTGSLAHALRIAEDKQPTQL RNFVTNASLVATESSVPNGHDVVVLPDNIYLSNVTPENALDFWEIFINTPLPLGENPE KPNYEQAASKGITVKQNPYESMIMICSHRKRDKACGITAPILADEFEHILRDKDISET GPGSIVVWMVSHVGGHKYAGNVICYTQQGTCGVWYGRVATCDCNPIIEETILKGKIIK ELYRGSMDHSFDKKLHPLKW PHYBLDRAFT_165076 MGRKKIQINPIMDDRNRQVTFLKRKHGLVKKAYELSVLCNCDVA LVVFNNVSGKLIEYSNTDIDTVLNKYAENKHKPHEVKSNEDFKTPPQSKENQEESTSN EITLAQDNDDSRSIRSTTSLITQEFSVSYPHPQVQAQAQAQTQSYQNTAQHQITPYGY PVMSYYSSDSRSSHQNTGYNYHQASMHSNYQFQNPQYSTPHPYHGYMQQSGSNYHSSA TPSSSSSSSSYQTGISQTLPWPTPSITANTMCQELCNPTINYQPQPSHGFQHPIKTEL ECDGKNGDGDANSRDKSSRSDQILSRKRTMMAETQSLNHSTNKKRNEKGHPSRSSCSS HQQQPAGSGLSDFYTHSGIPSPFTSPISNTIEPPFDWKTERPLFSKHPKPS PHYBLDRAFT_185753 MDLQQKLTNDTVIAGVVGTVGAYLTYKLYKTISQPSEGNMPPIA PGRQPFVGHLLQMNQPIPPQKVFSKWAKEVGPVYTVHMGTKRWIILNNTESVRDLIVN RGAIYSSRDLSPLLVDILFHGVENGGGLPFFPYGKEWRYLRRLTHASLLKRKVDSYQH ILEDRRLDFLKNLEEANKAGAEEGFCMNTQIEHLAMTTVLSIVYGGELCYYDTNNPDL HAIYAQTSESTAVLQPSEQIREFLPIFSFLIPSRVKQYEGLVKRTDTFHGNLLKQFKE KMAKNPDNVENCFMKDMLTENSDITDLRLSDLAMVFVAAGSDTTSGTLQWLVAALANH PEVQDRAYADILENVGKDRLPGPEDEENLPYIKGILFETLRLHPPAPLSVPHCTTEDD VYGDWIIPKGTTIVMNLDSIHRDPTRYPQPDKFLPERHLEFIKNSKNQTSQSVEDRPH LSFSSGRRMCVGIHLAERSLFTTATGLLATYRIERVSEELIDVSIQRDIYGVTSNPVP HNVRLVRRVPIA PHYBLDRAFT_142068 MSFPVRPPVSYKGEFPTYAQPAEITSYSIDHNRQVWFDDRELKY YYPPNGKDLYVGFDKFIKRDESIPEHIDTLLDALTNARQKASNPQLAQADIVTWRGIM TKIMCTPTTRNEPWMLRATRHKNTIYIEEQAMDEKKRNEADLPIKEQMQCYGGYRFET LCTLSKPPSKVQKGDPELLQRLNDSADTNIQYCVVVKTKLGKNSLIMGAEVDCSRDVK RVGEKSNPLQNYVELKTSRLIENSRHQSSFERFKLKKFWAQSFLVGVPRVICGFRDDQ GLVQSVEEFKTMEIPRMVRNKPGLWEPVVCLRFANEFLDWLQTVVVEDDPTVTYIIEW KAPWHEITIRKESIPQPFITQRYIDGETSHEIGGPRVSSSTSSYKSLE PHYBLDRAFT_142069 MKLAHEEIQDTVRLIDDAFVKLCDLVNDSSVVVRTKACVMMASY QHVEFNVMSQTFSKRIMSNLRRRLGFSTYRGQKRPTVVTDGNFDAASSEFRLLDSGAC GAFVHGLEDEFQEVRSAAIDSICELCMYNDKLIRSAVDCLVDMFNDEIDRVRINAIQS LRKIGTRETLSFNAEQLEIAVGALEDNDPTAREATHELIRVIRIDKESSMQTLLDALM ANMKRFPEDQLSIFRCLRDVGKRHGDYLGSMVPSLLNLDRRYLPREPTVEDSLYASNV ILIANACTTNMSISEMLPKYIYRHFAYYQSKYPDCIPDLRKMYKSANIVLQGDIDCLP TNFAVTAHNLVTDDVEKYMAVTLDMLKTIGLQLERKDYTGALLTVEAADRNFEYIATL KPSLAGKSKLAILYLQCCELVIRIKQSHSSPTYAATAQMAAASLLRLSYTIEHTFLGL SKETRHSIMYFRALANITWMFGMLKQMPISNKTPFTIKNMLVASLQRMTLIEKKFNKD DNKCSGISTLRSDLAEATISPSTAKVSALQSFVNTFLPLPVFLSNPIRHTSAVITHPI SNIDKPHRFVYQFPTELRVEADILNVADPNDIAIEIMYPDKTSSVFWPTADEFKPVTP YCYKLNASIVVKFAMWTETAVVEISVVRSFEPDLPGLDEYIMKYPNAKPRKTSNNFNT TATTSISEPIKYSLHPTKL PHYBLDRAFT_185754 MYNALLPNVSAEKCALPLAGAIALGMAANITYKIFMWAQQKLKT QDFWCHPDYQKIPSPSKKYPYFGHMLSLKDTPGLQLQTWHRNLGPIIRLNMGVQHWVI INDPTIAHELFSRNGIKTSDRQKHKFTYDMYSKGGRGIPFNKTSKKWKNARNIAISIL SPKYVESFTKVLDTIIDKAIKQLEDETEKNGSVSPMHSLKVVTFSAMLRSLLGGSAKF IASETLDDIIKTTEVMFTYSGPEGDIDSFVPQLAWINKRSKHREEAEAIINHRDSLYK RLIQDALEGDQDCLLKHIYSLRDEYELDETDLLVMTSDLISAGGDPTALSLAWLFAIL SQNPGVQMKMQSEIDSFVARNGRTPGFSDREELPYTISVLLENIRFRSVTNFGVPHLT TQDVEFLGFFIPKDTVVISSMHAMHMNENVYENADKFIPDRFSGSRKTWSISSNGSFE ERDMYAFGWGRCNIEPIIGLDGKPEYVDLDSILPAGVFTRCHILATNMLTIKSVESFL VMKRNINEITSSENEFNKPNSCGDFEYSVASGVEWNKIGIEDLSSISPNDRVQCLIRV ANLLPLMTGKQQKTISELLIEQLSKEIDVDVKAMLVLLLEKFSRFFNINAAQLLQSLT IEKTNPIDPFVCLHLYIYKNKRAHKLKSTSTKVKCQLYNCIISLIKGRQVVHDNESRN ILTQLLKRVISELSDPHYRVRAICIRLLSLLPFVLELWNNSSGSISDTSLVVITQLEA QKVISSYVLDSDPRVRKSALDALVGMHLRGSPLDSSLYPLAVKSLHDDFEEVRMGGLR LVWTLSSLYPDHKMKLAHEEIQDTVRLIDDAFVKLCDLVNDSSVVVRTKACVMMASYQ HVEFNVMSQTFSKRIMSNLRRRLGFSTYRGQKRPTVVTDGNFDAASSEFRLLDSGACG AFVHGLEDEFQEVRSAAIDSICELCMYNDKLIRSAVDCLVDMFNDEIDRVRINAIQSL RKIGTRETLSFNAEQLEIAVGALEDNDPTAREATHELIRVIRIDKESSMQTLLDALMA NMKRFPEDQLSIFRCLRDVGKRHGDYLGSMVPSLLNLDRRYLPREPTVEDSLYASNVI LIANACTTNMSISEMLPKYIYRHFAYYQSKYPDCIPDLRKMYKSANIVLQGDIDCLPT NFAVTAHNLVTDDVEKYMAVTLDMLKTIGLQLERKDYTGALLTVEAADRNFEYIATLK PSLAGKSKLAILYLQCCELVIRIKQSHSSPTYAATAQMAAASLLRLSYTIEHTFLGLS KETRHSIMYFRALANITWMFGMLKQMPISNKTPFTIKNMLVASLQRMTLIEKKFNKDD NKCSGISTLRSDLAEATISPSTAKVSALQSFVNTFLPLPVFLSNPIRHTSAVITHPIS NIDKPHRFVYQFPTELRVEADILNVADPNDIAIEIMYPDKTSSVFWPTADEFKPVTPY CYKLNASIVVKFAMWTETAVVEISVVRSFEPDLPGLDEYIMKYPNAKPRKTSNNFNTT ATTSISEPIKYSLHPTKL PHYBLDRAFT_165081 MLYPQDAIRIREMKKDKEGSLAGDFAKNLPGMFSDPLPNVFLLR TLEFVLTMFEEMMLRKFWNNGLPISKQNDNTNNSKSDHVVFDHTADSLQCSPRSQFNT FASQNVPLNPLMGQTVTMMSFPKKTAIHTITFVKTYLDKVELKNQEGFHQTTLFKSV PHYBLDRAFT_142072 MLASDLPLEILSHLKISRHRGSWQPVFQASLWDDLHISPTNEKL FFDLLDDPQTIQQCFPNLRGFASMLRYKEDILSFMPGSWNVWTSSLRELTIASLSLGI LSDKKATMKFLSQLPGLSLLNLIHYNPHQPFQFGMDEFEKLHKLLPRLSDLSLIVKPG PLSKKDLSIIDKVQPIHHFTRLNLTVFGTPYQRPFYFAYKYPNLRSLEWENSVESDMI SGTWDSNIDKLFEVLPRTFGKLESVIVGTRETLALRVTHLLSYLDRAAVSAKHLNYRY LSIPAGPDPALRTTEPCITMFSTTLKRFSLTYPTCFVRVYVKKPIFEYCHCLTELNLI NLDIIVELDVLFNCLPSLTRFTFYGGIFSVSQGVSNTVSNHGFLKLNLKSIRVKFDAF EYMSFHCRELRAMDLIRVKVSGHISQSTGNILLNMPYIILKSLNICNMQYFDENDAEY QFPINIMRVSQQGNSGEERRGKENPQ PHYBLDRAFT_142074 MDRSIPLIQHFDGGIIFLSYLISVVGAQTTLELFTRRTHFSGLY NWFLLTVAAFVMGGVSIWSMHFIGNQSLTIILPDGEQYQLSYAVGYTVSSLVVVIATM FIAFAFIGTTEDARLARIIPSGIFAGAGIATMHYLGQFAIQYFRIVYKVGFVVGAIII ACVAITVALYIFFKLREQWANQWYMRFVCSLIMALAVCGMHYTGLAGTDYYLPDLPKE ELPPTPSLSTGGLIGIIASVVVTGCAFLFYVVFKNAINTLPSIQKRNANTRLMLGIVL FDSADKILVKIDGVVPTKEVSDNFEIGEITTKHPLFVRLFEVATRWANRGGLDSERPS VQSSAGTVSAFDVTERQFCDAARDLQDELRLPLLADLGLLFDTVVQTNTIVTKPGLFK YKYKNKNNKNNHNSKTDTDTETDTRAFRPYSAKNWLLWRPQPFSRSQSNQQRDEEEEL DVYPKYNQYNPQKEWQTQAGNSQHQIGSQNICTIRSFEELGPKESKKSKNRYSDPNSK CSHTSDSRTIVQQSSEANTNSNNDQYIFLVKKLVRTKDLQRLLAQGYRFADPIYISKT MGAQLQVPVEHMLFYFKDMKLVADSLSSLVRHNFSSSIPRQLEPPQTLQQQQRRPSSS SSPGQEVPTDQQQQQQKDEETQVVEHLFGKETTKPGVYVGLFVLLDEDRSMENTHILV DKTRRFAFPMVQLKYTDTGEAPGQLTLEEKTCLNNLGGISLMDIARLDSQRSSSNPSG SGGMSQNSQYELGRVKSSNETLVERNCMYSTDTKTLGSENIFSSPPGSPTSPISPFQS STYSNSLENSSPSVSARFTSALEAATRKLASTSRYSKPLGFSAKLHREVVDTPPFSLV VGPCQVILFKAHITTPGIISAINQTFSEPIKCVPLPIFHSIALRITDQAVDLYRQNHQ INLPETSYLDQQRMYISSARPDQHDNSKYARQQKMMATENNSGIGEVIPLEERKSTTI LVVNTAPADPSDTLASFSPLPPPPRAKKSRFALPKFEFESLRDSRSGSSESGGPSLRD LAAKPDTPPAMLNLLPSQDRFWWLNTIIEETLHDD PHYBLDRAFT_37836 MLRTLARPLIATTPRSIITRSFASTNATLAVSPGEQAAQVRDQT KTLVTLIPGDGVGKELAESVKAVFSAANVPVEWEQFDLSGHVDLNDKLMKETLASLRR NKVGLKGILYTPVSRLGHASFNVSMRKDLDIYASTSLVRNMPGVPSRFKNIDLAIIRE NTEGEYSGLEHQSIPGIVESLKIVTRTKTERIARFAFDFALKNNRKKVTCIHKANIMK LGDGLFLNTCREVAKEYSLNGIEFNDMIVDNASMQLVSRPQQFDVMVMPNLYGSILSN IGAGLVGGPGIIPGSNFGREYAVFEPGCRHVGADIGGSNIANPTAMLLSSVMMLRHLG LAEHANTISNAVYTTVQSGHSNTRDMGGKSSTTEFTKAVIAAL PHYBLDRAFT_122779 MVLDWVDRTIPQKKRWILSVCLGQVLSLCITATSSASSALWQHH SVSIPFTQNFCNYLLLAIVYGVFKTSIKRDQDQDRERDQEHGHENEDEHEHEHEHEHE LEQHSVGGWKFLGYSFADVQANVLAVLAFKSTSVLSALILSSWTLPCIMLLSIAFLGA RYNLTHFAGVFLCLAGLGMLIWSDVAGQQGSTDNHSWIGDIVCLLSATLYAVSNVTEE YLVVHYPAQEFLSRAGFWGSIICGFQAFYYEHEKLVSIEWTWTIAGLVGVYVFCLFSM YSLAPTLYRLSSATFMSMSLMTSNFYSLVAGLVFLGAKMPSFYPLAYALVLCGVTTYN MSPYISSDSVLEEQIIKTSGGSYRSISPSESV PHYBLDRAFT_157941 MTLAVDLLNPSIEHEKRSHKLKRLVQSPNSYFMDVKCPGCLNIS TVFSHAQTVVLCSSCGTVLCQPTGGKARLTEGSSFRRKNN PHYBLDRAFT_63229 MSTSSQLTVPKKPLAQWTESAIASCIAGSLILWASTGIRQTFGL FLIPVTRETGWDRTSFSIAAALLQLFFGFAQPFLVYLAERKFGFGMTIFVGSVFYGVG CFIMYGSSGSSGLFIFSMGVVVGVSAGMNSFPIVLGAIGRRLPLHSKRQKMAFGIVSS FGSFGQCCFLPIARAMIESIGWKNTSLVLGAIMVGLAPLSIFLKTMPRVLAEPEVDSI ETPKEVVCTTEDISEKEKAGREHVEKPASSEESEAEDSNGVMAVIAKAMTNSSFLLIS AAFFVCGFHVSFISTHFPAYLEDHGISSSIGAWTISVIGLGSMLGTILTGYLNTIIRP KYTLAGLFFGRVIMTVVLLWTPLSIGTAFVFSVFVGICWLSTVPPMTQFISDIFGHEY IGHQVGGFIGAYVAGRVYDTTGSYLRMWYVTLALTGFATICVLLAPNGEKARPLRTKN QPEKA PHYBLDRAFT_165088 MFNTSTYNQSGMPTPTPQTAIVDPQQMPQPPQLAAMETQNIGLM STIRRKMREAAGIYNTGDPYHQTWYSPSGHPQAVPRDDQYGQTRMPVPENVQISGSYQ QQAQQVQAQAQAQAQAQAQQAQQAQQAQQAQQAQQAQQAQPMGQPYGGIYQQPSYQPQ YGMIPGYGPQYNQPVQMMAQPQPQPQPQPMGIPIQIPQAYPMSPNPYDPYYAAQNPAY QPYPYAQPAYDIYGQRRYYYPERNYVSTVKDLWQ PHYBLDRAFT_180130 MDSSLIRTVNKLQDAFSTVGVHNPVDLPQIAVIGSQSSGKSSVL ENIVGRDFLPRGTGIVTRRPLILQLINRPGTSKPAEGSEDPSAHGNDHENPDEWGEFL HLPGQKFHNFEKIREEIIKDTELKTGKNLGISPQPINLRIYSPNVLTLTLVDLPGMTQ VPVGDQPKDIERQIRDMILKYITKPNAIILAVTSANTDLANSSGLKLAREVDPEGLRT IGVLTKIDLMDEGTDVIDILAGRVIPLKLGYVPVVNRGQRDIERKKSIFKALDSEREY FENHASYRSKAMYCGTPFLARKLNTILMLHIRNTLPEIKAKIQATLVKYQQELLQLGD PSEENNAGLVLNIITEFCTEFRTILDGNSNDLSSFELSGGARISFVFHEVYSNGVKSI DPLDQIKDVDIRTILYNSSGSSPALFVATTAFEVIIKHQIKRLEDPSVKCINMVYDEL VRILGQLLNKQFFKRFPTLKEKFYQVVLSFFKKALTPTSKLVTDLVAMESCYINTAHP DFLNGHQAIAMVNDQIHNKQQALAAPENPKINKSAQQLNVLQASNSTSSSQESDTNGS FFGSFFSGGKKTKKQSAGNVMEAPPAMLKAMGALSDREYMETEVIKLLIQSYYNIVKR TMIDMVPKAVMLKLVNHAREELQRELLSELYKPDVLEELLQESDVTKQRRKECRKMIG ALQKADEIVGSV PHYBLDRAFT_122788 MSVTFPVALPSANDHRMTLSREGPLFILHLHHKDNRFTTVACKA ILTALQIVEDTFLATEDPSDMALITIGEDKIFSNGLELEDALSYVPFMDIYLHVLKRM LTFCIPTIAALNGHAFAGGCMFSFAHDYRVMRSDRGYICMNEVDMPSPLSAGMLAVIR CKTTPETFRNIILQGHRFTAKEALERQMVDVICPGAEVLDQAKKLALKWAPKAQAGIC YKQLKDEMYADAISALSIPYHRLAPKL PHYBLDRAFT_165091 MSEGADITMSDATEIIYHRIIMMLDRVYWDLQIVFGIRNFSNLD NKGTLLFVIYEYTKEQHQVQGQALILAVNCLQEEEEQPRNFVGVVDYSAVKNSVACFW SKHDQGAQCLAMISLYNGYSYNDFYEWPVYI PHYBLDRAFT_63225 MSDIDENKALEQTFDVLLHKKLATQARELEESRNTARRYRAQVT RLRAGLEMIMAQSTTTTTTTTNDDDDDDADNNSNKNGIGSDKATEALKLLQKETERHA MDLERETKRQSLQIAALEHKVSNQTQINERKTPQPHNIIPQPHTLDKSTQCDLGVTQT ALTSGAYDGSASRFSDPDGRRRLEMVFQIQHTRETRLLACRVMELEDELEHVYCHQQQ HEYDKRQAELRQGVAERTLRQRESEHIRVREGLEGEIGQLKVKINSLEGEVVLVCQRQ AMWMNSLGCKA PHYBLDRAFT_100772 VMSSVYIKDDSIQVMRPYTPINPDPYQDGHVDLLIKRYENGSVS RMLSKADLHDVIHVRGPMIEYDYQPNSRQEIGMIAGGTGITPMYQLIQHILHNPADKT KMWLIYGSQSEKDILLREELDKLAAENKDRLRVMYLVDRPSKDWEGQVGYVSEGMVKS FNNSDSISRLVFVCGPDKMLAHVCGEKARDFSQGKVSGVLGRLGLTSREVWKL PHYBLDRAFT_165094 MNPRPLHLDIQSLRQRTFHSTDPPSPSLSTNSFMSSMSWMAEKS SRDLVPMLKNAYSALKEKERDLVLAAEIGKSLLENNIALKSSYETLLQQTQRPNPLPT PSSSLATQTSCHLTLDNGSESGDSIQTEEDDPFYNYNYNYPIPSHSTREAMIEVLEKK NVELNQELDLLLNEQTKLERTNIKRTRQLESEVAVLRGNLEIASSKVLELEEMNERQR RIEKTRQYPSESEMAAQDDHVEQLLTKVDDLQFENAIVIQSKQEIEKKLSETLKDLRA LKEQYETYQSTEKEHQSLQEAYRRQFQHISELNATVEDHRHVLQRLQDKGIQINTAKS TPAPSCYGGDTPAFRNTLLGELESEWLKSNTHGPPALSRSASTPTPRYHDSFGDDDND DDSNHLRGTYTPRPQSHKSFRSGPAFSTRAQPGMTNSGSGNINNHNNLSSFYQPDPGF LLETALSKVSGIDPYLLDQALEFVNRIEEEHDAEKQAALYEPCDDASNCSTFRGYPSP SLSYPLIHRESKSMVQHKPKGGRVSRLLGAIFRSLWRWCRFAIILTTAVLISLWSGPD GVLEE PHYBLDRAFT_180132 MWKRVFWSVLGLGFLPGLMAQKAEDYRITHLPGIDVNTLPSTQY AGHVEISPETNGHLFFWMIEQAQTTSPDKLIIWLNGGPGCSSMDGLFLENGPFRVNKD MSLSVSPGGWQDYATTVFLDQPVGTGFSFAETISYTKNLTQIAKDFTVFLDEFFEIFP DLKSKDMYIAGESYAGTYIPYIASLMLDQNAIKEREASLCILYNLKGIAIGNGWISPR HHYDAIYDFGVQNNILVGPYKALADKHLDRCHTLLDRSSRISIETCEQLMSDILDSST YEEKGQQYCVNQYDIRIKNEEAPMCGQTWPHELTQVTEYLRLPELVSSIHAKKQSLGW VECARSVSYALDDDKSPPSFDLLPSILKEIPILLFSGEYDLICNHLGTEYMIGNMTWN GKQGFKSAKSEDWFMDDKVVGQYTEDRNLTYVLIKDGSHMVPYDKPLETLDMINRFIG AGDNSVVGKSSRVGPSNGSNGSKPPQPAKPQAKPTTSKTQDESKTKTPEENEEKPKEP TDKGTPEDETKNSDYWEQYYSWGTSALIFVILFAALLGYCWYRSRKQDRGNYSSAPSR STQAPSRGIMGRIAGFFTECQVTPKPKFRLNDPYDDNELEELVVETPTLFVAEESDGE DHPNSSTSSPSHAAGSAVRSSNNTSPSRFAANYNEENTDDDFDDFADWDESSSLVDVD HKQGKKH PHYBLDRAFT_165096 MTTCSSRNLCITSPLFWFPPASSYPKLCSPPTKKPPNKVDWGHP VERDRDTWLIHLLCPKECYTHTRHLTSPVEPCICRGYCTIFSELDKNEPSWPCVMSCS LEYRGGQNHRPRLTLPLSPPSTQLVEHEDHKGPSVDCPSSKDTFVDYEKRDLLQAWLD SIPEYYPLLDDQKYLDMPIWQETNSNPSPNRICPPPLTTTTRPKVALPKSAKRETFFG VVSQWVVRIKKGLSIELS PHYBLDRAFT_154688 MSDIGNNACVSEGCGQPAKLQCPTCLKLKIEGSFFCSQECFKKN WACQPHNPFPNYTYTGPLKAVYPLTPKSVVPESIARPDYADTGIPVSEQSVRSSATIK VLNAEEIESMRKVCKIGREVLDIGAAAIRPGVTTEEIDRIVHEATIARGAYPSPLNYY NFPKSLCTSVNEVICHGIPDKRPLKDGDIINLDISCYYGGFHSDLNETYLVGNVDEQG KKLVQTARECLQKAIDIVKPGIKYRDFGKVIEEHAKKNGFSVVRTFCGHGINQLFHCA PNVPHYANNKAIGTIKAGHVFTIEPMICEGTWRDEIWPDNWTAVTKDGKRSAQFEHTL LVTEDGVEILT PHYBLDRAFT_157944 MQSNITKIQKSIQGLTLENHDENAGNSETISTDNIISHQYLVNR SFFGKIIGRGGSTIKQLRNDTGAQIDVIPGRDSITIKGTQDKVDKAIEAIDKLVKGTH SASRPTHFLSIPVSSTLLTQQLDQFYSSILSPTFGCQGLDPSMLVSHKNLHITVGVSK LTSQSDIAKAAEFLKDHLPNVVNSVIKDNDRLSVHIHNLKTMQADPSKAHVVYIEAHD ESKDQLLDKLCVGLRNSMIEAGLMVDESRPLKIHITLINSSYRKEEGKPKNSRRETFD ARPILDSFASIDFGDVPLNKLHLMRMGKRGPEDTYESVESIALE PHYBLDRAFT_142090 MSNQADDHPTQEPFTSTEVTQQNHTSWIGYFLMPFAALGGMVDQ IIRKFTRANHQQEFLYPFAY PHYBLDRAFT_177040 MSSTCLLFVTRQKQLSTKDQAIFCPGCGTPTPPNASNMCVNCIR NEVDITEGIPKQATIHFCKGCERYLQPPGIWVTAQLESRELLTLCLKKLKGLTKVRLI DAGFIWTEPHSRRIKVKLTIQKEVFANTILQQIFEVEYIVSTQQCDECTRLAAQNTWK AAVQVRQKVEHKRTFLYLEQLILKHNVHKDTTNIKEAKDGIDFFYGQRGHAIKMVEFL SSVTPTRYKTSEQLISTDIHTSTSNYKFTYSVEIAPVCKDDIVCIPKKLAKLMGNIPQ LLLVTRISNSIHLMDINTLNQVDVLCVTYWRHQFTALASVKNMVEFYVLDVEPLGPVK GKHVLADVHVARMADFGRNDDVYICRSHLGAILSPGDTVLGYDLSRSNFNNEDFDKLD RSALPDVFLVRKTFPARRKKNKQRNWKIQQLGKEVDEMLPRKQDQARIDNDMEAFLRD IEEDPEFRSTINIFKNAAANAAATGGAVDSDMVDEDEDDEEEEFPEISLAEMLDEITI NDDGPDEPEAAYMDED PHYBLDRAFT_109347 MDSLKNAIAYGAKRLLHYEELPEQWQNNKHIHTGYRFLSTPADC FHSLLYVHNETGNIYTHLIGFFVFFVLGVYELFYSPLLSEVPGLDRVVFAIFFLAACK CLMCSTVWHTLSAINNYETLTKMACLDYVGISVLICASIILTEYYGFYCEPMWRNTYM AGTGTLAMIGVVLPFMSWFDRKDLRWIRIAFFIFLASSCVLPVAHLVYEYGTDETFSW LMPVAKSLSCYGLGVVVYANHLPEAFWPGKFDHFGHSHQLWHIFVCGGIWYHYTAAVA FVGHRGQFGQCIANF PHYBLDRAFT_104241 FLTPVDMGVIPDYALVIRHPMDFTTMKERLERDYYQHLDDILHD FKMIVRNAKTYNAPNTIYWRSADRLE PHYBLDRAFT_19092 MPPSIKDFDIIKPISKGAFGSVFLAKKRTTGDYYAIKFLKKSDM IAKNQVTNVKAERMILMTQSDSPFVTKLYYTFQSKDYLYLVLEYLNGGDCSALIKAMG SLPEDWARNYLAEVTLGLEYLQTKNVIHRDLKPDNLLIDHNGHLKLTDFGLSRIGFLD PTAASSHVSPATAAAASSSLAAGATGFIHCSSPKARGGKNKHAVGTPDYLAPESILGT GQDSMVDWWALGVICYEFLYGYPPFHADTPDKVFENILSRRIDWHEDEVEVSPEARDF MERLMTIDPTKRLGFNGAQEVKKHPFFQGLDWDMLLVESPAFVPQPADMEDTDYFDSR GATMQPNEP PHYBLDRAFT_109486 MGKNVSRLLAGEDVNVFSVATNELLLDDSRTVEVRFHVARSDGS PIEMEGKGMLMYNRVTGEPSHT PHYBLDRAFT_109503 MDINSPLFGSQEEVEESPDIHFEPVIKLEVVEVKTMEEDEDVLY KTRARLFRYDKQLKEWKERGTGDVKFLLHKQTKKIRLLMRRDKTFKICANHLVSSDMN LSPNIGSDRSWVYNVTADVSDGEPKAETLAIRFANAENAQIFKEKFEQAKDINSGKVS PPEKKDEEEEKKEEKKPVKEEEKKEETTAEKA PHYBLDRAFT_180138 MVGAPLLSVSRRAFNSSGRLNVKNSLSSNYSTATKTFGNQSILP RLPIPELDATAARYKRSLLPLLSAAEHAQVSQKIDRFVKKDGLGQTLQARMHALDEQE AKLGLSWLDRLWLNRGYLEYRIPTVLNVNWWSQFRDHPDGLISQVPEGTITDFQISRS AGLVSGLLDYSNKINDEAIPPEASRSGPFCMHQIRHMFGTSRIAANPRDTIVTSCPAT AKHITVIYKDQIFAVPVLGPNGETVPLKTLENQLRQVVSRVDQLPKEQLQPPVGLMTS EHRDTWGEVRKELETIPTNASTFSAIDSSLFTLCLDNYSSPDDKDLSHRNLAHGKGAH NRWFDKALQIIVESNGRAGINGEHSPCDAVVPQRTVESVLMQEPIVDNLATSNISQLP APTHLQWSISSKTDQQLKAAQENVDKLIADYDSVLLHYDAYGSDFMKKAKVSPDGWLQ MAYQLAYYRQYGKPCPTYESASTRKFLTGRTETVRSCSVESMKDKLALFGNAIASQSE YMKASSNGHGVDRHLLGLRCQMTPEEAASEEAAIFQDPSYWGSQYWLLSTSNTSPGDL SWGGFGAVVPEGYGANYAIAKQGVKMSISAWNSCADTDATSFRKTVRGVLDEFGQVAE DYLVQK PHYBLDRAFT_122804 MKITIASRNPKSTKFPVTLEFEGTPSTVTVLDVAKALESKFPKY YPDRQRLTNSDKKVLSIDKTLAESGVQENDIILFKDLGPQIGWRTVFLIEYGGPLVIH PLFYYLSGVFYKGSFEHSPMQKAVYAMVMLHFLKRELETVFVHRFSHGTMPFMNVFKN SAHYWFLSGINLAYWVYGPWYGAGKAAATRSDVWLWGSVAVWAWAEFSNLLNHITLRN LRPPGTRTRAIPYGYGFDLVSCPNYLFETIAWTAVCFLSTSWSAFLFNIVATGQMYVW AVKKHKSYRKDFPDYPRNRKSMFPFIA PHYBLDRAFT_180140 MQRSHQQDSYSLSTLMSQPKEPVYPDASPKSRLQTTKASPPRTP LRHIILPDSRVPVFSPSASFSLQKSPETNPNFKANVRPKTNVAKEFARPSPLLNSSRP STTDRTNTSILTKTTSTTTPPITTPPTPTSATSATCTTPWTRKEWEDLERWYVLTDRD IEQASRGFYRHYSLLPTEQLQINGKTKQGTRELWPLKDVQWRCRCLDTNARFRYGKLP SERVIRTVDKKRKRELDNNDNDNNDDDDDSNNDNAHNSSKNNRYINKNNTIGNNQTPS QSPSQTPRIKPSKASPTTGTEKAEKKRPKLAATRQSSSFMDWISETLFS PHYBLDRAFT_63208 MPIPPMTAPVAACIVLGYDSKIIANHELVMSKMRDIEMVPVYVH DSNPVHPTAISIKVQTVNSYIYLTYPMEDNTEHKEGSSRPAVSESAPLSSKRRKDSIS SVSSEDSYVSIEKNLLEMLPKIIRKHTEDALKHFDNIMSLKKPISDEEMHDKIFVDST SLKEILEKRFGPCSQSPRQIEAWVNKTNKWGQRKAVRHSGKMVEGRTLWVLKDKFLEV DAMNDLTKYHSYLRVHPMTTIGYARKSPGKESLETRCSLLQKMADRLKNRCLCTKIFV SPSSKADEPLMVRDSKKDCSKYLNVLIDCAGNMNDLCTILDRKFKPVRLVIIDYAGLS TEPNNVRDFFRKEERLFDTHSYDCSRYTQVKELVIDHGLTFEILKREDLLENEDILSR FDCRSNYKHRST PHYBLDRAFT_63207 MRRIFELPSHAQNVRLTITCAECLTYHHLRRMFDLPSHVQNVRL TITCAKCLTYHYMRRMFDLPSHAQNVRVTITCAKCSTYQHMRRMFELPSHARNVRLTI TCAKYSSYHHMREMFDLPTHAQNVRVTITCAKCSTYHHMREIFELPSHARNVRLTNTC AECSSYHHMREMFDLPSHARNIRVTITCAKCSTCQHMRRMFELPTHARNFRVTITCAE CSTYHVVLQLYFSLYTRALGLPTKLVICKSDTGYLAVCYNYLNDL PHYBLDRAFT_165111 MRSTHGHHCISCDYIGNLSLTVSLSSQMCAPNVVNRGGGNRNLK RGGNGTRKPSTSEQPTNVKNRPGLRRLLQHQPPYWKLARAKKDKMLERVYHGKIDRTA RMAKVGEKAIADISYVMIPLRFQRGIENGEREAQMACTGRVRAVGGENRNEEGRFGRK RKYQPALGFQESQIILASWLTVKFSHWATRTEGKVCSPDEVLT PHYBLDRAFT_157949 MTITTALRHNYNSNNKVGGGGILESQAFIYQRTVFLSSPFAGEH AIGLLGWSDGEFQSCITHLIELAEEKTGCEALVIAVDKRGKEAVNIVLRALMYMGFAL VHPSVYQQEPGFVLVGYEL PHYBLDRAFT_77310 LAHQQKNQENFFFLYFFQVILDTQSLQQTPKPINTYYTLFSLSL LSLFSLSFFLSFFYPPPSFSPSGSFGRAISDRPKGKRVAALDKNIVIFLYASSTIFSK DTKEKNFAFSKPNKPNKHKTRIIQSQEHYYQPE PHYBLDRAFT_165113 MVVVKNPSSTSLHLPGIPVTTLSLSISINLMKIDNLGDAKVRLF ICPHGTCLTITSLSSFTPSPHLSPSRYIKVRLVSITKHAIWRDEITLETEANKIFYET IFLPCDCWLSLKHIIAF PHYBLDRAFT_63204 MIFFQCLYFYKSIIITVLLYFHVIKLILGSYVGPSEGLEIPQPR LYAATFTYSNKLYIYGGMVAGQQILNVFTSVSMSTEDGSGGQLVYEKVPQNISGPWCS YSQAVILGDNRTAMLFTGFENDNEKTQKRASWKEVNAINITALREGRVYFTATLAPNG YVYIYGGLNPIIMTILNDFLSFNPRTFKFETLTKPNQRFLYGHTATALPNGQIVFISR ATSKHPRNYMYSQSSRELYTYAQSPRELIIYDINTDEWTHINATGEAPEGHMEASAVL VPYICRLLIYHIDIGNNVGSNVDIKYFKDIFLLHTASWIWSKPTIGGIYPGARTSLSM EFLDTNILAVEYRGSLSFPYKDMNILCIDNQSTPEYSWLSGPDEFLELNNIELPQYKM KGAVVAGIIIAIVFAIILGFFAWKTYEDIYYMQHLIGSFIWDRRDGEPMWTELSRLII QCILIFLFLAYLVFSVRQALDSPTTSITIIEKVLSVAVPDMRFCFEGNIIKSQASQFT FNGSPQEDTTIRITQYAPGMDPNVKVYSLDTSDVPLIMSDQAVNEWAMRDIEGKNDPN TFTMYANDALVIQYQIKDHQYLTDSGRNKIGILSSYNHTPEISYSYVKNNFTVINSQF GTYFGGSFGSATIYPIEYANVVEQDQKIYTIVNSLGSVCGILSIMFGIQAWLFGFRPK SPWGVVQSWSWGSMKRSLSRKLQTKFDTLNTPVPFVSPINARFSGTNKASDRQSDKSK TMLLEDQKDEELDGLRDRLNNGKARAAD PHYBLDRAFT_142104 MSVLSQNSLSVTIQSDSTTTLRLYRQQAKAITSRAPIPYGTIPK RKPKAELIEKEKISTESWIDRAPSVLMAFVQSVLNRKPEPEPQTPAPRLGFMPRSPPK TQVFTSPLAVIAPVSSPIAYDHHDDDYGQESSQPSVSCLSTSSGSCTSTTSSCGSLTS SLDSSASEDSCSTVDDDDLVSIIRLNNPITTNTDANINISTNNLHNNNNNNSMTTTAL SDILCDHYIQRYSAASCSADPDTPEAASSISDNLDVPLETFGVFEAPLHTIETNPRDE NWYIWEILQTPKEWTRVDPAALKDHHEQQFIGWRESDKQKAKKNKSKNKNNNKQDTII ACNSNSNNNSDCTVDAADSITTILPLKSQSQKRSEYPEMGRTCHATPQAQPQSQVQAQ VQVQAQAQAQAHPHQQPTKVTVTAATGTQTLPPLGTPTEQSEQSEQIERIEPDSLSSH VRAVRANESHLRMIVAEVNMMRANKIVCPLKPRAFLAKRSDRFLSRQPTPLRNEVPGH ENANENENGDSSCRPAFLLNTLLCQDRLSMSKSTSKQYLQSFSPKTTTKTTKTISPSE SSSLSPSYYTTVQA PHYBLDRAFT_131569 MSKNPSFKNDDQESLRVASITQISRGKGSRKSIYLAAIMAAAGG FVVGYDSGSISGVMAMDVFANKFLNQDTSYREGLLVAIMLLTATLGGLMSGYICDWIG RKYTILLGTYVFAIGALLETIGSNFGLFMAGRVFVGFGEGFLTNAIPLYHSEIAPPDI RGRLISLYSAMSAIGSIAGYFVNFGTSYLSPSDWCWRTAFLIQVILCLLLSFAYLLPF SPRWLVDKQRNDEALAVLALLHESTIDDPAVLAEYDSIVTEIEYERTFGARTFVELFK GTNLKRTLFALFTGNGAAFTGTDAISYYAPQIFKQAGLTDTSLALATSGGSKIVAFFC NMLTLVFIDKLGRRLIFISGAFLMGSTMYIVGAIFQSYNTLGSINISSGDQSVGLSSS TARNAVIALIYIFEAAYAYSWGPVAYVYPAEILNMRTRAKGLALAYGLNWAVAIFMTF VMPIFMDNTIYGGYYFFGGCCTLLVIGSFFLPETKGYTLEEIDQIFNPH PHYBLDRAFT_142106 MRSDILVYSSVSFITFIVAFVVSLRSFIESDTWNTVNECYSTAD IDTMNVVVRLLRESDQPPFRLFGQPDTVVCSSYLSAFFGYIETQKLHVNMAVCVSIIL GRAIIALFFDDLWPTESQHIYDRMLNFLLFKIIFIGAVMDPVWRHILRLSMWVGVLGF MRIFSLLSRDRFDNLTTLAYTSPVQYYKIAGLLSVLFACSIAWYLGCFVFFPASITFL TLEFLPVVLDTVQVMTKYFAHLLDQWRENGFESKRIISYYTELAADVLILGCTLLQYL QLLWMHGISFGLVDIVLLLNVRSVLKNLHSKLQIHPEIAAYNDDCAICREKMKTAKKL ACGHLFHLHCLHAWIQHHVSKPTCPTCRRPLSPLSNEQSSLGSSLLAHQMTSPVY PHYBLDRAFT_122810 MSENFSVPANLHTKEAAADNTGKNNYSIKAGLAQMLKGGVIMDV INAEQARIAEEAGACAVMALERVPADIRKNGGVARMSDPQMIKEIMAAVTIPVMAKVR IGHFVEAQIIQSIGVDYIDESEVLTPADESNHILKHNFKIPYVCGAKNLGEALRRINE GAAMIRTKGEAGTGNVVEAVRHIRTVTSEIRRASSMTDEELYAYAKDLQAPYDLLKET ARLGRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPAKRAKAIVQAVTH YNDAAVLATVSENLGEAMVGINLDELTEEQKFAKRGW PHYBLDRAFT_63199 MPKLTYGNTFEKNTFSVIELHSEDLVRAFESGNITIKGLAEEEA VLCTDAATYIVRQVNTSNSMLLALPESNNVISVVDDLSSSIELIPCIARLQRIDSLLR DTSYAGHHRESSLTDKTFYTFNDLLSLVQASEAELRRGLEERYVFELNGYCRIVDQSH LHRLIDVLVTYCVLQDIDVKAIPVDIAKQMIQEGVRQDEEYATGQIPDQVVLACLRSF AADPKFGLDKDSVVCLDENKVCSFLGRFILESEKGKEWLYEDFMEVWTKLTPDVFTPS LEMLKGLYICNERVAVHRTQVYVSYFPVTELSTDPAQRFAALFAEKKLWSVDEIKPYI EDLASDRKKQEGLLLKFTRIQKTKNKIFHGSRVK PHYBLDRAFT_122815 MDQPYPIKQESYSLLASEINMKSHVPRSPIAPDSSTNGADGGRR KRKSRWGDEDKKIYIPGVPTALPKLSRDQTDTYVLQIRLEEINRKLRTGDFIPPERER SPSPEPIYNADGKRVNTREARYRKKLEDERHKLIDNAIKNIPGFKPPLDYKRPSRLQE KVYIPAKEFPEINFIGLLIGPRGNTLKGMESDSGAKISIRGRGSVKEGKSRTDAASSS APEEDLHCLVMADTEDKVKKAVKLIEKIIETSASTPEGQNELKRNQLRELAALNGTLR DDENQTCLNCGAIGHRRYECPERQNLTVSLTCRICNGHGHTARDC PHYBLDRAFT_63197 MNNTDNNSISLLHTMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSSEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKPVSIFREITLKHIFK MISEDLGIEVTSNEKATLDMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKILQSYFFML PHYBLDRAFT_63196 MSSNSILDSYQCNQCKERHTNLKKAKSCRAQCFKNRHRRHNDIQ TSQTTLVPGQVSVVLNTVSNDTIDRERADAIKDQIMDTLNSEDNDDPIMNIFSNDDND ESMYDAELGNDMDIIENETSPLVFDFSQPTPTPDKDDAKNLEFLKIIKDFGISRNAHE MIVKHFNSILETSTCITYRACTPHLSKKLLKRFSGVEETVHDICQRGCMLFTSLSQTE CSNCGQSRYKTRRGETEGGDLVATATMIQLPLARQLALALANEDTRADMHYRHNHESS SDGSKTDVFDGQVYQQAKHLFSGKDDIAISLSVDGFTPHNVPGSVTILHATILNLNPM VRYERSRILQIAMIPGPGAPANFWSFMEPTMKELLVLESEGMVVKTPNETIRAKVFSG PLFFALDEMHGLCHGISKQVWGLVSGTYGTDHCFALSSGVRKEIGTAMYKTRNTIPTS FHGDWRDVYKNPGSFKAVDWADFLLFVVPTLVAERIGDATARNALLGLVQACNLLMSW ELSAEEQTSIKSKLEIWNMYLESLLTSGKIKINIFTINQHLLQHYPLMIDAYGPPRAY SARSVERAIGEYSRAIKSNSAINVNAGNIMLGLAQIRQAEAGATVMITEARTARHLQY EDSTAGWPLTDEGERVGAGSDSEFWGPLRNRTIRDSFEGISCLSKLLEDFYESKGEEC SMIEAAIQTSRKAFVNGCVIDSALNQNCVREAHNIRLQIQVDENRNINSAYSPVYKDF FGKVVVFFEHKLNNKRWPLALVEIAAVRLVNGIPVVNNGQMKPKVVHLADVKELVGLV KSDATINTTTTTTTTTTTTYIVWSELNRGPKLSLGSLADL PHYBLDRAFT_63195 MLFETINFKISTHVCIFPTLFPFKVRPTMIHMLEPHQSLELELT TRHVGICAPNYDPHVGATPVIRTGAYNKTRWLPDITFLIVSIISFLLHMYRLLLQPRY WLTLVWPTYGFYCYIHYGKKWRFFAFVTMFSAYNN PHYBLDRAFT_185773 MDSTINNNNNNDDTQVTQSTPSECSNCTLPATFMCSSCGLSGPR YCSDECQKSHWKHRHYKTCEATKEARRSRAVRDSQTGFRSSTNTNTTTATSAAAIVSG RGSDRQRHGRSSSFTTTDIPLQNLNDTETRPNRINTDDDDDEDRYGDDLKFYMHQIYL IIKPVVVCIVLSIFWVKVSFSGQSDYSPTRPTYAVLAPNTGSGSSTTSGSSSSSTGGT AALNSLANAAIIIGQIIVVTIIIVFIFKKGWIKILIGFFMIVVVGLLGFMSYLLLLQK GRSKGRMNEGMIKSQMLLTNYLILIKQSISNLIQVFSIPLDYITLAIALWNFAMVGLL SIFWKGPLWLQQSYLTIMSSLMAFSLTGLEQWTTWILLGLLAVWDLVAVLCPFGPLRL LIESSKTQQREVPALLYSVNAVWFMVAPNDHFRLSTSIANTITDTITTTGTTGTTIPY SSSSSTTVITSPEPTLSPFSSFSPDFRRSRNLTHSSFANTFHSHTNSSEYEASTSSIA PLNQNSSPISRDLPSTQSLTSTLNNNTKAEQGSTFELGTSNRRLDDHNEQRDEIDEED AERSGLKLGLGDFVFYSVLVARAAMYDWITTVCCTVAVLTGLTTTIFLLAIYKKALPA LPISIAFGILFYFVAKTVLVPYIGALCVFGMVGL PHYBLDRAFT_157953 MACACVGPDTVRAGELAGELTGPVDVGRGVAVDTTIGADVADDT TDADTDTDDDDDDGAAVVGTICAAVVVPSDVVVADDTIVVADIVAGIVAVVSAAAVGT DVAAGVGTGAGTGTGAGTDAGACAGACDGTCVGACVGACVGACVGA PHYBLDRAFT_77303 MRCSLDYYSDLCRRKKIKCDGTIPICSNCNAFHLKCSYKDTTKK RGPPKGYIEAIENRLYKLENILQDISKDDDPRSQILRAELSEPLKTAGGEQIRSRPVR RHQNSGEHGTPGHEKRTNKSRKNTQDSTRSNSPQTTPCSPQLSLIDSPVASHVGSPHS SQSVEDNTGQLSMGESGEVRYLGKSSGFYLLQNSRTYQNGAFHFTGRNQRSGSQSKSS LTNSSFDPLELPPKDLSENLVKLYFRHIYPVLPLFYKKRLVSSTCTVAEMLSPLLLNA IYAVASRMSPDVRVRSDPTSADTAGDVYFERARCLLDDYYDIPRISTIQALILLAAHQ HGAMKPARAWLYSGMAFRMAQDLGLHRNCDHWDIPSEERERRKRVFWCCYILDRILSA SYGRSATFEERDCDVPLPTVDDDDDEDEPETNPDSENAQPPVRIMIAFTHLISLCHIL GDVLKNVYYAKSLYHASINHVEHILSSLNQRLDKWHDNLPFSIRYDPSNPHNSAIPEF LSPIVGQLHMVYYTTVILLHRPFIPGQKQAVNIISFPSNEICLSAANSILSIVNIMLE KDYLRYTLHYIIYCLFTAAIIFVQTASSPEEEKALEARVSITKILRSLDEIETTWTTA SRTCGILAELVGLREINLGYDSSERTQDSRPESIPDNNDAFGNHNNISYEDTVDTTAT APSNQTSSYEDSRGWNNNSETRNTVKADAGLRNIYDSPHFGNHSYLPNYMSQSNIPED KRGSLHPSSSWSEQSSLNNQDSQFVMTPLTDPFAAPNTVVSRPLNRQFNPFGSAFWGV PSSLDMNEWSTYLGNQGTQTYTQQQQQNNHHHQQDQNQSLQPEIQPRSQPQSQSRQLN YISNQPFDNVFPNPQYTDSTSLMPSRLLSPVHNFKSLGPLQMNPPQVPSTTARSAVPS YFPIPKNASFNPTNDLIHSDNSVDVLSGVNMPMNLPNSPSESSLLGLLSNPPDNKSVR NSCEK PHYBLDRAFT_30042 MPFSYHSHSGQFCHHGYGKLEDVVKQAIRKGFKVYGLSEHMPRF DSNHLYPEEIQANCTTSTLATAYSAFLVEARRLQEVYKDQIELLIGAEIEFIDPSYAN HVRDLRKSHTIDYVVGSLHHTHSIPIDFSPELYQHALEHIGKGKLDALFKAYFDEQYK MLLSVKPEVVGHFDLIRIFADQTKASVALNQPEVWEAVVRNVDFVVSYGGVFEINSRA WKKGLLDAYPQREIIKLIQSKGGKFTLSDDCHGPNDVGMFYDKLHQYLKDTGIKNIHY LTKRNGAVAVEELEDIVTDPFWAKIEHW PHYBLDRAFT_142115 MHSTESPSESRLFLLQRLLRTHKFPYRGSVYVYASGPAGEFIRV REYFGELLNRSGGVQDSEYEAEGFKALRQLLFLTLSRPSSRCCADPPDVQILKLKHKN KNKMWSTDGLS PHYBLDRAFT_180150 MSNLTRTVYHEALNELDDKRIKCIKPLIPPQILMEDIPLTLKAA QTIVEGRRGAENIIRGSDDRLLVVVGPCSIHDVKAAKEYAVKLIEYAETAKDDLLIIM RVYFEKPRTTVGWKGLINDPYLNNSYEINKGLRIARQLLLDLNEMGVPTGCEFLDTIS PQYTGDLVSWGAIGARTTESQIHRELASGLSCPVGFKNGTDGGITVAMDAIKAASNGH HFLSVTKQGLSAIVQTEGNEACHVILRGGKSGPNYSAEDIEICAKALQKAKLSSNIMV DCSHGNSSKDHNRQPIVAQSIASQLAEPKKTGDNIVGVMIESHLVEGRQDIPNEGPHR LVYGQSVTDACINWDATVKTLDTLKEGVRARRAARQA PHYBLDRAFT_142117 MTLHDYGDTSYYTYYWRVPRFSSIPLKTEVRSAWFRLDKYSMMI QFYKGTEDEPNALQVDLVIPRVHERLSDEEMIEPLNAFNPSIVEISDETKYECKRFIS LDQLSTIITMDEIRFSVCPENKSSSGYNMSPLKSLSPINIKSFRESEIFHDVLIRVIN DNSVQDVSVELYVNHDDQTASETSSITGTPLEQPEEESFFVHRLVLSAASDLIFEKLM EEKHEGFKREIVIRGVDPRIFERILDFVYGLSPGPNSVQEAIDLIPVTYQLKLDGIRQ YLFQYLQLNISNQNMWGVWNCAEKFMCPDTQDICERKMKTKPLDTLQSVYWFSSDPKV VLNALKVDYLQKPVDEVVFYEAALIWRDAQIKKAKKWLYLDNKKVALSDVFAQIIACI RFPQMDASFLSEVVEKNEAVMNTTGVQELIFEAYRFQACGKKKITSVRCYPRKRF PHYBLDRAFT_142118 MLQISKGTKEDKNGFEVFFYLKNVNPENKAAQDVYVNATISVGL PESHYNSYVGSVYSRGELKASHGFRWTHFASLDEIKQRLVGDTLTISVRVGRDLCAKS NRPVDPIKTKILNLEKYTDSKMFHDVLIHINNDRNNRKKGDNPPKINYCGEEDDHDFL YYNASGNRTFYSHKVILCGASGWFKKMLEPKNGATQKVELTLNNVDPEVFETLLCCVY SSELLVDDFVGAIDLLIPAKEFGFKTLCSQIMRFFRQDINHQNIWLVWSMANRTACTQ TETACRKYLEENPVLSMKNACWLAVKSSLAINFMSMETFTKPVDESIFFEAAVAWRTQ NMEWTLNGGGPIHEENAVAIERGFSEMIRCVRFPQMDPHYLTNVVEKEVVFKNMKGSK DLILEAYRFHAGASEPSSFRCYPRYSPSESIASKALVKKTS PHYBLDRAFT_63186 MPPTKPSFKSSTKTQGKSYKGMRNTDVLSAGSKIYAKKRKVNKE TIPSVDFDFDKRKEFLTGFSKRKQERKKKTIEKYQEIARQDRLAARAESRAERKRTAE QNVAHMAELMRNNRGVGLSDDEDETVFDDDDEEEESEEAIADKNKPDVKEFKSKSALT TVTVIEDIDLDEED PHYBLDRAFT_109153 MVLYFKSNVVDPPVTIYMGRDKFENEDLIKYGFPEDVWYFHVDK LSSAHVYVRLQPGQTWLDIPEAVVEDCAQLVKANSIEGNKKNNVTIIYTPWDNLKKTP GMETGQVTFFNHKKVKRVIVHERINAIVNRLNKTKDERQVDLMEEKIQRDKIDRKAAR EEEFKEVRINKDFLYIKMK PHYBLDRAFT_109043 LYFLFFSFIMQSLRTFRDFYNRSYDKRPILTLCVTNGILGALSD TLAQSITHYDYHVKKKEGLRSLAASKDHTHRLHLEDRLPDSLQQDEILCTPPPTWEPT RTLRFAAYNFSVAPLLGKWFMFLDKFFPMPAVAATASKAVQKAVADQALFAPAGLTMF FTIMGFIETKKWEGVQEKFRDAFLPALVMNYKVWPVVQLINFKVMPLQYRLPFVSSLG IMWNAYLSWINNASKKKEYTQEHLMVDDGQTRVDPPIEIQAP PHYBLDRAFT_165133 MLGDFNYSSYANASRAGLAPRLWLHFVANHFVDCVTLSDAQPMP TFHRDLSSSTIDYIYASKDIASCHSSSTVTFVQPLWTDHCLVRTCLSFPMLSHIGRGL WRANPRLANIPSFRSSLSDCLSSFIPLLSPSISPQSQWDLIKVEVARFTRSYSRTTRP SLATLEFWHVLRVVTVPLSFFRRLRSIMSKFIQYRSFPPISLDTFCQPILLGGLGVLD PQVQQAALQLRWLRPLVRSPLSPSGLVPPWFSYVLRLDSSSADPLVPLIFPSLRSSHQ RDFDSPLATLLAAIDLLPHNFSDVVVNLPICLSLPLSYLTTAQPDHPPFPSAWRDLRV SDAYEVDPSFGVLAQRPLHRILRRPIVLHRFFERLYTRSLVLHPVLYRATIPPAICAI QFPSLDMPSGTAVDVRPFLTALVPGIPWYRLSTQSFRLLCNFHSKSARPISPTLVPRQ LRRFWSFPLPHGARNVWFRALHKNIPCRSRLNSRIPTAFPDPSCALCSHPLDNQTHFL FQCPVKLSVWSSIWTLYFAQTATPTVLLSGLQSFTFPPCTDYSLSAASIFGCTLLAIW RHHWLFIFDHVPFVSSAAFSTASSLLDRLKSELALDFPPL PHYBLDRAFT_142123 MALPAKVPDPPIGSTTTLGQANITTPQPSTTTSTTTNTRSYLDV ATATPAPGQVPVVLFSNLPTSTDRVWRESTSRHSVFFTPPTDSTLTYGDDDQDSQNIL TDDEMADGDHS PHYBLDRAFT_77300 MGFLENIWGFIPGFQSLIAAFLLVCMCTTNGKILVRNHAASGFR WLAGVIPYSIRVPLIQCFLSLDIPYVRAFMIFIGTPQGEQVKWVTPIKKDTWEGRWIF PSAKQMSKTGSVETSAFDADLIILYMHGGGFYFGTSVGYIKTFIEFINQFKANRGINA RILSVEYSLSPEVQWPKQKEECLAAYIYLVHDLGISPSKIIIIGDSAGGNLVLQTTWS LCNQRTIPALAALPPIPMPAGVVPMSPWVDVELDEPALEAAKYTDILSKKIFYLAATS YLPQLKKMTPSEYSAYIKNPEISPIYGDYYGSCPMLLVYADKEMLAGSIIKFSEKMRS QGVPLTPLIRPSVAHIHIMESLCAPSEDIWREDIGVVVDWCSTVLKRK PHYBLDRAFT_109010 METKPNDFSSDPRMKFDEQTGKWSYVGEDGVSFEYDTNVNAWFP MYNQELIESQQSVYAVEGVDESAPAVLPREKKKRIYTYEDPEEEAKKKQKREPVKKPN TSVYITHLPLDVTVDEMKQTFSKCGLIMEDLETGEPKIKLYKDDKGTFKGDALVTYFK EDSVPLAINLLDESELRLGKPETKISVQQAIFKDKEIDPKKKSVPQTQKNKVKKKLHQ LKRKLDWFDDESGKKDEKFSKIVILKHMYTQEELDEDPTLLLELKDDVRGECEKLGEV TNVILYDKSPGGIISVRFMDTESANACVKMNKRFFAGRQIEAEIYDGKARYEKSGGKS NVEEDEEEEKKRLERYAKWLEEQGSEEHVEGEGQ PHYBLDRAFT_165138 MALSYTQDSEDQYTEDSDEFEEEEMNYWLQRCSICFDARLELCL ELCRDQFCQECFGLYVTEVVKSSWGLGVTQIQCPVCQRTVPKSEWSKYVSPAVLEHYN KFNQPYRSYTRACPCCETENKPLDYTKRNKDVNHLYASYKLLKDSLGSCTQEGHTEHP SHEDIRHATWMIENPSWSQNNTLPEIYEHLLNAIKKFDLHHPHLPSVGTTIAEHLCQT NMSSDTWRTIQFTHIRNFPDITCSKCNTDFCLQCGEDKHASQSCEDNMRNKLEDSQLS VDLAKTIEWKLENSRRCPNCSIMIHRDEGCNKVDCSLCGFSFCWMCQLPWSPDCGFYR CSSSPDSQIMEKAGIAHTKAELGVPNVHALRQRSIY PHYBLDRAFT_157957 MQMSYPSALIPEKRSRSLFPRCGLHARYVILIEQSRIWLKCSTI FSWARREIPNSWINCMNARFFLSSLLGTGRGWLKSKTSEGVVPSISSMTFSHSSPKSY YTYPKAKLGTIADVNWL PHYBLDRAFT_165140 MNNTDNTVIQLLQGIQAALISLKSGQEALLGRQEALEKRQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTGIIPRPVSKINDITLKHIYKMITDDLRIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGILASTIHE PHYBLDRAFT_165141 MLRWTVLNQSDAVESRNEAELKVEKLVRTYNRALLLHQTKQHDE AKQLYQEILTNDMKSLESLKDEFQTETSRELLRNNLPYLTYKNYAEILKEEYNVSNPK ESSIGKKAIKLYLKALGTDPTDPTVWCKVGDLSLQLDCKKVALKAYQRGLSATLGFPK TIEFSVIDDVKPFVFDNTNKVFLEKIQFSFISPNMWRCLDGICTVWDSLGAAFKIGNI FECTFYIDSILPKYPHWPVGKKLRKEIDKERDMDIFINQGDNYGDDSEDEVTMY PHYBLDRAFT_185781 MAPPPPNSVDGSFFGNTSCPTYRRGSLTTSLMAVPMHYSHSHSH NNHNHNHNHSKTQTHRQPSASLISRLRQPFFRSDKRWRWWHALAALLVFLGLVELVAL MTGWALLQDDIQPGRIAVRQYPAMSYRHLNTSAPINLSSDTVVFHITEEFGLANTGSL GAAVTALAAAQQKSGLAQVSVVMPFYSFLKNKYTLKRSADLIIDIRDKDNRPVPIEFR VWKTEHVFATSPNATSTTSIPLYLIGPGNRPPFSQAFRAKNALHINSPQKGLPREWRD QYFGKAAAAFVAHRTSAMDEVSLFAPMETVARVDVVHLHGATTAYAAKHMRDRRDTNQ LGPKPPVFVYTLHEYNRELQHAHSINSVQKFLDDPALFVNARTSNQNKAFLQRARQVI ESQSYTHNQRVFLSGLAVASADMVTVASQSMASDMVQGILDVPMKEMMMDSLLLKAQN RRFFGVSHGINFDNVSPFTHPKLIQRGLSFPNHALNLIQQQSVPARQTAPTQRSQWTL GASPNDFVSTSKDRAKRFLVRRKLLSEEDSKRPMVLFTGTFHYSNGAASFEEAAIYFQ KYNMRLVLLGQPGDYPFEKLEALQAKYPETVLILATPKEYRQWSVLCRAAADVLFVPG HPGTSLSAIEGMLYGASVLSTGSGSLRDILIDRPVWKEEQNQENEFKRDIRIMRNKET KIPTVASLEHFNAYLFDDKTKTSLEKAIFDLSNDLAKTTESKALREEYCLRMIHSAMA LDWERPQGPMEEYMQVYEVALLDRKLPSLTQHVVEEEEFVLNRLMLQDQQL PHYBLDRAFT_185782 MMRLWLSVVPTRVVRVRSSRSTARSGLSTLTVSPARRLTVPPSP LASTPPRSLLPTSRLTRAVRPSLTARTAPPRRTLWSSLERQSYEVPNSKQPGQSPIYR NGERPVVEDSFSPNVKTIYDIFYYGFKLSRDRPCLGVRKLVDAKTGKRGAYEWQTYRE VSHRIDNFGSGLMNLLNHTLNDARTKQIPIGIWAVNRPEWMISDLACAAYGLHVVALY DTLGPETVEFVVNHSELETVICSADHVADLLKLRHRLPNLKAIISMDTIEDDVQAGAG VVSKSAIIKAWAEEKNVLLIDFAALEAAGKKNRRSHNLPKPEDMACIMYTSGTTGMPK GAMLSHRNFVAALSASVISLKGSPEDIAISYLPLAHIFGRVCDMTILALGGRVGYFSG SIDNLVEDIQELKPSIMATVPRLLNRIYAKIVQGTTQAPGAKGALARRAVATKLENLE NGGGYTHAIWDRLMFNKVKMALGGNVRLMITGSAPIGKDVMQFLRIALVCDIREGYGA TETTAATCIHYANEYLAGHIGGPFTCNELKLLDVPEMDYLSTDPQPRGELCVRGPSVF MGYYKDEEKTRESIDSEGWFHTGDIATINHRGAFVIIDRKKNIFKLAQGEYIAPEKIE NVYAKEPVVGQIYVHGDSLQSQLVGIVVPDPETLASILSAKLPQVASKKLSFAELCNH PQVNALVLKQLTRVGKEAQLRGFEQVRAIHLQAVPFSIENELLTPTFKVKRPQAKKFF EKEINDMYNVLNSQPDTSSKL PHYBLDRAFT_165144 MVSAESSQINDDLCTKSRNRIEQDLHVWDSIEELESGRRMVGVL NQLDHYFNLHKAPTTTVYMCSHQIDYIKSRLANVGWDCGYRNCQILMSFLQKNRILKR IGDIAQLQICLEKAWDQGKHQDYDTVGAKLFEKDVYKTTKWIGTTEVHTLFSYLGIRT TILDFSQPSGPNQQHGFLLDWIQSYFSTNEAPPLYLQYSGHSVTVIGIEITRKKRSLL LLDPQRHWFRAFSSNKTPSFFKRPFRMHPREISRNPQYQILVLGKVTDKRNQEANTSD TPGSLVWQPDYLLTDIERDTMKVVSTIRVI PHYBLDRAFT_92446 CAGCEKPMGGMTINAAGKQWHPDCFVCKHCNQDLEHVAFYEKDG QPYCALDYHELFSTRCDYCGTPIEEKAIRALGKTYHEGHFFCRQCGKTFDESSAFMVY DGHPYCEKDYLEKFGHKCMGCGEYITGEFIGALGGDWHKECFVCAECGKAFTSATFFV RNNRPYCDQHQRTTATPTKNAPPVAVLEGRCANAYGHDYHPAHFQCSRCDKPLS PHYBLDRAFT_131597 MARSPDRRHRDRSRTRSPERRRARSPSIDRHKDEYGRDRKRKHR HRSRTPEERSKKSKDKKHKKSKRDRHRDESEEEIEDTEEIPNASQPSLENLGYSNVNN PFNDVNLESKFIWSKKKERDRKELGLSQGDIKRRERDRRREAEEELTKLNKRRAEREI EMQLREEELARMQRDAELAQMGDWQAKEEEFHLEQAKRRAEIRIREGRAKPIDILAMN LRLANEPEKVEEEVELEIDLEEPYTIFDNLTLSETEELYRDIQMHLNLEKNEKTLDFW RALIVVADDCLAKLKSDEAAIASGGVAESVNQDIQRVLSGKTFAQLTVLQNQILRKLQ SNEPVDVEYWENLLKELVVFKSKAKLNDMHQELLAKRLNQLRMRQREEALKVQEELER VLSMQSAEVQGRGVGEGEGMEAFENGSNDKDQEPSGLLIEKYDRSMSPEPMTRLDRDD RELEVVDPIKDLRKLMDDRRRVLSSKIIPMKTKAKPEPVIEKPLDESVASVVLFEQEA AKGIDEDEAIFNIEAELAKQTYMWQDKYRPRKPRYFNRVHTGYEWNKYNQTHYDHDNP PPKVVQGYKFNIFYPDLIDKSVAPTYYIEKDPDSPETVLIRFRAGPPYEDIAFRIVNR EWEYSHKKGFKCSFDRGVLSLWFHFKRQFYRK PHYBLDRAFT_142136 MLLPLRSFISRSSGLRSNVHGLMTFGSRAYATPATKSVFDTKAL QYSSKHIRHALQTGDVVFYTAPPGVSRTFFYVYISAGVQLLFCDAEDAPIVLAPQGQR MAIAGGLMALGIGIASIMFTYPWRYIDKIILLKGGARVRLLTHARFIESQKCKEYPIN RLFSKQKVFTGAGPTGTDPLGNTTSSNLFLRASNERMGYMLDRKGSFMDSYLFDGLWY KPSAK PHYBLDRAFT_157963 MSDSKPMFLSVDDERKLTYDLMRSTDDASEPAVAKEVRHPHLQE IKETLYSLKESAKNTLAGHGDSTQEKVPQDGKTNPME PHYBLDRAFT_180159 MSQNIRPYQPSDNEAIRKLYIDKEKKLENKRIFRAMLESSLVQW SWPMGIVGIAGYNMTTTGYAARQLMMLFCLETTIWSAGLGLAWYFYLKKRYRRAISRR SNNVLRNLTATDGPKSGSWVMERKDRIIGAVGIQYEDDEGKIQSLTSGDKQAELALVQ SAIKFAKKKEIKVIIKRGNDTTWSDRCRPTIPLIIDPTDHEHPINHLFSPTALVPDLT YVDKTYYASHSPFSFPSIFLRCLSRSMQDISTRFSEKNFTPTINTIIFNKALSMLRSI SHLDLNHARLFILPSQISRLTRLTFLDLSHNQLETLPESICYLKHLQHLNLANNRIPE LPSVVQFFVKLNHLDLSNNPLEELSANIARLTQLTMLDLTGTNISNVPSELLRLTRVS VRVDNCPNIAERSVSFYQTLKYDPPSLLEICSRIVMRPLLLDSATKKKMVSQRTLDST FSLIPQNVKDFLSSPKACSFCEGPYFKACVLRYRIVQRHDDTYLPVEYRLCSAHWSDE DDRLLTMFAEQPITMIPRLRKRRELRYTVPNF PHYBLDRAFT_131600 MYHIRSIVGRQSSQFRSLRSNSGSLLRVSSFSTTSSSIDNDFDV VVIGGGHAGTEACTAAARVGARTLLITQNKDTIGEMSCNPSFGGVGKGVLVREVDALD GVCGRIADLAGIQFKVLNKSKGPAVYGPRAQIDRKLYKKHLQEYLEDYPNLTIQTGSV ADLVFETDIDSERHQVLEQKGAASAVKGVRLDNGHIIKTSNVVITTGTFLGGEIHLGL KAWPAGRIDENPSIGLSNSLRSAGFQLARLKTGTPARLDGRTINYEGLAVQEGDNPPS PFSFLHTLVPHADQQVLCHQTRTNPSVHKYIAENFDQSIHIRETVKGPRYCPSLESKI KRFGDKTGHAIWLEPEGFDSHLVYPNGISNTMPEDVQLNFLRMIRGLENVDMVRPAYG VEYDHVDPRELRSTLETKRISGLFMAGQINGTTGYEEAAAQGVMAGINAGLHALGRAP FILDRSDAYIGVLIDDLTTKGVEEPYRVFTSRSEYRLHLRADNADLRLTSKGYDAGAV GEERWTDFYSKSTMLSSATQALEELLMPANKWKQNGISHGNEGSNDSGVLRRYSFSGV DILCWPNVEIEQFYDLLPSLKELDSGLKERLLIACRYKPFLKRQATEIAALKRDEDLK LDINLEYSRMDQLSHEVRQKLAIVRPETLGAAKRVEGITPAAMVVLMKYARRSNKSST KGSLALKKKSLSITSSPCL PHYBLDRAFT_142140 MTPAHSFVYYTYPSSPESTVSEPKELLSECSSMPPHHSEDNLKE SPPKKRRQQSGYACNKCRERRVGCDRNKPYCGQCRKTWECIYSNDGPRSESISMRQRL DDIQATVQTMSGSLGFIEQKYHNTISRLLVQIQPQVQQGHVGQEGDASSNYPAKISEN ELTVSSWAANVGWPVVTRKDGTQSIQTNFHILEELKEAMRQAVRMSHAYYNPSPLSYM VRSESPTSEARIRINNLLSFSLFERLGRLDRPENEQTIDKNINPAEIMSKNVTESLIH QNHQCGFPILVSPTRFEAYHSQGRINSLVISSVLSHAVPHIFNYHPHILCLNNSQQLG EIFYKYSRESLQYDDATLTNIHQRTLLITYDLDLGRVERAYLHLGIAARMCFALDLHS LKGYVNCKTPFEREQAKRIFWTVWFLDSMVPQLYKKPSSIPPSSITVEPPTVLLDFSF TEAEQARFVISIIGIRRLSSELYISQNKAEIAEEAQLDSLKADEERLREFYRTLDPQN RYAYANQMTGLKTTQWSRRTFYCVLLDFCQSWITLYRPYLPLDTNDLFDIHREAVLRT SQAAIASVILFDRWFSASIESPDGFDCFFRPYLYHYMASIQTLKLNISHPEWMSEELV QQSRYFLVRMLKMYQKTPTYKAFKESQLEKELFAFMNEYNITLDQACPSQDPLADNCY ADGGWGIFFLGPMVDGHMNMAFTQDEMTRYSCTNMNMNTNSESGLDFCYF PHYBLDRAFT_157965 MLIFILCQILDRKQRFWVMTKDRVLVYRTIRNRDIEIYQLFPPK RSMSAGVKCDSCPIR PHYBLDRAFT_63166 MRFLSIELIFLGILTVVNAGDKHITIINSCDSVVTVGVLTNGRT ASSPDMTFDLTPKAERVITEQDTWGGRIWGRQQCSGSKQNSADCGTPGASNPATLAEF FFKGAFGKDFYDISFVDGYNLPMSIQPQDTEGAEGYTCGSPTCSDVPACPDENAIKGP SGQLISCQSSCSKSNTAETCCTGDHDDPNVCKPDSWSEEVKSACPDAYSFAYDDQTST FQCTVENYHVTFC PHYBLDRAFT_103744 FHEYRFDWTPSNVKFYIDGVKLYTMTTNIPDAPGRVILNHWSDG NPNFSEGPPAQKAILEVANMTVFFNTSDTKES PHYBLDRAFT_142143 MISARLELATVCVLSASPTTSRLPVPLLSSPALFFFSEGGSGVL PSTSHFFVPVSDLSFSALSAPGSHEIFFQAPPTMIGSQKQVINSTGRPTPTALILSGL KADFKKLHNVLSCSHCQTTGKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQH KIPEVRSASEPVVSPSVSILGHSISMADIYEIESDIAPVLPTVMPTLQDIWDRFQAYD ERLSALKAVQKENIELCKALATAKATIARLTKESVDFSVGAAASKYATIAASVPVVSQ ADFPSLPAFPTHHSTESTKTFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYV PNAIRLPISTQRQRLRKLKIDNARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVT TLDNFDPLDPVHLRDPALTSLSLDDRATKAIHVHNDRMLRAIEFIRAPVKFAVARSFC SQGWISDDQLAEITIPTILSYAPLTSLLFITETWLLSPHCYPTSWQQYHTYGQSVPNA SRGCMGISLLVNPSFPYPISIVPSSSPYVLSCIVFDFLIHCIYLPPSLSNDDAISILY NLPLSSSSIPSSNTIFCGDFNSRMGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAF GIPTFLSGGSGTSRSSVIDLFLSMSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPP PPPTNHPRLLWNLSKLAQPDTLKIYIDTASASLDNLTEQFSAFLSSSSPPPVDSLCSA FAQAIYDALDTAVGRRTPRTMQKYWFWSVDLQEAMDLRECSYQRWRHSSGLQKAICWM RHQDACHAVRLSVQRCQRETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGG PQVAANKMADHLQQIFSGQFLPVHRPPDQTVMISSPIAIDESCPFTHLSVESAILKLP TRKAPGCCK PHYBLDRAFT_165153 MRALQSLGVNHTGLSRLLSIRLYRQFIRPQFEYGLAISCFNIKQ VAVLEKAQNTCLRMIFGGHSTSSTCVFRHLGNLPSMRERILTLGFKFVYRAFWLPDEA LFTLLRPVLTNPAHQWFKLLANPIWLSLSNRQNADSKACKHAIHSFLNQDLSLQRSQQ ILLSACRPSLGVDPILWLPMTNYKRSRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIEC TGAAIRLHLYSTVQPNPIDYVLNMLPLKKLKNNKNNAFWIFTWPILCRIMLDIEQICL PGVDLADHAAIDRGQLFLNWLPK PHYBLDRAFT_157966 MAQERTEDDSIPDDMSRWMEDNLVVLDDDDEIQIKQEKDSQKDE PINTCASLRRLLLRIKSSINCTHPDQVLLKCKGSKLGKLRVHPASGYYLVVHLVDPDT DNPNEDVAVVFGDKFISELSGKPYSEVHALDKHDKSKSELKKAIGKCHSKLLKTIAFV KLDLTMMETAEDGTPMPNVIEYTPC PHYBLDRAFT_104253 RKAQNRAAQRAFRERKERHMRDLEVTIKQMREQREKVYSENEQL KSDNEVLKCENWYLK PHYBLDRAFT_63158 MYCENTTRDCMDFGMKMSKYIGVVRRVLLFFLVTSPDTFVISLY STRDAFEEKFFPLKKSVFQEDLSRSEQYIRFVEIFLCVLFDVSIHDLKLQVRVRVKFI GNLPAEYYEARSTEKVNSNYAIYQDLIQVSICLKEYS PHYBLDRAFT_185790 MSSRFYMSEVPKGRKRRFSEDEDMSDAQSLPSPRSFVEKHQRRY TERPIDIKRCKSGIQKQSSNAALLATMNRDKLVSLLQGLLEGHPELRQNIMTYIPAPT IASATSVLQDMEKQMVDSFPYSRHGPSRDAYTFSRVREPMGELIDTVTQYASHFTSAI VFPTTCFSFLDLATHVAHRLPVWDNEEHNTMRRNLYRDLAGFWLNTVRSAASKLQEGE SYSSHTVSDWAKSLAQHNGHTNGLFTDAVHEFTRLLLSNPPSEKPRTSLCHRPGLVDK DLSRFGPQSPVVGYAD PHYBLDRAFT_165159 MSTIFLFEDGHGNVVDENGGPKPMEYIVDQNEFNVETFATHADY LKNPASSGSSSACPMRIEKPKDADIRLKETNVKRDYLQRSSWAFTFELLRDVLDSLCP DSIIESCKQIGRKCILSKDHKTAIVNFIDANPSAAVVEVVEHLFKRFSDFKVSRSTVY NFMRSECNISLKKADFHSIERDSPVKIEERLDRVCKWENTDMNFMTNCVFLDESAFDI NTKRSRAWSEKRYPCYFYQANYESKYNVYIGRYLCRKFDHDWLKKPRPAKMRKADGYI SSGTVTSH PHYBLDRAFT_109147 METKDGRKINNYLLREEIGRGAFGTVHLGIDTDTDKSYAIKEFS KSRLRRKERSDMLRQSGRPGMGAGKPSNPLDLVRGEMAILKKLNHPHVVKLFEVLDDP NDDSLYMVFEMAQKGVLMKVDVDQVAKPYSNDEARRYFREMILGIEYLHNNDIVHRDI KPDNLLLSSGGMLKIVDFGVSEMFKGNDDKLKKSAGSPAFMAPELCIAHHGEISGKAA DIWSMGITLYCLIYGRPPFRTSSLVDLLDMIRDDPIHYEKSTDPDLLDLFYKLLERDP SKRITMLEMRNHPWVTNRGKLPMITEEENCRALVTEITEEEMANAIKSVANIFTVVSI QCRYKREKNKKEV PHYBLDRAFT_63154 MTREDLYKVTTDARVERLLRRLTRDDLLEIIQRWFNQPDLKPDS SDASWEIYQEMQQKHKYKVIDRVLKVDWVNGLRALQIAQLDLKFKLDAIDHPNVKRWQ LWRLKPGSLVNNDTPMQLALSLSKLEDHVQLYLSSFFRCHVYCARHPKHHTYWMRISF FETSSPDVMPLTTSNVYLIYYPMTEYILCGGTIRKNIYTYVVQALVSAFDAGSIKDEK LGSKKLEELNRVIDGKESLGIFDTYAKNQVDPNPLDIHQKVIKPDMPAYVSTAEEKRR IVPVDRNTINARYEQANALGIDPPAIESLSIQITMPPYLAPEGEDMTAKITLKGDNVM KGIQELITCGIMKLPLPEWLPEVAAAGINKVFLTRDGIFRQEGDDDEEE PHYBLDRAFT_122840 MPPKKAVKEEKTLLGRPSNNLKIGVVGLPNVGKSTFFNALTNAS AAAENYPFCTIEPEESRVAVPDARFDWLCKHYKPSKEIPAFLTVIDIAGLVKGAASGA GLGNAFLSHIKAVDAIFHVVRAFDEPDVIHVEGELDPVRDLEIIHEELRLKDEEFLAK QTTELANVVRRVGNGGNANDKAKKEEAATVAKVYEWVKEGKEVRHGVWTNKEIEVING LHLITAKPVIYLANVSEKDYLRKKNKWLPKIKGWIDEHSKGDIMIPYSGAFENRMSLL TAEERAETLKELGIVSVLPKIIVTGYSALQLGYYFTGGPDEVRAWTIRRGTKAPQAAG VIHTDFERGFIMAEVMKYEDLHELGSDSAVKANGKYMQKGKEYVVEDGDIIFFKFNVT AAPAKKK PHYBLDRAFT_157968 MWVKQINEVRIALSPFNTASKSSRLFINRISTNESRKLNPTIKI VTDVLADPKAESVINVLYRDGKTLKVESDKLKIDNLVQVVSKHAKKLEEAEQAKAW PHYBLDRAFT_109330 MGLKYRTYLDGKVVYGCIGCKTHLSTMEAVESEDFTGVHGQAYL VNVTQGPDEERLMSTGTHTVRSISCCKCKIVLGWTYVKAFKEENKYKEGKFILERKLI VDLHG PHYBLDRAFT_165165 MDNTKGTQGTNPYFPTPPLSSRHLSLDLSDSPVSFNSSRNRSLN LNCTKNQPESLWPTSVTKRSSMVGDRRFGTRLIPDPGRPRAISLDVSMRPEFAPSSSA SYYCRTSLPFESHQQSESMATIVSSIPRPFYQLYKKSVLNPIVQEERLESPTPTPTPT RSLWLGNISSSVTAPDIAAIFDCYGPIESIRIIFDRECAFVNFAKVEDAIEARSHFQP QADDPRLGHVKLGFGNPENPIPLHEPPARQPTRALWIGNIPSTITPTMLYSVFAPFGP IQSVRILGHRNCGFVNFQDQQDAQAAKSGLTNKELFGCAIRLGYAKAPSCMTGSEDQN NRSDSSLPSNDPNTLIVANNGSPASLPLSVEADHQDIIRMLSFPSSCLALCVNFVANN DNNDDDTNTNTNTNKMISENERCTRRFKYSSFIPAAPEFGQLRQVDTGHLRDVRRRLD TGQVSTDELEELATMCLEDFIALCSDPIGNMIVQHIFERCCESTRTFLLEMTIPHLAS IGVHKNGTWAAQKIIETAFLPEKVCASIKPFVPLLLLDQFGNYVVQCCLGLGPDYNQF IFDAIVDTCWEISQGRFGARAVRATLENPQTTMEQQIYVAAALIQHSLSLITNPNGSL LLIWLLDNSEISRRYSAVVPRLLPNIGRLCSHKLASLTILKIIYQDQDIDARQLLLDR LFYDDQNPQVNQILVDQVHGVGFIQRLLSSSLDLSERRRIADHVWLAMLKNELYQIQG YKRLLEEISMLGVIHPLFEQEDFSNKSL PHYBLDRAFT_142157 MVNKADYPPNVPPPAYNNGPTTSGPGGVGGPNTNLPGQTYYQPP PLNEQPLPGQPPVPPPAGERKNPVMTFYAPPQNRSLGLRRRLCSVVLCCIIIGLVVGL ASGLTRRSYNSGRGRCQCRVNSDCYQRFGPGVYCQSNCMCGR PHYBLDRAFT_142158 MRDAHFGVKVILMVCSGCWNIRDSSSLAFESLETGKEEEEEEAE CRLRENLRDRIV PHYBLDRAFT_96150 DDEEDEEDEEDDEEEARKVAEGFIVSDEEEDQESDDSAEVTVRR KKRKKVEEYDEELDEEDLDLLEENTGIKLARSAGPKLKRLKRGREDPVGSSHEKSDKF FSDDEEVAVSRGAARRYDEPDDDLDEVLGDRHRDDRNARYYDENRGRRAKGGKAIMDI LPEGLSEDVVSDMYDIFGDGGDYEWALHDDELVSTDKERREPQLSDIFEPSELAERML TEQDDAIRTRDVPERLQMRFEGLKKSFEKASIEEVREESSWIARQMCRVRGWDQPPEK FLKSINFIVEFFNREFLEVPHIKDHRRDFFTEIDKTTGMTTETLTTDDLWEIYDYDYK YQSFADRRDALKDFVAKCHLDDEYVSEWINKMERVEEIADMTDYISLKFSNRINAMHQ QSKAPKRPTNKSLYEISLQTRIPEFLPYFGITARQFGSNYMENNRRYYPEDSLGNPEI EAEAYISGSFIDPYRVLKATKDILAQEIAFDPQVRKAMRRDWEIRAVVSVQPTDKGFT VIDELHPMHPFKYLREKPISHFVDGQFLQILKGEADNLLTVKIDVAGYDQWLNRIAEF YISDGYSDSVQQWNVQRKDVLELALRDHLVPLMDKYVREKLRMEAQEYLCKAASRSLQ AKINIGPFRGPDSDRYKNGIPRVVTISSGNGTMKDPVMAVFVNPRGKVVDQIQVPNLR EERHWKDMMDFIRSRKPNVVGVAGYNAETRRLIKHMQTMVSEINQQRDSITGATDLVV VDDEAARLYKNSKRAQEEFADFPEVMRYCIALARRLQNPVLEYVGLGRDLLAIPHHQL QHLVPEDQLFFYLERALINVVNDLGVDLNAAIHSPYLANALQYIAGFGPRKAQSILKK LDNSGELESRTALVLRKLTPANTFMNSASYLRIRDVDGADILDDTRIHPQDYELARKM AGDALEIDEDVMENFDNKVAIVTRVITEYPDKLNDLILDDYAVVLRKQYHQPKRQILE HIKHELQGPYHDRRQRYSRPSMEETFIMVTGETKQVLSEGFIVPATITSVRGKIANCV LGSGLEGMIYVDNASDDRVMNIGDVLQPGETINCKVLRIDREKFLVDLSAKASDTKPN SDFPLRKLPDDKYYDRVAEAMATDKRKANRRKQAKSTRVINHPLFRPFNHRQAEVYLQ DRQRGDLVIRPSSRGYDHIAVTWKVDEGIYQHVDVLELKQSNEYGSGSKLQIEDTVFE DLDELIVTYVEAVARKVEELMAHSKYQAGGIEALEERLAATTRANPKMSAYGFCLSEK AGYFDLGFKFNANSPMMRWVIKVLPDGYRLRDTAHPSVDDLINGFKRRQAAEASRR PHYBLDRAFT_185794 MASFKYCLECNNLLYPREDKSSRRLMFSCRNCQYEEDADNMCVY SHKIIHTPSEQTMMLTDLATDPTLPRANVTCPQCNHPEAVFFQSSSRRAEAKMTLFYV CGSQECGHRWVG PHYBLDRAFT_165169 MSFLGIISYIVVLPVLLWYNYTPWIGCLLYCHALGLAYLLSSML FQFPSPQKERNKGEIYGLHHLLFNLDIPPKTFWLNMGLWDDDKISYKDACERLVTKAT ENIPPNTEKRILDVGYGCGDSCFLLARNEKCTVTGITNEASQWKLSQRRLSLDYKSLE NRVSLVHGSADQLSRCLKDEDMFESIVSIDSAYHYNTRWRFIRDSFERLVPGGTLSLY DLTLDPLLLESMTPLKCQVLNLICKAVEIPLENAVSAEIYKEKLVEMGYVDVAVEPVD RKCIFGGFAKAMEYHQKVLGANEISTNLENRIFIKVSVFFFGLLANHAWVQPVFVKAS KPLK PHYBLDRAFT_180168 MALPRRIVKETERLLADPAPEISATPHDDNLRYFDVIIAGPTQS PFEGGVFRLELYLPEDYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRT VLLSIQALLSAPNPDDPLANDVAQHWKENEKDAISTAHEWTRMYAQK PHYBLDRAFT_109665 MVNGWSPDEVASGRRLVQFHSVWTNSTVRCVVERYHSGSSTIHP NDVTRSIASCIRWPGTNKYYITSVDCINIIQSIMNIRLQVEEKNRVRRNLEGYKPITV SKCHPETADFFKLIMGFQNPKPRNIEKDIKVFPWETLEDALQKVVIKYTAARFVNRNA PQ PHYBLDRAFT_142163 MSTKTYLENELRAAKVLNSELKGLRSSAALYERHVPSSNIFFLA DDKKAVQSAAKKRQDDLENMLGAAQS PHYBLDRAFT_109641 PKQVLDIKQFLEITRRKDAVSARVKKNGDKYKFKVRCSRYLYTL VVNDKQKALKLRQSLPPGKYPYFLLYFLIVTFLICGVLNIRLYIALSIQEI PHYBLDRAFT_108956 MVTATNLGYPYVGAKRELKKLVESFWSAKISEDELRTGYSKIQE SHWKLQQEKGIQHIPSGEYTLYDRVLDTAQQFGAIPQRYQHIKSPLEQFFAMGRGLQR AATATSEKVDVPAMEMKKWFDTNYHFIVPEFEADQKFTLQNPRAVEQFKAAKALGIHT RPVLVGPITFLHLGKAAKGTEDFETLSLLPKVLPLYIELIKQLEAAGADWVQIDEPVL ILDLDANVKLALEKAYKTIKAQTNIKVLVAAYFGRIENNVYAIKDNVDAIHLDLVRAP EELDIVLPLLKENQVLSLGLVDGRNIWINNLSKSIELAEKAVKALGQDRVFIAPSCSL AHSPFSTTFEKKIQAKNPELFSWLSYAAEKCSEVSIIAKALNQGRESVKEALAANAAA IESRRTSPQTKNPIVRERVAKIPTEAWKRPSPFAVRREAQVKKLNLPLFPTTTIGSFP QTKDIRVARQKYAKGELSQADYDVFIKAEMKKVVEFQERVGLDVLVHGEPERNDMVEH FGHLLHGYDFTENGWVVSYGSRCVKPPVIFGDVSRRQPMTIDEIVYAQSLTKLPMKGM LTGPVTMMKWSFVRDDIPANELCAQIALALRDEVVDLETAGIPCIQVDEPAIREGLPI RRADWDSYLEWSVGSFRLSTAGVRDETQIHTHMCYSDFNDIFDAIAALDADVITIENS KSDEKLLKIFETKQYTNEIGPGLYDIHSPRVPSYEEMKTRFGDMLKYLPEHLLWVNPD CGLKSRGWPEVEAALVNMVAVAKYYRSLKATNA PHYBLDRAFT_165173 MRKAIAMAPAHLFMVASLPVKRKRLSQEVFLGCCWLLGLAIPLY FAGQFSFMVNMILSVWAWATGMKMGVWLFSLSMDERRQRKSFASTLFSWRQRPPNQAE PPSTPEWRDIPLQPFLWRYLTCQIVFDSLAVFFQHVDSQRPVRVLAQLIHWWDRSYVP TESFASIMMSFGFCILFCVYLQLQLQVTYDAFVLCYCALYQVLPWIDQRMQSSAKKPM SLGAIKARAQRMMWMRDLKHYLESTLTMPPLFDSPWQTDSLRDFWSRRWHTIYNDCFY RLGYKPTRQLMISMGIRGVACRLVPALAVFALSGMMHEYFLYCSTGPRLYYSHWVGGL QCLFFACQTLGIAIGDRLIKKGWAGWVWAVFYMVMTSHLFVVPYILTGYMYMDKLSFA PLFEKAVLAGKTVWSMPLI PHYBLDRAFT_165174 MPRISESKPTQESDHKGDVSSDYKLSLMPGMPRDDSLSEKALNK PSRLENRNRLLRLLCCADCLPSWAAVLVWFIIISLAIAFAVIGIILHTATPPKFSIAD VVPVTDMAALTYTNDSFTIHFNLVINIKNPNIFPIHFSHVNATAYYPMPGKPVAGSSF VENEYVPIKSDYNKSIPFSMTYRPEIDRYRVILGTITSHCGVTGGPMKDITVTYSINS TANALLLVAHPSFQATSTLPCPIQLQDVPELAAITERFKLKPSSNVTHTT PHYBLDRAFT_185797 MKYRSTRGQAQGYTFEEAVMAGLSPDGGLFIPHSVPQLPTNWRK EWANKSFEELAVALLSLYIDQTEIPTKDLEDLVKRSYSTFRHEERTPLASVRDGLYVL ELFHGPTFAFKDVALQFVGNLFEYFLERRNRAEPAGGKVHRLTVVGATSGDTGSAAIY GLRNKKNVSVFILHPRGRVSPIQEAQMTTVLDKNVHNLAVEGTFDDCQDIVKTLFGNK EFNERHHLGAVNSINWARILVQTVYYFQAYFGLLRSLKLVPGSPEAEAINIHFSVPTG NFGDVLAGYFAHRMGLPTHKLLVATNANDILYRFFETNAYEKQTGADGNVKETLSPAM DILLSSNFERLLWYLARETVQTDEQAGQTVASWMQALKTEGSFKVNNEVVLKARALFD AAMVTDEQTIDTIRTFYKPAAANQNSYVLDPHTAVGVAAAEKIIKRDNLALYPAGPEI LICLATAHPAKFSGAVETALKSYSDFNFEKNVLPTEFIGLLDKPKSVVSVERADPALI IEVIEKELRQEGVSF PHYBLDRAFT_109385 LEQWKELNLTKHFQTFEREITPLVKTLASIVYYKDKIIDILEKH LKVPDSLALDGLLDLITKLARDLEGDFYPYFPRLFACIIPLVYHRDVRLLESVFNCIA YLFKFLARQLTPDLCETFSMLSRLLGEDHETKPYIRHFTAESFAFLMRKARGPDLHKL VAHILNSLRADGSEEYVEGLAMLFFECVKVKHLINLLIQLKKKYGVYLLIYHYWKIAS GSSIAL PHYBLDRAFT_95960 SRVVKGKMTNWLKLFSTFVNPRMVYKSAELHTVLMNLLAKGDTK LQEAAIECLFTWKEPDVTPYQDNLRNLLNDSKFRDELTAMLANEEQTIIDPAHRAGLT PYLMRILFGRLVQGKGGKSSGKTNKGSRRKAVLGAVSCCRHSEIQYFLDLALEPFKDI LTLPGVEEKDGQVVSFSFDDKGGDILKNVAWRKQIGTLNLIDDMLKQMGSHLLPFLPI LLKVVLYFIHYAHRRSSNDMDVDEEKTQDEQSSRSREVRALALKRVVDFFKLNGNFDF KPYMPAMFASFINPRLAKLQDEASQNASSILNLFVVWSERASYVRFFVDYNDQVLPQI YSVLSAKKLHEQALSVILDITENVLSHCESEMEVDGAAILKDSLVLPYVDLLLSHLQF RLLQSKDDVKFGSGRYSVREIAIVSRVAKYTNDGEQAAVIINLLLPSLRKPSRIIPER TKEHILSIWSKFIRIVPGFEADSTVYHLYYGHLAPMFATSQTRSNRLLLVDIFDAFVQ INPALAKVGSVLRDLNSYSPRRLDELDFDRMLDALTLIGDELYAVFDHHQWLPVLHQL AFCMHEPTEMAIRGSATHCMVRFINVTKDHEEDEEKRKLMALVHHVLYPSIKTGFLER IELVRVEFVNLLNNAVKAFPTISIFTDLVPLLGDGDEEINFFNNIYHMQIHRRVRAMA RLADISETKTFKPATINSIFMPLISAFFYESDRMMDHNLIHQCIKTVSSLAKLLPWNH YYNLLKHYLSMISRKEEMEKIFVRIVTGILEAFHFDLSHVVVRIDYLTSQEIIARDAA DNAETQGIIPEEIEEDKEVVAKKDQAERIHDILITKVLPELNAYLNNHKSKKSVLVRV PVALGIAKLLRALPERSMRLNLPALLTNMCQILRSRAQDVRDMTRETIVKINAFLGPS YFSFIIKELKTSLQRGYELHVLGFTVNVLINDMMPRLEVGQLDYCMGDIVSLLVSDIF GSIGQEKDSDEMTGKTMEARSNKSPATFELLAKIVRFKNVGILLMPLKDIMSETESLK ILKKVDDILSRIATGLVNNPEFESQELLDFAHGLISENVETFKIKTKTKVSKTQKEKN FEVQMKRNVVETVDYYRANVHRFVYFGLSLLYTALRKSKSIFKTEEYKDQLDKFVDVI GNTLYSNQTTNVILASKIMCIMVTLPLPSIPESVPVVVKRTFALIKSTGNTHSKLAQA CIKLLTICIRDTKQSTLTEQQLTYLLNIVRPDMEEPDRQSTVFSLVRAILMRKFMAPE MYDLMDLVSNIMVTNQAKEIREQARSVYFLFLMDYPQGRGRLKTQMSFIIKNLEYVYE SGRESIMELLHHIIAKFGDEILEEFSEAIFLALVMRLVNDESAKCREMAAALIKALLE RSEDRMKTIYKLLNTWLDSDKANLQRAGCQVYGLAIDTFGEGMRSQAPKLIEKLTRML EVTREQIETLMDSDDETEENVMDVDSDIEWEVGYYALNTFAKLAKTFPKLIYAPGTMS VWKGMEEVLLHPHTWIRASAARLYGVYFANIDPETRHTTTGDDQSSYLTLETLRILAV NFVEQMKSKHLTEDQANQIVKNLFFIGKCMYYLSAEEDIDERSETKADEEEKEEQEEG VDTEIEAKLDEASSKRSLNWLFRRISFTIRGAAMKRQKNFLLCSSAFKWFAAMCNFIP SENLAPYLLPVITPLYYVVNDANSKDEQSVELKQFGNEVLGMIQKKAGTTVYFAAYQR VRQKVTKSKEERKAKLAIEAVVNPELAAKRKILKQQKNRDRKR PHYBLDRAFT_165178 MARLTDAIARTSEYVSFIKDLQDFHNRKGTRLQAEPVLGGKKLD LLKIYQVVNAAGGFEEVTKNRGWKQVGDSFGFPSTCTNSAYILKGLYIRNLLGWEEEK VWGKVWVPPQELYGPAAHKPWTLAGTSYRKKRQGQELPTAPLNDDSTSNDTNTSKRPC IESVKDAPYNEPIQNVDPQIEQVLKHDSTEIQTPANKFDANTKQRVLFALQFGTETKI EWALDYILTVSFEWTDHLQLNTTPILLDMLVSLASPSLKQKPVDAKIVKIEGNDNQTD TIEEIMNKTLNIKMIDEATQNSPTPLKRILKILHIIRNFSLVEINTSLLAGSVRLNDM LLSCLELSLDPDHLELGRQSIDILVNIASTAPLAGPTDAYISCLANLIDSPDRHLIIG SVRTLTNMAQNDVNREYLGVELIPRVTSRIIQLLLSNDEELIGTILEYLYQHALVSEM FCSQLLAAHSGAYVGLLVSLLSFRSKFFCPKIVRDDIDFLFQPQASFSPDVSSMSSMF CTSNVPYMPNLSAYQKLDEPYRCLGWLKDKFQVADPSSVVSLDDMYLLYESRFGLEKA LKMKDFYTVLKIAFPSSPNTITGNSDNSTTMPVVEGLFVRGIQISLSILQDDSTVFCQ WENCTMPFDDEVLLHQHVLNDHSKPVYTNTADVKPLPSANTPESLTDKDVLISHLSSQ VNNNLDEEANENRQEDEDKCNIGSNSKDISCDSLQQPLTPVSVTSSPVSPSTTYANID SSDIKGVALVAVHLLRLLSQQTQSQPYFTPYEKDLTTLAEQKPKLAFHIWSMFSTFTL A PHYBLDRAFT_95338 RPPFSYSSLIAQAILASEKERLTLQDIYKWITDNYPTLYNEGDT GWQNTIRHNLSLNKCFKKIPKSELDGWPSRGKGGYWVVDPKYLVKFKSGIFIKGS PHYBLDRAFT_142173 MAIKKTKQSEMSQAQEEEMSSALIAQLLAEDAMAAGGEDYYAEY SNDATSYQQFHREDRDGSYAEDSEDDSYAPKRNNSKNKGKGRGKAKSTKAETAARRGR KRKSEPEAFDLTNKSLPAPKAETAATTEATAEPKNITDTSTKPDDINNDPTKKINEIV TEATAGPRSGSPTEDLTSGKQLSKKPRRPVPEGFNSGVYSEEEERRFVEGLELFGREW IKVAAHIATRDANSIRSHAQKHLIKLFRDNIPLPAKVRLTGEGYTLSGKPLDPNSSAA KPYLIRNSMGDNESPAKPVPTQTKVEMMDVDTTDPTNIENKPVLQPSTKPENTKKVPK SEKPTSSTLTSTKAPVERVPPSPTVSSLTEIHTNEPKESFERRVRIPSAYDKNGRTTY SKSRLRQPSDRNSVTYSQLNKDDDPLTMVKCEPYNGKPGSNGYGAQPFEISVHSNVLL SMDFHAHLMTTEIIGFLAGEWDSARKHMCIREAYPCRSLNTGQNDVNVEMDPTSAIET RQLIEEKNMTVVGWYHSHPTFIPDPSLVDIENQNNYQVLCRETCDHEQEGPFKQTVEP FVGAIVGPYDPRLPGSASVINWFCVGNSPEDRGMPKRLIYELEEDECLSQEHENRMLQ LLEIYKKSPEKVDFGDFWRQDAMESKLKKLIRSLGTRMPWVQKKLNQKKVQDDETTTD TTMNMNMDIDEPKSDIPKSDTSVEPFLEKLQSVLISW PHYBLDRAFT_142175 MEDTHSHHKLGQMLYLFSTLRNGAIAVSRFAWVLYLEIYKRYPP VRWTGNIIQRLSTPPLVVFLFVLISSLVFLLSIATVLVLSIQTGIILACGSVLLPILG IICGLALTILGGLTCLFVFAQATVAFVKPILLHVGLALRKDKRRI PHYBLDRAFT_63132 MKPHYSNVYKQSLYQCQFSPSNEYVAHAVDNRLVIRYNDIDLTI IHVYECPFVIEYVQWSPDSKYILIASPSKAIVHVLSISDTIWRAIFEDHYHGIQRVTW RSDSGGIITAAHGQFQLKMWSLTSKDVLVIKGAKFANKGYMSSPDGKYFAVLETKQGK DHLSIYTPRWTLVKHFKIDTADASNIQWSPNSSYLAVWDNSLYYKILVYRPDGHLYTS YQAPEDGLGIKTVAWNSRSSILAMGGYDHQIRFMTTSDWKVVIRLSHPSTIHQNTVDI YEENSLDQKSLKRPLPLPMTRPDYNIANPSTGIGAFQFSPDGRLFYSIDDSMPTTLWL WNARTLKTEMIVCQLNPIRQVVWHPAVSKLFLVSGEDRLSVVEYSSGQWDITVITVPT GQESSMEQTSGTCVVDGYTLVLFNEFVFERTGKIIQ PHYBLDRAFT_185800 MTNSTKPKVLTLDTINSNIRTVEYAVRGRIAIRSEEIRDELAHG KKFPFDRVVSCNIGNPQQLNQKPISFFRQVASLCENTDLLAEDKAELVSQLYPADTIA RAKKILKTLGAGIGAYSHSQGAASIRQTVARFLLERDGHPANFNNIYLTQGASAGVQA ILGLLTQSNKTGIMIPIPQYPLYSATLAYLGATPVHYLLEEESGWTLDIGSLKTTINA SRAEGTDVRALVIINPGNPTGQCLDAGNMRDILSFCYEEGLVLLADEVYQTNIYNPET KPFVSFKKAMMEHPNEAIRTGLELVSFHSISKGMVGECGRRGGYYECCNFDEKVLEQV YKMASVSLCPNLHGQILVDLMCDPPRKGDVSYANYRAELDGIYESLRRRSKKLEGVFN AMEGVTCQPAEGSMYLFPRIRLPKKAIEKAASQGLAADAFYCEAMLEATGVCVVPGSG FGQKEDTWHFRSTFLPEEHLFDDFCANLSKFHADFLVTYSD PHYBLDRAFT_131624 MSFLRNLVSTIPRFARVGPPTIQRCLRTPFAYQRSVLPSKFYHH GSPGAHSRIAVVGTGNVGASIAYALVLKDVANEILLVDANRSLAKGQRLDLDDASLFS ATHVKDVTLQEAGKADVIVITAGARQKENESRADLIDRNYQVLESIISAMQPIQKDAI MLLVANPVDVLTYFAQELSGLPRNQVIGSGTYLDSSRLRGFLSEVLEVNNESIHSPVL GEHGDSQFISWNTANIAGQPILSFPRVANLDKELVREKIAGKAMDIIKLKGSTYFGIA TCTASLCETIIKNRRDIRPLSVYVDRLGAVMSMPAKLGWYGVEEIYELNGDPGEEQKL VDIAQEFKKTCKKYEHPNRTE PHYBLDRAFT_185802 MISQMIISMDANNVKEVLVVWGMAGEEHRGIQKPFRGGRGKNMR PEHRGMRDSEDDNYRAYNDPPQPPFVQPYGARPINEGREYRNEPWQDDAYGSSDQAPK ARASQLESRAAMERPCRTLFVRNVQYTIQVSEIRETFEKFGEIKDIFDLIERRGMAFI TYYDIRAAEAGKEAMQGAMLKCRMLDVHYSLPKQEEEEARCDRTKNQGTLLLTLKDTD NTINDSELSLYFRQYGEIKVIRTPHFKTHRENTELRQRIIEFYDSRACVNAFDGCHDK PYKGGRWDISFFWDHSFKERTEALAARKEQPNDKKMDGHLNGSRHGRNGGGGNGGGGG GGGGGQRRMGGGIGGQRRDDHHHHHGHHGHSHGHPHHNQRRDSSYDSIPDHNRPLHRY GSYSEESSGPIMSPKTVYDPAIPSMGGPFKPDANQERLEQAQKAQQVLSMLAQTQTVQ GVPTQPAFGHPTGTYGGQANGTSTVPPLPQAPAAAQLQQILGLLGQVAMQQQQTQHQQ QPLLSSQPQQVPQQQQHQHQHQHQQQQQQEHQIPPHQSHSSYQPSIQQGQQNHMTSSQ ASSTATPVNTSIALGQLAQLLKHAQQQPEKPSNTGTSSFYYDPYGTRP PHYBLDRAFT_165187 MVKSPYEVLGVSPSSSPKEIKRRYLELCKKHHPDVNTDPKVKFV DITGAYESLTKPTAPSRPSPGMNAHLTRQWTRRSLVACLGLGLATVAYVVYEPSIDLI DVPYQPPPSPNMNYRQWRQQ PHYBLDRAFT_185803 MSTVLSHSESVMPLETPSSYKEIIKQLAAELPKPYGAIPPLMKK RRKKKNREVNTTTTNSTTTTNTTTATTTTANSVEHPSNEPKQTMRRMSHVEDWIVVDS KESLPDEEELVSNSPFRNFLSADFFTQVLPLHMPPPSLSDPGTLEDDEEDEEPASSII PEQGKGQRLELGQESGSEPASSSASASASASASASAPASASASVLVSVSASASVPVEV RRGSDRHSRKSRRTASPDWKSDGEEETAIVLPKELVRRHSISSFSKALLPSTSTTASS VMSSSPPQADGHRARWMETITQLRRSLVQSATPKTKTKKKKTLIPMPLPPRRPSSHRR RSEATTQPRFNPDTNTYTRDTRSNPDHLRMISAELNMMRARKLLSPLKPRGFLPRRKD PFVRGLDRSRSGLRSEL PHYBLDRAFT_157972 MRGRGRGRGGKTYRAGRSNDIRPTPSKNDQQQNQEEQKEEEEIA ASIVEQPKPSWASILRGPPKPDVVESVEKAEAKTGENKTEEDNEKYIEKDDGEPKETG KEDSRILDSNAVRVTEDETSPIKENEPERKGENDGGGGKDDDVSLEQNPLV PHYBLDRAFT_77273 MIPSTTVDVWGPLTMALLASMTQALGISLQRRSHLTHAHFETAL YRQPMWVIGFLVYTISNIVGSTCSIGYLPLVILAPIGAMGLVFNAIFARWVLGEPFSA RTIQGTTLIVLGAMLVAGFGVVPEPSHTLQDLITLYKRPAFIFYFAVIELLTCLGLLG THIVEYGMNSGYQGGIYNHPDLKMWLGISFGVLGANISSQAMLFAKSGLELLILTVFH GENQFIYPLTWVLVAALVFTAVLQLYYLNRGVQLCDTIILVPLNFCSFNVSCLFNSLV YYNQWDRLFWWQVVAVLVGIAILVCGVLVISWQPLDRRPDYSVKPTHEEDTQPWKRSK TDPDERTTLLHS PHYBLDRAFT_165191 MSNLTRLDMDIPHNLTTTTTTTTTTSLRQTKSVSYTQARPPHSP KRTHSEPPKKRRSAYEPLPVEKVPKVPSKRSLSHAINALTDLIRKPKRTWLNTTWTDE MPLAATISSSTQKPAERAENWWATTPRLSQ PHYBLDRAFT_180179 MTRSKSTCRKKLHSALSNQSLHHLMDPDLDYPETIRHKPYSFLA RKSKNVSQRATDHLFYQSPKNPLATTDMEYLFNYANFQLLPEESKIELVQLLPSVDIS DQPDIMDINPSFFTRTGNPVFWSVLDEWQAIIGTENKRENINPIEGPTIGVGSEVALG GSEVVVGASGVTVGGVRVGGGVAPEYKEEVFEQQWTEIQEKDKPINVAGDSKAITLKD MCRKGLIRKNDKLIYKRNFSACKVIVSKSMKVVEATGDTGISIELDGQHFKDFETPTA LETKILDENGEVSKDRRPNGNAFKSIRLFRDGNDLGRLFDIRKDGFGDSA PHYBLDRAFT_131629 MSSTHKKLKTETVELVKQPEEKDLESFLFGNTDGSLWDKTGKEL QGDDDANSEKDNDDATETDEQDLNEELFFFDAGPGFVAEENESTPMASDVEDQSQSDN DYSDDSESEEEEEEDYSQKSAWKDDDDARLQISLQGLGRLRKLRKTEDEDLVSGVEYE RRLRKQFSKIYPRPAWATLPSESRKRKATAVMSDDSDAEHISDDEKLDDIDRLDLLKS TYGILEKRGSSHLLSPRTLDVMRMSDANQMSRSNGEVTNIQFHPNAQVMMTASKDRTL KLFQIDGKTNPKIQSVYFKGTSIYSAAFHPSGDQIVVSGRHPHYYIYDVQTGTVDRCP GIWGRPEKSLENFSLSPCGRYIAFLGTSGTIILVSFLTKQWMANLKMNGVVESVDWSA DGKYIFGIGSEGSVYQFDIGQRQCVKRWLDDGQIGATCLRVSPNENYYATGSTSGIVN VYDRSVLDPNVGKPRPLKAIGNIRTKINEIRFNHDSQLMGISSRKARDQFKVVHLPTC SVYSNWPTGSTPLGHVSSFNFSPNSDYLAIGNTKGRVLLYALKDYAL PHYBLDRAFT_77270 MYMTSRNLSRKAHSCLTLSIRLKRVAKLQQQAEEQRKKQQQQQQ QQQQQASEKRATASPLQPLPSIEPEIYKRPVKVPVSAASTSSAPIQKPTSPAPTPVQP TVTKPISSPKTFEDWQNDVLSRILQVTLDSEAIHKQGGCIYLEGMVAELEEEEEPKPF KLSQALMDRILVARLSLDPNETYPNLPQNVQQDLKISHFDYLLNCWKRAQDIKRNTLT RSKNLEKTVLDKRLSVLDSVKLLLVSYSGLVLQIPDMFPQIETQQPLGPSQLVSRLLA QPDTAQGLPTEYLSELINRFTDDGLDTILGTPLAMISDQVRRISILDNFKPFIQSLSF LCENKSVPTVLPTLPEFDPETATARTIEELSLLGPYFKLSAYPGSAPKVAESLFQNAE SRNSGDIESCKNGVRGTIQNIQRSLFGISSSIVRASTTSREGLLVYFSHIIRLNQKRA QIQVDPQTVATDGFMHNLAAIMLAFSDPFLDVGASKVDKIDTSYFRTSKRIDIKEDTK INATEEQSDAYYNAAIPNKSHNFITEAFYITLAAMHYGPIRSLVNYNELIREYNEAKK HHERAQQDAVRLTNNTQRVMQDFVAKRLKANLETMTMHKLAYESMVLDPEFLTQTMRF YNLVMAWMVRMVDPVHQHPWNPIKLPLPKDIPDTFSMLPEWIVEDIVEFFIFVGKFGY DTQVIKMNPQTELVTFIITFLRNTKYIKNPYLKAKFVEILFFYTYPIAKGVPGELEAM LNSHPLALEHLVPSLMTFYVEVEQTGASSQFYDKFNIRYNISHVMKTIWSHPAHRAKL REESRNTDVFTRFVNMLMSDVTYLLDESLSKLAEIHQIQTEMEDSATWDAQPDQQRQE REGQFRSLERQAQSYVSLGNETVNMLTYLTAEVVEPFLVNEIVDRLAAMLDYNLSQLV GPKCTGLNVKNRKKYHFEPRALLSQIIDIYLNLNSQTFIEAIARDGRSYRKEYFSKAA SILLKHGLKQTDDIAALELIVRKVEEAVRSGAEEEEELGDVPDEYLDPLFFTLMEDPV VLPTSGVIVDRSTIRAHLLGDTRDPFNRTPLSMDMVQPATELKEQIQRWKEEQKQKRE DEKNKMDTDA PHYBLDRAFT_37749 MKFIGLTGGIASGKSTVSKLLLEEKVPVIDADLIAREIVKPGRR SNKLIRKHFGDSVFLADGNIDRPKLGDIIFKDPHKRSILNQCTHPYVRLEMAKQALLH WFKGADRVVFDVPLLFESKLDHFMGTNIVVYCSEPLQLQRLRNRDGLTEEQASNRIQS QMPLSEKVEKADIVLDNSSDVSQLKTQVRNMIRKTKPSTAVWLAEYISPVALVALSIV GIHRLAHYLGPYLNTLFERILLKH PHYBLDRAFT_63118 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGFGLDRCSN NSKGEVQVSKGDL PHYBLDRAFT_185810 MELQGSCHCGKTSFSVVSHTPAPFMRCYCSICRKCQGGGGYAIN IMGVYSTLKVTGKEYIREYKALRDKTTKELCGNTRYFCGECGSHLYAYNPAYADSVYP MACAIDSPLPVVEPKDIYHIMLNKQSKANWVLAPTPSPENHAFEEYPDTSISQWHKDN NIQY PHYBLDRAFT_122880 MTKSGFRIEKDTFGELNVPIDVYWGAQTQRSLQNFDIGGPSERM PPALIKGMAILKKAAAKINMEYGLDASVGEAIIKATDEVIAGKLDAHFPLVVWQTGSG TQSHMNVNEVLSNRAIEILGGTLGSKTPVHPNDHVNMSQSSNDSFPTAMHIAAVLEIR ERLLPALDTLKDAIKAKSDEFDNIIKIGRTHLQDATPLTLGQEFSGYVQQLTYGIQRI QNTLPRLSRLAQGGTAVGTGLNARPGFDVKVAEVVSELTGYPFETAPNKFEALACNDA IVEAHGALNTVAVSLMKIANDIRLLGSGPRCGLGELSLPENEPGSSIMPGKVNPTQCE AMTMVCCQVMGNNTTISIAGSNGHFELNVFKPVMIKNLLQSIRLLSDASRSFTNNCVV GIVANRQKIKAIMNESLMLVTALNPHIGYDKAAQCAKKAHKEGTTLLEAALSLNVLTK EQFEAWVKPEEMLAPR PHYBLDRAFT_131647 MVVVYILYLVYFAIAITLALSILLYVYQCDLLYAAGFPTGSRSV VAKPSQYGLPDKEEILVTKDGVKLRSYVLIQRGDDVAIQSPTLLCFHAHTGNMGHRLP IAQVFFKKLGYNVVMLSYRGYGLSEGNPNEKGLQIDAQTVLDYVLRHPILRHTKLVAY GQSLGGAVAINLVSKNEEKFQALMIENTFLSVPLLIPHIFPALRHLVYLCHQTWRSYK SIRYIRHIPILFLSSLKDEIVPPQHMAKLYKISQTGGIKVWRDFENGTHNDTCMQTGY FESIAEFIRDNVWDYSD PHYBLDRAFT_180187 MSSAYGKIPKPSSAVNIDSILPIKKTLRDNTVATLQRIDSSNIP LVQYLHELFNGEIESGSTYPQEETLSFTQFKDYFLSYDAFVLTKELIENDKVYNYADQ VIGMYYVKPNYPGRASHICNGGFFTSPIHRGLGAGVALGETYLIIAPALGYKASMFNL VFVNNEASIRIWRRLGFQEVGRVPKAARLNNCPGELVDALMFYYDFTAEKKSSK PHYBLDRAFT_157977 MLCQPKENITFWKYTWAGIFLCSSGHYRYSTLCKRRSMQRVYVL YKNILGSW PHYBLDRAFT_109698 EDKNRKVIRLLDQNDMVIDVYNISQISGLDAREGLLLLCKNNIY LIDNFFQRADGEVVEIWKVPRKERDEYLILVAQAAGMETEPTVSLDGDMHNCRKWANT DLKEIYKRRFLFRDVALELFFADGQNALLTVSLKERDELYTKLASRVTLYEDSTESII GAGEKEYLTNTLSGAFKLSNLFGTSILSELTQRWERRDITNFQYLMYLNTVAGRSYND LTQYPVFPWILADYTSEDLNLENPDTFRDLSKPMGAQTSERRLEFADRYRQWGETNDP APAFHYGTHYSSAMIVCSFLIRLEPFTQHYLKLQGGTFDHADRLFDSIGKAWESASEK NMGDVRELIPEFFYLPEFLENVNKFNFGVKQGTGEAIDSVVLPPWAHGDPKVFIMRHR EALESDYVSANLHHWIDLIFGFKQQGQAAIDALNVFHHVSYEGAVDLDKITDVVEKTA TIGIINNFGQTPRQLFKKPHPTRMLSSTDVATLGFYPFQDHTDKLVQSIRPVKGLYND RLGVSSNQQLFIPSDGQRYIEWGFTDFSLRLFSSESGKLLNVFEKLHVGYISTACFPD SRTLVTGGTDSMVCMWKVKADKGTDFIPLECLRGHTSSVTALTASRSNSILVSGSEDK SAIVWDLNRMKYVRSLMGHENGVQLVCINDSTGDIITCSGHVIRVWTINGELLLSKST GSSSEPILSCVFYEERPKEWSGKEQIITGHKKGIIKVSPGISAIAFVCEL PHYBLDRAFT_109513 LCKTTSILFEMIRYSWRNSEDMERSRGYEIFAYLLKQKRDLISP ELLELLLVFVGKNSTSPEYVLLFFSFNLI PHYBLDRAFT_63109 MWRSWVDRINTVNKNPCSDDHPAMSPEELHQRAQKQANLRDLFN QSQDLLMTESERSDIFLSQVLPAYCAFYDADPGPHVFETFYDIRTFIVVLARHLVSGI RSVPDTTNKTESSKVLMDNLRSKLHLYYVLKAINISTFGPNVLVTVMLQQRVPSVVIK LFRSFIDLPRSYYDTETKQGVSDSMVTLEDAGNVVVDTLKQCVKNPSVLTRLIVEDTF FLLLRLILAKPAEWIASPNDGETQEPGYMVWKHKYDL PHYBLDRAFT_63108 MGKKHSIAKPKSKQANGSSGQKAQSASNSTGTSGINSKENTPPS TWLRSNTPLRAQLAAEQSELIKSLDEYIIEFNTKIQKLEWKPLELELVVLFVMNLHPR WKRLVEPMEYLFNSWTEAAKAARHHAIKVSAMLGVERTDRLDMFQKREAKDLIQSGYF IPGDHPSRKHTTSTSSNHGEPLRKNKGKMTMKLLESILNPQPKELEPSIAEKVSLPAE ESKIKPGVKQDVKPEVKPEVKPEVKAEVKAEVKAEVKAECKPDPEADPHPEVKPVQVE KKKKKRKKPAAASEATVATAATTSTSVSKAAIKRALENQVEYNSDFYRAQYNPYSLCY YIPAAHRHVNLGFLEFELNRKKFKGMLARKRWEGSAMSIALQERLGLELDRSLGFDIR TEFGQIEESIGAVKFPVVHPEDHKLRSEMLIQVMPMIYGGQVELVLGTDFFYLFHATF NVENRTIHFMNKNAAFSIHVLN PHYBLDRAFT_180189 MSNENLQLEETHFDVIVLGTGLVESITAGSLARAGQKVLHLDSN PFYGSNWSVFGFRELLEWSESAQNSNEDPNIPSHTKSPVDAETFTIEYEKSCRENFKN VEFCLYGSRDTESPVLFDQQSNTTQELLTVAIETQLEDILKKAIAKDRDIVKSFIKKE AIELAGEKHPVDLSQSIAKLHVLYSALSVSRSYNLDMAPKLLSCQGELIETLIHSGVG RYLEFKSVDDIFVFDDKTKGLEKVPSSKEDVFTNKAISLIDKRKLMKFLMYAVHYEDD ETLLQESKEIPYVQFLEKNFKITGKLQTAIIYAIALSDINTLAKDGLDRTKSFMTSIG RFGRGGYLCPLYGGGSEIAQAFCRVCAVYGGIYILNHPVEKFCTEKGTGECIGVVTVD GQTFHSKTMIAGVDYLSPRWLPPASSLETWVARAILVTESPLNIKSTEETNAGLGYSL FPTNSEAGNTYAPIFMLHQNQETMTCPNNQYVTYLWTNCGKQHTSNNSLQKAISILLE RSSESEEISFVKPLFAVYYHQRVRSIHTEGWNLPTNVIGCSTPNGSLDFEDAATEAHA IFRRCTPEGTEFLPPNEQDVETED PHYBLDRAFT_109313 MQFAGNAVAQGTAYMERNFNQWVNMPALRHYFNVNNMYVITKLK LLLFPWRHSPWSRSIRRTETGQMDGFRPPREDINSPDLYIPVMALVTYILLCGLAAGR QGSFHPEKLYVAASTATAVAFTELVFTRLGCYFLSIPFEASLLDLIAYYGYKYVCIIV VDVVDLLGAKGWVSWVVFLYTGFSIGFFLLRSMRYVILPDSAAGPSTLNPQRKRRMWF LLMIAAFQMLYMFFLIN PHYBLDRAFT_165206 MQAENSDSSDDQLPLLPNVPELDVHILDEPSNESPSVLSNDPAT RLRDLVRFYMNQLTLLFYPLLVTFGLICWLETTLNMDPLQESGESNTDEDISMGDIME QLGSSIIYALSMVLGIAMITMMFVLCFKYRFYKVMFLWLGFSVLSILGVTVSTIWIEL MEIFNLPIDWITFVACMWNFSVVGIIAIFWSDGPLWLQQAYLIFISTATAISLLRLPP WTVWTVLVAIAVYDVFAVLCPGGPLKLLLDIAEERDEDVPALIYTAGMASLENTSETI GIYHTEETPCASSSKKPWTLFNKKKSGYERIIGEEGDVENSINTNSVNTHIIHNSSDG PFIQEGRESESEVLQEEDWNSVKLGLGDFIFYGVLIGRAAATDAITGLSLTILILTVK QQPLPALPISILFGSLTYAIGSYITTNMHIMISVDFIRSGNDLCVPSHLLKTSASA PHYBLDRAFT_180191 MDKLIDLTQPSLYLSIATIFFNPIFWNTVARAEYHKRVLTKLAG GNPYYGCYALAVTIFSLGIIRDYVYNTALASQPTHPILETTLAQGFAVVFFTVGSILV VTSMWALGVTGTYLGDYFGILMSERVTGFPFNVCENPMYVGSTLNFLATALWHASPAG IILTLLVYVVYLVALEFEGPFTTMIYANKDKKQ PHYBLDRAFT_180192 MKKPAQGKWLDMAKWPLYLTSRSVCIPGDSLEKHPKDVLVNRLD VDSHYVSAFQTLFGQTDTSLDAQAHSCRRVVASMENVLLWDEIKVNKWMASIGYSVYE KSFREQGITGDVLVNLDHESLKDLSVHSLGQRIDMLKNIYHLKSHYRIPVNEWDYVPP SVLYEKDWLGQNGLADYRKIEAAFQERDAHIKRLAEDLYRLNSDMYRLKDDMTHVLRM GKEKKAGSMYEAEKSPSTPPVQKGHAYLISSSNNTGTNNSSSSLTAANSSQPKPLHML TPPTSHYQVHSGDYFQTTPYCSDGTKATDDAKLTPSVTINDGGAIKVYGEKISNNSSK VEMESSKNVRLLLDDPCSKVITSALKKYNVADDWQQYALWIQYGPHDNLQERALGYDE RPLRISQKFKEAKQNPVFVLKHVKDNKVFFPTAEMTNNANNNKSENLSSSYPSRQPHH IASSFVSPRPAPKPAQTPYLRENTHPLDDATTASKEHNERLGSSLNPQQGSYANYGWK SNEKRTGDNPKTAITTGRVGYEMVVPVMSSSISTPTPSSIPGSIGSSMTLTPSISKRG GTINAKSTDSLLSELGLDSGVASAIYSIMMEDDKNM PHYBLDRAFT_142204 MSYKLYADEEYSDTRSVLAYLTDPKLAHWSKSIIDNIDWFCIKP NTFMCQFNPDRDNCQSFLTEHGGHVGSLMDICSSIAVTTATDGRAWDRHGMTTHLSTQ FYKPLVINTPVVMTSKIITISETSAIVETRIYNLDDPDSQYAFGIHKHVYIRNPKL PHYBLDRAFT_142205 MDSPHSTNRGRAPPPPPRPSSSADQSRRTIPAPPPPVRNGAPSS PSMSHSMNSPHVRMSTIPVQSSFHSLDIEIPEPPLTEAGRWTFHSQRELPPPPAFEKK LRRYPTGAETGCSIPIDLSHLLAYN PHYBLDRAFT_109420 KKKKRRRNDLIVVASLVDRMPNLAGLCRTCEIFNASQLAVYSLK VIKDPIFTGISVASEKWMPMIEVPEDDVASFLKSKKEEGYVLCGLEQTTTSATLGEFE FPEKCVLLLGKERQGVPANLLQMLDQTIEIPQYGITRSLNVHVSGAICIYEYTKQMQW RQQAPAAVLPGSP PHYBLDRAFT_142207 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRVCERTRETYHK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_142208 MSVEKKRSPTFQESDISSNASIKKDIAISEPEYEDSPIEEVRAS VPPTDDTSLPTGTFRAWFWGVCFSAAISFTNQFFWFRANPLTIKVIVVQLLAFPAGRL FEKILPTRTFGSGRFQFSLNPGPFSVKEHVLITAMANAAATSFDAIDIIVVQKLYYNQ SWGYGGGILLVLTTSILGFGFAGVLRRFLVRPASMVWPINLVNATLFHTLHKKVPREV ADAEAVTPGLSLSRNKFFVIAFIASFAWYFFPGYIIPVLTSISWICWIKKDSILVSQI GSGLNGLGIGSFTLDWSTLAAWYPSPLAIPWVVQANMLAGFIFFIWFLVPIVYYTDSF ESKKFPFYNTRQYDIYGEVFDRARVLTPEQYLNETAYAEYSPIRITGFFAICYGQGLA ALGAIISHTILYNGKDVWERFKSARQDSDDIHARLMDRYKEVPDWWYALLFVISLGVS FATITVWPSDMPWWALIIAVVLAFVWLIPIGIVTAITSQSPTISMITEWVFGVIRPGH PIGNMMFKTYGYITVRQALLFSQDLKLGHYMKIPPRELFTFQIVGTIIASFVSLSTMN YLMDTIPDICTNAGYPWTCPNAGLFGASSVIWGLIGPNKFFESGTLYNPLPYFLLGGF LLPIPFWFLTRRYPDSWVRHVSIPVFMLGPTPFPPAPTAVTPTWATIGFVFNFFIKRR WSAWWKKYNYVLSAALDSGVAICAIVIFFAFQYSDIKFPQWWGNNSSSVDQCPLATVN WAGVDVYA PHYBLDRAFT_165213 MNKNTGCPQLYRYRISSHICFFNRCQGIPRYCFISMNRSYTMQS TAFENAQIEAYLNHTMACSGSFAIESHGPPVLSWPNVKEEPDLLNFHAETLASTSLIN GGEQDNSCTRAAVPLAQILEHFPDLYDFLFHPYFPTFARLWLDFQYQTVLAKEAKYRP IVIRWLELVVVHLSKIPRSTFIPPITTKANQNLAESQGHHMLLAFFSIKERIEAMHQL LQSVENERIVIESNSLNALSNKQLNTQSHLATDPTTQQNLSQLGPGLLSCNQGPVVPC VSGSSQIPLQQTIVMANAQVKSTSAPPMTDNIVFFSPGLFQPQICSNNIYWPETCQTT STNQLSDVTFNSMGTIQALMDSHSPLNYQYNVNESPFVESPETTCSEDSSSAPKQGPH SHPHLQNTPLSSEATVAPMKTYIQPSGIWSLPQTPSVYPSEASAFWSCPTTPGNIWCH QEGETAAISEGDQDLDENDDIHEDDGKESDYDDGSDDVDDPDYIDDDTDREMSMKKRS ICNKMNEKSISIQDISNSSSSSSSSAHFPVPPSGGIASKKARISKINNAEKCLSTTRR TATSYDVTTTHYLKSVFFSIYSKREKLTKDQRRQVQEQTGLKPRNITYWFSNHKRRFQ TSLKVFKQTVRMSGGRVKTYDDFLRWRKIHGLGPEVNDNEQLED PHYBLDRAFT_142210 MRFLSVVALLQLPLVLAADTVKNLVVFGDSNADVGNFQRWTNGP VWSEDLAVGWNATLHSFAYTGATCDRARFSSVMRYDDSPSIKDQVEMYYHQSLNHDPA ETVYAILVGASDIHKSFLQRVNSTSIPDLKPVVECIGQQRNIRKMYGANRFLVFNVLP MEHMPFYKDSSLAASRGEAAKEFNRLLAKEVTKMNKYHHALALDLIDVHSLLSDLMAN PTDFGFKDASSAYLGQCRERCDGRDVDKYVWWDRTHLTGGAHHMIANSILLAGSFAPS TSIDAQKTIDALALPNSPHRSPIYKSPPNTGIMDRLAAEAEQSSKKGATEPPEQTLDD ETVEPNNIANYVVIGGFVCAVLVYWTRRQKKITGRWPNPFQHISSRLRSTTFTPVRST DNVMA PHYBLDRAFT_165215 MARIDTFLVLELLPKDLQNIRIVQHFLFSLGSNVNDADLPLRRI SSFFGICSRNYYQDLPFTTESVHEDLFPSDQSNSSGLPNQDSIYVDKSQDLLKEATWQ TKLLILVCMLSLPVGCHYLEATMGTLKTTLKNSMHINNTQFSILLSSVSLVNTILPLL AGTFVDDLSSVGSIRGSTLVSTVIFSGSLMVSLAAERNSYPVMITGQIIYGLGGGMIV TMQEAILSRWFRDRQLAIVIGILLSFARLTKWAAKMVCYPIVNSTQSHAWPIHVATIL CGVGIVMNFLYWAVMYRHGCSTVYGKEISQPITGYQSQFAASQSPNNGRSSFRWSSRM FLLLPSTFWMVPWVQLVMSSVLSSFDDVATEFIQFRYNTTSVMAGYQSSLTQVMPIVV APIMGIIVHRYGKRLTSLFFATLILILSMVLLAYTWVTPAVGMILFSLALALGPVSVL SSTSLLLPHELAGTGMGLHKCANNIGSTIVSVLIGYVQDLTFHDGDPHDDQQDLESEY DGVMIFFLIMGCFSTLIAILFWVMDSRLLSGWLQADIKERERRIEQVKLEQTESKYEN KNLFDTKSLQKIGSQMRTKPTYVYVSFYGFWLVVSWVIFFAFALMPVYQKYNI PHYBLDRAFT_109340 MVNLNADLDRVPTNHSGLFRPTQYGDNLGVDLPEDQVARAVRRH LIDTSPASSLYGSSPRQSIAGSIKQAAADDGSDDNQSGYSNPPITSVHQLPGGSITHD IYKWSEAQENEQASRNKRSKSLSFDRPPADPGFANLKDPGGFRRHFISKKAAQQGKRP PHWMTNTFVDFLALYGHFGGEDLSDDEDEEEDMAAERRRRRRDSRRHTLTGDDELSPL IRQAQENAPEGTATPSKAVFLLLKSFIGTGVMFLPKAFSNGGLFFSTVVLSVIAIVSL YSFLLLVETRNKVPVSFGDIGGLLFGPGMRMAVLMAITVSQIGFVCAYMVFVAQSLQA LVESVSNCKTDIPLAYLILGQIAVFVPLAMIRKIQKLSVFALVADVFILLGLVYLYYY DFLTLALHGVGNIEWMVNTSSFPLFIGTAVFTFEGVGLVIPITESMKEPNRFPKVLSY TMIFITLLFLSVGFISYLAFGEEVQTVILLNLPPGPAVNTIQALYAVAICLSIPLQLF PAIRIVETGLFTRSGKHDQIVKWQKNGFRFLTVLVCAVVAIAGSADLDKFVSLVGSVC CVPLCFFFPPLFHLKGVARSWTQKTIDITIIVFGLIAMVYTTFITVSLWSAEGEGAAP ISRCTPPGH PHYBLDRAFT_165217 MLRRLTLRFNSLSKRRNHSTCAHSNNQTLKSSQTTLSVRPPLLV MTHRSPRIAEFLVCHGTRLSSTVASSSVSTATTLRNSPSLDPSTFKTLSFYRFHTLAK EELGPWREQLLDDLKQWHIVGRIYLSTEGINAQLSCPEKYVDALRTYCSTVIKPRLGD TLMDLNLGTEHGQRAFRALHLVADGLDPTSYNLSNQPSHLSPAEWHKKLAEYKAKHGK DPVLIDMRNQYESHIGYFEGATRPDVDTFRGSIKAMNEIVKDVPRNQEVFMYCTGGIR CSKAGAILQSASGFETVHLVEGGVTAYGRWIQGQEEKSLFKGKNFTFDARLGETITDE VFGKCHLCGQPSSQYQNCTHASCNLLMLCCSSCSTQFLNTCGRLKCYDTVHRYRTQTH EHFKPDGPIMIDGVRAYVKEGEKNMRSDIERVVVGKSGVMCEHKYNRRIRAFEVLGEP GQVLEEWAKAGRELPPTTATI PHYBLDRAFT_157982 MRYRACQSMPRVFRRDLRVSCYACSMLDCSHLKSSAHDVAFVSG LNFSTSVGEKILLHNLIVMSMADAAFFLLYKTWETLRVF PHYBLDRAFT_63093 MYIHLTGFGATQISSVVTMSLTNVKCTTKRVIKHNLEIIEKGFE GTHLPHILGFKCDDREAKVIREVGERFKKENIIEETYENFGLQLSFLRSKIICGDRAG TSYFKKKKLAATPALIQGGGKATMMRFGF PHYBLDRAFT_122897 MAAVLQTIAKYAIPVSVAIGGIQSAMYDVQGGHRAVIFDRIQGV KPLSVGEGTHFLVPWLQRAIQFDTRTKPRNISTTTGSKDMQMISLTLRVLHRPELKQL PAIYQNLGQDYDERVLPSIGNEVLKAVVAQFDASELITQREVVSARIREELYKRAREF NIALDDVSITHMTFGKEFTNAVEQKQIAQQEAERAKFIVERAEQERQAAIIRAEGDAQ AAELISDSLEKAGAGIIAFRRIEASKEIAQTLANSRNVTYLPSNREGGNNMLYNLNA PHYBLDRAFT_142216 MKYSVESTPTAASSLHPHLHTSLTIEQPQTNCYFDLLYELPPSV FVDPNQLTSLYRQVAVYGETDLEAPLEHVQEKRGSVVHLRFSSLPSEVDLPLHLRYQS PSIYSSYRPITIPRPLAGWTCTNSPGFPPLLTNTLTLLPHNTSYATFDPIPQENSKLT LQVPVGRVGDMSIVEIGTLGCVTLGTLWIMVALWASIIKRRRYEAKGKRRKSE PHYBLDRAFT_109142 SMSSWWGSNAFDDLVENATSELLPTGQDNLVLYLDISDQIRSKR VNARDAMRTLKRRLNHKNPNVVLMTINLTDTCVKNGGDPFVREIASREYMDEITRLLR SPAICNLDVKKRILTAIQTWGLAAKAKPSLSYMTDTYSLLRAEGYVFPPVTEPIDSIM LETSAVYTLIEIYIYAVCK PHYBLDRAFT_63089 MHRESSPSSSKPGRSSSSSQSPLPFRLSLSKKPKKLGSESTAIS TSSKQNSPDVSESSLNSTIKAKDEDDEDDIQFDSITPRINTLDTDGESMDTSENSSED EYIEDSAKKNISNRIKPDISSAKPRPTVRDTNSESKSAQSTDLQPLFLGEDTFSEASV KEWQTPRIKAWESRRSTPETFYFRFVAPGEGQSNGKWSKEEHKCFMDRYEEWIASGRK MGHSWGLFSIKIPHRVGYQCMNYYRRLVRRGEIKDNAYDTTNGVLKHVGRERASVTIS STELGPEWETEHVKNIEKNVNNWIKEFHNSTGRKPSGKSKTEKLVVRRSVPIGDLIKA QPARKRKRVSEINPDEEDFMEMQEDEPERMNVSTHPIDWEEGWKERLENYKDFMKPFL DTETRENYWHAKQRWRQGLVTTEMLLAKKPIQRLVPQETTEPIIKPLANRMQASLSRF FAGVKKLKVDTPEELISNVRIPNDLFSGVRHILPLKMAIEKKTGRTKTIYYEVDDIMD SIKTIDEVLENVPEHLSHQSPLEGVLVDPPWEFYVNDGRNDGRCTWNLKNMAMLMDKI LDKMTAGLIFIWTHKLIQADVVKLMSTLGCKYVENLVWFKKSVNNVQLDQPSPYISSA KEILLMFKKGEGFELRHQRSADVIIEFESPREEWIHDEYTEPKPNAVYEMIETLLPKA AYDETLGRGRFLELWSKRVSPKREGWISFHQKKYPLVMTADPQIQDTEMCIKDEFSNM SIKEYIKNEDRIMDEDMLEG PHYBLDRAFT_142219 MTTQSLHEESQSANKDDGLHAPMLGKTSPMLSYFCVYNPSLGPT EENTKDQILYYTAKRVVPADVKMKQVGLAQALVKFTSAFSPSKPTQNVHTQKNRMIFL QPEPGFWMYMCVELGILRRQIRDAKGKEKLVTEYLDTQLSDRALESVLKIGYEQFKLL HGTFSYILYQDDEDISGLPSRQRTRSLMHCIEEFFSEWIWKWDFDRLDTMVFSAVFNG VPVQPILRTNYLKVHELDRALHERFNQSIDHVLVFDSDEGGLLYRSPSLNIRDVCALR KYVFKRIEKSIAREKEISGLKFFTKSISQSHFLGFFTSSLSTKTTAPVTSAPVSAVGS QTSLPDLLDTAEAAPEIEADANPDTMDINPKNGKYLTGLVQSTSVDMNGDERTVTRIE MAQVYLSSRSALDTSPDKPHNSTYGHSKDDEDEILTEYYLVIYKHKANIVWSFLLPSF SQEAEDLLADQKFYSMLEKYMVEEQNLNGITEAIVSNVRSAQEKSNSLGKHYKCFYYD NATLNMKSTMVNQQGRIISKYQNDGKFVGGIPMTNDMLLQLLDLKEDFERLPRTTEIY TRSIANYWIAGHRLYNTVLTRDRKNEDIKDTKDKPANDTETSTEETDNVPKASETTST SEASDQENSNDKKNGDNRGEDKGCSFEEHFSRSSIDSVRTKSIEDYTEIYLVAAKKDT SLADVEETLNRMTTSLVDAMHLE PHYBLDRAFT_180197 MSIEPEVPYDTSLPDEDPGTWRDPVILPDLNAMRISGYNDGISK SGFRESSQPDRIPDDYWILPPNVTVADILGHQRSRVDAIRARTNTYISFNDEKHQMDI WGEPSEIIKTKEFFNELIQTLPRHEDKKKQPSWGKAEKELTGKAKLKKERREAKKALE KSFQGLPIVPQPYISSFAIPDFSLPIPKLVGEKESFLNTIRAECKCYMWYEERLNIIR ISGQNEEAVKKASARIRNWYLKCSRRPRPCSLRLVSQPSKNLMVSFRALPQGFMTYCY ADPDTEKTMLEKQRLVEPIQTGHLRMLENLIELDDHQPKTKPENTLSESVATLDKHNR EAIQRALDEGLESLRLFDWEIRLKVRFGQICLVDYPSKKRLFSIEELSGKIFPDPKFY SVLAPCIGKTRENMDRLFEYLSTNCEEYSDSPRTSFAIEALQYPTCASPPTSRRTDGP PKARGDPWRTTVTASFTSDGRVGLWNCLAECEDLVTISCVNLESEYSWETKLEYARRL PTEVNTPHSMFVSKLRLSAQNRLVLVSVPEYAPKIVTQKTKWVYGWGKYVVEVGRDEI WDISRVNRSDLALPLDLGMTEPHRVFYKVSLYKEEWRNRFSENLNLKIGEAPRWTTSD FLASETEDAHLLMEIAKQFANILTKEVPVYWAGNNNQASLF PHYBLDRAFT_102063 MTGFALIVAATNELGIGVHGGLPWRIPKDMAFFKHATTLIPRES KGMSNNAVIMGRVTWESIPQKFRPLPDRFNMVVSRNLNYDLHTEAAVLAGSMEEAFKV VEAKNPSRVFIIGGAQMYSMGIKKPECTHILLTRVLNKVDCDVFFPEIDPDQYRLANH EELEAYVEQEVPKGVQTYKEYQYEFQMYVRN PHYBLDRAFT_63085 MLIIGHRFNDKGKFVFIVSESGYDPKEITAEEMLSLDPELIEIY QIFGMNKRENLITCEPLQPKLTSMIFDYLDVGHDIAAMEIMEAAIKAKRELPMILTPP INGTYRSKSSQYIFDGCEMAQRILKSALEVFGPLAFRGLWSTFEIQSKRYNYNKRNSE EEAELVEIKCVLDEYFDLWDFVKKSFTIDPSENEMKRKCRNMVLEILICIMEKDVQMR IDHQMLFSTETYWISKENYEELGECIFLSTIPKDDFGNRTRLGPYLDILFDVFQETKD YEINQVFYMESIDMAGRLLNICGIAYIVAKLIILSYCDQLVDTSSMIQQSYQRINRLD NDAFSNILQVISCTTFLSEICDRILIDSDFSLADEEYKYLRRIPGFRLDKMFHLFMKS RPLNMEYMSDIYRHVLVIIWRYRCYLGESTMRYSSLSDDTISKVISGISKRHCREAAI RGPPFVRKWGVHVKGMMDEVCGLRPLTPKEKETKQKIEWAIELAMLNLMSDNTQYGLL PHYBLDRAFT_142223 MNCTTSTEAWCLLSLINKDIDQFYYKNAILLAERLYSIDRTNED YKFIYAKSLFLNDDLNAAYRILLKSSSIPCVNLFSRCCLILGDREKTDKNKTQLWRQG VQSLKSIINSMEEETRESHSWGDELVCTTLRAYIPTRSALNSLLGELYKKLQNIHGAA YSFHQAILTNPFNLASFMDLCELGPDTDSIDVHSLLKNEFKDFKESTTIIDTMNLKKV PKPPVSSSIDIRFTKRIPDRNPRWEIPAMRGSYYDVSLDQLRGIVHEAPEITDDEFDS IQPQKGEREVEKDKFIKKLGEDIEQMKVEEAIRTKNGLYQKKLDDNLSNTSTRIPFEE SFSLDHSFNFDIGKAPRLELESALDILTEEQDSESNAHPEKRQKTSHKSFSPGSIGAR EDIDNIFTEVEYNDVSRKDAKTQALYKYFYITSTSPGDTSYDVKSDIVKAMNRILGVI RILAEAHAHQASYRCRELALAVQSLDDQQYESPRVLCLLGNSFYNFADYENARIFFRH AFAIAPWFCESLPVYSTCLLYLDNEVELNLLAYDVKHNSSHKYEGYIVAGNWAKCARG TEEAFKMYRKAVEADPRRSYGYNLLGCEEADSKKWLNAKAQFMKCILANKRSFVGWYG MATAHKAMGEFNEALPMLAEAIRLNPHHPIVLNTMAELNVDLGKYEVALGYAERSYLI KPSEETEKLRKHILSLCVECGFQE PHYBLDRAFT_154723 MSASSGFASNQSSNTAQSPKPIQRKKKATRACIHCQKAHLTCND SRPCQRCIKRDLASTCTDGARKKAKYLQDAQDGLISIDSSRLIGMDEYNYGFGSTAAN LEYSILSSMLGSPLHGLVEPTPHLPPPPQQPVPPLQQLPQLQQVPHPHPQVQMQPQQQ PRPQSQPQFTAWPQQGSTISDESDIKTVPTSNNAGLDAQSDISSTANTVATPNNIQQS MPFQIPPTPSDTAQFQKHLVNQQSLDTTGYFGHGKKRTTNVTPEMIYTNIQKPFSYAD GYHYLISYVRQRMSREDLMRISRALALFRPSVLASMMNLTEHDLIFTERCLQRTLLEY EKLISYSGTPTVVWRRTGEITLVGKEFSLLTQWSRDALLSKKTFIYELMSNPSAVEYW EKYALHAFDNTDSAVYSSCILLGPTKRAIPCTFCFTIKRDIFDLPSVIIGNFLPILS PHYBLDRAFT_131661 MSTIHIDQQPRSFRDQCTAAISNLPDHCKSYITQLFPIAHWLPR YNWIWLSGDLVCAITVGAIVVPQSMAYAKIANLPPQFGLYSSFVGVMIYPFLGTSKDI SIGTTAIMSLMVGQIVADIMQTPEYIQGIWTLSSIAVTLAFFSGIITLGLGLLRLGIL FHFICQPAIAGFMSGSGITILINQLNKLLGIPGINTTEVPYLVFGKTLQALPRAKVDA AFGLISLAWLYGIKYLSAWLTKKYPQHTSKIFFLNTSRNIVVIVFSTLISYLINHFGN YQTSPFSILGTVPSGFQNMGVPSLDPSLVSSLISYMPGVVVLLIMEHCAIATSLGKSS DYTINVNQEIIAIGLTNVFGSFFSAYPATGAFSRTAVMSKSGSRTPLTNIFVGIIVVL AIYVFTPAFQFISSAALAAVIMSAIPDLLTGPRGWIKLWNIHPSELLIFASSYIIALC TRIDISVYVPVGISLVVQLYRVARPKYAVLGILDLPISEKHPEHIQFHAFDHPVLGSL VRPIGKGIICFQPQENLVFQNSAYVFDKLADEIKTSTKCGQPIPKRNGDQPWNMIMKK SNDDTLEHQQSLQAIILDLSGVHQMDATGLEQLVHSALMAQRYAGHTVRWFVVVQNPS VRKTLLLAGFGRQLTSPSHRPFVPDLCPSFSSKKSHGHAFGTEGCVNSLQKVDKEDSA EQQVQIIEDINYHAIDRAEQGSPTNQPVIKSDASLSSDGGSEYCYCDQNGDTTTTVTA LDSAVAHVLDRYPYFFFSLQDATRAALEFIENNPMQESNA PHYBLDRAFT_154725 MAKIAEQAERYDEMVSYMKDVAKLGVDLTVEERNLLSVAYKNVI GARRASWRIVSSIEQKEESKGHNAQVAKIKEYRNKIENELYDVCNDILALLKDHLIPS ATEGEAKVFYYKMKGDYHRYVAEYATGDERKEAATAAHEAYKAATDVAQVELATTHPI RLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDTLSEESYKDSTLIMQLLRDN LTLWTSDLQEENDRAERREEDSRD PHYBLDRAFT_109339 MTETFHGYIETTQDVLLVFEGCRRGLLPRVRRRLQEKERKTIQS GSVFVFDERESGIKRWTDGFIWSPSRILGNFLIYRELDKRSTDKKLSLSSISGADARQ RSYSADQTNSSSAAAAAAAAADMTADRNRERRLVGSLSDSYKFRKDGLVKKTMAIVVN GVSQHLISYYNPEDILQSKLRTPSSVAELAGLEISPDLLVKHNFRIPPVVEPAFDEGD PMQASHYPHDYGGKLINTNINIFQKQNQKKK PHYBLDRAFT_165231 MPKYVYINQEILRNRESLVLYVTCYLGVLEILLIYIHNCALGCT KDMSSQCRKAPECRYRECYVSTVELNWYLCCYTSQVRKNMVIFFLSKEVFEGLFVKES IQQELKWDFGKDIDYQIKMTNNDPKQRL PHYBLDRAFT_63078 MVLDKHRGTFLRVYTEADIKCQDTSDTDPFPAYLEGIDTTLAPF CPTSANRVLQALEMANVSRDDRLLDLGSGDGRFCTAAVAVYGAAHAMGIESDPSLIEV SKSLCQQVATANAATATAAGDGGFVGKETQEEENACSKVEFVEGDLLALPVLVRDPRW TVIVLFLLPDHTDKFADLLRYHYDRGARIVSLVFNLNEIQGLSLKVSDEPQGIYVYEK P PHYBLDRAFT_165233 MTRRKSVQELVRPLRKLTLLTGHSSKSLSLVPEQTIQCHEQPTF LSRLPHEIALTILRFLPFEDLVRVQLTCRRWRTIAQDISIWRTRYQSLCKKYPDIHLH LQTTKINDLTNLSWQTRYCQAISSTNWRMGSVQRLCKISCEGGRILSIKLRANILVTL NEDNCVRLYEYSTSNGFQLQIKWTFGDVSQPANVVECIDILPEINILVVALRGSKCIF YDINKKNPREPIQVLKGGSHPSFVPDSIALNQDYFAVLGRKPSALFVWHWRKGVRLAN KAFDNQPHSVYLSDHHVVTISVDGIAHAFDILDQTKSAVPHTLPPCSMPSVEYDGSLS MVLAPFQSRRIHHFQWTPLPSKDISGDNLLSLLPTQSISTPHSSPPQMSSPSSTQAPA PSIPTPSPSPTPPSPRRNLLPSLLSRHKKKEKEPRDPLLMRLRRHSSYNDYGYACQMR TAHYIKQQQHPHSHFYSPTTSIRTPDFSHKPALIHSIRTSPLGRTALEIINVATHNGR VATLNRHGDIALYALNGTTAARVSLPTGECEWIEPAADHSRQDDRLSDGYDFVRSRLA MGPMGIVYGGREGDVWWMDFGCRPNFF PHYBLDRAFT_142230 MNTDPQHTRSERYRRIQQSKSTLALCEIFGLEEYHKKVTGKQRE RRKAAQDSVIAKRPSEEADATTTGLSKKSKTEQ PHYBLDRAFT_142231 MSGTSIDYSNKVILAPMVRVGTLPFRLTTLAYGADLVWSEELVD KRVIGSVRRVNPATNTIDYYKGTSLTFSTHADEAGKIILQLGTADPDLALEAALTVKQ DVVGIDVNCGCPKKFSIQGGMGAALLTNPEKLKKILVNLVNNLDIPVTCKIRTRDQRS TEKANWEAIKDVVNTVKSIPVILNGDILTQEDIPRARELTNVSSFMIARGAQYNPSAF RKEGLVSYEEAVEAYLKKAS PHYBLDRAFT_77250 MEANSTAEESCPNTGGLRIDGGLDTPGFHFVDAWNHPQQNWHVI GWVVCALLLLITWTTAFVVISRHLKNYYDPNIQRHKLRVLLYPPFYATLAWLSYLRYD YATTITFFATLFESFAVFNLYACLQAYLKPFRDEAGGAKIPLTTKVFSLFTVNLKSKF GLHYRVITDILVFQYPLWAIIDAFVSIFTSIKGFYCGSSYNFHGAHVYLVIINFTSLS IILSALFTYLAVFEAEWKKGQISAHGMFWCVKAPIMIIFYGGDILLTGLTTAGVIHGT TGETSSDGIAWPAAAVKNGIYVIIVCVVMCAVTFMMVKYFGPRDNLTNQLEKDVRPLG VWVAIVDAYLGYIPEFLYNVFGCGKDSYQLVRKRVELRSRKQREKLVAGDPGANLLQP DGRHDEEYSMSQQHAPSYNTGYNNTSIPEPSHQYQPPPKGRESNYRQV PHYBLDRAFT_63074 MSRTKRPSLILQGKMPVNAEYYKKFSSNCEAILLNISLFIGLTH IVFLSLFHLMFPESDSTKWDQIKLSHAGKKITICGPVESKSRPPKISIQTVQTVRYTT MCIYMLRKVKKDRLLSKKIDCNQQDRNNDNA PHYBLDRAFT_122902 MLSYLQRSTTVKFSHNSDPVKIPLNTSEKTSLPLDEFIAQTCPS LIGPQATYTPTPYLFNGHLQTGYSANYNKLDPHTLFYERELLKMPDGGQVSLDWTLPL DKRPLGDTPTLVCLHGLTGGSHESYIRGVLELITSPPFNYRGVVLNARGCGNTEITTP RLFNAAYTDDIREVVKHIQSKLAPGTPLIAIGFSLGANILVKYLGEEGEKAPFKAALS IGNPFDLLNANMAMIRGPFTSRVYDPHMAANLRGIFAKHIEIMSQNKDIDPEKVINSV TIREFDETCTRRVAGYSTVLNYYRDGSSCRFVEHVRIPLLCINAMDDPVAVSECIPYD EITINPYVVLATTDYGGHLGWFEYSWKPTRWINKPIAEFIVAMFQVHDIRTPEFKKTL DPKAVDAAVDNFNS PHYBLDRAFT_185829 MAALLPVNTGVNYDEEKVSLQNFLENFQGKSTQAGHAMEMDEQR PKYMGILQAVADRKETVVTIELDDLAVFEDSKTRTVANIIRNTRHYIDILSTVIDTIL TTLTPNAVEMSYKDSVLDVIINQRRNRDGHSLNDTTTQFPPALTRRYEVYIKPLASDP ALAVRQVKGMALGHLVTVRGIITRVSDVKPFLQVNTYSCDSCGHEIFQEITQRQFTPL TECPSEECKSNNLKGKLYMQTRASKFLAFQEVKLQELTDQVPVGHIPRSMTVNLYGSR CRMLTPGDVCSVSGIFLPLPYTGFKAIRAGLMTDTYLETQYVHKLKKQYDQIELTPAE AAKVESLQRNPNIYSHLARSIAPEIYGHEDVKKTLLLLLVGGVTKVIGDGMKIRGDIN VCLMGDPGVAKSQLLKFISKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGAL VLADNGICCIDEFDKMDESDRTAIHEVMEQQTISISKAGINTTLNARASILAAANPLY GRYNTRISPTQNINLPAALLSRFDILYLMLDKPSDEMDRMLAEHVTYVHTHNKHPSLD FDVLEPNIIRHYVASVRRLTPVLTQEVSVYVTNAYVNLRNQYKLDEARQQQFTYASAR TLLGIIRMAQAIARIRCSSLVETPDVDEALRLLDVSKSSLAEAQGNGHGQRQDRTPAS SILKIIRELRDQQGDVLSLSEIREHVTNKGYTEGQLEETIKTYMDLNVWHVNAARTYL SLVH PHYBLDRAFT_122907 MNPGQKQEHDQYPLHDTQFVPQQMDRNSPFADPYPEDQPPPSGY DHQPLLRDNAPSYPPDPFGQPGGYPPQSTMYPPQPMGPPSPNMRYGEAPRRQPRRYKT TRRVKLTHGNLILDCPVPTPYLQAVPIKDTKEFTHMRYTAATCDPADFASQGYTLRQP ILQRNTELFIVLTMYNEDEILFARTMHGVMKNIAHLCSRVRSNVWEGPKAWEKVVVCI VSDGRKKIHPRTLSLLATLGVYQDGVAKNVVGDKPVTAHIYEYTTQLSVDPEMKFKGA DKGMPPCQILFCLKENNQKKINSHRWFFQAFGPVINPNVCVLIDVGTRPGKTSIYHLW KAFDISSNIAGACGEIRAMSGTAGVALLNPLVAAQNFEYKMSNILDKPLESVFGYISV LPGAFSAYRFTALQNDENGHGPLEKYFLGESQHGADADIFTANMYLAEDRILCYELVA KKKANWVLHYVSSSYGETDVPDSVPEFISQRRRWLNGSFFAGCYALFHWRKVWASDHS FVRKLMFMFEDLYNTYNLIFSWFALGNFYLTFYILTSALGAESLDPKPFSANVASILH TILNYIYILLIIVQFILALGNRPQGSKWAYFGSMTFFAILMVYMMFATIWITVVGVQD AVANADGSFTAMLGESTFRNIIISIVSTYAMYFIASFLFFDPWHMFTSFIQYIFLSPS YTNILNIYAFCNTHDVSWGTKGDNTVSTDLGVVKSKKDGSGDTTVEVEVPTEQKDINE AYEEACVELTRQVEPEVSHRDAKTKQEDYYRSFRTRLVISWIISNLILVVLITNENIL ASFGTFEVRSTSYLGFVLWSVAGLSAIRFCGSCLYLIFRIFMG PHYBLDRAFT_180205 MAQNHGNTPAWYMHGQASQYIYPNQQTQNFQQTPQIPQGQPGFS SVLQHLQQQTQNRQALGALAAASLTSSLGGVQTRNLPQQEHINYNPQPNHQRNLVAYD DLPQPVTPPQPVVPPQPFGVSCCTRIYKSAIALDQHRKQHIKCPNSDCDFVGVKVVLD DHQETFHGKPKKKADRADGVVPPNAPKLDTPEALAAWIAARKKNWPSAENVERKAREA EERAAKGELPKTTTKRKGKELNKPHVKKERVMKSEPEIVAKPISTTSLGLISGYGSDS EEEMFDAVQTIEEIIQMGSLDNTRKEPQNTVQDQAQAQGHNDSDDSDDSDDDSVMDPE KDAISSKDPTSSGKIATVETPQRPKRVCKYFARGQCRRGDACHFSHEIKPKVKRSEHR QPAKVEPVNKRPNLLRMLLDKDIRQERNIILQCFRYITDNDFLGEAKSKQ PHYBLDRAFT_185832 MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQEASEAYLV SLFEDTNLAAIHAKRVTIQPKDIQLARRLRERDSTIDEDLGRQDCINGLAQEVLEVFD IWQMGEEKLGYIT PHYBLDRAFT_177074 MEGGLLKMQFRAHKTRCPDASLTGRGKGGKGLGKGGAKRHRKIL RDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKT VTSLDVVYALKRQGRTLYGFGG PHYBLDRAFT_185834 MKTIAVDLDTSLDEIIKTRKNRHSRDNNFYSKSSYKPAGSDNRR VEKHETYRKSQHPYSKPSRYNTSESSDNRRPTYSARPAKASPLQSSSSSSSNSHNSSS HNTSKPDPSRIVITKSVTRRLPMDLMINQDAKLDDGSEMGRIRKQPPLSHEIRLGAPQ QPLYQHQPTNYAIRGLSQQSPVRGLSIRGESGPAVVLISNLDPGANAEDVKTVCAYFG HILQCKILLDRSGRSYGEAEIEFAHKSSALDCVAKMDNGIADGRVLRVMLRNRMTPTA PLMPPPIPNQNNTRSVITPTRSGYTSGAKPYSDHNIPVGPASNELPDYSRYQSFTGGN RRY PHYBLDRAFT_165244 MDRNTEVTIERHPRLVKWGHRRSDSLKAVFPHPFWFRLYLCYNA SSAYTGPSNDWKKIEPRYAAATFVHGNALYAYGGATSQKNTSDLFTSMFLSKKDGLEG QREYESFFERNGPLSSYSQTVVLPDNHTVLLFTGYEENRPDNDSTMHAYTYDLNDNNS TWKQVIPRTNTFVPLYRTGFTATLAPNGQVYIFGGEELGKSVVNQLWSFDPVNLEYKD LTQTNLNYRSGHTATSLPNGQIVFISGLHVNAFMNDSAQLIRSNEVDIYDTDLNTWTS LNTTGEILRKRIGTSSALGPDNKTIFLFGGDNGLTALNRIECNEVLLLDTTTWVWKKP NITGVYPTARSRASIGFIDKNLLAIAYGQTSFLSYKDLSILRIDDQETSKYSWLSGPN DLLNVDHTYPNLSRHMDGGIIAGIVIGSILLAIILAYCIWKSYRDLYFLPNLVWDFLW DPRNGEPLWTEICRLIIQCILAFQFLAYLGFSIQQAIESPITSITIRTKVSSVQVPDL RFCFDGWGVSEFSNREYHKGDLGVRISCKTDTGYACSNFITRLDRTVHLPAFEYTLES PDCYLFSPPSWFRLEDTIDGDNSGTKVRFMFNGDQSIAGTVRIAQYPPGVNPNVKVYN ITTTDVPLIMSDQAVDEWAMRDMQGETDTNTFTIYTNETLSMEYQVKDHQYLDDNSWN QIGFLPCYNHTPEISTSALASDFLMAYNGFSSNYMGLFGSVTLYPTDYTTVIEQEQKI HTIINSLGSVGGILSLIISVQVWLFGFRPKSPWGIVQRWSNGPMRRSLDRNLTKNFET LYTAVPFVSNVDNNILTRSNSYDDETIKEKDRILPLLDQKERVLVLEKRFQLMERLLE AYYVDIEIFKELDSAISRLEPPLSPNLSDEDLSVTVTSMQSRTFSEYSL PHYBLDRAFT_165245 MAIDVVILACLPLSDLWKAVGQWVFFQVDKAIVVIFFADLISRR HKLLQVLQTIKERTTLYRQKFQMFFYKITCLFETFRPLQIAVLSYIKSILSIYIIPVV RSWGHLTYTTSGGSAQHRDEGRLSVKKRSWRNALKPSASYSESWKPPELSQGPEGLST FTPAVQRASSLEYASTLVNSLIADFKAQEQDVEHRWTFPG PHYBLDRAFT_142244 MNKKALKAHLGAIATKAERAKWPPSLRKYVASAFEQCLPRKENE LVEDLKLTIAAAKEQDMLSMDWSDFILPTMCSKGAKSSDEPKVKKLSTKRDLNMADIE DTPEEKRRRELRAKRFEDDHPVEHIQPTSLELKVDGNGEEYWHGKPVVGTCTSLEKQY LRITSAVNPSTVRPLPILKQAFRYVTTYWNTYKDYTYTCDQMKSIRQDLTVQCIRNKF TVKVYEVHARIALEKGDMGEYNQCQAQLKQLYTSDIRGNRDEFIAYRILYFLFLQNMC DLNDLMKELVGKPERESPYVQHALDVSRALSMCNYHAFFKLYQSAPNMGGHLMDKFVT RERVKALIMICKAYKMGISVDFVAQELAFPSSESFIKFLKGMSINLNRTPEGYMIDTK TSLPTLMEQLKLS PHYBLDRAFT_165247 MTVLVAQIILGPFTSLELIWMKKDMEDCLYEFGELQIEGNPSQS FIFDINDFEQYIEHSLTSMGRRFKYIIKEDNQKPTISLEYGAAEVAEVYALKYMLDML IKENKGNVCNIIRLELFIQFRTEGSSNLRPNDVNWLDHLLNKEPEYTVLNVTRYFKLL SMELEI PHYBLDRAFT_96036 ETVGMENETTYFSFISDLLHHSNSDSHTPQKLYQNCIKTVQDYG HASYPEGVESIKLSLSLHLASPRVEAHHQVYTNSILPHLPAYDETCTVWAQAGDTQVC DVEKLEEVIKAMNKVDTKSIVFPFDHILHPSQYTTDKDIPVIFVYTSELSPTFRKFHT FMTNAVEKYGVSYVVRYKPSSSPDTPLYLTGYGVELALKNTDYLVIDDKAVQENKQKT FISKVSSFGRKVGHNLFETSKTTIAPLTPEEIEKLGLKAAQFIITAENPFEALIHLSQ DFPKYAKSISQIEMDDERVHEFKENQASMIRGGTNAIWFNGQSVEVEQVDPFYLVRVL KRERALIDSLKHIGFTSRQSIDLVSSHALSHEDVHDTSSPKDVYDVREQGLAIWWNDI EKEERYEGWSDNMLDLLKPTYPGQLRTIRRNIYNLLMVENLSSHAALTRLKNEVLEMV KRGTPIRFGLLPVIGDETSPATVAAKAVNYIVREYGTNKAMDFASKKLEASLATIESA FTQTLATEGKPKSKEIKFFAQILNDEQDYIKEVGVFLRKMGIAKDSEGAMFLNGKFLE FSDDTPWTRTLMQGLQEQTQLIANAIYYGSMQPKENIYNFLLTQFYVTGSRNPYIAPS ESHPLKVLPVHKEFDAFKSLHYLQNTDQPGINTNLWIVANLDTIQGLKLSLEALSFVE TNNQTRVSLIHNPEACGHSSAILGNQKSEKALFSDVWYSQIYGKITEIPKVKELLSAA IQSMEEEKGAKLINQVKSFSPGASIVRMFSREKAKDVKYICDAIGSFPIDVDFTAEDF SSLYSFEFTNRAEPIQNAFESVEKNVESDMLADTITLVNSILETDKASMTTDVFDQGQ TSNRQRPYEYLSGEKTSISIGDPSTAFIEIGATLDPLSEAAQRLSHLLETLSGLSGVY IKIYMNPELEVKELPLKQFYRQVFDREPHFDKVGEIEVPMAYFTGLPTDALYTLRVDT IKAWHVTVKEANMDLDNIQLTSLGAHQSSVSAVYELEHILLEGHCMDSSTHGPPRGLQ FVLGTPSNPVTTDTIVMANLGYFQLKALPGVWRLGLREGPSNDMYHIESVGTHGKWEK ATPSSHRNSSDYTLLPLTSFEGLTVFPQVKHNEGVDPSQVLDHDTSSRYSTKKKDEES DQLSSQPKQADINIFSVASGQLYERFLSIMIISVMEHTKSTVKFWFIEDFLSPSFKDF LPEMAKEYKFDYEMVTYKWPSWLRSQKEKQRTIWGYKILFLDVLFPLSLDKVIFVDAD QIVRADMKELVDMDLHGAPYGYTPFCSDRTEMDGFRFWTQGYWKEHLKERPYHISALY VVDLVQFRRMAAGDRLRAQYQQLSADPHSLANLDQDLPNNMQHIVPIHSLPQEWLWCE TWCSDESLKSAKTIDLCNNPLTKEPKLDRARRQLPEWEVYDNQVSQLNKKVA PHYBLDRAFT_122918 MDFSIIQPLLDGINYKAYVVGFSIAVYFFEQYLNLRQYRRYLLT ERPSDLADIVSQEDFAKAQSYNLEKSQFGFIENAYKQIETVAMLSLDFLPFIWDFSGR LMLSVAAYGPEYEIVQSIVFTILFSTISTLSSMPFSLYSTFVIEQRHGFNKQTLGLFF MDAIKSHIIMVVIMVPFLGGFLRIIRSTGDNFYLYIWVAMLLFQFVMINLYPTLIQPL FNKLTPLEDGDLKSKIEALAARINFPLKKLYVIDGSKRSSHSNAYFYGFGKNKHIVLF DTLIDHSSEEEICAVLAHELGHWAMSHTLRILASSQMYLFLVFWLFSFAIHSEHLYHD FGFSTMPTLIGFLLFQFAFSPLDSVINFLMHVYQRKNEFEADAYALKLGYAATLRSAL IKLSIKNLGGFNIDPWYSAWNHSHPSLTERLKALGVEPTSDTPITQEETKKEQ PHYBLDRAFT_165250 MAESSCVHGLRHQARCLTSVKASTEESQFLVGTVGTKDNGVCLL KYDDEQAEVKSIMYAHADEVWDIASCPADENLFFTVHSRVSENPRQKKVTLWRKPVWS QENDTSLVKVTRLEQEGIKRVLWDPVKENQRVVSFDPTHIRLHDLDPVSTTVSLDVSQ TFASDPNSPSLREIQNAVWSPHEAAVVAVGDQCLAGWDLRSGESQFVRQKAHKSTIRT VDYNANKPHHVATGGDDAEVRIWDIRHLGEPIMTVSGHTHWVWSVAFNTFHDQLLLTS SSDTLVNLHNVVSVSSASYLRNEQVSDSTDADADAYWNSYTPTDGLICTYDQHEDSVY SAVWSPADTWTFASLSYAGRIVISQVPPDERFKIMGV PHYBLDRAFT_18671 MLYLTNDLGLLPFFLPLGIVGFYRYLWYFIKLMAWAIYKPVRPK LNPTYRPEQDVTIIVPTIDAGEEFKIAAKSWLACGPKEIIIITETKMLGPLQELANEV DPIRIRVLTVSKANKRLQMVAGVNATTTELLVFADDDAIWKPTMLDYILACFEDLKMG GVGTCQTVHAVDPSGYQTVWEVLAGFRLSIRNIEVAASTHLDGGVCCLSGRTAAYRTL ILKDPAFQYGFQNDLWLGKYPLNSGDDKFLTRWMVTHGWNTFVQVCPEAELYSTFKNN WRFIKQVLRWTRNTWRSDFRSLFTERVIWHRHPYVAFTMVDKIFNPLTLLSGPVLVLI FAIKQNTQVGHTNAPLPIWNVLLSYITWLLLTRGLKLLPHLIQRPRDVIHLPAWLLFN YYFAVMKVYALFTLHEVGWGTRAGVGTTLAADITKEDNNRIMNDKMEAAPPHVELDVT PLERAHTRRLS PHYBLDRAFT_109350 DLQLLQDIPAWLRSLRLHKYNPIFETWKWQEMVKLNDEALSEKG VSALGARRKMLKVFEQVKLHCEQNVG PHYBLDRAFT_108993 MASASVDPKYKEEIQHVDQWFCYLNEAERTAAIYSLLQHSSQVQ IRFFITVLQQMNNKDPVDALLSPA PHYBLDRAFT_142249 MYYSLPTTVSVVYSDPLVTPSTPELEPKSALEIRQSSGTNPKEL YVPSANVACLSNQVTETPADLTEPSNTVSESLIDILNELDSLSAKFTQASKESSEAAK LPDNEPESALLSSNFAKTDPQTPSESIECCTSTIPKKSVDCSNELETLSLILQTDTES LSKSTEGLITEPVLNTLQTNTSSRHFSESQTAEVECVLSAELSTEPLEPEDSNEMTAN KDIVPVTACKTSGGRDKKKKNKKKKKGGW PHYBLDRAFT_131689 MTGITEKSVGPVHHEQVERKRSKDETLFHPHTLTALCILLAWLF YAAVRTNHENTEMSIRLGLSAAICCFVFIGMLQFRDGPFLRPSVPFWRGVLSLSVLYQ LFLVFLLFLNKDDARQFLTYYDSSLGKQLPERSYADACDLNWETIKSQMDIFVIAHAL GWFGKALILRDVWCCWILSVTFELLEYSLEHQLNNFAECWWDHWILDVLLCNWAGIYL GMKTCQYFEMKQYSWAGFRQIKTFRGKAKRAVQQFTPHDWTRFEWNATSSPKNYFCIV GLIIVFLQCELNCFYLKYLLWVPPEHPLNTYRLILLFFFALPAARELYQYISDSNTNR VGAHAWLIIFNIMTETLICLKFSENEFVTPAPMFVKVAWSIVFAVILVIFPLWKFVIS PRKTLKAD PHYBLDRAFT_185838 MALDCISTPWEGLDFVPCFRETVINGALPVIALIYVTVTIVITI TQYQRTSRYSPLNTKTNALPFYGATNPTPALTNNSGSISVKTACSTLNTGSLRWSVYD LSRCGVSLFQLVSFVYLLNQSFQGHYETDKKVEGQEPDVIMTYGIHAIYYGILFSISL AVLVLSGSSKSLADSLCNQAHNLFGFDTLVGLINLRSYYLVHDVELESTGYTFALISF SLNLGLFCLLIHEERQAPDEIVYADNGRVLSGEEWASTYSKYMFSWVNTMMKQGYQAT LNEQDLMEMVDDNRAKNILRQFRQHHRLSMAWALLKTFKAPLAHQWVFCMVWSIMMFG PPYFLNKIIRYIEHTGDGSSDQSVTSAFLSVFGLFLSSVVMSLAYQRALYIGRCLGTR IQSIVIGEVYEKTLRRRDETGKSSEDGPKKIQGNVDNLLSVDSRKMGELTAYVFYIYC FPLQISVCIWSLYRLLGTASLYGMLVMLILQPVTYILSSRFQSLHHVVMACTDKRIKL TKELLSAIRIVKFFAWEDQFRNRISEARLVELKAIRSRLYMYMWMGNAWFMIPILIMV TVFYIYTKTFVLTASTAFTALALFNTFKTTLDELPVITSFILQANVSLTRIEDYLKEE EVVLPANGIATDRIGFINKASFSWDKSTVAENSIPTLRNLDLCFPSQKLSLVCGPTGS GKTTLLASLLGETYCHQGAAVLPRLRASASNTLGGAVSGIAYVAQTAWLQNCSIKNNI LFGLPYDEQRYQDVLYMTALTRDLEILECGDSTEVGEKGITLSGGQKQRVAIARAVYS QASTVLLDDCLSAVDAHTAKHLYEYCLNGPLMKSRTVILVTHHVSLCIKAAAYVVALL DGEVAAQGDPATVIQSGALGDELVHTDSDDDSEEDEQTSLPLVPRVAATAQLDGAGRL TLEEKKAEGGVDKQVYVTYFFASGGIVFWLSVLVLFCCAQATVVGQDYWIKIWSAAYS TRKDILSTFSLFSLAQLDSLHFMNSSGISNDEPLDQPVDVTYYLGIYFAIGILALIVT TLRQVLLFEGSLTASKRIHAQLLESILRAKVRFFDTTPLGRIVNRFSSDLETIDQSVA PSLSLLLYSIIATIYVVFLVSIITPSFLIPAIFIGLLYWAIGVYYLNTSRDLKRLNSV SRSPIYVQLNETVNGVATIRAFGAQERFVIDNLEKIDANNRPFLWMWATNRWLHCRVD FLGAVVSFCAGAVLIMARSWVDPGLAGLSLSYALTFNHHVLWVVRMYAINEMNMNAIE RAHEYLELEPESANTIVTVSPPHSWPEAGKIEVKDLVIQYGPDTPAVLRGVSFKTRPR EKIGIVGRTGSGKSTLALSLFRFMEPTEGQIVIDGVDIHSIALKDLRSRLTIIPQDPV LFSGTLRSNLDPFGEHDDADLWASLKRAHLIGKERETDTPVTLDAPVTENGSNWSQGQ RQLIALARALVKRSSLIILDEATSSVDFDTDHKIQETIRTEFVNASLLCIAHRIRTVA DYDRILVLDQGKVVEYDTPYALMTKPDGVFHQMCERSGEYTELLAIAQQKHISITTTT NTP PHYBLDRAFT_185839 MFVPTIKYKRVSEQTKEKENKEVEIKRVELEVPKAVGSTTVPSV FNPPQKPVVVPRPTTVTATPPVGSFVVSIPAIPKSVISAITTEPIKDPEPENVLDSEN KESLDEVLVVVEPSIEVDPIDKERIVITLSTGKQYKADRYCPHAGADLSFHGIIGEDD YPPEIGPILTCGIHYWEFVLDRQGVGHNGMVSIEACPVTCKSGSSKLEW PHYBLDRAFT_29940 MFRSLRSVSALATRSSMAVRQTQQQKRFLNIHEYLSVDVLRKYG INAPRGQVAKSPQEAFEIAKRLGSDDLVIKAQVLAGGRGKGTFDNGYKGGVKTFSSPD EGKELASKMLGHHLVTKQTGAGGKICNAVYICERKYARREYYFAILMDRKTAGPVVVC SSEGGVDIETVAATNPEAIITLPVDINVGLTTEQATELAKKVGFTPAGVDAAADTFVK LYNLFIDTDATQVEINPLSEANDHQVLCMDAKLNFDDNAEFRQKEIFDKRDFSQEDPR EIEAAKYNLNYIGLDGSIGCLVNGAGLAMATMDIIQLHGGKPANFLDVGGSATPEAVK AAFEIITSDPHVTSAFVNIFGGIMRCDIIAEGIIAAAKDLDLKIPLIVRLQGTKVNEA KKLIAESKLRIFAVDELDAAAKKAVGLSNIVSLAREAKVDVSFN PHYBLDRAFT_165258 MHGAGKVCVGEDYPNQLLKSMWIFQVNCFGILADMKSMHQYREK EEEKAIFIQSSQNPFPRPALVKKALLFLAWDFFGEAILWGSIGDTNGDFYGILETKIS CLLQCTYLLIITGIHAIFPRPSEGHKDLLFKMTNMEEYMANLPATENPRHLPMFLLVA LLLLLVPNYRTPAVIILEVRRNNTDKAFKLIRWYMWNDNLNSSDPILVRANEARLKCT TDVHFNKSPEKETVANLLGYLVPKFLWEGIRKSELQKMLHTNFHSRVFKVKEDAMVRA VTNI PHYBLDRAFT_63053 MPIQELPDNMIISRRYTLLRSKTNTLNNPVKNATLYILSQFLPV AYTYTSISVELFSILDQCMVISSLGSRDGKDGFETTFISCFLEDIYKDDLTEALHTFP IKDVFLHDLSKLASLSTPVITSQPTSSTIVQPPFIFEGIRKTVFTCQIQYSFIYSFIP PPTSPYFQTHLTHHDQHVFAFVNWFPLLADRLREKDGAEICGSALSPSNYHSILPEHR ISLEVSIANQTTGLVQKKKSVVALPKKLST PHYBLDRAFT_63052 MPKLPTIEKIVLVGLIPDPQRAQNQRINNCLEPIVDELIQPYHG VRIPTFEFPAAEVFCAELIMVSCDNPASRKSSGFTAHNSTCVCFKCNRHFTPLDSTNK IDFHGFKKSEWVHRSCGKNRLHGEELNNTVTLSERKHL PHYBLDRAFT_142255 MGPIENELARHSFSTNTIQDDMLSFMIINPLNEIGNSMEDSNGK WTVKSFTGEAQWYQVNVSNNLIQNCTCPNFASRQIPCKHTHLLKRFIGLDFAYTVQRE NNHLQLQRSLASEHEVAVVNEEVENETNTVVVGGRNNSVWLQRIMAQNTTLHHQREDL EQLMDVPGIDEAELQVISGLLGEAMNRIDTLRNANSSCFRNLNTQR PHYBLDRAFT_142256 MHEKLPSQNYCIFTGNLSAYSNNAQHFAFGFQSPSQMMLMRISQ SFCLDTTHNISARNIEILYSLVTHHPDTEKGSPVAYMITNDHSVGPINQWLVHLYEKS CFTPLYITIDCSIAEVNAITAALPQTIIHFCKFHVLRAWQHNLDSKVKLDASYTSEQL DKYKYELKADLKNILIESDENEFLRKIQEFRLRVQSQQQFLAYFKRK PHYBLDRAFT_142257 MQKNIRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYLSDKDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNVFSDMFSSAAASDEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIER TFKLPHLDALLNYQARKKSKMPVFPSQRISVPGSNGDAFAHINLLSDHLRFLMANPKK SKLISSMPDHTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIC FLVESFHTANKNIFARGYLVRAISIVCYGVEVAVTNLRVEQISHVDTTPVERDHYYSI SSSLTRLSSAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSSN RSKQYNPFESWLMKCAALPFKNRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNEYVLVVAPVLWIEADTPCHLELCGLLGPATTFPCRRCYIELRHAKDF VKDLSYFCECHERRTQEHYVLANSSPGRDTEIPNAPKIGMNTPANEISFRDRLTGRLL ELQSFDPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTG LSRKFTQNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLV FVRQVISDFDNYIIRVDNAVKRLIRALFDYDKGTKNKLHKAYCTKLKVHYLTHLKEDI IRFGPALNYETEKGEQFNKHICKHLFHMNRQNTSRDVCLKFAKQVALQHVIDGGSWIN SSGNQEKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTEDIEDDAIQNNSFGAFV FKDDPISRPHIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLD LHIFRNPFYIVNLSKFGSY PHYBLDRAFT_109106 YGETGSTDIESLQIDKAAIKEKIKAYSTCDIYNFDETALFYAAP SRTTISRQKFSGWKENKKRLTVGLLCNANGTDKWSDILMIGHARRPNCFNKNNKKQEA VDHGFSMYYYNSNVWMTQSIFHVFLCHYDHSMKAQNRKVLLILDNFSGHIVDYAPTNV ELLFLPPNTTSHLQPLDGGIIQAFKTYFKCKQYGKAY PHYBLDRAFT_142259 MLETFLKHQNNYYHGRMEHGNMSASNNPLIRSLQDKYSPFPQQH WFGTIDHPQLVANTFSRAVTKKWNR PHYBLDRAFT_142260 MCANSKKGRKLTACSHEAEHNHPIKEDHRAYAMHHKLSPEAMAL VVKHLENNDDVFTIFNSLKINGYTNIVRHDIANIKQHFGKLEKGKEMFDFITTLQDLD FHVRMSETVIIDATYKTNSHQMTYVNIVGTSNVSGNPRTTLKTCLRDAVWPDDNNNNN SNSSNNTKTILLPDVFITDNEKALRKAITQVFSESKQLLCYKHIKDSFKKQLLLMMKG DESSKKRDLLDKLSEFLDRIALKCITHQEVKKKTNRYLEFAKKNCKDQGKAAGAFFEK KMKDKENWVNMYVYKHAHFGNCTSNHAESAHASLKHSLGTSLGKLKTVTLKVKKWYDK LVADRKHWLMVKSLGEGTKIVFDKVNAARLNDIRLKSKRANALYNITTCFLVTTHLPN SISSQSPASQDAREKTI PHYBLDRAFT_142261 MSNINNINNTNDFVIISKMWKKYDVALTEFNSIFLHNIALTTEY ASSSRIKLICKHSGKYRDTRKAKKVASETSVMGETLPE PHYBLDRAFT_165265 MFNRDWGSLCSQQPTNITAEEAKATGIKLCFSQKYSCHRWGTYE SKAALRVVQKQTKKNKCSALLHVKGFFKTPEFYEFVVTKDHAEYTPGDMRSDICTLPL AKKYLHELAQQLEQSSKSTSQIRIDMLRAVDQYGRKSERKVNYYDIWNLMNKINKKLY HFDKDQMTSFLIWMNNKLPALNFNIFKANTSYSPDPSAFAYGFMSPVQQEKMKTATSF CLDTTHVISSNVNEILYTLLVRDEDIGRGWPVAFMVTNDQGFLKRSSLLVDSKQFTID CCAAEVHAIQTTFPATSIQFCIFHVTQAWNQKLSDSVKIPRSLPSEARILRGVMMKSL QEIIYEEDIDKFRHKIVQFKEDFDDQESFLDYFERNWCTEAKFKIWIFMKRSRNKRLN KLVIVLVHDVEYFLTQEYEHVMSNNSPMSSFTRQQRIREMEAEEVDDDDRKMMIVAPG TAEDVNLQVWSFVNENRAYVVQIAEPNLIILCTCFDYQQRYKSSTITPTISHTSAFIQ QCIDINQTLWYANQDLLTMQQYMTEDDGQTLFDAYQRSLQVFQSIKNKYKVHLCRSHT QE PHYBLDRAFT_165266 MDSAPIMKRKQEIWDQERELILMETYNHYRPFAASHGQFSFNIQ EEDAKSIKELKNLVKARKAKDMRSLNDKLMSFVKYGRKIPPKDEKKNNEIYKVPKVKP MPAKGQQQLVELLEKQIEILKRQAETNERVLLVLESLEKHIGESSKS PHYBLDRAFT_63042 MITGVVVVEVEEVFKRKNSRFEIRNKDSLNEVLTSKISIGFIAE ISSLLEQERVSVETDKQRKKLKLFDLETLSDTQCKEEFKFSLSEIMCEAMELPIILHL CCSKRHVVHVSREFAFAIILYQFAFSWKYCSMKREWGMNAKDLGFIKFKNRLEFDTRQ FSAENCEHFAKAIYERTKTYLSVIGFIDGTMQKLYCPKSEEEQKTLYNRWKHIHCIKY QDIATPDHITSSLIGPFVGSTHNANFFDETKTLDHLILYFTAVSRDKYPPFVFKPFPL DETLKDNQKARINYAMSIAQVQVEMEFGKVGQYFKYCKFSSEMKIKLKALSATIYILS TLFKNFHTCINGSATSAMFDIQPPNIQDYIRGLVCDPILEDTVDTVDTILYNAPRLVE SIPLDT PHYBLDRAFT_157995 MKAAFTGMRKLFIPRVYLLAIIKNLSNVSMAKKLIMYLDKYHCS ADKIWFHNLIFVLPLKL PHYBLDRAFT_142265 MSNSNSKISLSTEDNHAIKNEYKTWYKHDGSKYGLTSMERLQQF MLMNGRENLNMYLGGDKEGRTFKSSKVTILNKCNQYFQEQGVYQTTIQIKSRLNNLLT KQYREAYRVWKNSIKNNSNDEGSISEKEGLKSELNQIYPAFFQMEKDSEQSNGDDDNT DEESAENSHSSNNAEYDSQNDNMSVLCSSPPKSSSSRKHRRVDVKYKELAKEITRQLN ESSVSLEAKIKRLYREKLEVLKGDLHTK PHYBLDRAFT_63040 MPKYSAKQQTVAALKKSRKIRKFVAKEKKKSDKLLKNISEVLEE EVDAELEKIAVVKDLEQNLQANRYLHKGGNTLPKLKSKEEKLAFLEDLDADGFKEEIR MFKSMFNKLYEIIKDHSLYKSPKGHKQTDAQTEMLYPIAGLHEDLVLEGSVLNFTVFF FKIMLSMENMYVFWPSELEKTATIIPSNEKPFGFPDLVGFLDGYFGSMNNARVFEESI MGSDPNNFFSGDQYVLVNASYIPKMYVVHVIKKPKNKELCDADKAFNSYIAMTKIKIE HAFGILKERFFSFKRLPIKIRSRKDMDMVNSWIRVCVILHNFLIDQTDDMMTMTMKIS PHYBLDRAFT_165270 MNRVPKKLAPGRVSVPTPRIPGLLNFSLVDIGKSCSLCEKTFKD HWNLKRHLQRCHDITETVADDMSIVQETKYQDIQTSNSPKNMLTPSESVEEESDVDNE YYNSILNYDECEESDDGSRVDNSDFDVEKNTELNAGISLFNHILNNMSAFANNNESSI DEEDEFQSKMFNSTAWNRFTPNIHPFKDIQTMILLALVDGDNNMISHRMLKKILFTIN LLLKIYKEAIRKDISFKLPRLDALWNYQTRKELNIPIFKSKSLDVTLSDSTKVTTFLN LPSEHIKLLAADPIKSKSIFSLSDRTPNQSVCLQQGEKWRINTYFRQPMFTHNNVDFW SGDIVLLKDCSPNIRFLVESFHTMDTSNVFSCGCSIDIEINHTDINIESFLSVNTTPL NTSLCCSISPDTIILLIPTHHKLLEEEHFLKRLICNGTDQENNQRKYYKVKIAPVILF SDDTSGNISKQFNPYKSWLMKCVALSFEERCSIENILFISAIPKKKSANAASLLPEIV DDLKKLENSVVMFSAEDNAYVLVVPPLLWIEADISCHSELCGLGAPNSTYPCRKCYIK LQSQIPELNKVEYYTNRHPTRTKDHYIQAASTPDRDTVILDISYFDDKNTAEELTFKN NSTDKLLELKVYDQSKDTSAEILHYILLGIAKYLITDLVKVVLNKNKKELEELFDYVK DYKNSRGISRVFTRTLTHAGSFLGRDFKVLIQILLVILAIKFADTEVLQEITPLFVRL GRLCSLVFVQSIDSQYETYISEVDSAVRSLIEALHKYDTNCKHKKHAFYTSKPKVHLL THLPEDLQRFGSALNYETKKRESREFTDKNGTGLTPRRILKDNTFALFRQSNGQIIIG MVLFSKVYHLYIEHSSAHAVNNNYCLTLKYADDIYMPLDELKIVCLLDMHLKVGCKYV VNLNKFGSYWSFLYSFY PHYBLDRAFT_142268 MGKKEVPLGVPWGAAQEAAQEKLFIRLLSHFLPVMNYYITLLSN RSMRKLSSSGVHMNGFGLPAALRAYLIFIRPILEYGLPIVPTSRSDIRILKKAQNMCL RTCIRRPNAMMGAVHIAALAALPNLFTCSRALQAKFLRRAETLPSDSCTLEKAQLLKE HQPRLKDPLKEAYVLLCQKEIDMQLASVNHPVTIARGLCKPVWDLVLLLPCTRSERRL ECQCGAIKDNRNHMLICSAIIALVQKLWALLDPAPPPEVHPIDYVLNCLPRSFKSPGT WCDLWPCLLALLRGVDQTTSSYKLPEEKAHDQILIDLAAKFRATKPTHPHRIPPPTQE PVPGDPFPYLRSEISTIPRQPPPFVPARNYA PHYBLDRAFT_165272 MNYPILGFKSFKEEKSAIWDKILNIKYRTLKKQKVDKALCFQGM MLTDGVGVTILKQNFESERCQKTGAAVTSGESNTNTGRKIKRTKTINEGSFTNKYDKQ FSIISIYKKSKKQEIMTAKAYKKQFEASVYQIIEGTTTWLKKEQLSEEGVNAKQVQIK HSSFYRFVE PHYBLDRAFT_131698 MGQTLSEPVITKHTTKESSDKLFYGASAMQGWRLTMEDAHTTLL KLADTDSSFFGVYDGHGGSKVAEYTGNQMHNKVRNSPFFDKKDYKEALRDAFMSLDKE INNDNAFAFDPSGCTAVTALVTPDKRIFVANAGDSRSVISVDGKSKPLSYDHKPTNQL ESQRIINAGGFVEYGRVNGNLALSRAIGDFEFKKNNQLPAEEQVVTCNPDIIEHQMSD ADEFFVLACDGIWDCMSNQQVVDFVRSKIVEETPIDKICESMMDHCLSPNSEMTSVGC DNMSVVIVGILNGKTEKEWYDWIASRAGAEARKNFLQNDQKNVTEKTEKTEKAEKTAE KAVKADEKDPAQSSQAPSTKKATARDIESDIKGNDK PHYBLDRAFT_63035 MINSDSGPIIQYSLLSNNSLFGNQSTLEVVNTDKNKDTDKLPDY EIYIYASGYQYAYTNGYYTSQNKQPDITNIMSKWFLPFGDLWQECPENKFNVSQGLAF QAIGSYIYPFHNYTHLQKLVWFSMPTRKSDLVNLIGYLRCSSRPQPLPFYVHQTHSQN LQNCFGNGSDGRKRVRVGVVKSTFKSLDLCIISLEKEKKKTTAYNLKEIVRPTFDSLC QVDAFITLSFSGDS PHYBLDRAFT_109354 MSVSKYPLETITTNRRDSFTSLNESDTSLIYTKYDRPISVEEKA LVRKIDWCVLPLICLVNFLQFLDKSAINYANALGLQVDTGLVGDQYSTIVSLFYLGYL SYQLPNNYLLQHVKIGRYIGVLLVAWGSVLAATASANNFSHLAALRFLLGFTEGGVYP CLILLVSTLYRRSEQTSRLGAFWLCNGLALCAGGFISYGIGKIPYILGLHPWRWCMII LGGVTILVGFICFFFLIDDPRSLKWRHNAEEQILVEERIRDNAVVRTNKVNFAQIKEA LLEPRLWCFCFISFLINIQNGALTSYSTTITQGFGYTNLDAILLQTPTGIVDVTYIIL AAYVSQRTGQAIYVGCFCLACTALGLLLLEFIPIDKYKLVGFYICWGYAAAYVMLLSA ATTNVSGFTKKIFYNGLIMIFYTAGNFTGPYMMVSSQAPNYIGGMMGYVGADVLAITL FLIARWQMARVNKRRLSEPPAECINTEEDMTDKQDDNFIYRL PHYBLDRAFT_74636 MESFIGYIKSPQDALIVFEACRRGQLNRVQRRLSSKERVHISSG SVFAWDEREAGMRRWTDGRTWSPSRVLGSFLTYRELDTKRRPRLSSSSSTSSLPITSK ASAACSYKTDGLIKQSFSICTATNQKLHLISYYSKSDIIASRLKRPSADPLLSKIVIP KGLYPELNPLDTSGGHSATNILSYQSKTLPGKDCHVLKTDDN PHYBLDRAFT_122939 MAPFNRPASPYGRPGSSNSVHTKVEEELYEAAGIDYDKVTIKRN PSVAVLYEEALTYEQGTVISSAGALCAYSGKKTGRSPKDKRIVEEESSSKDVWWGPVN TKMSEEVFLINRERAIDYLNTRPRLYVFDGYAGWDPKYRIKVRVVASRAYHLLFMRNM LIRPTEEELENFGTPDFTIFNAGEFPANRYTTGMTSTTSVSVNFKRSEMVILGSEYAG EMKKGIFTVMHYLMPKAGVLSLHSSANEGPNGDVSLFFGLSGTGKTTLSADPKRMLIG DDEHCWSDDGVFNIEGGCYAKCIDLSGEKEPDIFNAIRFGAILENVVLDEESRVVDYS DDFLTENTRCAYPIYHIPNAKIPCVGSHPKNIILLTCDAFGVLPPVSRLTAEQAMYHF ISGYTTKVPGTEDGILEPQATFSACFGAPFLVLHPQRYASMLAEKMSQHKADAWLINT GWVGGSAATAKRCPLKYTRAILDSIHSGELAKGNFEKFEVFGLDIPTAVSGVPSELLH PRKAWQGTPAEFDSSLKSVAQMFVSNFETYKSEAAPETLAAAPKI PHYBLDRAFT_131703 MLSTTSSSRVIPLTCSGHTRPVVDIHFSPKTSDGQYYLISACKD GNPMFRAGMTGDWIGTFVGHKGAVWSARLTKEAHRAVTGSADFTAKVWDTFSGEILHS FSHEHIVRAVDFSKDGTKIVTGGKEQRLRIFDLYRPEAPPLEAQAHTGLIKAVVWDEK THSVMSAGEDSTIRIWDLRTMRETAKIECEAPVSTMTMSNDEEYVMWAAGKTANFLHT DGRPEDVKTYKTEMNLSSVSLHPDHTKFVAGSDADLWVRIFGFENGEELEVYKGHHGP IHTVSYSPDGDMYATGSEDGTIRLWQTTPTKSYGLWQRDANDVQE PHYBLDRAFT_142275 MILGGGQRTARQWCLVLTRACGNQSSSGYNHIAVHQSLKSYHIW TLWLLNFSDTINQSVSWSVGQRLKAAYNQPGYLLILM PHYBLDRAFT_142276 MDNELIQGLQERINILLKDPLLNDISEKQSLQDIDTLIAIEQGH AYRIQVDRNPLDPVYVVVRQASTVQQLKKLIRLELERMEPQNKHVSWKYIWRSYCLIL QGQRLIDDKAVMSQLGIRQNSVLRFSRLGHQKGQHRKAWYWYR PHYBLDRAFT_101272 LPYAVAHFLGYRRPGYKEKKLKLWRNCIWSFLGAWLSIGLLEIM FMYAPLFRAHKTPFIIGSYGAATTLLYGAYTSPTVQPPNVIFGHLIGSFIGVATSKLF TQTATHWSSAGQQQALVWVSGASAMALSLVAMEVTNTVHPPAGAAALIACVDKNVIAI GWYYIGVIMLSSTIILVISCLINNIERRYPVYWWKP PHYBLDRAFT_185845 MAMLEKPISEIVDGQAIQSTSSCTYRFIPSTCSYLAEQRNYQLI MRQEPQRAKMSVINERDRRPIEPPPILQLNWLNCSTEETKKYLQSPFYFMVANLMKAN DTTKALDSHEYLSGSTVSSLYRLRDVDNTDGGFFVFGDLAVKKDGYYRLQFNLFEIMD GTVQNRKTMLSEVFVVHLPKKFPGAIEATFLSRTFSDQGVKMRIRKEHRLQTRKRKAE RQIDSDMADVKRVVVTDQEPISGQRTSCQNEVLFGRWQSNVGVTSRSLGQTGPIETIH QASSMSAVNPFNPGGEGRLRYKDLTSRFRYYPKQHENKGFYEPMDTSNSISTSTSTST NTSISLSNSLSSSTIGTPNSPSGDIGGNTNDGEPLAQDTSEFPSPISRTHSVTSEAPE QLSWSPASNDMMKIERLASHGCGSPSSGGAWHLTNNSSSNSNSNSDSYQDTPDLFHNS SNSPPSPTPTAYTVQSPPTLIHQAPSSEAHLASYHFTASDRRPTQSYASEDTHPLSHI HYAATKNEHWGERLPPLRAIMEDQHTDQHSLHTHVAPLELPAIITSGNPHRLGADSSS SSFLPTLFPSYVPQSNQQPKKQHQHQYQHNHTHNHNHHNHQSRQTPHSMSGQDVGGIF AQCSI PHYBLDRAFT_185846 MLLNRYSFSRRLGDMSILFGMSESNVSVVCRGFESIVMNQIKWG LQFNIKQFRPENLKRFASAIYDKGAALPSIVGFIDGTMQAISRPSQGNEVQKAFYNGW KHMHALKYQSIVTPDGITSSLLGPYDGSRHDQYIYTMSKTEARVEKYLDIVPDVELPF ALYGDPAYMVSKCLYSPFEGVYLSGIIKKINKSMSKVRVAVEWEFGEVQKYFKYSKYK YAMKTGETSPATVYMLSTVFKNMIHCTGRNRSPTSSYFGLEPPTLEEYISGLRRDKID GEDEDDILF PHYBLDRAFT_63025 MSSISKTKKNMENKFILVYKNIFPNRKRIIGKYVDIGVLYSEAR LERTIKIEGNQALEAGVSAARIIASNNLAIANPNNQRATYDSDQDYDNEEEDVNEDSH ASNGYNMAQGFRKFQRESHQVAKSQGLFINGNLQQLL PHYBLDRAFT_157999 MSAVLADVEQNRVFSWTNTASTGTENEHPDGEMATINQRSIAYH LGYCEVKVSEGDSSSVYLHYDMLRLARFGKKLCHNEDLRGSLLVMAVGFRVRFFILTR NKPFDTMFEIANMELPKSLSTLASFTSNVHKIKQILQAYYTHCTKEPEISLKRKKESS MTKNDIQQVINTSKPKKMKASLSFK PHYBLDRAFT_63023 MSKEKRSDPMEDVLTQITDPNVAFETVTNRNIYLSNLKHVIKTV PNQRHMPLQLCIINAVTSFHINLRSNWARAKIGEPARVKLPKTRSSSHTIISAISCSS VINVALNKLPPKNVWENKSSPTAVQKKRKVSKWKKGTAKYFIMKEPTVEYMDIVDATA EGSAAPVDKCTTTGHFIKLMNEVLDITYHDEDFKNHYLDMDNCTILKTKPVIRKIKSR GYRVMYLLSYSPELNPTEKF PHYBLDRAFT_142284 MSLPLPLPISLPLLLPLRPLRPLRPLRPLLPLPLPLPLHPFQYA IWKLEKYTKRRSFMPEYDPKDKEYYNQYYKDGTYHDPANAPPLTKAQTAAKRSSSLRA IHRAPSLLSQRATTLFRKTSAASTPPRCSENYNQSAHR PHYBLDRAFT_180221 MAASAASQSALTRFINSPAGPKTIHFWAPATKWALVIAGVGDLN RPAEKLSLTQNLSLLATGLIWTRYATVIIPKNMTLCTVNMFVAATGFVQVARILKYRQ SDEYKAKVAAGLA PHYBLDRAFT_63020 MKFGQTLKSSLYPEWTSSYLDYDGLKNMLKKRINNQWLEENESE FIECLESELDKVYSFQRAKLDEIQFRIRQEAIQVDSVCKKTNPKDEEFSLCQVELERI IADVYDLEKFTRLNYTGFLKIVKKHDAITGWITRPIFSARLNAKPFYKENYDALIARL SCLYDRVRTKGIQRGGDSGAGGKQSAFIRNTTKYWVHPDNILKLKLIILQHLPVLVFN PNKEFCQEDSAITSIYFDNEDMDLYMGRLEKSEGAEAIRMRWYGGMDTTTIFVERKTH REDWTGEKSVKARFPIKEKHLDAYLRGEYTVDELMAKPREQGRKSKAELDEMEQLARE VQYTVLTKRLSPVMRTFYNRTAFQLPGDARVRISLDTELSLIREDDEGRVRSGNHWRR MDIGVNWPFKNLPEEDICRFPYAVLEVKLQTHFGQEPPEWVTELVNSHLVESVPKFSK FIHGCATLLESKVRALPFWLPQMEVDIRKPKNKAFELLRSKTKTKTKTKAVDKDSDSD LSVAVHLGDPSSIGPSPTETTNFHGLSAVWDKSKTIFSSNKSLEPAASFDSTLALGGG QPVRAMPEIAPPVKLWMANERTFLHWLQFTLLLGGLALGLLNFSDGVGRVSAAVFTVV SVGTMVYALLCFHSRASRVGRKDPGDYSDRYGPAILTTSMIVAVGINFYLRVNESTKS GILQI PHYBLDRAFT_122948 MPRSAWKGPFFTSFPGLRQAIQNGTPIATQARSCTILPSFVGLK FLVHNGKDYLPVHVTEEMIGHKLGEFSFTRKRFSYRFTKAK PHYBLDRAFT_63019 MYLIIVLCGCLAILTILSFVSKHIALLRSSVLAYGKLDYTADQP LTAMARWIKNITVPKTWFCHFYAVGLMAAIECIIEITLALQWKVFGPVLTVLRLWDTP QGSRHLGLGECLVGLGLMTIHLARRLYESMMIERPSANARMHFSHYLAGMGFYGAMVI GAWLEGAANFGIWQPIKVEPFTLPWTTIPSIGLFIYASYHQHTCHKILASLRTPKTRN AYVIPRGDWFENLVVPHYFADILVYVSLWILYQGRNWTLTFGLVWTIVNLGVTAGETD QWYRKTFGKRYNDAFPRSRSLLLPHIY PHYBLDRAFT_63018 MSEPTEYYDTIDLHIRWSDRQDLVLSVSPHDTISTVKQKICQMS PHAKGKYIRLIHSGRILEDNNTPSDYGLGKMTSDGSKSKMTPLPVYIHCSLSDYVPEQ TSTKNQPTPNIPVTGFDRLRESGFTEDDIQNIRSQFHRLHGTPIEGDNEEARHLEEQW MDNTTDTLPDGTLQGTYKEMVWGLMLGFFLGVICLFWFRENVFSRRHQMGIVAGIMIN ISFGVLHVYY PHYBLDRAFT_180223 MANRLETLIEIACNPKRTKADQSTNIAICQLINEKEGIYPRKAA MLLAGFAASSQPNRALLALDLLDTCAKQCGYPFHLQIATKEFLNKIVSKFPERPPPGY SPSSHQENNQWNHFDNFVQSVTFSVPIMDRTLYLIKEWKVGLADMSRFKQDFSMIKEM YRLLRYKGYRFPEIREESIRALVPPEELRTADELEEEDRLARSAKLQELIRRGTPQDL VEAHNLIQIMTGYDKRHQTDYKQKFADEINAIQEQAMSLFEILNAVQPNQGFQLSTPA KNLVQACTLAQARVSKFIQNGDREDSDDLLALNDLLNNVLAKYTDLKQGLYNTHYGIH GRIKESDIPTEQQPPISLIDLDDDDECTTEAPPEYTFSHVNDLADIFEKTNIVSPSSN SPKSFGSPVVSDLTQSTPGTEPKPTGTSGEQIVLVNKNGLCIVLEITQVGSLLSINAL YSNRSNAPMTRMTLSLATPKSVELNMGFQSSQTVPPKSENQVYQTIQVKNTTKDSLRL RYKATYEQSGVEMELSGEYSAYNK PHYBLDRAFT_109646 MSMDLRVGNKYRIGRKIGSGSFGDIYLGTNIITSEEVAIKLESV KAKHPQLEYETKVYKALSGGVGIPFVRWYGTECDYNAMVMDLLGPSLEDLFNFCNRKF TLKTVLLLADQMLSRIEYIHSKNFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYRD PRTHLHIPYRENKNLTGTARYASINTHLGVEQSRRDDLESLGYVLMYFCRGSLPWQGQ KAATKKQKYDRIMEKKMTTPTELLCRGFPSEFAIYLNYTRSLRFDDKPDYSYLRKLFR DLFVREGFLYDYVFDWTVVRIVSNISL PHYBLDRAFT_165292 MAKKINRSASSGAFIKKIQLANEDYMDLEFDHEDDIVLDDRELQ GMSEEVLISRFVELIRKIREQLNGEKEKQIMMLQIRKEATVLQIKASLLKFKKEAPIE LKESIDSELENIQIDKIAVLKLAHEDVKKEIFPYTRAGPSSRSVDAFELCKLKSVECY LRYRISGAKTMEASEKASMEIWLHKNTYRPAAIRKYAKEYVDFRSIALHQQGKHLRRH SLFSDEDIQSTICKWIQNQRPESRSLIEVKKYIDGEILPRKLGIPGNTSTSTIWKYLH EWGYVFRKNSKDIYYDGHEREDVIAYRQKWAKIMMVYKKKMATFSENEETVVLPVLRS DEIEHVLVTPDESTFYANDGKDTMWLMEDENPIRKKGPGMSFMISEFKCVCHGTMARG AWSSREVFRPGADRDGYWTSADMLKQLKKNVIPLFELIHPGCKAVFSFDQSTNHKAYG QNALISSKMNLNDKEIEDDDPCSLRDTVFVRNGVEEVQSMYYEKDEWFAKKSGQWVQN KVKYVKGVRHILEERGLWLEKDPYNPIKKWRLDCKSKDASEDSKCCAHHFLASQPDFM SQKTALHETVEDSGHIFELYPKFHCECNWIERYWGAAKREARLQCDYTYKSLDKNIHT FLDHAGKLPNIRRYYNRSWRYIEAYSQEMNVKEANDVVKKFTKKKYTSHRRDEGRE PHYBLDRAFT_19019 SLSPFEHKEILEYSQVYFVGAHAEKRRASPGQAYSNFGYDDGRG EYHIVEKDHLGYRYEAIGGLGRGSFGQVVKCFDHKTGQTVAIKIIRNMPQYHTQALVE IKTLEELVRWDPEDEHNMVRMLNHFYFRNHLCIAFECLSFNLYDFLKSSQFQGFSIGL IRRFGIQILNCLALLKKHKLIHCDLKPENIILKCPTKSTIKVIDFGSSCLESEKVFTY IQSRFYRSPEVMLGLPYSSAIDMWSIGCILAELFTGKPLFPGENEQDQLACIMEIQGV PDKRLVEASTRRKTLFDSYGNPRIFPNSKGLKRKPGSRKLAVALKSTDEDFVDFIDSC LQWDPVHRLSPTEAMKHPWIRKPSSSSRSREQKTKNK PHYBLDRAFT_63013 MVSLATKSTTFSINQVWIILDYLWPVLEDPVFITVFSVLFILPA TLFVLHVLFVLFTTSWAAILMWILTVSVSITTGLAVLVPFFLFAVFTATVLTGLCTIL KHFSSADCTSLKDRLLTMSNKPS PHYBLDRAFT_180225 MSTTTHFQCDMTCQTPTSSRSLSPSSLSSNRQPSPNNSTNSRDL SPGTKSDRRPIYRSKSTSTLLASTSTSSRRLSSGSACDTCRKRKTRCDGNQPCAFCVC NDKACTHTPNSRRKRAPSVTAPGILSNRLPTRQSRPSYLCLPWFPKTDADDKQSNNQE YSYKKRSAEQKLRLVTKAGYPFMTSNPWQCQDSLAPLSKVKPNLCLDHHSGPITQSWS LPTSSIDTYRDLQNCPPTKEEIPSIIDQLSCLTFSAATLASVDRNTNYPIYPLSSHVI NKIPSRHVSPYMSIHI PHYBLDRAFT_37677 MAAKNIPKVFFDIAVNGKPAGRMTFKLFADTVPKTAENFRALCT GEKGIGKSGKPLHFKGSSFHRIIPGFMAQGGDFTLGDGRGGESIYGNKFADENFTLKH NAKGLLSMANAGPNTNGSQFFITFDKTPWLDGAHTVFGQVTEGTDLLEKLEQLGSQSG RPLAKVDITECGEIKE PHYBLDRAFT_95683 KVRTWTDRTGAFKVEAQYLAIHAGKIRLHKINGVKIDVPVQKMC AEDLYFIESETGMKL PHYBLDRAFT_109165 MREYKLVVLGSGGVGKSALTVQFVQSIFVEKYDPTIEDSYRKQV EVDGQQCMLEILDTAGTEQFTAMRDLYMKNGQGFLLVFSIASMITLTELQELRVQIQR VKNTDNVPMVLVGNKCDLEEDRMVSREQGMRLSQQWGGKPFYETSARYKINVDEVFYD LVRQINRQMPPKDKNKKKLKCLVL PHYBLDRAFT_185853 MDPASSKTLPAHSRWKPSTWRWIYVSTSVAFATLVVFFALLPTT WGNFFNAHPKRGEMGLGRFIIRGTRMINGKHTPFAYPWRLIEPNRTGRVVAWAAYCIH QAGQWWILAKAQKSKDQQGWSNSYRWWNWSMMYLNGFMLLFKLVHTHVFYDGLAADVA EGTAQGSVVAILVIALILAIPARGIIFGLGRKPKSELIKDVIWFTKKYHGYLVSFGTV YNFHYHPAEGTMGHYLGFVYQVMLLWQSTTFLHSSHRDKSWVMMLELWVFFHGTITAL CQPGNSWQIFSFGFVVMFLVNQIYLTPLAKSPERLAVAYAVFAIVSFLAFRNDKKYFK MTFIPVAEYACLIFCIVAGMATSRLVRSLAIPQSISPVFVGVVYILVGVLLTVGLAKV LAGDIRVYDDY PHYBLDRAFT_165299 MIASGLSFEILFSVASLLTTKDKLCCTLVCSSWKAPFQDALWST VDISGYEKLDAMCNLILQPNVYLQNGHRVRKLLFNKGVATSESQLLKIQQYFCNIEYL KIRLGNLTQYKTAVVSADWSLWRTLTHLDIYVSGLNSENEPRDVLHIVSFLPALRRFD LTDKYYTLRLPYTLQDFENFHAYLPRLEYLAMDFLTKKISFEEVLQANIIPTTVLTTV NLFNRRMDHGWLYYCALKYPNVHTFGWRADCRQDATEQEQEQAMSMISSFTSFFPHLH TLFFRELLTIDLRHTILWKLLCQAGVYPKHLHYDLEWMDSSPYQSRRTLSNGMYSCSE HLETLSLTSFNHNFLLTHSLLTLGEFPRLVDLRIMLNRASIFFDVILDQCISLKSLKL DNCLVIFDSATPENSAKHGLRQIEICHLKVGPKIFHYISVRCQELDHLSLNEMRIVGS MSKDSGALYLNMPYTCLKLLKLNNVYFYSSDNEYDKDLIKIFCIEQSDSSVTNALKNI KTFERYPICVLAGLWFYYCLNRSEGNLAAKIRILNPDEIDFAQKYFKSFRNKMIGSPI NLFGTSREFHSIEERTWKDDLVKGHVRFFCKYMSELSIDNVPFCKNTT PHYBLDRAFT_185854 MALVGNNTPPSRLPPWNQLSYVLAKDIFKNNLHSEFLTGPETLY FESAVETFTTWLAFMSPARDYGVSVANDTITEPEIVAFRIRSMIFEKFIPHLYHSNRP CCRNLHLDLAQLCESARHLQIPDPAAVAEASIQRKKALTNLKETNHAKTPNSSQNPDE ETDNEPAIKVEPPSSLGTIPIEQVEKVPIREIYQTLEYDQAAMIEQKKLQEFEAQEEL NEEEEKDNAEDQSLAIFNANGNFNLKYLLQGIAANRQQTSLSDRDLQNLLSDFRPHRS KWANDERPGQEELYEACEKVLNDLKNYTVISKREAPDYFEVIKRPMDLGTITKKLKSL NYKSKKEFSEDLHLIYENCLIYNTNPASEYRKHAIAMRRKTDKLLSRVPDISIKERPE AEIDDEIDEPSEEEDTESHRAFRKAPGSQKHPTASKQRGSTSANHDNLAARGSRERSL TCGSNSASHGVGDGVNMGPGKDENNRGHSVSENGVSHKNYGGKQLKNQNGVVVEIDLE QEEKNVGAEIDGDVGELQDQVWRDMTKKTRAKMTSDIEKQYQFSFGDREAILRSPLDM ERFAMLEHVHSTPQVTQRLVRCTADTFSQWTERRGGNASLYDEFDINLSDEENLDAFF SRKIAKPKTEQDDDTRTDLFLPEYIISSGIPEIAGVPEEFIEDEPKRGKQSSRMSRFD DTGFEATSSRPKGYTDVSLDVYPCTSFPKHGLNKLIDRNLHEMQHIRTVYNKCNAVRN NVPISTFASIPLDAVDPVLTSEFVFAKPAPVKPAYTSNPSTSTQPHLPSSSLPPKPAS HKAIPPLIMNQDSSQQLTQRTVAKLLAHAGFEGAHTGALNVLTDMMSDYLLNVGKTLR TYWDDYGRQMNGEEIIMHTLYENGVPSIRALESYIHDDIERFGTRLEDLHRKLDSSYQ DILSGSTDKCSVDTDALLQDEETFITGSFGEDLGEDYFGFKELGLERDFNLGAYPIPS RLWFGGNKEKAKSTSIANNEPLPKYPPPPPFTPLTSEKELIGLLQPIFRKKLADTPHG LVEDEYLPRNRNRPRYPPINKNSGIGRKKTAKDGSSSGGGSGNGADSKKSKRKRPLEE IKAEKAERAEKKRQKLEERAQRMAEKEQKRKLRDEMKEQERQAKLEAKEKKAIAKKVK QLSTPVDSSSQAAFVPPSLDSPSMVDLEDN PHYBLDRAFT_103959 DEANETLSVEDDKRRRNTAASARFRQKKKMREQALELTAKEMTA KTERLENRVKELEMEAKWLRALVV PHYBLDRAFT_63004 MNNKWPLLPSSLLHFPSKISLNRKLGSSGWYNNNIRGPLLKSTL PKNMTRVFTVLKCFLFWLVCMELVISVFVLKEKRVVLAIVNWLSMIKDKPSVYLRYKC H PHYBLDRAFT_142302 MKTHPRRGFWLSSKNDVQSNSSVHSTVATERSFTSCSCTSVQSR FAFEHKYFLNPTQLSVSVPSRLAFEHKYFLSLP PHYBLDRAFT_142303 MEETPELGSADMASQQSRSQPRKKFTPALEGRSNQVYTQASQQP SFLPTRHGIKFTMTAKYRHLNFALGSSLMSSSVYQSVGSSKIQEIVLVNPSALLHVQV LNEFKKTLRIQGVCKNNPTHRKLLNTKTRTQGKQFTSSQSRAPKRLLRFKHWSFSISN NKAQDRIPFGFFSPAVNAGRNAQGTDQISDHSTLSELNSHTSQRAQCFQ PHYBLDRAFT_165302 MSTSSPSLGTEVYFLEFYFYMASAIMIRFRHQYSCQFSIVTSLF YLCAIKLAFGFYTGPSRGLEDIQFRFDPAIFSYNDKLYAYGGSFGLNSTTNIFTSISI SAEGDSKGEIIYETVPQYKPGPICSYSEAVILQDGHTALLVTGSEKANNDKNQTIRTY MYDFKSSDASWKEIFAINNATGPPIRLHFTLTPAPNGKVYIYGGSELYAARHLNDFWS FDPLTLEYKNLTLSNQPYRGDHTATALPNGQIVYIGGIHSNTSGDYSSYLSPNVLEIY DTNTNEWIYINATGEKLAGSSGPGSVLGILGGTMSGVYLNDILLLDTTTWVWSRPNIP GDYPDAMYTFNMGFIGENLLAITHDMLSTFPYSDISVLSIEDQKAAKYKWLSGPEDLP ELDTVSNIQSKMTGGVIAGITVGAVLLVIILGFCIWKTSQDVYCVPRSLGRFIWGPRG GEPVWIELFRKLIQCILVFLFLAYAVFSIRQALNSPTTSIIISTKVSSVSIPDMRFCF EGYNIPGDPRTKFDESDGVIMTCGSDTGVSCDQFMTKLDMKVHLPVFGDSVTASDCYL FSPPSWFLLGTTGDGNRNGTKLHIKMFGGIQLTGTIHMTQYPPGMDPNVKVYKINSTD VPLVMSDQDVNEWAVRDMQGKSDSNTFTMYTNNSMNFQYQIKDHQYLTDSGWNQIGFL PLYNHTSEITSAVQANNFDIHKDIRYAENDLIIGFIDLYPVDYLTIVEQEQKIHTILN SLGSVGGIISLMAFVQAWMFGFRPNSPWGVVQRWSCGCIKRSLGRNLRKKFGALDTPV PFVSPVNSRFVDTEKMHGYLLDESEIGLLRDQQDRNFKALQDRQYVMERRMQLMERLL ESYYVDTEVFKELDLAIGHHKRNSSSSTIA PHYBLDRAFT_165303 MCIKKGQRNRWGTRRLVHPGFGFGFGFGFSLKVDRQIDTRRLIF DRELIFYIDLNTHIHTSNQLKIKWRCGFWYYSLFDLAFLNKDRLTGNVAPDKESLRSW LHV PHYBLDRAFT_108959 MTLKNGSPSGVNSTDPGDRHPPIHSSSSNSPLPQPQPHPHPNPH THLQPQPQPQHQQQPARSTSRNLFSSRIPYFSAPIAASHTPRPTDESLGLQNPGRASV TTLVESSPQHQQQTLKSHPVTVPKSRRYATRRIQLTRGNLVLDCPVPDRLLKAVARKE EEFSTMRYTAATCEPDDFQSRGYTLRPQLYNRQTELFIVMTMYNEDEILFTRTMHGVM KNIAHLCSLQNSSMWGPDGWKKVVVSIVADGRKIINKRVLSVLASMGVYQSGIAKNMV DNKHVTAHIYEFTTQISIDPELKLKGIDKGIVPVQIMFCLKEKNAKKINSHRWFFSAF GPLLQPNICVLLDVGTRPGYSSIYQLWKTFDRNPNVGGACGEIRAMLGPVFSYLLNPL VAAQNFEYKMSNILDKPLESVFGYISVLPGAFSAYRYQALLNDINGHGPLEKYFKGEN LGSQDGADEKSGLFEANMYLAEDRILCFELVAKRNERWLLHYCNSAFAETDVPDQLAE FISQRRRWLNGSFFAGVYGLWHFKSIWTSRHSFTRVFLLSIEGIYNVINLIFSWLTIG NFYITFYFITKSLANPDVDPFGQGWGARIFDMLRYFYMFLIFLLFICSMGNRPQGSKW LFVGSLIAFSVIMCYMMFASSWVIYKGIQNASETIGWTNSLNTNVGLVFENTGLRNMI VSLAATYGLYFVSSLLYGQPWHMFTSFLPYLLLLPGYVNILNIYAFCNTHDVSWGTKG DNSVAKDLGVVKVSDNKQQTVEVELPAEQRDVNIEFEEALLTLDAKVPIEKGSGNKSK EDYHRSFRTHLVLAWIASNALLVAFVTSTPFSTIYVESVGTTYMSVILWVNAGLSAFR FIGSMMYLVLRLFTST PHYBLDRAFT_142306 MPAEKVVVMPSRKTNEVYENWKVYSINDKLMFRCNAQAQWYMSR KLANPHSSHAKAIQLTFEAKGQGHSADDYMVEDRVNSCVSCDARDVPDMYRRWMPLVI KSKSSRDLLLLCKICHDGYEGHALKLKKQLVKKYDIALEGKGWIQRPDYRAARKAAAA LLRAPEKIPQSRIEELTTTVDSFAKGEGWTDLKWTDILSKCSELKDTFQGPDFVEHGE CVVGQLMQNTNDRGRWPDLEEFVKQWRQHFLDHLKPKFLSSRWTVDGDIYTA PHYBLDRAFT_165306 MVPDTTLTRSAIYFAALAQTAIAPAAFLHYVYYIATESLLLVLH PSVDLLLHYWLGAELIFYVYFQITHNRMQQSLPPVFPSAKERSILYYNCLENVEDVRE FLPGWFMHRNNPSVRPPLMDICRDNLAEWIAWGFFSSPLEHILEDAALTDELYGMIDG LQAKFNIKLKPGYDEDVQSFRLSLDPVIAYYRPLFFYLFVMLLTHAFGFVLQMWGMSK YGPETQSTLTTFLEPQESWRSINRLTIIPERVSYWFRDGDRQNKKPVVFIHGLGAGLM CYCKFIYALLTLDCPVFCVELPYVAMRCTEDVPTMQETVRDIERMLHRHHFQDAVFVA HSLGTAVTSWSLKLIPRCISGVVMLDAICFMLHYRDVCGNFVYRLPTTAGQCIIKYFA SSELYISYYISRHFHWFQTALYVTPKVSHNPNMAAMTTQLPRNTKIFLSENDSIVDSL RVDRYLTHHGIDSVVMKDLEHASFLFYSSWEDKILKTINDFTTIHDTR PHYBLDRAFT_185856 MQSNNSRLLIIFLITLFLVPSHAFERRAPPPEEHGSSTQNLGDY THSHEDVKTPTYSCISTGDCDVCTSLEKKTIPYCAEFGNKEPVRCEWNDPDLADRKNQ TTFYDYDAISLPSFRSCPRVKRIVRWQLIQFESINLVVAVVSIILVIWRQRKLAREQY LRLAHRIGVTV PHYBLDRAFT_158005 MDGSEVMHRLWNRDLAAVLNFRHILNNLRYDETIPVRFTRVIRI GRIRRQAEEDLQEGRRL PHYBLDRAFT_185857 MATDIDTLVSMGFSRGKVQKAWKATKGAGLQPAMDWILEHPEVS DEPDVEESSTGQSLNTTTSTTDASTDTPTENEEGEIFNGEQTAHSLICNDCQKLFRDS TAAERHAIRTQHQNFSESTEVIAPLSEEEKKQKLAELKARLAEKRALKAQEEEAERKQ AEKIRRKAGQDLSEVKQQLEAKEIKKAFDLKRKEKEDDKKALAKIKAQIEADKRDRAA KKEAAKNGTPIPAVAAPEVATPVAASAPAAKKEYTEARLQIRVPGISPLTSNFPADAT LSSVQTYLQSNGCVNNFTLSTTFPRKTFGTDDLNKSLKELGLVPSSALVLTYI PHYBLDRAFT_108945 SFEDIGKRIGHDEVYVAAMFYGQTKPSQEELEKLSSVLNIPTSH LKEELGDQFFPDRGGLVDLPPSDPTLYRLLEIIKVYGYPLKAIIHEKVWRYI PHYBLDRAFT_109375 MSGTSIPVLLAYVSAVMRLEVGDIILTGTSKGVGLIQAGDVITV GLRVGSTKEVLADLIFDVADRHGSSFF PHYBLDRAFT_74226 PVPVPELGLAVELELGLAVELEPVLVLEPEPEPEPELEPEPEPE PELEPELEPEPELEPVLGPELGPVPELELELELEPELEPEPELEPELAVELELEPVLV LATVDVCWLLGMAGADSDIVILLRSFSNCVSDTVT PHYBLDRAFT_93327 RKYNEEPERLKRPPNAYLLFNRFMRSKLLKVNPNLSVAEISKSV SAQWKELSEKERKPYNQEASRLKQEHMDMHPNFTTPQLKVRDPRGRKKKRLTHPTAPK HPMSGFLFFAGSVRQEIALQRPSASVGDVSKIIAGKWRELTDAERNPWCLRATEDKGR YAREMQEFMA PHYBLDRAFT_62993 MYESSAFQDETVPHQQYNTLVQVYRTRRRQILSHFETRIECSLS VICDITVKKTQTRTRRAPLYSKMPRLVLQNNFGNRTYDLSSKTNFVPVISLSVELGQL ILANCYKCVSLNLTSLVNCAGLFFYFIYSVSNFEFYFYFYFHITVCGTRCLALRGNLG SIEVKTMTNLACLGFSDQLWRFISISFTFISTNLPNHIKIHKVLCRKEKEEEEDFSCC LSIYLSPQILSSHLFFLFAILLLVPVALGRG PHYBLDRAFT_62992 MDLPDDKKQKKMLIAELVDLNPMTIFDKTNREHNMIATSLSQYE HVLICDKSNSRVSGLPQRRNFQMLDAGDQRPVASILFHMIPNSHLSCISVTDYTEMDE NIATILNIDWTRRLWMRVVCARVIEGLILVNPLYNKYPTLDAHNEQTKAKSYQSLNTT LSHPATRYPNVELFIVEEDNGLKLELGTKIINVLLTSSTRMDKYLSIRKLHLFDEYAQ LRQQTSCARCLLLSGHLPTITLVRTRIQMRKIASQLFRAVAASVWEQSENFILSRHCV NDQQKNINRLLTNLANEISPSRSTANAKVTHAICQIFTM PHYBLDRAFT_62991 MLASELPFEILHKIGMFLCQSDLVKCTMVSKTWKQPFLEALWRF RLIIKPSTLDAILDTTSKENIYTKYGRHTRELGFAGPIVLKQGSIFIIQQRFQNLKAL NLLMLDGQIIDLGGLADWDLWSTLTYLTINANLLNPVSGKDEIINAFKCLPKLESLIV QQDEWRKVLEFSLTDFENLHTFLPCVRTLKLDASLAELTNADAELLTHVTPALDLSFA SVCFGAKDLRWLYYFAQKYPNVRILDCKSSDQYITQYTHQTETASMLSKLPSVFPHVK KAEFWSPSNSDDLLIILCGLLHPFNVPISHLKYRFRHRLREVVSPDEKIQQCTRLLPK TLTTLCIINQQNTRLSRFNTTALRYCPNLVYLSISDRDAFISFDLLLDFCQHLKIFKL DQGLLLLSTETSFDSPNHGLEAIDISRASISVPTFEYTSIRCELLECMRLNDVKIVGA IEKRTGSFCISMAFTSFRLLHLNKVQFYPTDYITVNKPIHLVVLSRYTTVTQNNHHPK RHTHLREDYYPREVFEYMWHHTYCNDRSDYDRLPQTRMLTRKETKIARRYFRSSRRNK HIGEDDSADRSIEGHVQENEWEKDLHRGYVSFFCQYIHKYEFGGNMMYSLDIKTMIQY SIYSHLSKVRFYRKGFNITSTDIQTFKYTEYDTEYLLILNIRYINLEEIYLKP PHYBLDRAFT_62990 MEHSRLRISVKEKLVNPLRRAVTAGVLGACLYKSYSLILENQEN TSVWDRRGFKKIPSPSERYFYIGHFLSFREQPNIQLQKWHKKYGPLIHLNMGAQHWIM ISDPYIAHEIFVKNGAKTSGRHEHSFSYEKYAKAGSGIIFSHPGKKLNSALANAMRIL SHQNVDQCIEEFEFTAETTLAQMMEATEREGSVDPSIYLKLGAYNTLIKAIFGRNISS INDPVFKDLLQVTQNVLQCSSPHRDIKSLFPRLAWLECLIGNNKKKEKIVSSRDELYG RLLKEALDGDADCLAKEIYLLKEEQELEEKDLIVMLSKCYS PHYBLDRAFT_165317 MCEEIDKFISDTGRIPGFSDRDLLPYLCCVLKENGRFRSPSNFG IPHTVNADIRVSGYFIPKGTILMASMHAMHANEEVYTDPSRFLPERFNKSNKMWNTST NGPIKERETYIFGWGRRTCPAIYFTEVEIFNMCVRTLARCSIEPEFDKEGNPMLVDLN LSNSLGLGSVPVPFKVRLVPRTKFIFATTNFTT PHYBLDRAFT_131722 MPDSSVAVAANAGKKAKVSNFPPVTLENIAKFREEARKNNDPRM LLDLAKYLLEAVPLVCVNDTDVKRAKKVREAMVLEAQKIVRKLATQGNFGKSGYAEAQ FYLGNAYTGGLMGLPIDAEKAFGSYIQGSKQNHPGCTYRTAVCYEVGAGTKRDKSHAI QFFRKAANLGDPSAMYKLGMILIQGHIGQTKNPREGISWLKKAAAFADEDHPHALHEL GIAYEKEGIPSVIPDVNYSRDLFTQAAQNGYAPSQFKLGLAYEHGYLNCPVDPRRSIA WYSKAAEQGNMEAALALSGWYLTGADGILQQSDSECYLWARKAADHGFSKAEYAVGYY TESGIGVRQHIDEAKKWYMRAAAQGNRRAMQRLTELKNNGASRPQQRQNHTRGPNGVP ASKDSDCSIM PHYBLDRAFT_97203 KLCYRHRPDLVRKRLPDSFNIQDVQQQMEKLPMADKEAISHIWS LFSAAPDEQRILILKGILSTCCMPQLSFLFDAIKPLLRIDFISILPREVSLHVFSYLD ATSLCNAAQVSQTWRSLADDDALWHRMCEQHIDKKCTKCGWGLPLLDKKKRPNPRKPT TVFRTTPVSVLPLGTACGPTATSNSNTETSTDDALASNPSKRQKTEARVESIETASYP ASQPLVPLIRRPWKDVYSERLVVERNWRQNKYQGRVLRGHTDGVMCVQFCDVAGILMT GSYDKTIRVWNMETGELIRVLSGHARCVRALQFDEAKLVTGAMDNTLKIWNWHTGQCI RTLQGHTGGVLSLHFDSRILASGSTDHTIRVWNFEAGECCTLTGHTEWVNSVRIFGDH TLVSGSDDATIRVWDLTSRTCTSVLKGHVGQVQIVLPSPKGFTHRFTPEATEAAAMAS SRNISRTAARARPLTGTGVSPPGCATDDSPRLQEQADLTVNSNCSTLSDRPILMSGSL DNTIKIWDMTTGVCLRTLFGHVEGVWGLAYDKLRIVSGSHDKTIRIWDTESGRCMHPL EGHNGPVTAVALSDTKIVSASDDGDIRIWDY PHYBLDRAFT_103967 DDKRRRNTAASARFRVKKKMREQILQRTACEMTEKAKQLEIRVK ELEREIGWLKSLVV PHYBLDRAFT_165321 MDKYCKVCSGTDAKYKCPKCKILYCSLACYKVHKSTPCESNEQP VQVYSMALLLEKEPIRKEIPFEDEEDESRLSEDKLKGLSYADELRPYLKYPQIRDLIT KIDTSEDPEKDLDAARASDSVFEEFIKKILETVSDQKETP PHYBLDRAFT_122963 MSLLRRFKATDLFEFNNVNLDALTETYNISFYLQYLARWPDLFS VQISPHDRLMGYVMGKAEGTGKNWHGHVTAITVAPEYRRLGLADGMMNLLEEVSEKTY NGWFVDLYVRHSNAVAIGMYRKFGYSVYRRVRNYYSGVTNEDAYDMRKPLSRDKKHET IRENGENVYVDPEDLDSWR PHYBLDRAFT_98629 SLVRRVTIGLVDIHTSLNGSQYSPQENLKRVLTRPSKPGMNDGF DNENCDYILRVNDVLGEARDHQYRVIDMLGQGTFGQVVKCERLSTGELVSVKVIKNKA AYRAQSQIELNQNIEQEDRHRILKLYHTFIHKNHLCLVFELLSFNIYELIRQNSYQGL SANLVRVLTLQLLDSLVLLKKADIIHCDLKPENILLKSPDSPTIKIIDYGSSSNKPSR HVTYIQSRFYRSPEVLLGMQYGHAIDMWSLGCIVAELYLGLPLFPGNSEYNQLARIIE AFGNPPPGMLYRARNTPKLFNKVDKGNGAYSYEFKSREQFAREQGRAELPSKRYLKDI SIKDLVMNANNSTIKTNMIKGFREQERDMQVRESLIDFLGGLLAINPLQRWTAQEARH HPFVTGEPYFYPF PHYBLDRAFT_142322 MDTISSVLGPTPTGSFVSLTSNLLSGTMGSSGSIPTAASSLLSN AIPSAVLSISSTESALPSSTAAPDAAETSSSGFDGIIPGFNGSQVTGPLSVVGSVDKQ SGLDTQLLISSSVGLFCFLVFCILRTRIPVIFAPRMNMKKHRPPELPSSLFGWVIPLI RISQEQLLDNVGLDAVVLIQFLVMGIKLFGLCSFFGLTVLIPISMKTGNSTNPNITAV NRLSITVIDESSNSLIAYLVFTYIFTFFTFYFLQQNYDSHIYLRAKYLIRLSKTLTAR SVIVTGIPEKLRSDHELADYFEHLNIGPVESCYVVRHISHLNKLLKKRLSALKHLERA YATYWGNPCKIPEYDPDLVLEDAKMLKRVEKLTQQAIEHEENSSNTAIIMNLIDPKKR GTQSHRPQVKTGFLGLFGEKVDAIEYYTKMFDELDKRVVEARQSTDFEMTNVGFVTFK NMASALIVSQIAISPTPFVCRTVMAYEPRDVLWNNVTIRGRERLVREVVVWTITIALA IFWVIPIAALASLTSIDFLERLSPSLAEKAKSSALLLNLLQGVIPTLLVNIFMAILPL IIDLLGKLQGIRARSALAETTFSKYFFFLLFNVLLVFAVVNTVTSTLVSFFNNPKDIV NVLGEKLPAVSPFFINYTILQGFLLMPLNLLLLGPLIVRGFKEFFICKSPRDYARNRV PSSFNYGVQFPAPLLIFIIVLVYSTISPLILVFGTIYFCITYFVYKYRFLYVHFTPYE SSGKLWVMVFPRVIIGLLLFQLTVLGIFILKKFFVLAILCVPLMVITVLFKIMIDSAY LENSRYLPMQLLRDNVMQLPTSDQTSSNKSASTPLSEATQNSSDATNINRKRWKKATA AALLINTQNTSFATLNEPTSVHHRRVALDEDDYEAVPDRKTDYRQPPVTLNPGVLDTG LKHFGNPALKGVLPQLWLPIKLNRAGQPATRPPPHKRFGGSHLATNLAQMLRRAESAK RKESEKATNSGSNPSLDVSGEKGAMAADRFLKTSGPLRARFEKSQGKFQDSTDILADE PSKYSRRSSRVPMAAADTHSLDEQYDDNDDDDDNYSDISDVQKGPHATYYHHPSQRRH SLGNLVTPQRPNINPQNGDSAPAVFCSTQSPSSS PHYBLDRAFT_142323 MSIVTNCSDSQESWTYLSEGNANIVLTYSGSNPLLRNTVLRLSK TTNTLDSHLFIKYAIEPLLTPWVLTYTKINLSPLVLQTIGLECFDKRPAHRLGSEINQ SILWGYSSPDMTHYFAPASTLTIEIKPKWGFKPNSSHISEHHSIKQRMCRYCMHSNLK KLETLYCPLDIYSKDPIRVRRAIECLLNTKGDQLRLFLDGKRLELHQSTQASITSQLL GNWFGAKASDEKESSVMTIISELVQKVLDEEVVLNRLKLLQKHCDELDVEGIIKIYEK YSQMDLELDLEGWIGVTSNLLKRLGDKDYTGVVDERQRLYEYVLSMTLKDYNRYVYYQ YAVKLIDLDLKDIKKIPKWFDLDQRIVKNAIQHGLDSICNE PHYBLDRAFT_177085 MTILQFTPFSSAVDAAFWQTLAEKKLNEFQLSDQSRSLLGYYTP GHALLDTQGQSVAVAPRLCLPSQAFEKTSTIPANAFQVTGTITNTNTIQDFQKLDRQA LFQEAASKLWNCIESGEAIKHPGLLCQFFVLTFADLKKYKFYYWFCFPAPIPQPSQWQ LDKPMTPITSEMNSDQIQSLAKEYAKLRSSQGPDSAYFLIKKHTSGNIRVGSLDTWDD FFNRSDEPIVGFADPSGLASPGWPLRPLLALAHHSWGLNTLKVVCYRDPIETSQILVT SLPTDSLYQPKDSKQKDTLPKSVGWERNAQAKLGPRVADLGPLMDPLRLADTSVDLNL KLMRWRVVPDLDLEKIKHTKCLLLGAGTLGCHVARCLLGWGVRHITFVDNGRVSFSNP VRQPLYTFEDCLEGGAPKAEQAAKALKAIQPSIVSKGYSFTIPMPGHPLPAQQLRQDS QALLDLIESHDVVYLLTDSRESRWLPTMLAAKLNKIVINSALGFDTYLVMRHGGRNQA QDQDQEKIGTNLGCYFCNDIVAPTDSLTDRTLDQQCTVTRPGLAAIAGALAVELMVSL TQHKDGVNAPAGKNDVPCLLGIVPHQIRGFLGQFSNMLIVGQAYDRCTACSTKVIEHY DADPLGFLSNVVEDPLYLEQITGLCQMKAESDALLLDDDWTGLEDDF PHYBLDRAFT_9438 RPYKCPMCPKSFYRLEHQTRHIRTHTGEKPHSCTFPGCEKRFSR SDELTRHVRIHAAPHRKRDRK PHYBLDRAFT_62978 MRQSWPSLLLSTLWTLLLLVNLGSAKNDGRHHVKEFTLNITSIQ HNPDCSNYTGNVLALNGLIPGPPIIVTKGDRVQVTVFNNLTPHTPSENVTAEEDMTSH ASIHFHGIRQYGSTEADGVPFLTQIPIAPGGFYVYDFKVTNQAGTYLYHAHVGMQEMS VYGAVVVYESEEADPAQRAKLLLSESESTKQHNQLKAYGSSYDDERTIVLSEWWHRDR VEFEQYLLGPTFNGIWEAESILLNGKTVYQPIQVNPDACRGYEIIPVQKNKTYRIRVI GATAFRTLGFAIANHNLTIIEVDGEPVLPYVTSFLEVASGQRFSVLITTDQDPTDYSI GTNRRWTDGTVPATSNGYAVLRYQDNISEAAKSASPSPKRRSLWSRKLNNNTPTKSDA VLKVPKGSFVFPPETIGWIWDKLTPFYGAYHETRRPADRTIVLRSTDEKLSDGSTRWF VNGISFKDPARNYLSQVLNETRAELVAMEDEEPEYANGYDPKSGMYPVRQHEIVDFVL QSTHTATLPCRSHPWHTHGHSHWEISYGAGDYSHDEHGALRSDHPVHRDVTLVYPSTS QNYTASNPPPADGSIGCGWSKIRIFTDNPGIWALHCHNTAHMFMGMMVAIEEAPELIR ETDTIHSHD PHYBLDRAFT_165329 MPATFSYNNRLYTYGGQVPESSSSNTFSFISLSTEEDSKGQLIY ETVLQNNPGPSCSYSQAVVLQDNHTALLFTGIDDNKQNDNETIRTYIYDLQSQGGSWK EIFTAIDAPGPNLRTYFTATLAPNGKAYIYGGGSINLASSFNDLWVFDPTSLEYVNLT QSSQICRYGHTATALPNGQIVFLFGSTSQFSGSIQTLISPNKVDIYDTNTNQWIHIIN AVVTGYLVGPDKKTIFVFGGKNGSTSEDTEYYGDLFLLDTNIWMWSKLQTPNGPYYGR VGSSMGFIDTNLLAIAYGNFSFPSANDIDILYLTDKNYYQSNWIGGPEYLLSFVQKLQ PHESMNGGTIAGITIGAILSATIIGFCIWKTFKDTYYLPNLLYNFIWEQRSGEPMWTE ISRLIVQFVFTFLFLAYLVFSIQQALDSPTTSITMREKVSYVSVPDIRFCIEGLDMSA EPTSQEYDEFKYRISCITDKGTRCSEFVTMLDTSIHLPIFEDTIGRSTCYLFSPPSWL HLGYTDDGYSNGTKLQFTFNGNMETSVTIRITQYPPGMDPNIQVYGLEVSNVPLLMTN QAFEEWAIRDTEGKSDPNTFTLYTNEALVMQYQIKDHQYLEETGWNRIGFLPHYKHTP EISSLYVKNNFSMVREQFTTFDGEIFGSTTVYPIDYVTIIEQDQKMHTILNSLGSVGG ILSLMVGIQAWLFGFRPKSPWGVVQRWSRGPMKRSLGRNLQTKFDTLDTPVPFVNPVN VRFADTDKVSNGQIDESKIMLLEDQKDEELRDLRDRLGLMEKRMQLTERLLETYYVND EIFKELDLAIDCHKKRSSVVTVVEEASSNNDTLLRRRVTNTNLD PHYBLDRAFT_131731 MAANLTTQVRSIAEVTIAVANGDLSKKIEVESGGEIAELKNTVN NMVDFLRIFASEVTRVSKEVGTEGKLGGQATVQGVAGTWSDLTDNVNIMAANLTTQVR SIAEVTKAVANGDLSKKIEVESGGEILELKNIVNNMVDFLRIFASEVTRVSKEVGTEG KLGGQAVVQGVAGTWSDLTDNVNIMAANLTTQVRSIAEVTIAVANGDLSKKIEVESGG EIAELKNTVNNMVDFLRIFASEVTRVSKEVGTEGKLGGQAKVQGVAGTWSDLTDNVNI MAANLTTQVRSIAEVTIAVANGDLSKKIEVESGGEIAELKNTVNNMVDFLRIFASEVT RVSKEVGTEGKLGGQAKVQGVAGTWSDLTDNVNIMAANLTNQVRSIAEVTIAVANGDL SKKIEVESGGEIAELKNTVNNMVDFLRIFASEVTRVSKEVGTEGKLGGQAKVQGVAGT WSDLTDNVNIMAANLTNQVRSIAEVTIAVANGDLSKKIEVESGGEIAELKNTVNNMVD FLRIFASEVTRVSKEVGTEGKLGGQAKVQGVAGTWSDLTDNVNIMAANLTNQVRSIAE VTIAVANGDLSKKIEVESGGEIAQLKNTVNSMVDQLRVFASEVTRVAREVGTDGKLGG QAVVRGVAGTWFDLTDNVNIMAANLTTQVRSIAQVTKAVAHGDLSKKIEVETRGEILD LKLTVNSMVDQLRIFASEVTRVSKEVGTEGRLGGQATVDGVAGTWLDLTDNVNIMAAN LTNQVRSIAEVTRAVANGDLSKKIVVESGGEIAELKNIVNDMVDSLRIFASEVTRVAK EVGTEGKLGGQAVVQGVAGTWFDLTDNVNIMAANLTDQVRSIAEVTKAVANGDLSKKI VVESGGEIAELKNTVNNMVDFLRIFASEVTRVSKEVGTEGKLGGQATVQGVAGTWSDL TDNVNIMAANLTTQVRSIAEVTKAVANGDLSKKIDVETQGEILDLKNIVNSMVDQLRV FASEVTRVSKEVGTEGKLGGQAVVQGVAGTWSDLTYNVNIMAANLTTQVRSIAEVTKA VANGDLSKKIEVETQGEIMDLKITVNSMVDQLRVFASEVTRVAREVGTEGKLGGQATV EGVAGTWKDLTDNVNMMAGNLTTQVRSIAAISAAATENDFSRLITVEASGEMDSLKTK INQMVSSLRDAIQKNRLAREAAELANRSKSEFLANMSHEIRTPMNGIIGMTSLTLETE LTRQQRENLMIVSNLANNLLIIIDDILDISKIEAGRMTIETIPFSLRSAIFGVLKTLA VKANQKKLDLIYNVESSIPDQVIGDTLRLRQVITNLIGNAIKFTTRGEVVLETKVKEV DDDYVVVQMCVSDTGIGIQEDKLNVIFDTFCQADGSTTREYGGTGLGLSISRHLVRLM GGDLWVESTYGRGSRFYFTIKFRQFKMSDSEIIEKMARFKGRSVLYLDTMNDQTGVAK AIETIGLKPVRVKNVDELTETINAAASRTRYAPFFDTVIVDKMIYAEKVREAVHLRYT PIVLIAPDTQHINMKLCIDLGVTGYISAPTNLADLVHVLLPALETHAALPSDSTKEVP LEILLAEDNIVNQKLAVRILEKFGHRVKIVSNGKLALEAFEKDSYDLILMDVQMPIMG GFEATQRIRESERASNKNTHVPIIALTAHAMIGDREKCLQAGMDEYVTKPLRFPDLIT AIKKFSPRSAQMLSMGRVKPEK PHYBLDRAFT_165332 MNSPPDNCLEFKDDEAKKRQSLRIKSHEAPLAKPKRKRISPDQF RVLSDLFEKTDTPNYELRERMAGRLNMTNREVQVWFQNRRAKATRAKILDSPRRIKHR PDPLLVYPYSKPVFYAKPITPDEDEPERLSPCNTSCSSLSSIATPTFNMSPIDLLATA AEYVQRCDDEKRQAQEAAQQRRSEESRSWRPWL PHYBLDRAFT_142331 MEKTIKCIVYGGGIIATGYALMKLTVPDEEQMRARLRPELQREY DIARAKSKEKHLALMEHMREASETSRPAWEEKSK PHYBLDRAFT_185865 MVHAVIALVLAVATVVNAEQTEKPLQVLKAPLTWRDFEMEMVLL VAFGLYVLAWYQGKKSNTEIAKKWVAMNVGYLEEQFALVGSKVGSARSILIQDGPADF LLYVSGRRNVEFGHWWIKLKPRNDMLNFGITKVLSMFQLANNPTDRVVLDITLDKAIS DRFVFAILPQADSKAIHERRYDLKTMTKLAQSDLPSKMVVYSESQKLADVLIAKIGPI IRNSPGFDSLIISSMPAIEPEKFEGETGLVLSMTFGIVLDKTDPLVELACELPDVISQ LQLTADIKATLRKNRESLEKQVAKRLAEERAEELVRKKAEAKRAEEERVKTLSPAEQR KWEEKERAREAKRAQKKRTKRG PHYBLDRAFT_142333 MSDHMYVRMCQYLGPGIGIGIGIGLYKMYFNCSSATLSPARSLF PLENLFLPSLAARVHHPERP PHYBLDRAFT_165334 MTFIGIDGAILITFINTILEHYGDEFRLTNIPGLKKMTGYSDFT NCVSNYVACSDCYTLYNYSNNTYTSWNSKKVSSKTFCGNDLYNSGIRNAVIQKRTFRL FSFDRFNGVLKGFQTNQKSGFEKTYMKKFIEVSSKGDFYHTHLNTITSPSYIPLFSKL TDSITRTIPSGNHQNSPPFFHLPSFLESATNPEQQTFGNEPLPPSALPLVLKEATTMR KSEYGCLLKFYKIEYDDESLCSAKTMIRHRIFVNDRVQKISFINLLGQVYKGDEGLVM RKSYIQARNLTTKIRSSLYNPLLNCYAN PHYBLDRAFT_62971 MVGVVGWLKYNVRIDDQTEGFTRKLNTRLHNENMSAGTFENPVK SNRTMKSLVEEMLREHTKHRTTIIGLEKLDIIPSGQKGKGRISGKLRYNRGVAEDQSS LAVMSNRYKLECHFGREKQPRRKQEVCEKMAMKMVTGERCHAGIIEIFDIAKELEGYK PHYBLDRAFT_142337 MHNLFLATVKRIVQIWKELEYFDNQALLAMQDLANGVVVPPDYA RINKKIADGFSFMKDDEWKSWCLIYSPFVLKRILPVKHLSNWIAHAHLQSFCKGFEKL YKEFPVTPNMHLHLHLGECINDFGPISVTIQ PHYBLDRAFT_165336 MSERFDTSEATDTKDTIDSHGQGTTHKSNPTAFTSQIYPESSNP LRELRPKRPRLDVPSNTDIPAHIIDDLYVRLDKINGVLSKVLKNVSPKGAVPTVKLAA VPTVDNSVNPTLTCSRFPSLDRIIRECIVKDNIYEKYDNTKTLRYVPNWYLLKPTIEY ILSQEEGKGVSAATVRSKIVRHISQKKAKDKKSKEQKLKDKRHACSLQRKTQVIPKYS LWRMFAKEGKWSLQPIGNTLWISLEREVISFSTMITPQTLSLMMKMKLGLKDRPLKNA SSGNFAQAGGVKRYCKKANNFIQDLDSLYSSVAKKGSAGPMSHKIKGEREKKLSKEKM DKLPSWSKKQ PHYBLDRAFT_165337 MHIVMGNSTVHRTEEVLRAIHSNENIEEADQKKVTAKDSQATIP VQTSVDQKPLQTSQLQLQQRPRQHQEQGQEQGQEEQQTSFPSNPSGTLTSSSVTKPTA QDVKRDPAEPIGESWPVQIRLSSTGQDISVSIPTCAPFLSVEGLRQQLVPHIKPGCQV KLIYLGKLLLDTMLILPNTAHTLSKNQALSIQRDGVIQAMVYKNP PHYBLDRAFT_180241 MALATSYVILWASQTGNAEWIAKNIHTEAKNKGYRGECYLMDEW EKANLATTKVIIFVASNTGDGDPPDNALKFWRFFRRMKQPDYLAHAQVTLLGLGDTNY SNYNNTVKRLEKKAKELGATVFYEKGLADDAEGLETVVDPWIEKLWTVLPSVLQKQDD INVPKEADSADETVSVESVTKNVQDMSVSDPYTNEARRTALPKEAQKYTDLPNSLINK DATPEADGANTNLASGHPLTIDLSGLKPGMGLTALPKVPASITHLERLDVKTVANEAH RIPEFINIPSPLIDAAVTKVQCLTTKDALKRTLFVELEVDKEIQFDPGDAFGILAPND DELVEGVLARLVPFEERHEVLYAIRGEDLPSHLQNASRVTLVELLKHGLDLTSQPRKA LFRLLAEYTTDLQEKTILMYICSKQGVSQFNAIREQSPTLLDILATFPSCKPPISRLL DALPPHMPRYYSISNSPLKHPGKICFAFNVINYTTAHNVKRKGVATPWIDALTGLVPA RIKKPTTVEFPSGLLHIPIFMKPNANTFTLPTDTTRPLVLIGPGTGIAPFIGFLEHRQ TQRKIRKAMGGVGTNPLRDIQKEFGDIWVYYGFRETTKDYLFEDDLENFVKDGTVKKL ELAVSRKGKDKVYVQDLLKRDIDGLYEMIVNKNAVVYICGDAKGMAKGVHDALADMLC EKQGLDKLEANKLLIQWMSERKYLRDLWA PHYBLDRAFT_165340 MTIKVNKQSKTLLPSQLPNELLILLSAKVSPQDRHACMNVCHSW RTAFYHLSFISVQIYTRNRLLDFIKDLLQNKTTKYSFGDCIRELRLYKTGITQDDLKQ LEYFCPGLEILDFDPKMWLYFTDHTLIGRNWKSLSRLPVLTSVVSAQILAKTFKSSLA HLEFDGSIIDSLSFIDNIIPLIRSLPLLTHLSLTCSFTQLSLEGYENIHLSLPHLQSL LLNGFLLTLTDQDKVSLAHLDVPVFRRLRKLSLTANLESPAWLSLILKQYPELESFEA NLSFEESSFEQEAYFQVNDVFLFAATKWNRLSLFILSGMIQSAWPGRDFLKCLDEAGS RLEIYRVTRYDNESMDEEELANIIRYTHSSLTSLSLSVSSEPWYPLSNTSTITQKLSN MLCLTDLNISSTLIDGFTTCSIDTLLDDCPVLIKLTIAGGFVTACERDLFTEHPLKTL NMIYIYVDPHVFKHISRRCPKLSKLYLSHCIKESGHMPSLSFSIDMPIQEFSSIKIDS LSATGDFSLACHPRSSIFSLVQLKKKKGQSIRWYHETYSANNTHVRRLSLKKLKAVKE YVRSASAMMMPVPYTRWKQFERNLWYGHVDICCRSVKRLIIDGVLV PHYBLDRAFT_165341 MNKQFQEKNFRSYSPLSKNGNKTSSESLTSSIPSPKKSWKIWSY LKPILGNSATHSIVREPTTTMNGRTEQSSIASTLENVTRPMYLQHLQSKDTTRIQKSY ARWQVPLLSYLKKSRRSSTIWMKTPRPMSTGRPLANEPTDRLCTASVQPKQLMMKHVS GSKRLSEFLNTFDMPTKTKTRSWSLLPSYQSTSRSPSSNKQFLPEQQGTQEIPNAFKT WAFPSMEGEMEVDKDEVVVPSSQNPDYPTTNQHLRHQRAPGQWMSSTFPTHLGEDDKA DLATGGSPGGILNSIQTGPNSLENSTARDITTSNIGFRQGGPEISVDPDDRGVA PHYBLDRAFT_165342 MWCQTNEKDCLIYDAAMVCKYLSYHHHIDIAKTQLNIDRSAIAS VFKVIHSNSLPLANHYLIQVFFKALRNNSQYPARPSTPVWDPEKVLAKILDWGPTGDL SMTEHMRSSMPIDHTLFLGFVEGSQPHSIRPSTMSTWIKTTLSECGVNTTIHKATLAR RRGVPVAASKRHANWSANSQVFERYYWRPERAEAAQIHETIFLPTENEPHWRDRVSDG QSRLSDTP PHYBLDRAFT_142345 MSQQINKSISKKQKKLSDYEKGLIIGGALHDISLTTISEKTGIP MTTRDLRQLKNEMKKDRNATLGELVVIQTLGCWIYHI PHYBLDRAFT_142347 MAVADILFLALIDHRPAIMKIYGQRTLSNMCNQVLGELMHANTA KISDSYFIKVTDTINSVDSKAISMRDNKRDLLNLAATMNNTIGNVIKGLANLLMKLPR LSVLNMSKIVEVELQSTYFDMFLPEIFADKDQQVALQWADKSVHQDLTEMRPDAIIST LVQHEFECLFGFSEAKRAIEWHDLPACLAFMINEYSISFFIVSKRHDCLYTMTEIASL DFASSLSNLHTFATCKNLDLLAAVSHCFWSICTHDLPNTATATILQERLDYLVPISNY MSLMAKSSKGTLGQLSQY PHYBLDRAFT_142348 MPKCFLLGSDQGCLLAMSSLADSSLLCILLPNTFMAGMPSAITS FLTPPAQMHPQTITEPPLPYTLGHT PHYBLDRAFT_165344 MPASNNSLKFVMDKHCEMISHSSSADQTQFSGIAFDPLCDFNQE IVNEMHGEVVLRSVTDVFVNHTDMEWTRTLARNPAVTNLLSLRSFLQTEVNEATTKTI VAQKPKTNLPEKVYSDSVATAESIGRDLYQKYNQNQVNKLFSLVFSENQTAAATARET GINVRTAQNYVRLAREKIQTDFDAATVETDESNGLETMEVEEVSAPKERKHGNQKIAV MEEFSGLQITKSAIQKHLVKKCALTMKKLEKLPEKRDDVSTIVMRRDRILEWQQLADF NYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKTTVLTQRGVSITILSAMCERGIVSLS LKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQHYLDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_142351 MDIPTHLGRVIYSAMKAEEDAKSIKELKDFVKAGKAKDMISLND KLMSFAKYGQEISLKDEKKTLK PHYBLDRAFT_103563 AKVNDESVQPRVEEIKEKLKMFERQDIFNFDETSLFYKQPPTRT ISGQAVSCLKADKMRLTVGLLCNSDGSLKFDPIIIGKHAKSHCFNKK PHYBLDRAFT_165347 MSGHFSSDCTYAIQKDMWFIKWGMNAKDLGFIVKKMSVLLIKKF KNGLEFDTRQFLAENCEHFAKAIYKKTKTYSSVIGFIDGILQKLCCPKSEEEQKTLYN G PHYBLDRAFT_62956 MSFSFFFSAPFLFSNILVCSHLNAFISQLLSRLTKINTVDPVFD FPYIIQLEMILHVLSSVYKDNLSLLIYILATGIERYHKKRHGLSISTSIFCSLFSNIY NTIELYYGSESKELLKY PHYBLDRAFT_142353 MSICNRRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNATAINGIDALSALPASAHVSTSVASTSAALPTTESSDINAVFWAVDVRFDR SPNRELVKQLLYYLEKKFAGTDMKTRDLRKCIYTNFCSRRCQQRELPETRRALNTNSR RSGRETDNYTCCRLAYDAYKADIDLKMGQNCSGLIQKLVMSEGESDDDMSPSQPRNEI RLNKFITEVDSFVVKQLGANSRQLLKRVYGRTVESAVPIDLDPALPQWALKYGS PHYBLDRAFT_142354 MAETMVVPMDYVVLKSKIGKGFPYMKADEWKSWVLVYSPILLKA VLPIEMFRNWISFVDACRQLVKPSITFSDIDDGHKFLQEFCTECQRIYTPTILTCNMH LHLHLHETICDFGQVYGYWLFGFEQYNGLLKNVNTNRKDSFEVTYMNSFVQDTFKGDF VHATLTCPSQVPFLPLLAKLTATAQPSTSKNTITFPQHPFRLSAFIQAYSNPSLPVLG NEPLPPSAFPLHIEPPSAMSDVDYPHLLDYYKVAYCMPNLEGYQHPSSPFSFVNNQII KLKSINLLGQVYKGCKYASGRSSFVQSLFLGSQGNNRLAYTGQIQYLCLHSFTPPVDN TELQTRVVYQNKHVFVFVKWFQIEHDRSRDLESVDICSADFIACNFECILPVH PHYBLDRAFT_165351 MSTIPELYNEKCHCAGCSQNDPGYSFVARRTAQHHNKRARLNAI RCERDMSTQRNMMDVDDEPILTHQPGALEELYTQTNSPVWEGASMSDTEDVSVTNDAI SNGNNNDSGSNSNEISEDESEDNVIELDNNELNSEDPFATPNMPQNPVHRFIATFVVM FASHYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQHMTGFSAMTKGIKIFVVHQ DCHKVYEQSASVPSHCDFVKLGACSSCNCQLMKTSALGALVAKHSYLYQSVLHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETIIVPGGYTSLNS KIGKQLSYMKADVWKSWVLVYSPVLLKDVLAKDRFKNRINFVDACCLLIKPTITFDEV NTAHQFLQTFCTRCDELYNAKILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNRLL KNLKTNRKIGFEETFMKKFIKDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPTTIP SIRQRTFHIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKA VYNNEQLVHFQQASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIRST EYEHIETCFPTFSSDDFQCVLPVHRIMLEVATAEHTTHRKVKKMLVIPLPKKQYI PHYBLDRAFT_142357 MVNNRQSIAPAPSPEYTELLRRLTAIEESLKTMDSNIGIVIKGN KDSLEILDSVADASGELLAVIAPTTISASASVPFAASSISSTLDWYTTPSEAFFDIFS AAPSVAPSVAPSVGAVVLTGANAGELSKQDRTRVLALIREELKKHNFKSNKPEPVAAN NSKRTIVSIQTFVERDTKSRNHMKRAKRQILGQEKQVERLIELTYYTFKTEIDVKVGK SCNGLLQKEAMSEDESEDDMPRVSSNCAICTVRPSWRSDEYNHFLAVVDDFIRNCMDF NSCQMLKRSFGRDAVLAVLPRLMSLLSHWAFRDEFQ PHYBLDRAFT_62951 MVKVKARTHLLYYTCCYFLDTRILLPSDTSPSQCPSGLAKAISS KLLSTIKHGYEHDEPLSHEHIANQELSFHTSVIDMTILASPIYSLGLQINPFALDWRS VWKQTVQEEVSKIG PHYBLDRAFT_142359 MPSKLGIYCKSQSSLERPSKEAICEIEYKEIVFDYKDVQGLSEE VTSAVYFNQLIRWCESADKLVYGTYQNNSRTTK PHYBLDRAFT_142361 MLKEHEPWLKDPLKEAYVLLCQKEIDMQLVSVNCPQIAWLPPTP SVEYQCGIIKSNHNYMLLCPATIALVQKLWSLIDSAPLSEVHLINYALNCLPRSFKSP EEKAHGQILIDLAAKFYATKPIRSHHIPPPTQEPVLGDLFSHLLSKIFIIPHQPPPSV PTQNCA PHYBLDRAFT_62950 MATNNASQSININASLSQPPIFPVFSTTYSTLPFPFSISAHFTL SPTQQPIAISAAPPSLNSNRHPIVSSKPKAKKKARWKNKRKTVLLINLDRLDSIYSCG ISECIYCLTSVGVTIMTSSHKSALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDK SNIRVSGSPQLG PHYBLDRAFT_142363 MEAEDLGIPFDLIKSTTGFWEKPFSLARNGVSLPMELQKMVFPW IEDYFGVGNAKWVAVCEKEMNEVDENEDEDENIINLEIDEEADSVEFVEEDGRLQSKE KKRKGKKRATQSSINTTKHGFLRLLIQCRRIILQDAAVYLYLNKENKHINTRNLPFSS NSFRMFQEDIVAAITSSSISRLEEYKSFVPNIVNTNKEVANRVTEVNHQIIQLQQQQD S PHYBLDRAFT_142364 MTNSLAILHCGMTTVMKDVVDIKVKTSNTSVSAVLQSQPMAFMH VVAPVSMEINVAGSPTIASNAKSVNKTKVYSTSIAAISEEMPKKAHRAESFSSANLST SVSSNAFVALQSSSSINMSEFELALKALPMKVRVRTATIYRMPLELWKYPYTVGPTEF VIIFFKEFVFKRTYMKIAENAPSNKNGSQKVIEAPLEAGWPSPKKTKEVIELIKKYEH NLVYDQVQTNVDRAAHFVIRNSYKSGKLIRILKSLWTSDSKSGLHEMFSISFCHHMLL RNQDWRNLNFVDCFCTIIPKKQHKGMQQALALVFSLDKGKSLKEGEVKFACVMRHENI FRYPFGAFAFFMFSLLQTSGDFLNKNRWQNWKVLRRRTSPEKSLTGTSQWKTAKKTFA DDEIYTT PHYBLDRAFT_165360 MLHHESPVMQHIINYPKNFRVVVSAPKGPGQYNFAFDNIGKICS LCGKDFNCVWNLQRHLTKYHKLATHIANNIGPQYANRNLVSQRQTTNTAKPTAPDHND DSVNKDLHVESDLEDDDSSDVDDMNSDGDDNVSEIELNASESIIEMDEDTSPFESPSP GNHLYMHIRNSMLSSASNTSSLLDADLDLLGEATGSHTTWNQYISDTHPFLDLQFMLL LAFVDGNNDMVSRRILKKILLTISLVLKLHEEAIQKKSPFKKKSKIPVFPSTKVDIQL PENKTTSAYINLPSDHVQFLAANPKKARNMFSLPDRTPNQSICLQQGENIDFWSGDIV NFMNGSTPSLFLVESFHTMDNSAVFVQGYMVYILDGGQFIGIKVESTSIKLEALLGVD STPVDVALCYSISPGKIFHLIPRHKSLLEEPHFLKRHVLDETRKPIDPKLFYKVRISP IILFTNDTSENQLKQYNPYESWSMKFAAPSYEERSSIESIHFLSAIPKKKGASGMSLL PKIVEDFKRLKNRLVMFSAKDNKNVLVASPLLWIEADTPCHSELYVLCMPTTLYPCHK CYVHLQRSMPNLQSSLYYTDRHTARTKAYYLAAASTLGRGSTIPDTPLTGNALIASDL CFTNRATDALLELVIQSIDRYPDCPKPSRIIKTLKKCQESSLKSYNTVTHSLGGITKF FCKFYPLSLLQNLQMIVVTSLIQELHHYDITCEIEGHNPYSLKPKIHLLTHLPNDLQR FGTALHYETKKDEQFNKHIHEHLMHTNRLNTSRDVSKDKMREKYGNSTAEFLKENFND NVKNILFGESRDFVDNNDTDDITAKALCDNTFAMFMLKESRDQHAHPFIGKVSSLRVE HYRVESSPHAQVNNYLLAQRVSNDASTPLDQLKIVCKLDMHTEFNNKLVINLSKFGSY WFFVFLFSNRQY PHYBLDRAFT_165361 MFDTCGACVCKLQRILQRHRTTGQTKLLKAPYFYNICVTTVYAA IAQLGEPEFVVPNLGWSSFYYNKVKSHYTAVNAQEDITAYKEVLRLTIFRFYGFIKIM SDKLVKLLSFSI PHYBLDRAFT_165362 MIYLMIMAPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIA PRPKNMSIPESEFNDIVSLLATLNDKMTAISSDVSELKVQCQVGAQSTGMQAVLDSDM DPQDIISSSRHPKISSIIWGRLRDINLKTDDLELIRENADKPTWDVNVGLSDKFNKNL ASDLMLYICCQPVAAIVPPKELCGIIVNSYYNHLAASKLTEEDRQTNTTSNRRGNRKT TLNKRRKRTYTKHKDAVTEKFNWDYNGVFYRDAMSGDETKTDTSVVASRPDWRSDELN TVFDFLDELARDDLGKRATQLKSQSHVLVHETIPRGLVTKMPAWSKRV PHYBLDRAFT_165363 MHNLFLGTAKRMMDIWIANNLLDDKEFVEMQKEANRMVLPVGYT TLKIKIGKKFLFMKADEWKSWCLIYSPVLLKTRLQDDLLGNWIHFVDACRELTKPSIT KNGIKKAHESLEEFCVGCEDFYKPDVFTQNMYLHLHLKETIEDFGPIYGFWLFSFERY NGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQAHLRNVTSPLLLSLFLKLSGRKIY NPALSPHPLIPSFFHLPTFLQSAEKPSKQTFGNQPLPLSTLPLCLKLPTTMRKSEYDC LLDFYKIEYDNDSLCSAKTTIRNCWFVNDRIQKILSINLLGQVYTGGEGLVVRGLHIQ AIFIEKSGDSEERYAGHIKYLFLHNFTPNLMHTNLSPCHNPQHVFAFVEWYKISCHQP RIKQGIELYEPEFLKYDYNNILPVHRILSPIAIGSHVSGSGAAKVVVIPLPRKLYA PHYBLDRAFT_100373 NLPCNMRFKKENIILVGLMPGSKEASKSDINNYLKPPVDELELL YKGMNIRTHQHPEGIPICTALFMVACDIPAARKVCGFTSHTSTNACHKCNRKFTWLNG TSSVGCTKEENRRHANLWKNAKTLTERKRLEIENGVRWSELHRLVYFEPVHATIIDPM HNLFLGTAK PHYBLDRAFT_165367 MSKDGCHICHVVGQSSGHGQYFRMPSTITMRTPESFKYFDEVAL SSKKGLTGQSPFSLLNSFSGPFFFALDEMHGICHSIAKQVWGLVCGKYGKDHPLSLSL AAQKEIGTAMVSTRRSIPTSFHGAWINVATRSGYFRAVDWADFILFVIPTLVAERVCD QAARKALLDLAYYCEYSAFYSGVLPISTGTKADLVTCAQTCYLQVVPRKLYFKLCFFA VIQSVSMLKVNNQGLRRMCIVELSLGE PHYBLDRAFT_165368 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSSTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNARNRRSSREKEHLKRRKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPGWRSDEYNHFITLVDNKVVADLGLNS HQLLSRAFGETVEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_158014 MTSILSSFISVTSTAVATSKCMRCTGLKFGHCCYGRKLERVTRA YQNCCSQYMFGQGDQLISIL PHYBLDRAFT_70941 MHNLFLGTPKRMIETWTTMKKMKNNDLLAMQTVAATMILPSDYT KLKTKIGKGFSHMKADEWKSWVLVYSPVLLKPVLPSNMFNGWMHYVKACRILTKHTDI YKNSVNLVKIHMNQKSSPATCTCTSIFMTQFVILDPCMAIGSLVLRDTMVC PHYBLDRAFT_142379 MQLDADKLVLPEDYTPLGTKIGCGFPFIKANEWKSWCLVYSPVL LRGHLPEAHIAHQSLEAFCRECEKLYKVPFLLPNMHLHLDLFKRCNGILKNYATNRKD GFERTYMKKYLEEAYQGDLICQTLPIIRPEHLAIILELTASTANSIATSTSTSTSTAT SIQFDINAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESKYEHLLEYYCKTYN DQTLKFESINLFGQIYKSKMKNQCGTFMQALFETSDGRSTKPYAGQIQYLFVNTAYKE VLLQPRAGEGVEVNEVGFKDDSMNSILPVHRICYPVAVGEHLGLEGEVQMCVVPLPQK IYL PHYBLDRAFT_142380 MTKHIPTAPLRHNLRMNSVLNSTIAGVVAPIDTPTLEVAVDTAP EFQVAVTPMDHVLTLLAANNVLMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAKIKKAMTSQNSVMPSAQLINSYIKKLNFVSTDPLKVAENNNRSAWSMTGT YGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNIIKNHYQNQVRVFQTSAEKIMARNK AGRRRNREKTLLDRRIITYQTYTEAIHEGMNRYDCRNILSIDVMSDGESDGDNKVRAY RPSWRTDELQTFISTINELTVIHLKKNSESLKKCISYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_165372 MYLYSKTTGIILIILKNMFISILCQVFSAFSPTIKVCIPCLLLE DFKLHIASKNFHSLRTDNRSTLGFCVPVVMMLRISTIDDIVPLLKMIYHKNCDDIGSI IYIFGTFDPDKITLFFQCTIFGY PHYBLDRAFT_62934 MICYECSHMIQVAIIPGPKAPADFWSFLEPIMKELWVLQASGMV VKTPYGDICAKVHVLMTTGDILALGKMACHVGHMSKDGCRICHVVGQSSGHGQYFRMP STITMRTPESFKYFDEVALSSKKGLTGQSPFSLLNSFSGPFFFALDEMHGICHSIAKQ VWGLVCGKYGKDHPLSLSLAAQKEIGTAMVSTRRSIPTSFHGAWINVATRSGYFRAVD WADFILFVIPTLVAERVCDQAARKALLDLVQTYNLLMSWELSAEEKTLIKINLVTWNA YLEASLAKEEVQLKVFTINQHLLQHYPTMIKVYDPPRAYSARSVERAIGEYLQAIKSN SAIGINAGNIMLGLTQIRPMRVENSTTTTATATATTLLQYDNLSAGSNVGTDSDIEFW GSLRNRTIVDSFGGISCLLELFQKFYKSKGEECSMIEAAIKTSRKAFVNGCVIDSALD HNCVREAHNIRLQVQVDENRNIGQSYSPVYKDFFGKVIVFFEHKLNKKRWPLVLVNVY AVRLINSIPVINNGQMKPMVVHLADVKELVGLVKLDTTINTITTAATTYVVWPELNRG PKLSLGSLADL PHYBLDRAFT_102304 NLPRSERFKAENTILVGLMPRPKEPKSEEINHYIKPLVDKMIQL YLGIQIPTYQQTDGATVRAALLMVACDIPAARKTSGFTAHNSTCVCYKCDNQFSRLPG TSSVDFHGSDCDQWRHRSDRANRVHAEEWNSASTPSERQQLEVEYGVQWSQLYHLGYF DLVHGTIIDVMHNLFLG PHYBLDRAFT_62932 MSDIDYPQLLDYYKIAYAMPNLISYHNARLSQYFVNNRITKLKS IDLLGQTYIGNNSSDEGIAICLPEFSADNYHSILRVHRIHLEVAIAVDVTDMNEERML VIPMPKIYYA PHYBLDRAFT_142383 MSNQNKSYPTRRTSAEREMTNSLAILRRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVASVSMEMNVAGSLTMASDAKSVNKTKAYRLLWEHLWDL KFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGARLRKSDVRDF VYTNFTSRKHTANKSQAKKKSDNACNRRSSHKKEHLKRRKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLCLCRPDWRSDEYNHFITLVDNKVVADLGLNS HQLLSCAFGKTVEGPVPDAIASQFPQ PHYBLDRAFT_142384 MLYNNNQQTECPHCDKACYKTSERSQDAGENPIPASTMIQLPLG RQLAVALANDHTRKEMLYCHHHTQKADGSISDVFDGQAYQSKKHLFSGENNIAISLSV DGFAPHSVPGLVTIVYITNLNTGKITINTHRDIQAYYCEYSAFYSGVLPISTGTKADL VTCAQTCYLQV PHYBLDRAFT_165377 MNDTDNIIESLLLDIQLQLSVLCANQEQTKMDINSLGNEIMIKG SPKQNLSLFINMPSKLGIHCKSQSSLERPSKEAIESDKRAYLDALKDFKLLSEFELCY DYMSVSAIYNTVKSANIKY PHYBLDRAFT_165378 MLGHSRSTHKQCLMNPKNISLHIPQKRTNVDEYPAESSRTAALR IRSEPVQDQNLDIETSTFISVSELTEFPLANETITEVLEAVMEEEIEETSSDEEVTGR EEEVEEISTVNRGSILPHCPHCNGTDHRRITSRFCPNNNSSRARESRNRGRGLNNIAR LPAISEPAVDNRGDMDIECRFCEAMMWAHEKNSHSSLRSPTFSMCCNKGKHVLPQIEP TPTGIAELLNYRTRDGKKFLENIRSYNSTMSFTSLGAKIDTSVGNNINGAYNFRIHGT ICHRIGSILPVTESDITHPKFAQIDIYDSAAQIDQRQYHSPQLERSVLEKIQSILMET NPFVHLFRTMDQISREKGQSIDLTLRLVAEGPRDQRRYNAPTASKIAVLIMNNEEGTS RDIVLHTRANFQQNINEYHRSYDALHYVLLFPHGEDGWTIDASSLSGEHVTVMQWYSN HLMY PHYBLDRAFT_142386 MPSNSSRKTDRKGKGKASAKIAPCFSSATIQDQQYAEIVEMFNK VNNSINGVKDDIAAVNSNITAFKNRMGIVVNISGKTHTAFADFATAYANDQTRMASLE PSLMSSYVPQTSLSDAEVSVIISLQNWKFESADPALVAENKSKKKWNLNEKINHHNNV AVINYLKSYISAQTRLAGTHPWSLEQKAKKNSKGRANSCTLQSTYMDNWVAIDAAMGY KTGNPVEKAYLKLFQKDAMSDGELDIEIVDNLPQRCLHFNRLLTMVDNIDCTHHVSNA GMRTKPKMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_165380 MTICGNEPLPPSALPLKTRPLSFMPKHEYACLVGYYQAAYKNPQ ISGCKDVIDDSPFVNDWIEMVKSVDLLGQSYKGYIGTNGRGSYIQAYFTERTESEHAY VGEIQYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTLDKTRELEGVELLQDEFY KQDFQSIMPVHRILLTVAIVDYKTIKNVNKKLAIPLPKKIYY PHYBLDRAFT_102179 NLPCSKQFKKENVILVGLMPGPKEASTSDINNYLKPLVDELMEL YKGIKIKTHQCPNGTSIRAALLMVACDIPAARKLCGFTSHTSTNACHKCKHQFSRLAG TSSIDYSVFDFSKWFLHTKNDNCKDAEIWRNATKPTERQRLEVAHGVRWSELHCLQYF DIVCCTIIDPMHNLFLGTAK PHYBLDRAFT_142388 MLHEKLEEYNSAFEKIMEELEEPEMSEDPKSSAPSTTDETPKKQ QGGYK PHYBLDRAFT_165382 MYPSANKGPKSTLKITGIIQLKISELHNSINEYTFEKPTPDYDL RRLYHMSQKLDICCKSLSVIEKLCEEKNLKRKTITKRKKRTVYKRLNLETWSDAKCKI EFCITLPETFHNFVIDINVKCIKFLCQTLGYQVDI PHYBLDRAFT_142389 MNRIHALFYATPPRTTISCQKLSGWKENKKRLTVGLLCNANGTD KWSDILMIGRKEDQTYNSNVWMTQSIFYVFLRRFNHIMKAQNCKVFLILNNFSGHIVD YTPTNVELLFLPPNTTSYLLPLDGGIIWAFKAYFKHKQYAKAYQEAWESVSAKTIENC WNATIFRFIEDKDSEDINVNQAMIQQSLAEKVLVEGLEEALDKIARSGLLSLEDYPTS KSDPLYERQCTHRVINENEIADIVMEEYDADDNAANNNSNEEIAEVESAVPFKRTYST LEKFECVCMLLDILEDKDIDRDFVSKVEDLRNKFQKTTNSK PHYBLDRAFT_165384 MSKKNILLNIANHLEICDMKKKKPSISNPQLAEWAKKTFGFQKA PDASTISKILKRGDQVLIMQADVKNRKCVIKGQWPQIEEAVILWINIAENNQIPITWE LIKTKAIIFAERIDIKDFNSSQG PHYBLDRAFT_62925 MQDETIQCSGLIKHSYIMSGPSERTKEATVASANFLQFSAENCQ VSNSSPYLNLSKNITKKPLMIQAMLPFDILQTVSMENKQHGKAYRYNKRVKANSCVTR IM PHYBLDRAFT_142391 MNNSTGRDGVQEATTAIFGLPPPKIKEYITRLMREQQPRDTINK MNTILDNLPNLVQS PHYBLDRAFT_62924 MSLSHNKRWTKLDSILQTTLGRATYKAMQKKDKFKEGKILKKAS DFAKTILGKNKEDEIMHIALYSHSEPEMLNTNGSSSGQQTVAEILTGNEEDEREDERV KRYDDSSDVLFENSDDVYSEAIINRKILSLLKKQDHHLEKQAQHMEKQEAFFDKCLIL L PHYBLDRAFT_165386 MTWGVVRMVGSQQNFGCLAVYEDSAGIQLKIQLGIELAIFANCS EISSFLLILGGSTKISSLIAGPTQRTLNTLANGEPGNLTPSAELPPLVDNFFSQVMRP FLKGTTNHSVVIDITALTVAQKPLFLSDLQTFFNGNKHLWAGTDQICCKYNRLLPYQY GHQDGRLAQLHADMTNNFSQFDAIVDCGYVTGSSGLYAGSGYAVLVLSPPNSTHSSVK LSHSLNWSYQPLDYSSDTGTLSSDFDHVSIFVTWAAMPPFCRYCHSDKHALINCDLLK KATMCCLCNAGGHIAKSCPCKNESSVTSQKRRKILVVQETPQPSTPSSSIVVPPLPSS SSTNVPTTTGRVKCIQGSLERSVTRSQASLVVPNALNNPNPIKEHKLPLPLQPNTNIS PTHTASTISDYLSLASSLPFFSCKLNIGTINCCGLAKTADISSCNQFIRYLLTRSLDL LALQETHATSTLQDTFHSQFQAKTSFWSVHCGLISFSSDIILSNSFTSPCGCIISSTV SHLSMFLPIDKTNSPSCPLSALNFHPYFLSSPCHTVILRDFNYTYSPASPRVRQAPHN WLKYINEFFTDGITPPGQISNSTFHRGLSQSCIDYLFVSSDMASSIHFDHSRTTYVQP AWSDHFLLSAQLRLSPATHASSLVNAVGKGLWRSHPWLANNCLFCTRLTQSLHSCVHN FAPGLSHATKWEALKATTAKVARTFKDLLHRKHAGITKKLVTDPSLLPVLSPQLLVVE HQLSQLQQYHVENLALRSGLWWRELRESSPGYLKQSIASRASRKLIPPLWNPATSSIC TSQEEMLETTTQFYSDLYSPDDVDQAAIDNLLNVLPTHLHIFSSTAESLVAPIMYNDL LDAFSRSPQKSSPGMDSLPYELIWLVVILRLKSTIMLSLCLIFLPLGYTRCSTVLNSL ILSRLWHVLRVVSTPKSFFKSVQSIISQFINFRIFPWISFATACLPRSQGGLGLLNPE LQQGALQLRWLGPILSTSSLQIWSRWPFSRSIILPCLLSFLQHHLQHHSNGYGHDPKD LDHWLLFLFPRRCPLILLTGQSSLSLLLHALEQLPHTFVGVIATTATCLEIPISYIIT PTPPATLPQSIADLPISVAYTYDLHHFHCLRPKHRQECIVYPTLSHNVQALVSDPDTT MSSFFTHAFTAPSSGTFISPSPTAIFDHTFINVHPFLLSLGLVPRSSDPSRLKLTMKM YC PHYBLDRAFT_165387 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPALTEY PDQLGKQVINTGGEFKGKNEAQKYNLLLQILHEQDWKARCKEVPQGQPLPPLVPLSDH DLTVKRLHLKTLGRTVKHDIIDKDYSAASKEWKNIPEKNREYYMMHLERLAKNGGLHI HQYKRMWCARSLLWESFKSDNQTHKRRMAEKNKTQRDISDSSLSSPDMSETGDVESPI MADVLSPPPTASVEPARKRSRRSVNAYFTEQYICRNRPAAKISHSKIVDALLKSKSSV KGHEYNACPSDYQLYEINENQESCVDYGKLQYKTDPEQSQILSVSMKLMSFSDMFSQM LADTATR PHYBLDRAFT_62916 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEGSE GEAHIYDSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEITKAKISHGETVDALLKSKSSVKGHEYTNKYLLQLAILPGPKKPTHLDSFLIPIIN ELRDLEAHGLVVKHNGVELCRSKVYLLLASGDIPAVADMAHIGSHTSLFGCRFCETKG KCPTNRRHGMYFDDISAWLRPLEDFKVGNPSKNIYQPSVYTQLSTFSGSSFFALDELH LIARGIGKLVYDLITVTLTKETKFYYTHSDNTLNTTEYPFHIQRADLVTIGNCITSSR KYIPTSFQGSFDNVFAKIDGTCAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLMKGC VLALQWTLTSELFDEMESYFKHWHSFLYQQVQNNTLSHSVFQPVQHYLVHIPYIIKQQ GPLQCYSTRSMERVIGVFSKLIKSKSKGGRNASFLVELFVIHNYTSTAISICDEINLI RPKPYGRESYMDLPNDPSGAQLWEPFHQFVNLNDDSVEGVGGPSVKEALLKYYRRTTG LTGHEFGDSVVVVAARLWMDSTVYSSCMYRRKKNETSCGNHYVMFTCPYRNNRNVIVH SWLVGTVQFYFQHVDFYGFPHFLAFMEVMKEHDAAGHDSSVPIVKQRSQSTRTLGHQT QPTYAVISVNDICHQVGLVQYPPNGNQFYVIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_165390 MKEEMKAIKDKITLMGTRIDVVITGNITAINDIDALSALPASAH VPTSVASTSAALPTTESGDTNAVFGYIHGYMWNPKLKSRDQAEIQANVIKPKWAVDVR FDRSSNRELVKQLLYYLEKKFAGTDMRTRDLCKCIYTNFCSRRCQQRELPETRRALNT NSRRSGCETDNYTCCRLAYDAYKADIDLKMG PHYBLDRAFT_142397 MFSLHIKPSSAMSDVDYPHLLDYYKVAYHIPSLESYQFPSSFFS FVDNQITKLRSINLLGQVYKGCNDASGCSSFVQSLFLGSQGNNRLAYTGQIQYLFFHS FTPPVDNTELQTRVVYQDKHVFVFVK PHYBLDRAFT_102296 NLPRSERFKAENTILVGLMPRPKEPKSEEINHYLKPLVDKMIQL YLGIQIPTYQQTDGATVRAALLMVACDIPAARKTSGFTAHNSTCACYKCNSQFSRLPG TSSVDFRGFDCDQWRHRSDRANRVHAEEWNSASTPSERQQLEVEYSIRWSQLYRLGYF DLVRGTIIDPMHNLFLG PHYBLDRAFT_142399 MSDIDYPQLLDYYKIAYAMPNLISYHNARLSQYFVNNRITKLKS IDLLGQTYIGNNSSGKRGSLVQAFFRSSNGRTSSLYTRQIQDEGIAICLPEFSADNYH NILRVHRIHLEVAIAVDVTDMNEERMLIIPMPKKYYA PHYBLDRAFT_165393 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKVYVCIIYIRLLR EHLWDPKFKSKHLAEVQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGAGLRK SDVHDFVYTNFTSRKRAANKSQAKKKSDNARNRRSSCEKEHLKRRKTAYQSNKTAIDD EMKRDCSGLIIEEAMSVGESDDGTSPHVSYSGLRLCRPGWRSDEYNHFITLVDNKVVA NLGLNSHQLLSCAFGKTIEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_62920 MFRNWISFVDACCQLVKPSITFSDIDDGHKFLQEFCTECQHIYT PTILTCNMHLRLHLCKTICDFGSVYGYWLFGFKQYNSLLKNVSMNRKDSFEATYMQSF VQNTFKGDYVNAVLQCPSQVPFLLLLAKLSATAQPSSLKNKITFPQRPFRLAAFVQAY SNPSLPVLGNEPLTGKITINTHREIQAYYCEYSAFYSGVLPISTGTKADLVTYAQTCY LQVVPRKLYFKLCFFAVIQSVSMLKVNNQGLRRMCIVELSLGE PHYBLDRAFT_74033 NYMFMVKLIFFQKVNQKNNSKTSYINTTLINSVRKIEIDIAEIK QMVHMLQDQFSKQFAPTVSAEDLSTMQQSIIEQSVHSLALSVLWHTISSLVALECVAE SVKKSQFTEYPDQLGKRLKTLSCSIKHNLIDKDFPAFSKEWKGIPAKHWEYYMMQLER LAKDNGFAIYKFKSICCAKSLLQRSFKRDNQKQKRIMAQQDANDSSLSSDNMSETDGG KSPIMVDVLSPLAEMSVEPAHKRSVIFYKLVRIKEGRLVYIEVLKAHEMHLG PHYBLDRAFT_165396 MYFDDISAWLRPLEDFKVGNPSKNIYQPSVYTQLSTFSGSSFFA LDELHLIARGIGKLVYDLITVTLTKETKFYYTHPDNTLNTTEYPFHIQRADLVTIGNC ITSSRKYIPTSFQGSFDNVFAKIDGTCAVDWLDFLLYLVPTLVVPYLPNRAVKTALLS LMKGCVLALQWTLTSELFDEMESYFKHWHSFLYQQVQNNTLSHSVFQPVQHYLVHIPY IIKQQGPLQCYSTRSMERVIGVFSKLIKSKSKGGRNASFLVELFVIHNYTSTAISICD EINLIRPKPYGRESYMDLPNDPSGAQLWEPFHQFVNLNDDSVEGVGGPSVKEALLKYY RRTTGLTGHEFGDSVIVVAARLWMDSTVYSSCMYRRKKNETSCGNHYVMFTCPYRNNR NVIVHSWLVGTVQFYFQHVDFYGFPHFLAFMEVMKEHDAAGHDSSVPIVKQRSQSTRT LGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFYVIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_142404 MSNINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLECIAESVKRAQLTEYPDQLGKNEAQKYNLLLQILHEQDWKAHC KEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYSAASKEWKNIPEKNR EYYMMHLERLAKNGGLHIHEYKRMWCARSLLWESFKSDNQTHKRRMAEKNKTQRDISD SSLSSPDMSETGLLHPQQVSSLLAREAKDRGLAAVIGRV PHYBLDRAFT_62915 MPGPKEPKTEEINHYLKPIVDELLQLLMGITILTFECPAGVKVR AALHMVACDISAARKTSGFTTHNSTCACPRCVRQFTRLPSTNQVDFTVWKTGCMLRSG RVPVRPQKGISWKIENGVRWSQLHRLEYFDLVRGTIIDPMHNLFLGTPKRMMERWIKE RLIDNRKLATMQAMAETMVVPMDYVVLKSKIRKGFPYMKADEWKSWVLVYSPILLKAV LPIEMFRNWISFVDACCQLVKPSITFSDIDDGHKFLQEFCTECQRIYTPTILTCNMHL HLHLCKTIRDFEPVYGYWLFGFERYNCLLKNVNTNRKDSFEVTYMNSFVQDTFKGDFV HAALTCPSQVPFLPLLAKLTATAQPSTSKNTITFPQHPFRLSVFIQAYSNPSLPVLGN EPLSPSTFPLHIEPPSAMSDVDYPHLLDYYKVAYCMPNLEGYQHPSSPFSFVDNQIIK LKSINLLGQVYKGCKYASGRGSFVQSLFLGSQGNNRLAYTGQIQYLFLHSFTPPVDNT ELQTRVVYQDKHVFAFVKWFQIEHDHSRELESVDICSADFIACDFE PHYBLDRAFT_62914 MPTPNCRLAPSLASTDVQLLQALNAMKEEMKAMKNKITLMDTRI GVVITGNATAINGIDALSTLPASAHVPTSVASTSAALPITKSSDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKPKWAVDIRFDRSPNRELVKQLLYYLEKTFAGTDMRTRDLRK CIYTNFCSRHRQQRELPETRRALNTNSRRSGRETDNYTLRCLAYDAYKADIDLKMGRN CSGLIQKLVMSEGESDDDMSPSQPRNEIRVARPSWRSDELNKFITEVDSFVVKQLGAN SRQLLKRVYDRTVESTVPIDLDPALPQWALKYGS PHYBLDRAFT_165401 MNLVKNIKMNTVDPIFDFPYIIQLEITLHVLSSVYKDNLSLLIY ILATVKDIIRNAMDYQYQHLFFARSFPIFTIYFFSVDNYSIQTVSSRPVEAITDIQ PHYBLDRAFT_62913 MYFDDISVWLRPLEDFKVGNPVNELYLIARGIGKLVYDLLITTL TKETKFYYTHPENTFNTTEYSFHIPRADLVTIENYITSSQKYIPTSFQGSFDNIFVKI DGTCTMAKKVATFYNFGSRTAIFYIIKMTDLTLYNAIKGIVATFF PHYBLDRAFT_62912 MTEIDQFIPNDVDMYHVKNDTSNNDESVKLVKGHEYDVCSSGCQ LYDINDNQKSCVDCSKPQYKTDPKQSQTPATSMKLMSVGDMFSQILADPATRELLRYR ANRESVAGQLSNIFDGDNYKQLVQQGLFSNPDDIAIELYTNGFANEKKGKNSYTIIHC IIFNLDPSIR PHYBLDRAFT_142409 MSNINITLLKSIQKFEIDLAEIKQTLHKLQSQFSNQFAPAVSAE DQATMQQSIIEQTLEHIPESVKRAQLTEYPDQLDSSQAGLKGALQRRSARAVFAPISP S PHYBLDRAFT_165405 MISRIDSHSTNAQVSGSCCYIRSSLLPSTNNSNDDSLVYTTSSR MSIDEAGGSTSISLFILSSSLYCSIYVSPLCQLNTLMEALEFVVSHTYMHITIAGDFN VDFTKESIKKMTLLQFMNNRNMTTTLPNTIQSTTSQNTLIDNIFSTMPVLDSGRYISL TSYHSPLWAKFM PHYBLDRAFT_142413 MPNTNRLFVSINTTQPGMRDNEPIRNGKEEDNENVEDIDEVENS EEVQALEDTDSVQDSSASSTKISWDEDTTALLLKVALGTDVYDETFKNGNNFSSLLAS FKANVDSNKCNNKFKAMKKAFKKEADRTYLQTGCDHRFCPSLYGTITQAVTVTSISSS RRITREYLATSQPLEIINEGLVVDKSHVARSPDPVAFSVST PHYBLDRAFT_165406 MTFFPAINKFHMNKILKSMRHADQETYCWWTIDCLNLMDEKTGE HSFTAHYHIIKSTFEILVNALRNTAAYARSDREQDNVCSVSSSNTDLNHLKDVVGAID DKLIQIQKPSIDGSFCDARVFKLGQLMTLFSSRGTTLLQATQGRRERRVTRATRVARP ERVITETREVIAAFITSCCILHNLYIDNGDYITANPKDDADIYRFLDIYVNDEISLSV GPLNDTDVSQHFISNDFPTELSLNNCLRQLKEHGKIKRNEVMYSL PHYBLDRAFT_165407 MSNNQKKDSYVICKCPDYVPEEHFDDMEVDSIDSDNDNDYDYKN EGKGEYEDENEEQNIEFDQEVDLPLSQEESIFTAKDTITGAFVVDGNEIKEGDTSFDF EQEENFDETSGTLIVESVRPSSFDNMPLYIRFVAVFIVIFHLIFLVESGGSILIEFCN TLLSLCDMSGALPLTINSLKHKTGFNMETDGMTVYIACSQCHSIYPLETSQRVCTFKK FSQSAICNNNLFKVSTGNRSLPAMVYPFNSLNWANALNNTERTYLEKENGTRWSDLHR LSYFDPVRFTVIDPMHNLYLGTAKRMIQIWCECNYINEKNQLTIQELANGIVVPCGYA RITKKIANGFSFMKADEWKSWCIIYSPFVLKHVLPAKNLENWILFVDACRLLTKPSIN DKEIDEAHSKLQLFCTRFQTLYGKSAVTPNMHLHLHLGECVHDFGPIYAFWLYSFERY NGLLKNIETNQKGGFESTMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQL AALHPSSTASTFSLSDFVEYSLNPHHSALGCEPLPPSVFPIKLDQRITMCKGHYECLL EFYRHAYGSHDIFGHYSNCESNQIFVNNRIEKIKRISLLGQEYSSGSYFRAYYLENNS EDKAAFSGHILYLFQHLITINETVITHTFVFVE PHYBLDRAFT_62907 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLRRQEEGE KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRWACLRQRRVKSCERRQSTLKANRA HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFLEIPPKLEKFVDELDV DYEAAHDKKNNTCPFEHKFKGIRDKQLMQSGILLILFKLLFFGQLRLVNINDVLE PHYBLDRAFT_165409 MLHEKLEEYNSAFEKIMEELEEPEMPKDPKSSAPSTTDKTPKKS RGQYQKPTDKNIKKLLSLQKHLKIIEFHLPGIIDNSSQKITTQTSLNTTLSVNTVFAV SLEFAGVSSIISIVEGFLTRDRATYSWPWENTGAGRYNPTLSRV PHYBLDRAFT_165410 MPTSNNSLEFVMDKHCEMVSHSSSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTLAGNSAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQMNKLFSLVFSENQTAAATARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEFFENKPDATLEQARIA VMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLPEKRDDINTIEMRRDRILEWQQLAD FNYLSNCVFIDEAGFNMHIKRTFGHSISGTPAKTTVPTQRGVSITILGAMCERGIVSR SLKKPTAVATKKKRKLDIYTNVEVNSQIGTRT PHYBLDRAFT_142419 MTSAGPVCALCKQLGHSRRSNLSCPLNPRNKTLLIPQKRTSDNL STQEEYQTESTGASRPRVETVQNPMILTIAEIIALSHADQYPAESSRDLTAAFEALQV SAVERVLDLTTTTAIATATVIPCCNGIGHLRSNSLQCPNNRWHHTFVSGQLAVTHNMA RCTTTPLPPTDNRGAIDVQCKFCGALMWIHKKNYTSKANNIMFSMCCRLGTIILPPFE PTPPGIAELLVHNTPARKDFFKKIRRYNSTMSFTFMGVNIDQSVASNISGVYNFRIHE TICYKIGSVLPTTQAQMDQPKFAQVYIFDPASQVNHRHRNALELDRVILEKIQAVLME VNPFVSLFHSMHQVAWDNDGTANMTFCLAESGSADQR PHYBLDRAFT_18833 ISTVNWYANCLMYRSNSMHLLHRFGHLFQQYIVDMYAKIEHSRL GYYVLNQKKMRAELYSGIQDAVYLNDNDMTNLGWQVIIPSSFLGSPRCMRELYQDAMG IVHYFGKPDLFVTFTCNPTWPEITNSLLGGQSASNRPDLCFHVFHMKLEQLMHDLTKK HILAKVVAHVHVIEFQKRGHPHAHILLILAMADKPPEAFAIISRHMVHELCGEKVCLA NVPLACYMKNGRCQKHYPKNA PHYBLDRAFT_62902 MLYSVINIQKKLGVKRNNKVKNTLVLLPSDASPSQCPFGLAKAI SPKLLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMTILASPMYSLGLQINPFASGS ILSTMVRIHDVFSFCLCKQHTTGLFSERYCLENTCFIRTLAISNYWRSVWKQTV PHYBLDRAFT_142422 MVNNRQSIAPAPSPEYTELLRRLTAMEESLKTMDSNIGIVIKGN KDSLEILDSVADASGELLAVIAPTTIPAPASVPFAASFIGSTLDWYITPSEAFFGISS AAPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELKKHNFKSNKPELVAANDSKH SWDVNVDYRLLPNRQLMHDLHAYLAPKANISDCIYTNFCGTRRQVKESYKARKKTNSR SRKAGQETDHFDRRELTYHTFKAEIDVKVGKSCDGLLQKEAMSEGKSEDDMPGVSSNC AIRTVRPSWRSNEYNHFLAVVNDFMRNRMDFNSRQMLKRPFGIDAVLAVPPRLTSLLP HWAFRDEFQ PHYBLDRAFT_109537 MHSTGAIYLVVNNLPRNERYKPENTHLVGLMPGPKEPKSKEINH YLWPMVDDLIRLYEGLAIPTFECPSGVRVCAALMMVACDIPAARKTSGFTSHNSTCAC YKCNRHFPRLENGVNVDFRGFDFSWWVLRGGVENRLHAEEWESACTPSERHRLKVKNG LHRLGYLDLVCGTIINPMHNLFLG PHYBLDRAFT_165413 MKSNFSLGHCFTILSQHPKWLPRAPKTKNTKKASKKQAVNAKVA NSALAHAGGAEGEEEEEKEKEDEGNRFNLGPRSVGRKQTKAQEANQRGMEKQLEKALS AQAEMVKKDNKHIDLMKENTKEVQKSTKIFENTTLALTVHTTALQRAEDICILFMDPL SIENPIDCQMILQHQHRIREREIWNGPVINNSGNSDTGVPESN PHYBLDRAFT_142425 MYDAFVKSFNDPQTAKEKNFAKIQGRVQKDVEQEFGMLKSCFAI VAGPARM PHYBLDRAFT_165414 MKERLVWNFLVKVTISNVAASMGQGEEPEARPVNEYWRRHRNGS RREMRALLAIYFCCQFESGGIGRLGDQSKRKQKWTRIDEGVIKYCGGRRGDESPLEAA VKACVKKEDMVVSGALTRAWTLRDNVGRWCGSDYVRNWDLQTSSRSGYYTYKCMWQLF QGMKEYEQGALSSLEYERTTLWEQEEQPVIQIFGVMAVAIAVILKMVLTNGTIFVVKK SRGWDQANTIVEHLVAILDSADKVHPESVASKL PHYBLDRAFT_165415 MGATRDSAADEKMWRCVGRKFNRLSIAAWSTSSVVLKICLGRVI PSVKKLIDVLEPIVRHLLSPWGSRRISVVEITQYDPMAWRERKYGWKLSAERGQDREF VLSIGRNIDNCNYKRIKSISHMRDSARNNVATRTSKRVSTKDIRGEGDETAVDGPEAS FDLKLRVKSVLQCRGCMRFLKCKQI PHYBLDRAFT_165416 MTGMFYETHFSSHSDAPSSQQSSGLARVNEHPSYKRDIPQELLS HATVIVMIISWSAKKSFAFVKEIIISCFTVNVLFVCSFVLGTSNKIFHPKYNKLAEQE VAEDCYQTLLVEPPFTYTVVFPLEYSLDTGTLSSEFDHVSIFATWAAIPPFCRYCHSD KHALIDCDLRKKATMCRLCNAGGHIAKSCSCKNESSVTSQKCCKILAIQETPQPLTPS SSVVVLPLPSSSSTDVLTTTGRVKRIQNSLERSVTCSQTSLVVPNAPNNSNPVNEHKL PLPCQYCGLLGHQRSNHNACLKTPNIFRRRLLPMIWRQTLTIPMTTTLFLQMSMQILL DRPNN PHYBLDRAFT_62892 MISGKHSSFTTNLLCFSVVCPAIEFHANYANSPSENNPVHVNIA HNIMPNNNTGLALVMYTSIMRLAGRHVDGNNTAFRYNVTSGVEAVTGLLSLIEEAYVP GKRHTAILPEWVLMSYFTGGYNHGLALTLTAYLQSKPQSASILTSDLAHIVKNYFCNQ VCKFCRTTSFANRKRTALRRYQCGLLDMTLPTNSPNGTIKVPTNFQQAKKSWVHAVLG GSTKISSLIADSTQCTLNTPANNEPGNLTSSTKLPPPVDHFSSQVMWLFLKGTTNHSV VINITTFAVAQKPLFLSNLQTFFNGNEHLWAVTNQICRKYNCLYAEIVVSPLYYSQFK NDAVLILPSFDVPFAVYPTLPPSAKILKISLSELPYQYSCQDGELAQLHADMTNNFSQ FDAIVDCGYVTGSSGLYASGG PHYBLDRAFT_142430 MSTSNRILAPSLTSTDVQLLQALNAMKEEMKAMKNKITLTDTRI GVVITSNTTAINGIDALSALPAPAHVPTSVASTSAALPTTKSGDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKSKWAVNVRFDRSPNRELVKQLLYYLEKKFAGTDMRTCDLHK CIYINFCSRCCQQRELLETRRALNTNSRRSGHKTDNYTCRCLAYDAYKADIYLKMGQN CSGLTQKLVMSEGKSDNDMSPLSQEMRFVLLTQVGELNKFITEVDSFVVKQLGSNSRQ LLKRVYGRTVESTVPIDLDPALPQ PHYBLDRAFT_62890 MPSITQRRNVVCHCTLCSRNFLQYSLVSGRTAEHHIVKEKLERV QKSDAAKRFANSVQEEEMMDVDTQYNQADSPDLNAAMMADKVSVDNEISEVNGNGSDI ERDMNSDSGSGEEEDPFDAPNMPENPVHRFIATFAILFISRYPVKKGATILIEFINQL LKIYNKDFQLQTSLIGLQRMTGFSNYVNGIKKSVVCEDCHKAHKQDVPHPTHCDFKKH GSQLPCNCELMKISSSSAMVVKQSYVYNSIQRSLQLKVVDTLCDVYDGAMWKELKDQS GVSFVDESRSLMLTMNIDWFQPFDGVTYSTGAIYLAINNLPFACDIPAARKTSGFTAY NSTCACPRCVRQFTRLPSTNQVDFSRFDYLMWKICSSLENRLHAEEWKSDIRGTIINS IHNLFLGTPKRMMERWIKEGLIDNRKLATMQAMAETMVVPMDYVVLKSKIGKGFPYMK ADKWKSWVLVYLPILLKAVLPIEMFRNWISFVDACRQLVKPSITFSDIDDGHKFLQEF CTECQCIYTPTILTCNMHLHLHLRETIHDFGPVYRYWLFRFEQYNGLLKNVNMNRKDS FEVTYMNSFVQDTFKGDFVHAAVTCPSQVSFLPLLTKLTATAQPSTSKNTITFPQRPF RLLAFIQAYSNPSLPVLGNEPLPQSTFPLHIKPPSAMSDGDYPHLLDYYKVAYCMPNL EGYQHPSSPFSFVDNQTIKLKSSNLLGQVYKGCKYASGHGSFVQSLFLGSQGNNRLAY TRQIQYLFLHYFTPPVDNTELQTRVVYQNKHVFVFVKWFQIEHDHSRDLESVDICSAD FIVCDFECILPVHQISSVVATCDYKTSTNNKKILVNALPHKQYN PHYBLDRAFT_142433 MFDINTTLINSVRKIEIDIAKINQMVRMLQDQFSKQFAPAVSAE DLTLKRVVESVKSSQFTEYPDQLGKQVMGTDGDFKGKNKAQKYNLLSQLLNEQEWKDR LQRFVYETIAAQDVELHDQAQSH PHYBLDRAFT_142434 MEIDKAISYKGGFSFEDSESEAHIYDSSIIGSNTFTKAEPGAKI SHSKIVDALLKSKSSVKGHEYNVCPSGCQLYEINDNQESCVDYGKLQYKTDTEQSQTP AASMKLMSVGDMFSQMQADPSTRELLHYRANWEFVAGQLTNIFDGDSYKQLAQQGLFS NPNDIAIGLYTNGFVNQKKGKSSKKYAPGRVLVPTPRQPGQYNFSLAEAEKICMHCEK DFKSPWNLKQHLEEYHHIHETLPNVEAYDITEFNVIDSDVSNTEISDESTGNYPYEAI LSSLTTSAESIISSVEEDVDVFANGVLSFENLESFVPKNYSFKNLQTMIMLALIDGDN NMLSCRIIKKILLAMNLVLKLQEEAINTKIPFILPCLDALFNFQKTKKSTISVFKSKI VKFDLSDSTQMEVCFNLPSEHLKLLATNPKTSKKIFSLPDQTPYQAVCLQQGEKWRTH PLFQQPMWTINNIDFWHSDVVKLKADQNNSSHRLFYRVAISPISLFTDDTSGNTTVQS NPSECGIRKKKDVTGASMLPTIVKDVQLMEGSILMYSHKYKEFIFVYTPLIWIEANTP CHSMLCDILGATVLYPCRICYVKLQRKVENLKDEDYYTKRHKNRTKQHYIAAASSLDK LIIILDISLIDNKHTAEFLRFKNTSTQILLDLQSFDPSQDTPVEILHVILLSITEYLV NDLVNSVLVKKDELRQLTGYLKDYEQSKGMSRKCTRLLSGCSSYLGRDYKCLIQILPV ILVIKFTGNPVLKNITPCFVQLGWLCSLVFIRAIESGLETYIHEVDTAVKGLIKQLLI YNKNGKLNRHNLYTSKLKAHLLTHFPDNIRRFGTPLHFETEKDEQFNKHIREHLFHTN KLNTSKDIGLKFAKQTMMRHILDGGSWPIENGLRLSCGKGVKTYIDNTASVHKFWNVL FGSSREFADNNDDGSIVNSELCDNTFALFMPMHDLPTDIARKNNYLLAQEYSGMSTPL EELKMICILDMYTKVGDAYVINLSKFSSYWHFYSYFSTIKICEWRVLDDVRHYQSLSG VLFSVLKEGEILPGPKKPTHLNSFLMPIISEIKDLEVHGLVIKSNGVMYEKK PHYBLDRAFT_142435 MLDTTNGVEVCCAKIHLLLASDDIPAVDDMAHIGSHASLFGCQI CETKGKPPDNRWHGIELSAFSRSSFFALDELHLVARGIRKHIYDLITVSLTKETKYFY THPDDTLSTTEYPFFIPRTSPVTFGNCITSSRPYIPVLFHGSFDNVFSKIDDTHAVNQ IAFLLYIVPTLVVPFLSNRAVQTAVHFKHWHRYLLQQVQNKTISRSVFRPVQHYLVHI SFIVKQLGPLRCYSTRSMERVIDVFSKLIKSKCKGNHNASFLVERFTLHNYVNTAISI QNEIDLIQPKPYGRESYMDLPNNPSGVQFNRNIIVYSWLVGAVVFFFQHDNSLGSLYF FAFVEVMKEHDVAAHDSSVPIVKQQSQNSSTGRQTQPTYAVISVNDICHQFGLTQYPP NGNQFYVIAPYYVFSNNMRITKGNLSIL PHYBLDRAFT_62886 MIFAVAESSRAAASRPRVEAAWDFVVPTQLLSIDLSFTEQYTAE SDRYLTAAFEALQVSDVERVLDLTTTTVTATATVISHCSSCNGIGHQWINYHSQHGPA YYRLCNGTRLICNGSGRYVIKATIVTGSSAGKLVLIPRIKLNPTGSTMSIEFKQCLFP VRLAFAMTINKFQGQILDKVGLYLPDHVFGHGQLYVTLSGPNSKLSKNHG PHYBLDRAFT_62885 MNAHMNTFTADAPIRFNHKINLIAGRDRLLVELLIHQHPSYAGY GQLGVLWWLKLKKFLRGRIEDLRLETKICENLCRFCLLRKDFVSKFDEVSTLNYYCFL YYFGSGKLYDWGQGAAWDEILKKVNDAGGKGNEIGVSTLKQRHKNLFLKEAEGDRGKA MKKQLASEEEVQKQAAADKVVERATLLKSNNPLRVVEQKKLTNKVEGSSSGHLVHQST ADFSDAREMLKSFRDELSSMVAGISDGEVLTSMRRLEKNVERGFLAIETRQRTLEQRQ ALLEERQACFEYNMQRYLL PHYBLDRAFT_142439 MTLALMIVLRRMVFPACLVDLSLLFGKGKSTFSVIFNEMIEKIY IKFSSALKFDYCQFQESNLMRFSQAIRERSLAMYCVGFIDGTFNKIARPIVDQKGAYN DHYRGHGLKYQAVVTPNGITSSIMGSDTGRNHGVHMYCKSQLDAMMCVAFDFTSINGP CYYLHGDLAYTTSDHMMIPFRRHKYCTVIYLDETADEQELAINKSMSAVRISVEHKFA YVGSLWAFLKYSQTQRSGQSPVGLYYIVGTFLKNLHVCYNEGNQTSKKYSVVPPTPEQ YIYRLLNQ PHYBLDRAFT_142440 MSPISRRNLHQTRCLGLSNLDNARRQLCAPLPAHDLGHIEQVLL EFLHWWALDLRKHLHVSLAVQEQIPILTLVLQQYAESCDLFSLLTTLDDKMTAISSNV NAQSTGMQAVLDSDMDPQDIISSSSHPKISSIIQRQLRDINLKTDDLELIRENDDKPT WDVNVGLSDEFNKNLASDLMLYICRQPVAAMVPLKELCGIIVNSYYNCLAASKLTEED RQTNSISNRKGNRKTALNKRRKRMYTKHKDAIIEKFNQDYNVVFYRDAMSGDETETNI SVVVSRPDWRSDELNTMVSQ PHYBLDRAFT_108964 SQGWIEKFGKRHCIKMNQIHGETGSTDIKSLQIDKAAIKEKIEA YSACDIYNFDETALFYAAPSRTTISHQKFSGWKENMKQLTVGLLCNANGTDKWSDILM IGHARRPNCFNKNNKKQEAVDHGFSMYHYNSNAWMTRSIFLVFLCCFDRSMKAQNCKV LLILNNFSGHIVDYVPTNVELLFLPPNTTSHFQPFDGGIIRAFKAYFKCKQYAKAYQY IGMIQNDQQDKIWAINKIFEIDQLWAMK PHYBLDRAFT_165427 MRDFIGLGYSELTKDFCTCKGQQPRYSCTLHCNRLIPTKIFVKT VIIPTHFQLKKFLRGRIEDLRLGTKIWENLCRFCLLRKDFVSKFDQVSTLNYYCYLCY FGLGKLYDWGQITVILSGSIVFFSKGKS PHYBLDRAFT_165428 MSNINNTNDHVIVSETSSKKKMHDKENWVNTYVFKHPHFGNRTS NRAKSSHASLKYALGTSSGKLKTVTMKVVKWYEALFDDRKRRLTMECLGESTTVVFDK INSFRLNDIHHKICRFAMDHIKLELAKSIIPEKLTKECECLINYNYLLPCYHQLAQYK KIPISCIPRQWRINYLEGEDHSIIHNALPVPKNITKITTITPQLAYKLERVTQILTNA QSKQQQIHFKEYIDKIIELDSKQKLENLNGLTVVEAIKDRPKNTKRKMIALEHCLEAE KEETTKKN PHYBLDRAFT_142444 MSNSNSEISLSTEDNHAIKNEYKTWYKHDGGKDGLTSMERLQQF MLMNGGENLNMYLGGDKEGRTFKSSKITILNKCNQYFQEQGVYRTTAQIKSKLNNLLT KQYGEVYRVWKNSIKNNSNDEGSTSEKEGLESELNQICPAFFQMEKVMSNRKTGSPAV CDTTKPMEWIHDEDGEQSNGDDDNTDEESAENSHSSNNEEYDSQNDNMSVLCSPPPKS SSSRKRRRVDVEYKELAEEVTRQLNESSVSLEAKIERLYREKLEVLKDDLHIKRECEF IHRKFENMMKTVAELAKVQNWSDEKVQEQTDDVYNKTYGS PHYBLDRAFT_77207 MLSRSNTPSIPTIDSMTMPERRTRSPPHHGSKDHEDVSDDDTIP HSHGRDKFRRERSLERNTGDADRYDYKKRPRSSPVPSDAEHRHKRHVALSPPHGMRGH GPPVPRGARGQPRGDAEQGDRYVPNYDRDGYVPAPRYTHGPDTQHPVPGMNHPMMADM MMNNWSAIPRPPTVDPQQLTFLMPYKQFAENLRNTHARSYFTEEELHTRYTLYKHSFA TRQLTEFFKINKEKIWFQEKYHPRLSLPREEDMKKRRRRYLESFLAAIGRGEYDDVCY DGHPDQIPAQEAKEETTATQEESEEYENRLVIKTVPPTIPREKIIEMCSKVEGFDYLA LSEPNISKKFHRVGWINFKPGTDIQAAHAQLDSQKVDDFIFHVAMNKKNQMQSRIPRF TFDISNSHSRLKIDLEQAKELAKRLEQSLGEDVRGIDTVVERAKHVIKEQTDVKMESL ADEGVNSDGELPEKSQPEQELEKKNIKKELDMIIAYLRNVHMYCYYCGLECDSVEELS RKCVEPHYRRVSNERGTVKYPKNDKAVYWLHNLDQRIDLKLHTPTDNKLESLGGKVLE SELSNYVKTQVHKEHEAKYKCKVGDCSKAFKGYDFVEKHIFSKHPEEIEQIKEEVNYF NNYVCDPNHMLPVANSVPGPTPNKQHNVQYIPTPNGASGGGGGAGGAGGAGVGGGAGG PSSPFMMNTMNGMRAPHGMMPMQAAPGSPWKQIPRIGFGDNNNSWNSLNMPGREASRP SGSANNGAASRAISMDLDAFPRDPRQVKSYVDLDAPAEGDANIAFY PHYBLDRAFT_109669 KLLVCAPSNAAVDEIVKRLKDGIKTADGVVRLNVVRIGVADSVN TSVKDLVMDRLIEKELGANLEDQKTNKAWSQRRDKLNDELRKIILDIEEVNRELADTK DVVSLATLRDKRKGLSSKRDSVKVMIKDAYEDQKDFTRDMDVSRIRARQKVFSQADVV CATLSGSGHDMLTTMGVTFDTVIVDEAAQSIEISTLIPLKYDCQRCILVGDPNQLPPT VLSQFAAKYDYEQSLFMRLENNVPGGVHLLSIQYRMHPDISVLPSKLFYNSKLHDGPN MSTISAAPWHFKDAFAPYRFYNIEESQEKVGYGHSIYNPAEAEAAVTLVDMLANQLPG IKFAYKIGVITPYKQQLSQLKSRFERRFGSKILDVIDFNTVDGFQGQEKDIIIFSCVR AGSSRSIGFLADVRRMNVGLTRAKCSLFVLGNAPALMRSQYWRDLVEDAYSRRALRDV SYRKRNGF PHYBLDRAFT_165433 MIQKTVVPHVAYNEVSIKNFDTLYSTILENVSKSNYIAVDTEFT GHCKRIVKNMEQRYDALAVVVKSHAIVSFGMTTLQELPSESNMAVYGAQNFEFLTSNQ DTFQVDPGNLKFLAENGLDFNRHFQFGLPYHAGTHAVTSQRDIRSLWRDLLFTIRKNN IPIIVHNGLLDLMYIYQSFFAELPASLSIFVADLVEMFPSGIYDTKYLANQVLSEQKS YLAYLYCKYDRSRQQLTPGSSVATGNFAVRVGDPLVGSTVTTPLKRTADESVPLSQGS KRRMRAKKLNGLNKTPSIICHNYSNYGWCKSGKECLSSHDLDLILDKDQGIKREKEQT EVEVKEEEVGEEEIRETEEQDKAPSIEPNQRRDHAAHYDAFMTAFVFCHQASELGPVA LKENINKINLMRLRIPLRVVKSHYSKPSSAWSSVRDKIWKPDNDD PHYBLDRAFT_142448 MPFNNFSNNQQSWYSVFCFFTPVYCEGQEKNAMNTTPEEWADDL VKSIWRPVTIGLAIGLPTIYFISTYLIGKRYKTVGHIPPEAFASKQVIRGRVTSVGDS DNFRLYHTPGWGWGWLRKIPTTRKDLRNQTIPIRIAGVDAPEGAHFGMPSQPFSAEAK SFLTAMVLNRDVKVQLLSRDQYSRVVAMAYVRRPPFFRSKNVSVEMAKEGLASVYVAK GAEYANLLPDLEKAVTKAKKQQKGIWSLKAYVSPEDHKAK PHYBLDRAFT_142449 MPKILPEFVSTSWSQIDSFDAGEKNGHNWWFDTVKMLCPTKKCR SPKVSVQAVQITTATQDSSPQRDTWYTPPKGTTFRQPVRLVSAVPRSLNAKPLSVIYE FGWAFDGEVWRNLNLGISMSHSPHENDF PHYBLDRAFT_158024 MLRAQAEHARLTTNQDTQARPPTNSGSASSYANGLAQASALLQN PQFANQYSQLSPELQQQLLRNREQLAALIEQHSKDNKMFAR PHYBLDRAFT_77204 MKLWTYTSLFSIAVSAISAADVQYSVVAFPKSGETVGVSVGGQV YNLASSTFHSNLFTGSAPAGDTYQYVLSSGSAATPETTTRSLAQGASSTGNEFYGRSK TVYDVPSLPQAYNPIYPTLFTNLNKSNEIATIIMTANQTAIDAYNKDPLGDYKDALVS ELVYISNKETFKFNGAGLSTSGQSTKDFAKQSWAIDFNKYSNATEKALLYGRTSLKLR AEETDMTFAREKLTLDCLAAAGGATLSSSWVRVFINGEAYGLFLLMDDSSTHLIEDIL YGGDWSAAGVGATYKGNALSPTVEGNLVYATEDPTKYPEDIYKLQDKGNDKSLNKTTE MQPLIDFTRQLAAIDPTKATDANNKGAIGDLLNPENTMIHLALNFLTGSWDGLWIQAS NYYLNQDMLTKKYTIITYDFDEVFGNGAEAGLDTVAYTAFARADSQRPVVDAFIKSPY YNQQFQDIVKTIVKRFFKPSTIDPILAAWTEMLKEDIAWTRTIAGKSAGQKTTWTTAD FTTNMNTTAQGTIGISQWVASRSAAVAKQLNFTTDDDLPVLGPYTGGNTLDSNGNVVS RASGQAIQPSGSTTAQSGSNASTNSGASGDKAASKDSAASTLVSGLSTGVAVAVAVAV AMI PHYBLDRAFT_122988 MASNALVSASSSQAATRSEFDIDALPYVDREIDEGDMKATVDRM IEQEMRRMRRKDDDKSSLPTKINLFEDDEILTQELERVHKKQPLSALDTSRYELQGPT EDKDSVEEWKSAVDNTKAQLESQAGSMFNLELLQKYGANAWRVHNFQLEAYLKQIQKA TEEYRNESREINKQRKFEQTQAAGSLRSLENKWSDLISQNLQVDIACAALESEVEELK RYRQSGGL PHYBLDRAFT_180249 MERSLMDYDNSLEELERQREQLEIVMKRMGEEWEESGAGIGWLG SLPSGPELPGLGQEQGLSSSPQSSLVAAVKAAVSATSPTTTIITEKTGLAETLDNPTP ILPTFEDGVFSLALAPSPLFSNILSQDTGPTPEYLQTLLNVNDALLAQSCLNAQNSSD LPDPTSMMPNRISKAMTMTPVLQEYDSNNDMSSSSTSSSGPVTPEEQSTSNQKIPLHF P PHYBLDRAFT_104333 VQCTNCQQTQTPLWRKNDRGEPLCNACGLYAKLHQKDRPVEMRK TTIQRRRR PHYBLDRAFT_165441 MSLQNTFPSPQDDPFEQAVKAQANDNLNDISSQPHVPRWQHIKT SEEIVDSKNTLRILESKLNSIRSGTRKPLANPANSSLHYESEDESDKDQDQDQDQDYY CSREPELDSQAEAEGVHLLWKSQYVQSEQINRGGSSALPNQEFHEQEQLLWSRAWQKR KSEQEYMPWIDRILGCFNCCK PHYBLDRAFT_158025 MISPKSENDIKHNGDSNSAHDDELDGVLGNQEQEQEQELEQDQI TTAGASSSDCGGGSVQNWVQNENGDDDSENDRRQPHTTDDEDDSTKSNGSQDTDSNGD DAMNKVLNPDNMISLGTGAQEGKLQSPRSPQPPLSTLSLEFPGLKNNVTEIHSDSDSD IEASVAVDTGAVLGDSNSNINCGLKRQENSVIDCQPNVKRLR PHYBLDRAFT_185877 MHAKEEQETAICQREWLLHDIMPPMLHRLREHLETSLSILSKQT KMDSLPLTTSKNDSIKGLITLSGTHINTAELQIKLTPHQETAVKATIEETAPYFLEQA QQGRNYIGLATKRVRTMSEPLTKQAAVELLDDLCKLIDRARSSFEYPSEAALFPYKVC HPKYFSPPLKQDLVIEFFIQDVFIVCNVYCLDYNTTRGNKFSSDSPVFVYPLIRTSIA ILCSKHYVHRESLNLSDIRSATYKDKNATVVDQAKTKTQSPMLTELKASLQSIRGLCQ IYKQMLLQIEV PHYBLDRAFT_142459 MTTRIAVLGGGISGLSAAFYLSRLVPETTKIVLIEGSDRAGGWI RSQRVSPGTYLSTPNDNTPQNPEDVLLEVGPRSLRPVGPGGTIVLDMISQLNLQPEVM SVPKTDPSAKNRYIYYDDQINTLPSSLPSLLFKPSPPVFKSVIQSIVNEFHAPPGSAD WAHDVDADESLYSFVERRFNRHVALNLVGAITHGVYAGDAKNLSVKSTFRVLCENEKV HGSVVKGMIKGGVDLQTANDKKMVRECLENPGSTEQQKTWIQDMAGQSVIGFKNGTET LTKSLRDWLVNQPNVELVTGEQVSSVELTSSNNECKVTTTKGTHFADHVISTLPANVL DKVLAKTPLMHLKETPGVDVGVVNLVYDPYTVQLPYDGFGFLTPHPDSDYKLPVPGTL GVVFDSNAMRGQDQKQNQANSVGGGEDPVKMTVMIGGHQWQKTFGGRSVGQVSPDEVL EYAQKGVQTYLGIDANPTHKMANVLSSCIPQYRVGHEARLRQLDRQTSDLYRGRLSLA GASYLGVSVPDCIKNSRELAENLVRSSIFGHSKLVTGLERIRVP PHYBLDRAFT_142460 MAAGTFWYLIITIVVACQWTATVSAMHCIHHNPVTHENHYDYCP EHQKQYQRNTLENRIYLDIKCDSDHCEKATATFHKAADIISSVVKFETPIQVNASFLS FCSTRGECKKDNDMKAIGLAYPTVSYLMNDPTDGVTRMYPQAMLKQFTNLTVIPRWAT YDINAMFNSDISWYFYNDSGKIHQNETDLLRTVIHELVHGLGFISSWGDEMYNRFAPY VDDLPQFITPAKLTPSTELQSVADNVKTSVGTQPFWGFVEFPLDRLLYTTILSSPNEQ VPLTHYTKVLNGWCDANVLFASMIDMVNTWYGSTERQTAEAMYQLATTSRDISICSTR HENDDGNGPTLQSMFWLETSLVPFMRGSTFTHVDQDSYQSSAEYLMVYNADRGVSLDS LTEKYSSGPLGPNLRTVLLDLGYALQPQFTNGTIADGGTIKNFRPSRPNVSYWAPADN LVGTTPNPSASIRVQSYGPAHMPVSSSATSSAASSTAIAETSGAIGLNSHTSPPSTAK IMMMMIMMMFVGTTII PHYBLDRAFT_165447 MSNFVDYYTILKVSPKATQEEIRQAYKKEALISHPDRLPEDASE EDRREATRQFQLIADAYHTLGDKSRRAAYDRARASHGSTPFATHATPTASSETAHNMF SSAFEELLKDEVEHPTYMWRMLGAGAGLVIGFILANFGGALMGAVAGKTLGQIRDRKG VSVYTAFERLEASEKKKILSALLTQFLTQGAVGSMK PHYBLDRAFT_62861 MPSKFGSFSSCFIAFAVLLSIISNVLAISDTLASTSISNVQPAI QDNGITVSEDDVGNLPMSYQSQYLAEPNQQSNELIQSALIGSESPNVSPSPIKVSVEP IVTEEDNETNTSAIENTPVESIAVAAVTVEQPPSPARPHPPLVTGCRTQGQIAVTYSE GPSDATAKIVHQLNDAGAHANFFINATWLYTQQYAMVAQNIYNGGHFIGMTYRVKNDD SASLSEDEIRKDIINDARIIETLIHVAPKYVRLHYTPKKDFKTEAILDDLGFILVGHN LDSKDYQKRAPIGPDSVAAVYSEAFHSQKDTYDAKGSFISIQYDIPDTGSSIGVPHVI DTINKEGYTMVRLDGCLNDPKPYKKSAESREYVADKYAFNTAGYSQGQKDIPEEVIEA AKAEAAKSKISKSHRSDGVIGSPLKAHILLIIGFSLALWL PHYBLDRAFT_109229 YTGQLSLSESFLTFSSTEKGLFFETVLPLYAIRRVERVSDKDHV FSIKLVNWHHGTVVFHLNVTKQEYDTFSTELTTNLRQQIKFMKMMKQFMTTCASEALV NNKTPEEFDAIPGGLGLLFEFPGDPKKLKDRAKMREWKKIFLEHGRNLTVVKTPRFAK LVRFGLPNRLRGELWETCSGAIYQRFMNQGLYERLLEENKDKNSLSREEIEKDLNRSL PEYSAYQTPQGIDSLRRVLTAYSWKDPELGYCQAMNIVTSAILIYMSEEQAFFTLSVL CDEMLPGYYSTSMYGALLDQIIFELLLETTMPVLFNHFKKADIQLSVACLPWFLSLYI NSMPLMYAFRVLDCFFMDGPRILFQIGLAILKINGDELMQATDDGAFINILKSYFNTL DDPLYPDSPSPKARTLTKFNALLLVAYREFNGITGEKITELRRSHQPKVVAGIGSFTK RSALRNLDHSGNLTKEEQSIVYDKFYNVLYYKKVTNEQKQQPRYDSRVDQASFELLMC SFAKWAKLSSDDQAFDQERQAKVSSHFMNRLFKQFDTNNMNSLSLQDVIIGIGSIVKG DHNSQIKLFFDLHDVDQDGYLSKEEILQFSETLLWLFRNTSDESHLNAVSTFLHNAFE YSETKQETDAIEDGEKYLSVASLR PHYBLDRAFT_158027 MSTTHPSFTSFTLPTNIDSPVSPFWTTVKENDQFYLQRTKTQRN ALFRSVLGTLQSVLDTKQAPFRILYRKPNGACLQIVAAETEKQVDLAWNWLQDNLLNL LTNLEDTEKEVFLVTKINSIVTRKDAGTDEISADEKVRNASRSFRQTFNVPATERLVN YYSCAYHTNRMTSQGWLYI PHYBLDRAFT_131757 MVSLSIQKRLASSVLKCGQRKIWLDPNEVNEISNANSRANIRKL VKDGLIIRKPEVSHSRFRVRVHAAAKRLGRHMGYGKRKGTADARMSSQVIWMRRMRVL RRLLAKYREAGKIDKHLYHSLYLKSKGNGFKNKRVLMEYIHKAKAEKLRAKTLNEQSE ALRAKNKALRERRKLKAEEKKASIGAEQ PHYBLDRAFT_77196 MNSLYNHALKQSNALQRDINKFASGQDASVGLQGQISVSFSSFQ RSIDDYENIAKREMVAVKKETALTRVTKFRHDLREMKTQFETIKRQQENQQNEQNRDS LLARRPNKTSAPEYPYQPMSRLEAATRDTSFNDSTESQLDDFITQAHTILENLTDQHS ILKKTQKKLLDAANTLGLSQNVIRYIERRTSQDKWIFFGGVVITVLLMWAIAHYFG PHYBLDRAFT_62857 MSTEPVIPHSQDLESLVYLETMFQECGYDDGFRDGERSGELEGR IFGCEKAFELGREIGFYEGAIKTWKHLAESHPDLISSKALRHMERLQEQIDTFPNDND PDTDLLAVRDKMKNKMRVITSLLGVQQKFLQAPVPQMNY PHYBLDRAFT_180257 MNSDNEDNEFDNACALSEKSFLESINEEERERNDMIEAIAASLG KTPDQLTAREMLTLTLNPPRKRAFFDNSAESSRKRSYNTLPIRYWDGVVKLTHVSGFV GTQFIRFQDISTLRKALVTAFVCSMEWIEEQFPSSINMCIVLHGRPAIRSQIGPNRLL IQPPMLDDTYGVFHPKLMLLFRDESLRVVIGSANMERYDYEDIENVVFIQDFPKLSKK LKAVSELPTFAKDLCDFLDRVRVPASVKEAFLDYDFSKAKAHIVASVSGTFEGNNYNK YGHTRLAKIVKDIGASDPDRLPMVEMQTSSLGSLNTSYLHELYASFCGLDQFVDGVRP SNRNKELPPISIVYPSRNTVDSSRLGPSGASTICLNTDTWNKSTFPKQIMCDAISYRD GTLMHSKYIIATLPHGKPERTQKNKVDGWIYCGSHNATVSAWGKTSFNKISKRPRIRM SNWELGVVFPINESTDIVAPYIRPPPKYRAGQNAWTQSM PHYBLDRAFT_109215 MISLGGTIGTGLFLASGASIANAGPGGALIAYALIGIMVFFMME CLGEMATFLPISGSFNNYAGRFIDPALGFALGWNYWYNWAVTLAVELTAGSMVMAFWA PWVPGYVWSIVFLVLVLSLNLFSVKGYGEAEYWFALIKVLTVIVFIFLGILVDTAVLG DVYYGVEVFRSGGVRGTGILSTFLTAGFSFQGTELIGVAAGESENPQKNVPKAIKQVF WRIVLFYILSIFIIGLIIPFDDPMLLKNDVGDVSVSPFTLVFQRAGIQPAAHLMNAVI LTTVLSAGNSGLYASSRTLYDLACENKAPRVFKKVTKAGIPIYCVLLTGLIGMLAFLT SLFGNGVVYNWLLNISGVAGFIAWLGIAASHYRFRQAYVAQGHLVSDLPFKARLFPVG PIFAFLICSFVLVGQGYDAWSADPVDPLDIVACYIGIPIVLIFYFGYKIVMKSKFIPL MEVDLDTGREEILR PHYBLDRAFT_62849 MHIWDWSPWTNGQHMRLGPHMMELESANFCKDIYMIIGRIMIHI QKKARNICRDLRGFFGLPGLRRFDLVFQDSFLTLDGAINWRSKRWLICVCNRSYLLMI PHYBLDRAFT_19005 MVPTLPDTTLTQKQCFIIGTRKSQLALAQAELVRETLQKWYPHI EFRVHSMSTTGDRVLNVALNKVGEKSLFTKEFEIALQDGQVDLVVHSLKDLPTVLPPG MHLGAVTKRENPHDAMVISKKHKEHTLATLPKGSVVGTSSLRRVAQLKRRYPLLIFKD IRGNINTRLMKLDSANGEFDAIILAVAGLRRLGMGDRITQIIGPSDSLHAVSQGALGI ECREDDVECRQLLEKLNHDQTRIMCLSERSLMRTLEGGCTVPIGVNSWLKHNERILCL RGLVASLDGQNVVEFEDELSFANVTSTKEKEALAVQLGNAVAKALINLGAGAILNELS NIQH PHYBLDRAFT_154757 MTVKDLSAFDSQLSKGQKADTLVTLAGRVQAKRESSAKLVFYDI IQNGEPIQVVVSRGRFEGSFDEFAAANQEVCRGDIVSFTGVPGKTNHGQLSVFVTKDM RVLSPCLHDIPKHELKDPEKRFRERHLDMLVNPHVTDIMRTRTKIIRFIRRFLDDRDF LEVETPVLSGKAGGANAKPFETHAHALDMDMQLRIAPELYLKQLVVGGIDRVYEIGKQ FRNEGIDADHNPEFTTCEFYQAYGNLESLIQDTETMLSEMVESITGTRILESHKGEAI TFDRPFKRINVLDRLETQLGIPIAELDGKLGVDTLLQACRELSIPVSRPFTTARILDK LISHLIEPECTQPTFLYNHPIALSPLAKGGVDEKGRRIAARFELFVRGKEIVNAYEEL NDPVEQRLRFQQQANDRVDGDDEAPVPDLAFCQALEYGLPPTAGWGMGVDRVVQILTG ATHIREVLAFPVMRPLQSTTPKTSISVASF PHYBLDRAFT_62845 MYSNTSSNSSISSISTRKKVSSNGSLVFGPKAIHKHVPKLLRHT ILVRLAKTNLVKVFGRKIKQVRMIAPDLPKGLKRRLEKEGGLGSVASESQGHIIKKQK IWSENELEHKATARYINPKETRIEEAQNPDQSHS PHYBLDRAFT_165462 MSIEFKRCQFPARLAFAMTINKSQGQTLDKVGLYLPDHVFGHGQ LYVALSRVRTPNSVKIMVDMSVSGTNQLPITGFFLANDPGKLTITRAAIYQAYIHYTL IQYLMNLSYTPFIYQDLKSLTSLSNWNKNLYKWDLSMYFNFEPK PHYBLDRAFT_18709 MLTFQKKYIGEALFSQEIQEAMSESGIHKLYTHQAEAISGLRNR QHVITTTSTASGKSLVYQLYILEALLDNPLSTALLIFPTKALAQDQLASFKSWLLKCP SLSNIKAVTLDGDTPTQERALIRKNASVIFTNPDVLHYAILPNSSHWRPFLTQLCFIV IDELHIYNGSFGSNVALILRRLRRVCHYFGNTSALCVSCSATLQDPEKHMQQVLGVDN VKTVDQDGAPCGKKEFILWNPPLLNPEDKDGVRRSVILECADILEYLLSRNVRTIAFC KTRKTCELLMRQMREKLSQEPDRLKKLMSYRGGYTPQERRRIEHQLFNGQLLGVIATN ALELGIDIGSLDAVVMIGVPWSISSLWQQSGRAGRRHTDSLSIVITNNDPMDQYYAKH PSALFDQKPAPLHLHIGEKEDSALLERHLQCAAEEWPLDITKDTMFFGPNIKTICEEH LVPIDNGLYRPHHKFRPYPAEFVPIRNITEEIFVVVDITDKNEYKILEEIETSRAPFE IHKGAIFIHQGQTYLVDECNIIQRCATVHLVRVDWTTSPRDSTNVNTVRVTNTKSMHC TQHTVSLGSVQVETVVFGYHKVDKYNRIIDSLDVYMDPVVQNATGVWTDVPYLALQRL ETLEINPMAAIHSAEHALINVLPRFGISSNGTLKIKCKSPHKDLPTRILVYELQLNGV VQKVYQIFESLLKTSADRIQQCSCEHGCPTCIQLSACSEGDLLHSKKGALIIFWALLG KL PHYBLDRAFT_158032 MTKNYMDDFEPTLYTYPTYHYSIHDGDDVILESAEDCLSASCVA EWLGRFPSATATLTLDGDTIDIPVYALRRRNAVVAALPDAPIMA PHYBLDRAFT_62842 MATMTKRPRLTGSQDLLSYYNLTPLYDKYVRPYSPPNRAAGLDQ TLFRYISDLPGKYDVEPDGYLINLLRDPQAVESGPKIKRLDPETLEDAFSLKEGPVPG FDASILGTDDGGSSTYSGVNPGQYLHTERGEYGASAGDEMNKRERKKKKKKRKHSHDH DEDGHTHEHKKKKKRKKASVG PHYBLDRAFT_62841 MLSPAFGIRIQQSSSNQKTISDTTIPVSHTTNTIADTIGTTDAT VADHQEFIFAATETVADDVEKTTPLESWTFQGMDLAELFTEFQQAVTRITANKLLFIE SSIHELLSLSNILLLCTGQHSPLCIDIFSEDILVKLNKEMLAECLDFKQDMCDEVCMK LTRLMNNMDSNLQAKDDVEIDLLMLGRSLDPLQGSLLRGIAAAMRKLPLIAIKNKKCI GECELFTMYFDPILSSLLSDPSRNVLLRWSNVTSDQSGDIRPDATISKIHQRDFGPSL GFGEVKLARPTTDNHSLCHDLLRLATLAKDTIDNNKLQAALTFQINGFNITFFLSSLR HDGMYLMQEIGQLTFPCSLEELVFFVNLKNIRTLCRPMNDGKSWETKRRPTHPSIYSF IDATKDRHCFCGLRFER PHYBLDRAFT_158033 MYLMQEIGQLTFPRSLEELVFFVNLKNIRTLCRPMNDGKSWETK RRPTHPSIYSFIDATKDRHCFCGLRFER PHYBLDRAFT_165467 MSSSKPAVYDLVSDTATIPTDDMFDVMKSATRGDDVYTADHSII KLETYMANLLGHEAALFCVSGCMTNQLGLRALLTQPPHSVLCDSRGHVFVYECGGIAY HSQASVSPVTPKNGLHLTVADVESNINNDNLCGALTKVISLENTLNGTIMPYDEMVKI HDFARSKDLKLHLDGARLWSASHATGIPMDQYGRLFDTVSVCLSKGAGAPIGSILAGS KDIIRRARHLRKLMGGGWRQAGMLAAAAMHCIETVVPTMPETHRLAAHLANSLVKLGA TLPIPCHTNMVFVDLAPIGLTVDELADRLEVKNIFIARSQGTATRIVLHYQIPTEAID EIIKTTTELVNEKTKAGFVKKSSAAAIQQQKIDEQPAAYKPSYPSAPAPASVATPSVL TTEPTSATAAA PHYBLDRAFT_131768 MSLVDKIKEVENEMAKTQKNKATSYHLGQLKAKLAKLKRDLLMP TSGGGGGGGVGFDVAKTGVARIGFVGFPSVGKSTLMSKLTGTHSEVGAYEFTTLTTVP GVVQYKGAKLQILDLPGIIEGAKDGKGRGRQVIAVARTCSLIFIVLDVLKPMTDKKII EKELEGFGIRLNKKPPAIIFKKKEKGGISITNTVPLTHITPEEVKAVLSEYKIHNADI TFKEDNTIDDLIDVVEANRIYIPCIYVLNKIDQISIEELDLIYKIPNAVPISSHHEWN FDELLETMWKKLALVRIYTKPKGQLPDYEEPVVMTSDKSSVEDFCNNIHKSIMEQFKC AYVWGSSVKHNPQKVGKEHILQDEDVVQIVKKI PHYBLDRAFT_18982 MVKCAKCSKRYHPVCVNLDTPRQVAAVESYPWSCPDCKVCCICK EAGDEAKLMICDGCDRGWHTTW PHYBLDRAFT_185884 MSAESAVPSRQQPKWHLPAKKVQPTLHLQNSLTKTKTEFIPKDG RRVTWYNCGPTVYDASHMGHARTYLTMDIIRRILEDYFKYDVLFVQNITDIDDKIILR ARQDYLFKNHKANTKELDLALINQVEKARKEFIDSKLAKIEGAVALATQDWNMFVKKM TPEEIAKAVVIDEKFKMYLSALQVSFDAVQKAKQALEKKDTSKQAADELLEASQFVLS LYLDKRKGNEVNDPKVFRELPAYWEDMFFKDLDALNVRAPDVQTRVSEYVPEIVTYVE KIISNGYAYAVDGSVYFDTTRYDGHHGHHYAKLEPWSKGDQALIEDGEGSLGSKLQGK KSPNDFALWKSSKPGEPEWNSPWGLGRPGWHIECSVMASAVLGENMDIHSGGIDLAFP HHDNELAQAEAYYDCGQWVNYFLHAGHLHVEGQKMSKSLKNFITIQEALQTFSARQLR LFFLRHQWDAKMDFKQSSMQETIQIETTIKNFFDNTKAIMNRIRSDGSRGADEHADGS ITHRYRDAEKRLLEVLQQKQDAVHAALCDSFNTPTAMEEIMNLINETNKYMAGGAKLV NLHLLGKVAKWTTNMMKIFGVAENGAEIGFGASGQAGVSTEDVLMPYLHALSSYRDQV RSLARDQAPHKEFLDLCDRLRDTQMVDLGVALDDQDDGTALVKLVPREELIKAREKKL AFLAEKEAKKAAAAAEREKKRLERLEKGKVSPLEMFKNSEEFKTFDTNGMPTHSKDGE EITKSRKKKLQKEWDMQKKLHDEYLKEFPSK PHYBLDRAFT_142486 MHPSIHPPPPISPNLLIDGPDGVEPRVKHGLLSKSTSARVACEP VVRIPVYYWLLSVVSSGGRGWYHLFWSVASASGTFLPVGLLLPTGRPFPPTSGPVISR QWTFLYSRRLPVAGDIYPTGRTFASCCFLLLLPGNPMVPFLPQNRRPCRDIKTPCQKP IRLTRSPTWAPQKRPHRPIRKAKVCARAKTNPAHKTGQCSKCAPEKGQTGPQDRPNRG AFLSKSKIWVVPPSLKAKSISKKGSNAKFQKQVPKEKPKTKSEAYTKSCKKNGHILSP PLQKDQKKSELEAYTKSSNPKGSNPKTEA PHYBLDRAFT_62834 MSFKQESASLGSTSSTKFCDPKEQKDTDTIASLNTQVFQLPKSE TLSESTLQNPENPEGGYGWFIVVGAFLVQMPSLSVVMSWGVFQDYYEQNLFGHSPAIT LQLTFVGTLGNILLNILSPFVQILLSYMTTKKALALGVVLCVAGLELASFCTEIWQLC MTQGVLYGIGTSIIYYIVISEIPKWFTKNTGIALGVASSGNSIGGLVMPLLVGALNEK LGVFWCYRVVGFINVGVGLFACILFRDRPGHTKVTQRLKDIIDFKILKDLNFVLWCIT DIFLEGAYYVPVFFLPSYATFIGLSPEKGSATVAVASGLSTAGRILAGNSNSNSNSER NSCSNISTLFLLSRLIGDRVGHMNVTIVFSLFAGLLSLCLWTFADSFGVLIAFSALFG FFGGAFVTLTPSITCIITGKEKYESGLSLLLVVTTLAMFGPNLAGAIESSEIAMRSPF VSYKIFTGAMYVAGTLIKSQYLNRAPNVYPENTGTNFSRDRNFKVKFPLETRVIHLWI LNTQRYQTKSVLIGTILGRHRRWRLTGNSGDFGQKTQVRTCVQYFEVTCLQNYLVKCY TFRLFLKFLTPEYS PHYBLDRAFT_77191 MSAMRSLYSLSKATRPLASRLSPALFLGATRSYGSQANSLKERL HELIPEKQKEVKAFKKEYGNKVLGEVTVDQAYGGMRGIKGLIWEGSVLDSEEGIRFRG LTIPECQQVLPAAQDDGGSEPLPESLFWLLVTGEVPTHEQVKGLSAEWAARSDIPQFV EDILDSCPKTLHPMSQFSLAVNALQHDSHFAKAYSQGIHKSKYWEPTYEDTMDLIAKL PNIAARIYRNVYIGGKLPAIEPNKDYSYNFSKLLGFETNSDFVELMRLYLTIHSDHEG GNVSAHTTHLVGSALSDPYLSFAAGLNGLAGPLHGLANQEVLRWTLKLKDTIGVDATE EDIKKYLWETLNGGQVVPGYGHAVLRKTDPRYTAQREFALKHLPHDPLFGLVSKLYSI IPAVLTEHGKTKNPWPNVDAHSGVLLQYYGLKEQDYYTVLFGVSRALGVTAQLIWDRA LGMPLERPKSFSTAYLKNMFGAK PHYBLDRAFT_99862 QFNVMVVGFAGVGKTAFLRTFLEALQHLHGASPETDDEITALQP TKLPSTVSVNIDSGGERLTLRLIDTPGLSTGYQMDKELQDILGYIEHQFDLTLTEESK VKRNPKAVDSQIHACLYFIDPSKTKLDEHDVRIITRLSNRVNVIPVIGKADTLTAAQR RRLKPAILQSIYNEATKIPLYGIPEDEEEDEEDEEDEDDKETQCVLDYLHQIPFGVIA YEEDPETGKPLETQNSAIKIGRDYGWGVIDCLSDKDSDLYSLMEVLLHTHRDILKSET IERYYEQYRTEKL PHYBLDRAFT_92884 IAENNNYLSHSPSAHTRFHARSIPSIALSPYLYRILKYCPCTNE CFLAVLVYFDRMAKHSLATNGQPFSIDSHNIHRLIITGVMIATKFFSDIFYTNARYAK VGGIDLSELNRLEVEFLTLNSFNMMVSVSELQRYGDSLL PHYBLDRAFT_177093 MYLVDLRCYHPKVIDHYENPRNVGTLNKSNPAVGTGLVGAPACG DVMKLQIQVDDKTGKITDVKFKTFGCGSAIASSSYMSERVLGLSLDEAEKIQNTEIAR ELSLPPVKLHCSMLAEDAIQSAIRDYQKKRGTLQ PHYBLDRAFT_109595 MSSLPRKALIAITSYNEVFYSDGARTGLFYTEALHPYMALVKAG FEVDLASETGTFGLDDHSTQKMFLTDEDEQILKNPNHPFNVKLNKELKRASDVQQHSK DYGLFFASAGHATLYDYPTARGLQAIAQDIYGRGGVVSAVCHGPAILPGIKDPLTGKS VVENKVVTGFTDLGEVQMKVMDKLRSDKLNTVEKWMELSHAKYIAPPEPFNCFSKIDG RIVTGANPASAKLTAENAIKVFDCQ PHYBLDRAFT_62826 MPRSTAILPTSQFRQRRRSENSIDSQSDHEVISDAEVCSSSPQA TATEMATAEQVSMQMPGMLRRQSTDRAFVRNFSYYFNNNVVGRSSLDSNGSSGSSNTS STHNTSAISSSNTTTTITTTTTTTTPGTSHNSNSNSNSNNHNHHSSTNIKMPQAYRSN QDMTVEDIMQDGLKGMLQSKIPLGYYICHLMEEYSCENLFFYLAVEQYEHHQFETRDD QHIAAKRIYSTYIAPNSQLEINLESKIHKAIVLALLDSDSLLHVFEPAKHHVFELLNL SYHRFISSPLWDTMIAQCAPLTPSYDNKSRRLIAQEAAARMHQTLEQLYSPVSSVRPM VLIFCRTFLHCNHELTQSGNSGNTKHTGRVRSKSVEAKKSRRFDFFTRKAKS PHYBLDRAFT_154765 MVLGGGLMGSGIVQVAAQAGYKVTMVDTSDAALDNGRSIIQKSL SRVARKKFADDETAQKSFVEKVFSNISTSTNADQTASGSDLVVEAIVENIDIKQKVFG ALDKVAPKHSIFCSNTSSLPVTKIAEIVSADRKTRFAGLHFFNPVPAMKLVEVVRTDA VSDEVFDALFEFTAKVGKTPVACKDTPGFIVNRLLVPYMMEAFRILERGEASAKDVDT AMKLGAGMPMGPIELADFVGLDTMKFIVDGWQKEGIVEPALVKPCTILNDLVSQGRLG RKTGQGFFEYKK PHYBLDRAFT_77188 MSQVPTISITNPDLESQDLNMSSPVTAPDSPTVFDFVKDLQDLE AEEDPLHESNRPGLGSDSSPGSSPITNDILSFYHKKSILLTGATGFVGKAVLWKLLEA GHEQVDRIYLLIRPGQSGRRQIQAAERIKEDILSNKAFVNLKRKMGPQNFDSMISRIL YPISGDLTLPGLGLSQEDQKQVMDNVHVVLHCAANVDGHERLDLAVKTNALGTLQLAE LVSACPLSSFIHLSPLQVHHAESEEEHLLPLPEEGPENILDTILMSSNLDNIQSLYPD FRAQYPNTYLFSKSLAEHLLSKHVDKKRSMGHKQFPMAILRLSPLGPSKVEPLVGWAD GVSGANGILLLTGRGTKAIQSDRADAIADILPVDFAVRMIVGAAATLVAPPANFVMPL TSTFQDISDTSSVSSMRDSAGSQVPSSYRFSADSLVSSATSLQTENTTFNNNTTASSG TSETVYFPYIFQATTVALQPITWKLAYEAIRYYWTRAAAVNLPTSDVYFSSSQGLSRA RTMMSSIRSVASSYMTNNNSNNNSNNNSIINGNGSSMSPEPLTRNKRNSHRLSRCLDK AAKLSSTVRLNFRTGTIRGSNNGGGGVIKDDQKSQTLLDILANDPSADKFDPRGIVPR DTDKLFWIHYFLNAAYGVHFYVVLEPDIRLPSPRPGWASALPLCALDGQHLVDRQVQS DIYSAEQINQRSKRMIRHLRQVVVEGMPTETTKENKEHDEAWLVDLDDSLEDWSQDNG VLQSDQDRRLLLGKWRRKIGSNDEAIKVVVLNDKRVHLAIQQITQKAGVSRITATNEA VKILARMSERTQLAFVWFAGSFLKSILDDLFEGVCVREESIRYIRTSTFGKRVVYVPV GKSILDPLLIWYIAIRYNLPVPALMCDEATSQLGPISDLYRLAGAYYVKRDKSQRSPL QSAVMAAYSQVLLREHGALTGCLEKSRSRTGKYQPAYGDGLIEMLMEATLETNQSATT ATKHSVDSPPQSPASITSLSIDESPRRVHRDVVLVPINITYDSLPELPFLVDEALDQQ LPRRQGSLKVTRDVVRPSEAMDRRNKPNEAAAQRRCGRVLFGVGQLVSIQETALKTKW SGESSQITPLAQTITEAVQDSQRKAMVITPVSLVSAIVLYGRATGGVCVGKIKDLSDW LKQESMDRGYLVDWQDGEDMDAIVCNVFKLLDESKNLIIEGKEINDDTNIRVNDHADN VMALSYYSNQMVDVFLLDSFFSVVYLSFSEENVSKDDLVDRFRFLVQMLEREFVLQWD VDQQFKNIFQSYEERGIIRELSDQEGHYELRVRVDADPTRYEQMMFLASLVYPTLDAY WITSCSLSALEAVPMLPRCVVPQLAQWIATHLISGRRTIYREVLSTETSRTAVEVFLS LGFLSEVQSKEKLSPDAQILLHELSIPTTETLIELTGQNSEGGKTPVSPIDPEGMMKA MMAQIQMNRANSNMADLCQQIDSYRLGAASQKESFQNNQVFQKCLKQINGILQASTSL AKKRRISLSQQEEGLVQLVYALRSNGSVSVDRSANGRALRRVSEAYNLK PHYBLDRAFT_185889 MIIIRLLLLSLCFTCVHCGLFSSSNPYISATTAVLYSNSSTIEP LESSFGTLNFKQNIVPNYNLTIPNGNGLEGVLYNAGLMCNSNDTNQPSLLQTQPKIAL VLRGNCTFAEKAALVQANGASAMILYDNIPFEKDPYAGIMSIPVANLHITVYYVDIDV GLELLQKLQQVGPVLVGSDGVSSERVIKVVLYPSIGGFPSAWEFTLIVVVALLALSFL TSVGMHWHLWRLRRRQRALFEAGLLTIQPNQPAEKHLIDPSQLNLFPVRTIGPTGTTS GRRQSGESSRAARSIRSMYSTKSTRSIRSKFALTNAEVLATSGPLPTTERVTEAQVVP KVSKSESGSESESGFEEGGAKKEKEKKPDGMTKEDKEQKGDVKNKEAAGDPENGCVIC LDEFEPGDNVRVLPCHHEYHCECIDPWLTIKSATCPLCKHDCSNDVPSLSSPAPPPLQ YEPPTFYSYFFTRRAHTFNNTTSINTTDQTFSRSFGPTISADRAEEYSRSWMARSLPR NMRRQIQRATQPQETIIELPTRMASAPPPLTTTAPALEAGRQIESDTSPSQPTTSRPW YSFPRYRRS PHYBLDRAFT_165483 MENSFVKAMLPTEIKAIDELKAKLPEITKEALDTEEPYVLWNVP LDSTSTDERLNVLLCKFLRARELNVDNAATMLTNTLKWRKEFKTDDILDETFDQEVYG SLGYLHKHDREGRPVCYNLYGDIDQDKVFANPDTFIRWRVQLMEKGVRSIDLVKVDSM LQVHDYKGASMFGRSSNAKAATKEIIAIMQDNYPEYLSTKFFVNVPWWGSMIFQMVRP FLPEATVKKFVVCSSSEIFAGLTTLIDAENLPDRYLPVVATKEVQETPVQKVVVEEES QSVSGDKTSEPTAFSSKVQEDDKEEKEEVKEPDTSKVDVPTKDITESQLDIEVLPTGE PDEPLSSRKDEKKPANSID PHYBLDRAFT_185891 MSSVSESLSKLTVKEPMKQVVPSKRSNTTDAEEEILKENPRRFC LFPIKYHEIWQFYKKAEASFWTAEEIDLSKDQNDWDNKMNADERYFISRVLAFFASSD GIVNENLVQNFSDEVKIAEAKCFYGFQIMIENIHAETYSLLIDTYIKDPVEKELLFDA MTQIPCIKRKADWAFRWLSADCPFAERLVAFAAVEGIFFSGAFASIFWLKKRGLMPGL TFSNELICRDEGLHTDFACLLFGHIKRQPSADRVKEIITDAVVIEQEFLTDALPCNLI GMNSKLMCQYIEFVADRLMVALGFDKIYNSTNPFDFMDMISLQGKTNFFEKRVSDYQR AGVMNTSSDAKAFTLDADF PHYBLDRAFT_165485 MATYTYFINKPFFMEVFLSLVERQVRDGIKSGNGKSKMKDETLR YRDFIMKHKEACCEHAGYVPPKLTHAKQSADYESTRIPTAYINNIKAHFARKQLPRGD FLDENQRSLVASILAIYPESYTLLKSSIYYDVQAIPLNHMKAFCKLGQLLKPLPFDKK ALKKQKVDKSLFFQEMMLTNGVSVTILKQNFESGRCQKTDAAVTSGESSTNTGRKIKR TKTINEDSFTNNYDKQFSIISIYKKSKKQEITTVKVFNKQFEARVYPIEKTGDIYYGK HLMFIKRLRDARPGIAKLDAYIYYLTLLLSTKHIARRLASQKEQLSEGVNAKQVQVKQ GPHVIVPKLLVLPFRQMKFISNINYDRCDSRLAEDLRIFFEKDPVFVFGDWSAPNVKF QEPSRNKDILKYLEKSRFSIYMINEYKTSSCCSICPTGEMENFKKNANPRPLQREKFP NVLCHGLLRCKNKACLVNGKGRLFNRDTAAAINFRLILNSLREEDRRPPRFIRTSKVL FSGLGIIQNYVIKKSFI PHYBLDRAFT_62818 MRTEQKRKESSFSDNRIAVIMSTVLVLFWMLNVNYLGIKVKKSR SLDDVIIYGVSKVPSKKHVSNYAKVGTLRKCEQIYENILLLLLLNRWQEKNPRKAR PHYBLDRAFT_180269 MSTYKITIGQYLLNRLKDIGIDTIFGCPGDYNMPFLDLIEDDGQ LTWANDANELNAAYAADGYARIKGAGAVVTTFGVGEMSAANGTAGSYSEMVPVVHIVG TPNTKAQSSGAILHHSLGNGNFNVFLEMFAKITVASTQLDKKNALSEIDNVLIAMMRS RRAAYIGLPIDLVKFEIELSEPVSPLDTSLPKNPRNTQNDCLQVVLEAIKKAKNPIIL VDACALRNQLTGVVKELCEKSKFPTFVSPMGKGAVDDTADYYRGCYCGSVSLAEVHKE VQDSDLILEVGSVQSDFNTGGFTYKVDRSKIIAFHTFATNVYRATYEKVGMSELLPLL IKELPQYPSRTYPPVPRPQDIKHDSQEITHNYFWNKVPDFMDTNAIVVAETGTSEFGV FNMNAPKGASFITQVLWGSIGYSVGAAYGAAMADRSRRVYLFVGDGSFQMTVQEVSAM LHQGLTPVIFLLNNDGYLIEKLIHGPDRDYNNFQMWKYAKTLDYFGADLPVNTSKPKQ SKVGVQAKLSTRKEFEAAMTLVSEQKDRIHFLEIVMPRFDAPRELLLQVETSDNR PHYBLDRAFT_180270 MTPEQKATFEAFSKYDFDNDTRFQSGVSSLMNRYKKESIDSDDI LERAQWFYYTKFVEPFDLDAFREWKAKKEADVDQEQKRFTFQELVEMIETGKEIPGIK QIPNTLNEGTPSQPKLNVRRKPWESVTE PHYBLDRAFT_180272 MTCLFNYQIKDIKRNEWNLEELRGKVVLFVNVASKCSFTKQYDS LENIYNTYKHRGLVVVGCPCNQFANQEPGTEEEIHTFCRLTYNVSFPLTSKIEVNGKG EHPVYRFLKDSQPGFLGLRRVKWNFEKFLINREGKVVKRFFTFTDPKSITNEIEKCL PHYBLDRAFT_158045 MRNRWVATRAELRKTLTKAHELRNRSQSPADSTCSSAYEDCHDW DTENDQSKIHEESLEDSDSDFHSAPEDEYQISTIFSNSSNKKRRV PHYBLDRAFT_158046 MVVVLENEGLANSLCRLNNYEYRQMPISIQRFHENSSQGGVHGA RPINNNPRAPRSRSNALDELLKERWDPSSGFLNLDELPKSRYPVSLVISRLLAAAQEL FGDAVVTISFARNELWSVSPINRLPEFFPNILNLSLQDNDIAEFKSLRSLSSRLNRLT ELLLIGNPIQYNNDWETYSRGVQQIFPSVKILDQRPISGDQINPTHLNQQHQMVLPTQ LSQQFPPRPSFFDQDSSKQATEDFMSKYFPLFDSNRAALVDLYDAQATFSLNFSRTSC AHERWGLVGGRKQTLFTNEEIIKKFITLPPTIHSGVQIGSFITDAWQVSISSPAHPLL LFITIHGDVREATGLNGPRHIFERTFLIAPAPINSKAHAAGWQYIVITDSLILRDYTE NILNQPPH PHYBLDRAFT_77180 MGTTKSRKSRRNTSRPTAISFLSAITLGSEHEKQNNEPNHSSAI VESKAPGDDEDTIISPVSTHPSEIRALSLHSTDSSSTFESQRQVHNLTLDTTASRDTT IPPPLITPITGTTSQSLDSQLPPTSTDNKSKKRGQPTKHESYHSTQTRYSQSDLSESV EHHKLTREDSKRPIASEKIKKRSTQPNDKDPEQNGMNILSVFRYYSDKIRHSTSKRKP EEDGADRGYVHQQQLTSNDSYSRRKKHSYAHFLQPANSLKDDETIESNIGPDDYDPFY LDNDLYGSFGVIFGSSTTPLSLRPAELKRETNEQFRLAHPEINPEITLSKIRSIKSHL LTIGRGLDLEVSSISHAYVYFEKLVLKNVATKKNRKLLAACCLFLATKANEPKGAWLQ PLLDAIDDELNIDSEEIKKHEFAVFADLEFNLFIPRREFMPHFERIFKILEYKSIRDY LGDEPFYEVDYQ PHYBLDRAFT_123028 MNISCPIGTEIASVAFSFYEPHEVRKISVKQIVNPTLFDTLGHP TKGGLYDSALGPYQKNQLCTTCSQDHFKCPGHFGHIELPIPAYNPMFFDNLYAVLRAK CSYCNFFRMNRTQMKKVVSKLTLLQHGLIREAQALEDLYFRSAPKGSKGEADGEDETM EDRMEEDADIATSVEEYEAQIDAFVKKSLEDKEARKFASKDYKVTVINDMRKRVMLEF MKQCLAAKRCGNCAKISPPIRRDGAAKLFQMPLTKKDQQQMNRRLEEHEKGSTPVTQR YLTPYEVRDQIKSLFVNEGDITTLLYGARNPSVPSQIRRATYHMFFIELLAVAPTRFR PPSVMGDKVFESPQNELLGDILKGSHEVRDSSDSLQEAQKEDEVDKAKVQRLQTRFVT SIITLQHAVNSFLDSTKNPAMLPQGKTHHPGIRQALEKKEGLFRKHMMGKRVNYAARS VISPDPNIETSEIGIPPVFAKKLTYPEPVTPHNIKEMRQAVINGPNKWPGATHVQHED QSIDVLANLSIESRIALANSLLAPQSSHTAQSGSNPYPTRTQTINKKVFRHLRNGDMV LLNRQPTLHKPSIMAHKARVLPGEKTIRMHYANCNTYNADFDGDEMNIHFPQNEVARA EASFIANTDNQYLVPTSGDPLRGLIQDHVVCGVWMTSRGTFFTKEEYHQLLYGSLRPE HDNTGNGTIMTVPPAVFKPVPLWTGKQIISTILLNLTVGKPSLNMTSKAKVPGKLWGP DALDEATVLVVDGALVTGILDKSQFGATAFGLVHSVYELYGPESAGKLLSILGRLFTK YVQFRGFTCRMDDLLLTEEGNKWRHDFMENGKGIGYEAHLEYLGLEETAKTATKEKLA KEVARDDGKLAGLDNAMKAKVNKLTSSITEACLPNGLYRKFPDNDMQMMTISGAKGSN VNVTQISCLLGQQELEGRRVPLMVSGRSLPSFRPYDTSARAGGFVAGRFLTGIRPQEY YFHCMAGREGLIDTAVKTSRSGYLQRCLIKHLEGLRVHYDHTVRDADGSVLQFHYGED SLDVIKAKYLNQFSFSAHNFETLSQKYNPKAALDALETREGEEYAKKALKKPNKYDPA LSVYNPGRHLGVVSERFAIQMKNYIDKNPDKMPFSKSDAISPNNQRYANLSKNKFKAL MQLKYLHSLVEAGESVGLLAAQSVGEPSTQMTLNTFHFAGYGAANVTLGIPRLREIIM TAAKVLKTPTMLLPLKPNVTAEQADSFRKIASKLTLSQIVDDVSVTEATSAKSAENGY RRSKVYSIRLNLFSEQEYLEEYRVQASRVKEVLATTFLKDLEELIRRDIKGARKAVKE DISKGSKMVHDKGEDSNADDSVNATAGYESDNGDGDATNQSMLQKTKQQATYDGPDEE DVEDMKTVTALENEEAELEEVAKAGDVEDEKPQKQQPIRSRFNDEELEDLALSRSAYV KRWSFDDVNGAWCEIDMTFPADTKKILMVNLVEKACGRVIVHEIKGIDRCFEYANPTE NDTQKRLQTEGVNLRGMWAHSDLFEINEIDTNDIAAILRTYGVEAARNSIIKEVASVF GVYGIKVDRRHLTVIADYMTFEGGFKPFSRIGIGSNTAPFLKMSFESTCKFLTEATLH GDFDTLDNPSSRVVVGRVVEGGTGSFDVLQPLTPIV PHYBLDRAFT_142510 MCHYPEQPAPQVFAPGPGSGPRYNYGPQSQGGPPSDNSTETSET TLVDNFSNNGRGVPGSTNAPRNLRPGYQPPTQSSMTHNNMGFN PHYBLDRAFT_142511 MAAQNFIVPSIKEAPSAGGSKIELYSPKFFLACTVGGVLACGPT HSLVTPLDLVKCRSQVRPDIYKGVLDGWRTIFKAEGLRGIFTGFGPTAIGYSLQGAGK YGLYEVFKYRYGILVGDENAHKYRSLVYVTASASAEFFADVLLCPWEALKVKMQTSVP PFARTTREGFAKVMKTDGLAGFYRGLGPLWARQVPYTMVKFASFETTVELIYSTLLSK PKSEYNKFQQLGVSFSGGYIAGVFCAIVSHPADVMVSKLNNLPKSEAGHKQAGVMDIA KELGFKGVWRGLGTRIVMIGTLTALQWLVYDTFKVYVGLPTSGSTDEEKK PHYBLDRAFT_185900 MSFCFTFPAEIIDSISGFLSTQDLVSVVLVCRAWNYSFSPSIYR NISLSDPRQFHRLYSILAKSSTTNTPFGNYVRNLDILDGRAIQAGIGQLPVLCPHITA LKVTQKWASRVNSIVCVNDGALERTTKALLHTFVTSNRLNSLSLNFYRRIDLDILPQL SNLQHLSLGWLNQGISPATLQTIHAQCPLLKILALDGLDRINEPQQGLTSSTLQSLSL HFRKGIQCDEAWLVYISHTYPRLSHLSLEAPAQYSESVDFAPLLIPSAYHRFASQAKH LRQLELIHTIRHKILIDLLHSYHVPLASLVLQTKFGFPRDFCDTLFNVFPSLTLLSAQ GHPRYRDCNEPVRSLSNFSTHLRHLNLGQWDTVHLETILGVLPNLTHLTLQDSILLTG SDCPTYNNNNSNIIDGDSFTGGSNLESLVLERISLPCDGIVEIRGTCLKHLVLRHCTA PHQNLKIKLPGVVLSRVEIDELCVGQPVWKSDRVVKSVTILGSSGSFGQHELTKAPSP LEIECESVWKLLVNQKNKYFWLYFQDKLRIFIFICVDYTSVSDSLPKEKKKEKTIISQ PHYBLDRAFT_180279 MFQRDQNSSLFLGGERTTGQDVRASNVLAAASIANIVKSSLGPV GLDKMMVDEIGDVTISNDGATILQLLEIEHPAGKVLLELAQQQDREVGDGTTSVVIIA AELLKRANELVKNKIHPTTIITGYRLASKEACKFIAGEMSVKVDTLGRECLINAAKTS MSSKIIGSDSDFFAELAVNAITAVKSSNGRGETKYPVKAVNILKAHGKSGRESVFVRG YALNCTVASQAMKTRITNAKIAVLDMNLQKARMHLGVNIVVDDPDKLEDIRKREIGIT TERIQKILATGANVILTTKGIDDMCLKLFVEAGAMAVRRCKKEDLKRIAKATGATFIS SLANLDGEETFEASYLGEAEEVVQDRISDDECLLIKGTKIQNSASIILRGANDYMLDE MERSLHDSLCVVKRTLESNSVVPGGGAVESALSIHLEDFATSMSSREQLAVAEFANAL LVIPKTLAVNAAKDSTELVSKLRAYHNTALGQGADPSKRALKYYGLELINGEVRDNLK AGVLEPAMSKIKSLKSATEAAIAILRIDDFIKVAPEQRGNPDDGHGH PHYBLDRAFT_98773 MYDFMEYCLRRYYRSSGWNEENQYSNLCSWSRALLDFYTPCGLS LHLSKLPTPLFKPSYTMNAIPSLNGNIGYLYTSRPLEIGTSATVDFKDLVDRFRVVQA APTWNSQDNDYLVYGRMFFPGARLEAMAVRRLTQNLQYLITAVNRPKSHGLPQVNPNI YIYITRIFIAMQLQYDVGRWCSECSYTTDDGLLGIRALYNFGQPVLNDVKSNHVYSGQ WSIGTEIYYGTLDKSGGLSTGLRYRTHPCSSSPPISVTYTLNPIVGHMSTAYVAQVSE ELALCSRFDFSIYSYESDLALGFEYRTKKKNVPRAVKKESNGIITVTAADKTEKLEGL MKARLGFSEGLALMWEGRFKNTLFSVGLTADLTNRTNPIRTIGIEVQYFS PHYBLDRAFT_131797 MSAMDISDSEPPMNVKEDQDAVLSMAQSHSGLSISVHPLVLLNI SDHYTRIKLQNPSAFEKGRVYGALLAKQSGRDIELMNSFELPANTQETGQVKVDCAYL TTKQEQLKLVFPQFDFMGWYSLGVAPTDSDLKIHEQFLPMNESCLFLQMNPTALEGGA KEFPVSIYESVFDIIDGQTRLGFVKAPYRVETNEAERIAIDQVAKPSTSENEVGLGSA LIAHLTTQRNAIGMLYARIQFLQSYLRDTKAGLVPVDHDIMRQIASVCRRSPVIDQIA FEDQYSTEYNDILLVTYLATITKGMNTLNDLIDKHNLVHSRGVKNTTKTVVGGKKGRW ENSV PHYBLDRAFT_185903 MSYYGATPNKLYRSLSKAERDLLQADRPGYGSCSIFEVSFNLVN ATVGAGIIGLPFAIAHAGFFAGILLSIFVAILSQVGLYMLIVAGQRVGVYKFAQLVEY VLGRFGYHFLNCVILIQTGGACLSYFILLGDTLTVLADRYVPQVPLLADRVFVVSMVS LVLILPLNMSRSIGALAKWSIVAVCCLPVILVTIITRAPAYAPKEKIPLTFLGDDMFS SLGIMAFAFTCSQVAFNNYLTLEHQTPRSWGITTSISTFTSWAISIFFAVIGYLCFGP NVQPNLFMNFAADDLVINIGRLALAGDMILTLPMAFFPFRDAIQKLLGLERSGRQPTD FEHNSITVIFFGLLLVGGVTIHSLGKVYALVGGVAATTLAYILPAIAYLCTRSAANQI SSSANSGSNGCCDYPTNTNLYTTNTLFPTLSVSTAVSAYEDYDKNLQVTTPLFSDSAS LASSSTRLPYFDEEDVSTVGGDDVGNLDELDSGSLQSCWWLNATAGLLIVWGCLVMFF STSAVLSQP PHYBLDRAFT_185904 MGTVQSKRKHKYPKSTDTQVDSSGVTNSSNIQSHLSSSPNEPIS LSAYQQELIKQGYIPPQPQSQQPQPQPQPQPQPQPQSQSQSQMHQSLIPGGSGKGGSY PNSLDNISPPTYPKHPSWKLHNNQVSSPQQPGVDFSGLHSNSLFLPKNWENQDGQFAK HFALKHLFEDIVLPAVASGLCGQPFARVADFGSGTSAWITDMASQYDEWEFIGIPVTG LLSDSQDINVTPDTLVLPNVKMDPSLENDVQSSLEDSSVDLVNIWAPGLAFGNSQWTH VLQEAYRVLKPGGYIQIVELHNVPVGSILIESFIDTVRNILKGLDKDYDDAARLKSLL PTVGFQLFQYIKRHVKLGGESNLGQEFTAVNLRTFQSAQKLLAPLMGMSREDYQHRVE MVCAQCVQYNAHMDWLGYVARKPLQSQ PHYBLDRAFT_165502 MSKTKIYSNITKKMPRLPKVITVSEFGRIIKDKDFGERVSRRVE GKNLKDNAIGNLVESASAFRTEISNDGSNTINVGYIRKSPGFESVETRQRLLETMIMK NIYHIFFFLLTAAKKNLAKKSLKLPRSLPFTRIDLVKEKPPYLIIQALGPPEFGYVRP TFALQHQILQELVIQKREITKENCVNYYSFINIMAITSFDIVPDNDYILLHANDVPGR DMLIIRGYVTLCVSKPISLRQIRVQLKGVLKSVVNTEFTDKVTGLSKSVQVLVREQKE ILGSVARLEPGVSQRWPFEIIIPDSDRLPGSLTIPNHSIVYDLSAKLILASVTELFKF GFWAAKERVSSSSSVPSPPSSSSSSSITVLPPPPQSNILSPLLDRERSNDKNKKKDHQ TRPWLRARHSLEIQKHTFGYLDIPLLLDVFQKRYQGTRQGHLSYTVICPSLIVRTQDS IGFQCTLTPLKEDTQVVQIIAELEQSERYPIQPGEYKSDQTFEGNMMRTRIRKIVHME RTILPSESLENLSFSLDLDWSKISRQLSFPGLEINHQLRLQIHFSRTEMAKPMSLSFP IRVGSIPSSDQSHETAPISQMTDAADMSQSNYYNYNTEDESDSEDNSEGEGEGHEYTY EYEEGEGEEIGEQREDSVNRTRRRRIRRRKLVLHTRDESLPSYDQVLLEASPPSHTIE THVPSSIGCLDQP PHYBLDRAFT_62801 MINISTYIEYRGYRCVYLPTYSPELDLIEQLWAVEKSKVKRHRI LQEDTLSKKITGACNNVKQSPFKGFVSHPYQCWVSTSNLLTRGQAMCLVLDMVPTVDN RRKANEIVDSNVFNVTYTEDQGPLHPFAMGKSIIEKNPFKYKTYLKTPPTSPTLNPSN TVTSDRISDLINNSNKNNGDDSDSSTDDSIDYNTRSLLSTTKSMFFKNVKNKDLFDYG FYTTTDIYNNITKKMPRLPKVITVSKFGKIIKDKDFGKRFSKCVGQKSVKGRMLYTPT KECLDLRK PHYBLDRAFT_62800 MIWSCFWSGGFGLLGLLEGNVKQEVYVETLSQKFVPWVKNLSEQ YQKDFKLQEDGASCHTGAYAKWWKETLEIKGFEYWPAQSPDINPIEHVWWALEVKLSK VRASIQNASELKPVI PHYBLDRAFT_142522 MSMRGILTTKLYLMQIFLSILLKLLSFVNFADSNKSFRCTDLNC LENMDGSEVMHRLWNRDLAAVLNFRHILNNLRYDGIIPVRFTRVIRIGCIRRQAEEDL QEGRRLRQRLTRVQRR PHYBLDRAFT_185905 MADSTRQPLPQLQSQQQQQPQPQPQPQLQQQSQQHVSFITPTPP KTYGYDDEPIIFSNYYAPLSQTFNPELHRTRAPLDAYPTPEPTEYNQSSNNNNNLITH YTNYAQSNSNNNNNNNNGSISTSNATATTTATASTSATASTSNSTVVAIPNAISPFQQ PQRTDSYGGLTTLHSSEIIDQSTSHNAEKVVEESPNERYVRLNTLLGKGAYKVVYKAI DREEGYEVAWNTMQATQSPNNKDLEHEIQILKSVRHPNIIAFHDAWYGENEFVFVTEL MTSGTLREYIRKLAPLPNIKIIKRWSRQILKGLAYLHGRNPPIIHRDIKCDNIFINGA HGEVKIGDMGTAEMKLGKKYTIIGTPEFMAPEMYEEQGYNEKVDIYAFGMCLLEMATG EYPYGECTNAAQIYKKVSAGVKPACLQKVQNQEVLPVITSCLGPEEERQEILEHSFLA VEPDVVLLAADPNHVHLTLQVVFKGMDKLSVKFDFNVETDTAEEVVREMIEEQVLPER YQHHITKEINRILRDIDKPSESEQAEQARRSVWRRESDIRSELERTRLELKRATDRVI EIESRCDTFENRARATEARYREAAHNLQRSTVELSPGASFSREVSERGGHFPISPEMT LNSASDLEPLRRSDENPASRELLVSRILEEYSDDTPVEEFVQDCALAAHRTSDKACEW IAKLQNQDIMTVGDLRDLHDEDWAGIVGLTVFAQRALKNMLKGKKLSSQQQQPSVSPT NATAIHSPVNSVTLPSTPPSTFYVFNVIKTKPIIIISILQMCTYFITKIFVDVNGVFI YEIKEGKCVE PHYBLDRAFT_165507 MHFENSQELILSQVLETAPQKDVSTRQEQESKQLPNFWSWIPLP TNASLCKRQREMKISTQSSLRSVLPVCMKSNGRQTMNLVKSSLPFKLERAALWCVNIW SGFMFYDHTPHF PHYBLDRAFT_131800 MLRNAARVARPALAAFAKSTPALRVNVGAATVAARFASSKPSTA EVSSILEQRILGASAEADLQETGRVLSIGDGIARVYGLKNVQAEEMVEFSSGLKGMAL NLEADNVGIVVFGNDRLIKEGDTVKRTGAIVDVPVGPGILGRVVDALGNPIDGKGPLE TVGRSRVQVKAPGILPRHSVNEPMQTGIKSVDSMVPIGRGQRELIIGDRQTGKTAVAL DTILNQKNWNNGSDESKKLYCIYVAVGQKRSTVAQLVRTLEENDAMKYTIVVAATASE AAPLQYLAPFSGAAFGEWFRDNGRHSLIIYDDLSKQAVAYRQMSLLLRRPPGREAYPG DVFYLHSRLLERAAKMNKAFGYGSMTALPIIETQGGDVSAYIPTNVISITDGQIFLEA ELFFKGVRPAINVGLSVSRVGSAAQTKAMKQVAGSLKLFLAQYREVAAFAQFGSDLDA STQFLLNRGARLTELLKQPQYTPLSIEVQVPIVFAGVNGFLDKLPVGKVVDWEKDFVS YVKTQHQAELDEIRTKGVISKELDAKLRNICETHVKTFL PHYBLDRAFT_77169 MAEKELNQNTCFNFSFFKDMMKELRRVDDNIVPRLNSTDTHSEA ACADFFKQLSSAYAKRENAINYCLKTMDNVIETKYKKLQEDPDDYDTQSSLYSDESKR RMVANELMVEDIVRERTLQVFKSKCRIFDTSSLTIKS PHYBLDRAFT_77168 MFLDWIAQLRLLQHDPQTNILGPLPLGIKRTLISEITSFIYPAS GKHIPDPSIFVSPAHVKWVMEVIGQGFNLPLEDMHITNDDVSIYAQWLFEPSMRPAAV VNEGLEQELYQIIFHQFSLLFQPRIPRSPSAQNNHYTNNSSSVGRPNSFNINMIPANI HTNVTSASMPMPATIANTTINTAQPTGANATPNVLKDTISQLVQRHVELCKRTLTVFA TAGRSLELSPETWTVLLKVMLGITDSLLREPMGDTSIPGVVNMGDQLCDHLLRVLCEL WLRSETKEVEMWDIFKDCFMRWTHRPKVIQQWSATSLALSRCVSGHLSEIEECYVVIM SVSGVQVKLDLPKPFVYYAWHRFIYLIPHPLQLAPHNFNLAMIGIGQLVSALNQKHSR VSNTKEINMPPDGNTLLHMFGTWLFDVCSKTSQNDPESHRGFAEAFATLCKIFCRPQP RQPFLRTYTERFYAALCLGLKVDACIPTILMNCTELFAIDLEGVRMLVPDFISAIKMV LPKLNIQCKCFVSVDDLRLAAIKVISTVMCLPNHFEKVELKPGWDSDLHCASDNASLI GEQEQLVTQLIRVLYADKGDVDVERPFTCLKFYILELLLMSLRTETSSYNMRYILHLI NVYVVEDVPFCPGLVGTVVKLIQDKILTMKLPPDVTLVAFDVLMDFVDLYDYVKRDSK VRQNEAITAGMTRTLNTILSPKKNVARELVLALSRYVDTLINAGKLLHTYPLIVQAYD CMMKWVLVSQWIIDDRDCYQAVIATLSRGITLFDRDTDSVHVEVSSEKKKRRDTTFPP AKQLFLLPPRVNKNNNNNNSNNSNSNSSNNNNNSHSHSNSNSNSNNNSNSNNNSNSNN SNNGNNSNTNSNTSANANTNNNNSNSANTTDQQSAPSLPPSRSGSVNHKKEEIAVRMA AEYCMSQFVNQLGKFQSLHDHSLVRFRSTQRDDLCYLREYRAAKQDTDVRTAINPYDT VRYFLVDKRMILAVIDLTNAPHKSTEEPKGKPSVLLIIRDTTGSYMWEMDAVYKDPDA STPFKVSISPPATSDRRKSDPHNQPSLLYHTYGSDDDYDDDAFVVPTATSVNESELPR LDKLLKDDSEDLYQWDGIRTFIEQQKNVILQKSFKESMDKHTVYPAPPNINNDSPRGF RLLLSQIGFLLPQNREQITPIQITDSAISELETLDMLNERDCISVSVYYALSGNTTWT ELVESPPPLSPLYLQFLHCLGWPVDLANHNGFKGNLDPSICEKAPYYSDRSAEFVVNV PYMLHQSPCRNDETIGQIHQRVSVDDHVCIVWIEDLVNYDELSKKIKNSSLPSSKIMV YMFIHPLKKSADGLYRIRIHIPSYTSLSGTMAASQRLNENALLFGPLVDGIVVSRHAL GAMVRNTAISAHQACRVVTDTYTRPYVIRKQFIEEMGHRHRVKMPLSEFYSDIFSENR I PHYBLDRAFT_109349 MLQRNVPAFLNKLYNMVNDPGSDELIRWSDDGQSFIVIRHEDFA KRVLPRFFKHSNFSSFVRQLNMYGFHKVPHLQQGVLQADSESERWEFSNPHFQRNQPD LLLLVTRKKGRDPEDKETSTID PHYBLDRAFT_97029 KNYFFSMFPIVTWIHRYNFQWLVRDLIAGATVGVVIVPQSMGYA KLAMLSPQYGLYTAFVGLCVYCLFATSKDISIGPTAVMSLLVGQTVTRVTTEYPSITG PQVAVVLSLMTGIIAMFIGLVRLGILVDFIPAPAIAGFMTGSAITITIGQCPKLFGIK GINTQDSAYLIFGNFFKNLPNTQVDVAFGLTGLLWLYGIRFACQKLTTRYPKYEKHLF YFSIMRNGILVIFGTLIAFLINIGKTTSPISILKTVPAGFQAMHVPVLTTELISAIAS SLPSAVIILILEHVAIAKSFGRINDYQINPDQEIIAIGFTNIWAAFFGAYPSTGSFSR TAIKARSGVKTPIAGIFSALVVLLALYALTPAFYFIPDATLSAVVIHAVADLVSGPAY IKRLAKVSLWELFVFVAAVLITFFTSVEYGIYVSLGLSIVILLFRIARPRFWGLGRVP LSTSTGSNTSQKVQESEEIQKYLYVPTNHPSLGPLVEDLPAGILMCRIEESVTYPNSS FISDKIITYAKQNTRRHGAVISKGDRAWNDDADPVKEAARSQLPILHALIIDFSSVNR LDSSGLQSIADAKNTLNRYSGHDVEFHFTNLVQPSIRRSLIVAGFG PHYBLDRAFT_62790 MASNDSYINWILQDDPEDNPQLPNQQSSQTFQNSAIIIPSENPP SLTIPSDLSTEDLFTYLDDQTDPNFPTHFTENPQLQQTYTSIPDTIGSYTIPVCDSAS LDQYTPLPIKACEHEAKGSSSPSDEDEPTPSQWKMMTSKERRQVRNKISARNFRNRRK EYITTLENKVEQYKAENSQLKLEVRWVRTTMKRIQEENDRLRVDLILLQAGIQPGNHN PGQSNSNTNNISTPWNNTNIPLQQAPLLSSPSSNGTLIQQDALTSSDDALNQWDFFLP ATNTTYLSHATFPSIDLNAILSEKDRATLSTMPPSQLFTRYPLLAPALMSIVLEHTMR MNTEEFLTSSRLLLPPPPPSPITENPATKSPLFFSFTDELLFAPKFGSGLLPRPTQPT EKDIQRIWHAISSKPTTYNTIRLTAEADTTATPPQTRQSKCPLSWVQKQFCRFVINYV IVRYPQLDAQCRTYLPVCDTYRLKPITLPLTSS PHYBLDRAFT_87721 TYLPNMVQYLIEQKGWNPAGLKQNSIDSPCGMNTQCMIQLGNTN LKVDSIILLFNGLSSLVQTLLLTTIGSLADYGNNGPNILLAITVVSCTSQIVFLVFNE TSSDYWGLAMLVCLIFQVSYGASLTFYWAYFPILAANDSKVRTARHELGVDSPDYQVI ESMMRNHISTVSTAWSNIGFFSISIILIGTGFGLAAAYHTDWQSLPAYSNSIFSAICG GYWLLFSIPWFVFQKHRPGPPLPDEANYLTFGWKRGNYFFLSYIFTCTLPQTFAYLIG YFLLNDAISSTNTLTQIISNRITNFDGVLQTYLNLVQSVCSIIGCFVFLYVQKYLGWS AKTMLLISIGMTLLIPVWGCIGIKSSVVGFRQVGELWVYQAWFGLFTAPFYAYSQTMM SELIPTGHENMFFGLFGITGKLSQFFGPLVVSSITETTNARQGFIVCAICQFLPLIII GYIDMRRAEMHIRVY PHYBLDRAFT_185911 MSPFVVVHNETTYPTITSTQTEINICDALNRPSDVDEEDFCKDR TYICRRVINTKKGSDRVTHVQAIAGEFEGSKLNATVEVPNNTEQDLSQNGAQLSISLG GEHINNKSLSALITLECDTSQPTKEDPNEPTIVSFKSNVLAVHWKTVFACGAQPKEKI PKEDEPTEDEPTEDEPSEDEPSKDKDVPPKDINEGMSSIGVFFTFVGLLIGLYFGGYA LYNYKVYNARGIDLLPHRDFWIELPYLIKDLITHVMDSMSSNRRGGGYASV PHYBLDRAFT_62787 MAARLPDGVLNIILSELGQRDIKACFGVCRKWNTIFVPDTWKFL KITEKDKLLALCKLLITPTGEAKDYDYVKRIELPHPLGVLEAVLLIQQKFPHITELDT HFKNLFRREPIEKVAFSRWASLTNLRFSAIFDYGRDCMDAFFKGLLDLPQLEVLTYDA KLRSIPVNFEDLDIIHTNAPQLKILNIYIMIIHLSERDIELLETHDRMYQLEEVNIQL LSDDYRSLAYLASKYPTMKSLDWEYRERSDLEQYYREVPELFSIKEDYFEEAQSLLLG MEEKPFQQLEEFGLTCDESDYAPRLRVLNLLNTLDVPYTSFHIEKINKLHYVPPNNEL LETLDQLPSTITGISLNWKSLNNDYKQALQQLGLYDSLSSLTIVSKFLKVELDVLFNT CRNLKSLSLDCRICISDDYLDTDPSFQLDSVVLDGGSFDSSALAYLGRHCLDCKNFSF ERSTLLYESLVDTSSVQIDMTWANIKNLKLFNAAIPEDEWTGHYSSSTIRLLSVTQAY PLSHKINYDNDNDNEPDIELSTSSATKTEWFFLPKESRYGSTEYNKELSKSQGRSIEE YFLSAEEDRYLDASAFINLYEKTNLPMTSYVKQLRKSGWEEEWKKILEYGYINLTIVF FLLACKGEDEGEGDYENKYEGGYADNII PHYBLDRAFT_77163 MPLLAIAKLNSSLSVYFYRYGNLFVSRTLLLLFFSFSLITFFSL PVLLDVYDSARQSTLPTQSHDAQFWHFSPHVQFTNTTQQITTTTTTTTTTAPTTTSAV TTQQIRISLAHKKITKDLLLHALDIHNLLTTSFVTLDGQPSSLSNICLGRQGLCVIHS PVDYWPTRASLEDDPDWRATIDRQSHRVSDQTGLSLHPFSMFGNATLDKNGYLINADS IVLTVLLKHPNPHYASRIWDTLWQHTTQQLNLARLETNPINAPTVFTFELPYRYYVLA IIHLGLFLGVSNAFSTSTLIKSQYTFGLATIFMSIACHTTTVGIFRFFEIRFVAVPWY LCWLVVHVATLENAFLMANAVLSAGCDMQVKEKVCRGIQSVGVPMTTTLVAEMVILSI GTAMDHALIKEFCLFTKIVLLVDYVLQFTFFLAMLSIDIRRVELADLGDSQLSKRLRE LLHVDPSIEQGPDFCPVQDTPDEQDSKSCAECKEFKTHRAVNALLLCLIILALAMFRS KGATNVSNSLSAVSLPSKEVGHLELVSAHFWQVVNPLQETQWLNTKPPYLIVLAKDAQ DADQGRSTLASCVSHYEAKSVALQTVHKQQQLMRPPPSRLRGWVYGVAETVLLAVLQV NLPSLLLCMVLVAIIMWITPRLRDRWLLPFLETSFVHITLRSLSFLTYMLPWITTFLN QSIAQTLNFQNQQQQQQRQHDQNQNKNNNRNNGSTNRHNHQGAISNQAKFDSSTNHVG RVSVKTLSGQHVADVHRIAVNHQHNTLVSSGQDGRLVLWDTNKANWMARLDPVKKSRL NSLYYPSRPTAQTSSKFSQTNNRNLNSSGGISSAVVRSVEIDRANKWIATGAEDGVVR IWNALTGQLMHSLSAESQHVETMDNGIRKRHGAMSASTSTSTSTSTSTSTSTLVAVES QKNTGVNSRVVDRVLGIQWVGRSTATTNTTTMNKGEAQNRIVSVHKSGMLREWDVTLG ECVQQTCTGHLKDITVLHCVESEPAYLAKPGITWLFTASKEGVVKCWQRDVRTNDEPW TCAYTIDGHHGQIVTCLATEFPVGGIGLLVTGSSEGAVIAWNFETGEWIGTLSAGGVK TKKKNQQHARDHPIGGPLLRFSKTTSSNTNNDNSYNNNNNYNTVSTHFSATTTTTTTI NNNNNYSHGGIEENPQVKGILHSLEHRVQNNEPGDHRGPITHVVVTRYCEVENGHGQC RGCISCFGNGFMVASCSADETVHAWRLERVGGPNVSCTLCAKDYHKKQYKRTRKVSTC NTTTDQDLVGGGISPIPSPSSSLTTSMTMSTSTLTNTGTNHGTTVSAGRPRKPSTSQS LPVRRVHRHIRPSRSSAHQGATSDDRGDPLLDIEQLAGDVEANLETRFLGKIDQVSGR GLVFCNNNILAGVRQRTTPVTPKGQNNPNSSNKSYSSSGDGFGGDTSGQWEAWFAPLQ YHDPPVFHDFGDSNSLGHTKTSMMMIPVETFVLDTDLDQQNDSVIAEATVSVSPSNNN NNNLSIGSIIGSLFGVINRRPKSSLSKQQNTHPWRQRRRPQLSNNGSGSNTRISSRRR KDSKQNFDSEDSNKNSHKNSNDDDYDEDDEDSLDDGEASEILPFSTVRHIVPMTKSGF VCDFGNFVKVVTILQKEGAMRHSNQGLDETLASVVPLTATKSDCICLGDSEDCCGGKE KVNGKCCGGKNKRRNRQAINKDSNSEEDEVNNNYRPPVIVSPPAKIDISSCQVRSIAD CSFKAHCSKAADCRASVSKKSSYGL PHYBLDRAFT_62784 MIKYIVFCVTLVICLVKAVVLNPHIIVPNESTVWKAGGTFDVKW DTIVYGSPIAPEVNGTIKLGYLDGTDINEHLFWTLASGFPLNTGSQSVVLPTDLVTKA SYIIVLMGDSGNSSPQFTIEAN PHYBLDRAFT_165520 MKLDYVYFKKSEFTDNGKIIIDMPYTQLETLVIFNIVTNKGIIK HYVVKQEDDTDVDQLGSDTFGIKRSYILLDRWKEYLYFGVFVSQFKSVDLYRIDKQIL HALCI PHYBLDRAFT_180292 MLSLTRTRVLTTARRAFATAAKTAAPAAPVAADKKFKVVVVGAG PGGLSVSSTLSKMLGKDQVAVIDPASVHYYQPLWTYVGAGLKDFKESVKPMASVMPSK AEWIQDKVTKLDPDHNQVTLSNGETIGYEYLVVAAGIQINWEQIKGLKEALGKDGVTS NYSPESVQKTYQFIKEFKGGNAVFTFPNTPLKCPGAPTKIVFLAEEAFRLSGVRDKTN VIYNTSLGKIFGIDHYGKVLQKLADERNIQVNFQNELISIDANNHEAVFRNNGKNGEL STVHYDFLHVAPPQGPPNFIKESKLADSVGWVDVNKDTLRHNRYKNVFSLGDCSSLPT SKTAASITAESGVLKHNLIADIKGEKVEQAVYDGYTSCPLIVGRKELILAEFSGYTGK PLETFPVDQRKITSVGQFLNKEVIPAIYWNALLDGNWVGPGKFRSILEPLRSKHT PHYBLDRAFT_165522 MSFPETESSRMKIDVNSAQDTHLYRHDGNNPNKAPEYLYSSPTS VEGKHPYDSSDSDTGSDALGPEDNALYEMDLRLQGINTDGMESDDSNISDQYTNKSAR PRPRPKTATTTRTRTTSTISVDKPLPPSPEKELPKLIVDDDYVNDNDNDNNNNEKYER NQEDQNDVQNMFLANSAKEPSDSYSLQMTPSEISQPNITVTKNKDNNYTELQDDLARQ QAGPPPGPIMPSANPRRQPHVNAVDAGDTRIKGVRKTVTPSGRNKPLPGTEKRSSVGT IREGGPKDTSPEGDNVPHTEEDLPKKCYKLNFPVPLKPTSPAELIEGFESIVSKYILV GGMICYFFGRLSIGLFFGFLAIAGCALAYWVVGTESKDGLDWQLEKLDGASTLYETNG ESVEWINFILQKVWRSIDPRMFAFVEDILEDTLQSVAPSIIQAVKVTDFDIGTQSPVI QKIRVFPHHPGQPDESIFGEVSFSLTSRAVASSHSRSNVKSTPPGLSLRFKTGIKAPL DVKAELTHISGKLLFKILTSADPPFLSKITFSFTSVPTITTGVMPMSKHLNLMRLPML KTLVNEGVKLGFADLVDPKSMTLDIQALIGAATRDTTAIGVVKVDIRQAIRDIHAKVG EARDSYATVSLSNQPGRQMASTRVLTNEEDPRWNETLCILVHQDDILADTMIDIKVWD ADKVNFDDLWGSVSISVKDVVLGKLDRLGNVTGWCQQEHAVFDGWAPIDGKDMSESRI KLDFKMTFHPKYVVPVENILLDPKQRETNKEDKEEISPDHKSGILSVQITQATDLEIG DPGVTDEDLKHPYNSNTIVNPYAVLYINDLKVYQTHAKLSNPSPYWNAITEQFIKDYD SAYVRISVKHSIDLERDPVLGTYAFSLKDLFGPTIEKYKETERWVPLIKGIGFGKVSM LIRYKPVKLTLPRELRGADVGTLIIERVMFMGLKPPMEAETFKSTKAIVALNVDPSIH KRLRASDLKQLEGSNDGDNDSGTAGQYAWSRKRLYFPLTMRYRTAVYIHCFQGAMSGS KATGRFWLKEVCDNDWQEVLVGLHHYTPEKSKEANRNEDDWPLNGPYGQVKIRMKIVP GFSPVHGNLKSFTKDMIGADPFHNEDFKVKAQQWIREESSENTAAGTERSKSRRMSTA TSEALKERQEHIQNEDEAEDEDDNEDYNYDDNDNGSSDDEFVLEGSENEDANYLENMH EGLKNKKLHKFKVMRKLEFGKDYVKQKVDTIREGFNSDDRAGRTMAKEI PHYBLDRAFT_142539 MQSRIFPVLLATGVGIATGVYVFQPLLKEYEAETNGTWILPGNE QTTKPFENKPRVPEQKESTEKD PHYBLDRAFT_131811 MSKPQPVAVETPKENEQDYEQSHVHEVYEVIATHFSDTRYKPWP VVEKFLTELPTGSIGADVGCGNGKYIGVNPNVLVLGSDRSSNLIKIVNERGLEGMVAD GLTLPYRPNAFDFAISIAVIHHFSTPERRRMAIEELLRIVRPGGRILVFVWALEQTKF SKRNFEVGTQDVFVPWTLSTKEPRIENSDKKGTKAEVTTTVYNRYYHLFKQGELDDLF RQIDGVVIETTGYDRDNHYVIAQKPE PHYBLDRAFT_62780 MFNTTSILYISVESVESVESVESSESVESVESSESSESVESVES SESSESVESVESSESSESSESSESVESVESSESSESVESVESSESVESVESSESVKSV ETPS PHYBLDRAFT_142541 MLYQQYGPVRIGRLLSSIWTEGIFPTILGRRNPEDNNINLSSPP IKADPSSTGRRPVLTSWKRTEWGGDRERWGVRLAMGLKNKREGKLNYPTPTTPTTPTT PPIHTTPTPIHPTRR PHYBLDRAFT_180294 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_142543 MLYQQYGPVRIGRLLSSIWTEGIFPTILGRRNPEDNNINLSSPP IKADPSSTGRRPVLTSWKRTEWGGDRERWGVRLAMGLKNKREGKLNYPTPTTPTTPTT PPIHTTPTPIHPTRR PHYBLDRAFT_180295 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_142545 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFVLYVTILRADLQL SKSYSIHTTIIPTPSSIPMTPFNSTKRTPPNKKSRDVNLSSLACAMNNLSSPPIKADP SSTGRRPVLTSWKRTEWGGDRERWGVRLAMGLKNKREGKLNYPTPTTPTTPTTPPIHT TPTPIHPTRR PHYBLDRAFT_158055 MARSGPNGESKCDWTVEQITQIGRDIFGDDNRLYAEATRLIPGS RGQPEKNNEEHPRKKLHHSNKITKHEKTFFCSHHGKNPTHESSKCFTLINHKNKASSS NSRNPCRHCGENYYHGHVCKSKNNEPILMVSQSPAKDKSEQMLKTIQDRIDEDMEEIS FE PHYBLDRAFT_165528 MNIGLNNVSYDIEGEYVHSDNAKDYAAIYENLNIDKEKKFEPDN SPAGTAQQRAEFMSSIKASLEENKNIPVESYCPLSESIIRLPTKEGATAYRWQYPIPH ALRPTLDKQLCINRHIPQKTLSAPFSLMYARRVNVPDEYGDKDKYSLPKATVTIDELE KQIDHMENIIFPAINEPEFTV PHYBLDRAFT_142548 MFLVGDRGIGSKISQETWGTTLVPRDTSLGRLTVDVNAHESGTT RHSSPPDQTTEGIHCPVSLTRN PHYBLDRAFT_165529 MNTQLPRFYPSGNLVVNTQMRAAQAQTTQNVQESRPENTKKTYE SKQNEYRVWCDEKFDASDEGRYTVNGSKLHLFLHENVNSCKSKDLKAHIVEVREDNPT TLQLRTTAPMLHRSLKTIQAQIQSESSGLKETMQQLFTGLRDITSGLAPLSIILSVSG GPDFQMPIFTMNSETNIGESGSIQAIQFTNTPTQPIQSQQHQQQTIASPPL PHYBLDRAFT_68155 MFFFLYHSGCPYISNTIIRSIIVIVSIVDNITKIVNIIISYCWS SVVFDSHINFYKTSPIKNTALISGANDKYRPKIFCAVALGIDQSLTSSGMIQIIRSYI GAQAEESHQDSTKIHSWEI PHYBLDRAFT_68154 MIIWCGKYTLFLKNNSICLRSYELLDLGPISLADLKILICSEFE TVLVQIHIDNNENDKDLDKSSFAQSSLIFELEKIMQVFFLKKMTSMTFTCLVCSSKLE IKEETKYMPISTATLHVDGMSLKIKEHHTFEFGKGSSSNGQPRNMH PHYBLDRAFT_142551 MHINVSDINSSYNSFKQKENWIQYSERDTIFQEANNNQEGVSLL FKDIPKFQVLGQTIHHSKEPVFESVGHFLSTMKKVLTAGKSTIDSSWKIWPPMAFDHD HDPWYETNLQGKDLSWAELEMGSRMLTMTMGPNESILDYGMRFQKACREDGPIGVFVD AMIFMSSLYPDLCTNIKLAWFARHTEMPQTIEQVLLLTNGVSTSHKKSNPYWVRGTSK KVGIEDLKDWQVLLCSAQVQQHTYIE PHYBLDRAFT_68152 MYNWFFDKEVSKRETHRGLLLVAQCKIPIDLTIIIIVRWLYFVN RCLTKLKSYDNLVCYKITEHSFFVSVQGEKIHANANANANAKAHANPHTEVFAFLSII RSKSKSKVQVKKMVFATTVRKEFDSVTYFNYIDN PHYBLDRAFT_101129 ILVLDSSFNPPTLAHRALLTESLASFPKDYFDASIVLFSTRNVD KQLTGASVLQRAQMMEIMARQFGDTTNSINTSTKALENIAVALTPHGRFIDKSIHIQT FFKHQFDANVTIKLYFIMGFDTITRFLDPVYYPEGRDTALEPFFRHSHLICADR PHYBLDRAFT_177105 MSVVHFSIDRPFGIYLFKYFDALYTAVLGTPATKFAFVDGVTPL STLTEVVVSCLTYYGVIFGGRYIMRNSEPLKCKLAFQIHNILITIVSGALLALIVEQV LPKMIRHGFHYTVCNSKAFTTEVEILYYINYLIKYWELLDTVFLVIKKKKLEFLHYFH HSMTMALCYSQLTAKTPVSCVPICLNLCVHVVMYYYYYLTTTGVKIWWKKHLTTMQIS QFIIDLLVIGGLAYSHFAYVFFRGILPTSGTCTGTETAAIFGSGLLTAYLLLFVNFYR TTYNAKEKALKEQQAAAERLKTKMLL PHYBLDRAFT_165534 MEIVRCCTPTKPSPKLGKQRVSCKEALYLSIHWKIAYLFGWISG FQFAMTNTIIQILEMLAAINRSLTLRKCVCYSLFRISTGTGTGTGTGTGTGTGTARGV GMDIYMGKGIVVLL PHYBLDRAFT_165535 MDSFVSQRTTASRFHGNHVYHSDPWAADCLMISREGLGKCNIGT VLFIMNASFLDDQMIQQLYSLVLSNEEKQYNNIVLPLPLPSPLLETQNQEYETLLSIA NIVGPSNDIPHYWFDIRTLFLVFYPILKPNLIFENFYAFIAGSTLTINLEDIGLCCQY PHYBLDRAFT_131816 MHTELDTIVLNQRCDSPMVSKTLETARLPNIPVLSVSVKDAIKQ KLDSISQLKWESDQENAFVVGDIGEVYRQFLRWKALLPRIEPFFAVKSNPDPMVVKLL QSLGLGFDCASKAEIQIALETGADPSRIIYANPCKQASYIRYAAQQNVAKMTFDNADE LYKIKKFYPNAELVLRILTDDSKSLCQLGIKFGAPLDTVDHLLQTAKDLDLNVIGVSF HVGSGCYDQYAFSEAVNLARGVFDKAEAMGFNFSLLDVGGGFPSADVTDGVTFEKIAA VLGPTVDALFPPNIRVIAEPGRYFVASAFTVCTNIIARRSKINNEQPSFMYYVNDGMY GSFNCIIFDHQVVQPHVLAKDGHFAYGEELEEKHYECSVWGPTCDSIDCLNKKTTLPL LSEGDWLYWNNMGAYTVCSSSQFNGFKSPEILYTNTYC PHYBLDRAFT_177107 MGRSKKRQQKRTDNPHSSYGATTNDTLEESTSPYQPRTRFFGQS HYSSIPESVDASFGQRRQDVSHNQPTGYFSTSPSQISNDIRIDMSSPNNNTSTTNGNT ANDSLKRDVLNPTCSVHDGNTLYGSDVDTSSKADSIEGDVCFPPSSKGEDTGIDFDAM EAYIEKENDDMMDQTKLNKDNSTGGAAAALGGTRKHCRRLSTMGAPDNRRSSYADMLK PHYBLDRAFT_23073 PFRFTYFSPDEPATIHARSFSEIPMRGEKLSTMIKKGCFWIDIL DPTDEEMRALSSIFRIHPLTAEDISMEEQREKCEVFKNYYFICFRTFDQDQFSPTYLS PSALYIVVLKEGVLTFHFRPMPHQHNVRKRIKQLKDYINVTPDWICYGLLDDITDSFA PLIRAVEFEVDSIDELVLILKESEQSDMLRRIGYCRKKMMSLLRLLVTKADVVKTLIK RGEVKQIDGTRPALSNEVALYLGDVQDHIITMLQSLNHYEKISSRSHSNYLAQISIEM TQTNNEINDILSKLTALGSILVPMNLVTGLWGMNVQVPGQFQVNLTWFTSIMLSILIF CVGSTMLMRYYNIV PHYBLDRAFT_68144 MAAYKRIQFEEIPTSPLGKCVDLASSALGSTIVAVTDEFFAPAT NMINPAPPVHAPGKFIDTGAWMDGWESKRHNPTYDWAIIKLGFSGSFRGFDIDTHYFT GNQAPAASVEAAFVLDGDVQSKDTKWTEILPRVDLPPSCHNVFLLEKETAVYTHLRLN NFPDGGIARFRAYGNVSPIWPQDTSAILDLAFVGNGGRAVDVSNQHYTPGSNLLLPGR GQNMGDGWETKRSRVPGHSDYAVIRLGDKGHLLKAEIDTSHYKGNYPNKILLEATNVG NDEVPGPDAQWTTLIPKSSVGPHNLFYFDLPHSDKVFTHAKITIIPDGGLKRVRLYGV REGGKIPALPISLPKL PHYBLDRAFT_142559 MNLPLCSVFLIDPHISPTDKYLSELRSIFGQVTVATDRDLFDLL TQYPTLHLPILVLVFTEKPQDSGFQLLRTISTNHSANGIIPIACSTCDSPPFIFECIN QGARDFIIKPFGKDATKTLFLNIHRYKTLEKSYSQNGTTPTTPTTPTNIQHHTPPFPN FPTTINTNTNSNNIIPTTTTSSNNNHPNDNNKSGSFSPNHLHSQLSHLAQHSHSNRKH QSWHHSSRLSQLNGKQQQQQQQQQQQQQQ PHYBLDRAFT_142560 MSPVTSLLPERKQQLKEYVCDWGFITLDLSERELVECVFIIISQ VMDHPDLISIRIDSDALYNFLFDVCNSYHRENNYHNFRHAVDVLQATYYFLSRIGCIQ LPNTPVETHKKRPKIANLARDLLRPIDTFALLMAAVGHDIGHPGVNNMFMINSSTPLA ILYNDRSVLESFHSMAFCHLLQQHGFRQLVDVRNYPESAVPFRKVVVSSILATDMGRH EEYVKSVEEQAVRLKKNAINFQDERQCEQERLIICGALIKCADISNCARPFSSAEKWA KLLVEEFCKQGDLEKELGMPVLPMNQRGKLPLEDFQLSFKRNVAYKLFTAVKDVLPEM EFTVKGIDKNIELWEEMKRRGHHDSGVGELSSENVESCRRSSLVNYVEAVTVLHDNDY GSYSDDKDKGVGEYEGEYDDSDNESDNDNNRTHEKSTAETAETSGNRALCVEEAVIKE DGDDNNSTSASKKNNKTNASISLNNSVNGCDNNFDGTGFEDKCSSCPQSESRRKAGWI APICRCIIQ PHYBLDRAFT_158059 MYAKATQLIPGANMHTERRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTYESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVNLELEDMSFNC PHYBLDRAFT_165542 MSDINTTLLNSIQNIEVDLAEIKQALREIQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAKSVKRAQLTEYPDQLVQRKNEEQKYNLLLQILHEQDWKA RCKEVSQGQSLPPLVPLSDHDLTVKRLHLKTLGRMTQQDISDSSLSSPDMSETGDVES PIMADVLSPPPTASVEPAHKRSQRS PHYBLDRAFT_142565 MSSSNASQQRDRIFTQQYQCNQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMKLNNTISYKCACNFEDSE SKAHIYDNSQISTNTFTKAEFMKPGAKISHGETVDVLLKSNSSVKGHKYDSDTSRQYE TYVSRNILSQMLADPATRELLCYRANWESVAGQLTDIFDSNNYKQLVQQSLFSNPNDI AIGLYTNRFVNQKKGKNSYTIIHCIIFNLDPSIRYTNKYLLQLAILSGPKKPTHLDSF LILIINELRDLEAHGLVVKHNGVELCRSKVYLLLASGNIPAVADMAHIGSHTSLFGCR FWETKGKCPTNRRHGMYFDDISARLRPLEDFKVGNPSKNIYQPSIYTQLSTFSGSLFF ALDELHLIARGIGKLVYDLITVTLTKETKFYYTHPDNTLNTTKYPFHILRADLVTIGN CITSSRKYIPTSFQGSFDNIFVKIDSTCAVDWLNFLLYLVPTLVVPYLPNRAVKTALL SLVKGCALALQWTLTSELLDEMESKQQGPLQCYFTRSMERVIGVFSKLIKFKSKGGQN ASFLIKRFAIHNYTSMAISICDEVNLTRPKLYGRESYMDLPNDSSGVQLWEPFHQFAN LNDDSVEGVGGPSVKEALLKYYQRTTGLTSHEFGDSVVVVAARLWMNSTIYSSCMYQR KKNKTSRSNHYVMFTCPYRNNHNVIVHSWLVGTVQFYFQHVDFHGFPHFLAFVEVMKE HDVAGHDSSVPIIKQQSQSTCTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFYII APYYIFNNNMHITKGNLSIL PHYBLDRAFT_68138 MLNANNFYNILCQTHIWGASTNGSASDSRSEGWAFESLVPHILH ILLCLLFLIVSFLIWDGLSFTIAKSKECLTEIGKACAVKWVIRNTNKQPTNITAEEAK ATGIKLCFSQKYSCHHWGTYESKATLHVVQKQTKKNKCPALLHVKEFFKTPEFYEFVV TKDHAEHTPDDIHSDICTLPLAKKYLHELAQQLEQSSKSASQIRIDMLRAVDRYGRKS ERKFNYYDIWNLMNKLSDSVKIPGSLSSEAQILRSMMMKSLQEIIYEEDIDEFHHKIV QFKEEFDDQESFLDYFERNWCTEAKFKIWSRAYHERQFSHMLTNNYIESWHNQLKTVF MKRSRNKRLDKHVFVLVHDVEYYLTQEYERIMSNNGPMSSFTRQQRICEMEAEEVDDD DREMMIVAPGIAEDVNWQVQSFVNKNTTYVIQVAEPNLIISCTCFDYQQRYKPCKHMY LLKMHTNRSLYFSLPSVTSTNVIQLVSTSETATITPTISRTSAFIQQCIDINQTLWYA NQDLLTMQQYMTEDDGQTLFDAYQHSLQVFQSIKNKYEVHLCRSHTQE PHYBLDRAFT_68137 MKTIKNHCTATLKLHMNFKSLCCHEDVQTVFSHLTLKASSLQQT GPSIDFASTLNYVVSIYSATFISLAGLLHNTVFLTTMTTIVCSSDFACLQLLSAQVNS SSGALTFDILNPKE PHYBLDRAFT_142568 MTNRAELLANGKVSQTVSLPDQSQFTSAMVPNLAFGENINTSSS EDMDIIDSTEDDESIYNFGEECENVIDEIEGTTPSLVFDFSQPLPVPSNNDKKNLAFM QLIQEFEISCQAHEKILLECFSDIKAGGYDICIRGCMQFNNENDIACIKCGEARYKNG QTSESDTRVPVRLIVQLPLARQLALCSADDKTRAEMLYHHNHQSSQDGQKADVFDGHV YQSMKHLFSGENDIAILLSMDRFNPHNVPGSVTIVHATVLNLNSTIRYEKNRMIQIAM LPSCTGPSDIWSFLESTLRNLHLLRTEGMEVKTPTTTIRAKVHVLMATDNILALAKLA CHVGHTSKNGCRICHVVGQTPKHGQYFRMLPGTQTRSLESFRNYNLASSEDRKGLNSQ LPLASMETFSGPFFFALDEMHGLCHGIGKQVWGLVYRKYGIKHPLCLSLATQREIGAA IVAAKSTIPTSLHGAWRDMTKNVSFFRAVDWADFLLFVVPTLVAEHVQDLVAPKCITW PGSNMQFTHELGVISRESNLNKKSLLSTADIDIGMFTINQHIIQHYLQMIDLYDPPRA YSTRSVERAIGEYSKSIKSNSQVSVNAGNIMIRLAQSRRVAELTTVANTKTPPANLLV YSAYTNGWPVTEGGDPANAECEIEFWGFLKNLTIFDSFEDRSHLSLLLKTFYDLKGEE CSMLEPSIKTSRKTYLNGCIIDAAFNQSSTREACHVHVQLQVDMNSRRSRSYCPGYKH FFGKIVIFFQHVHNSKRWPLALITIYSVHLKNGLPITSVVKPKTIMIHASDIVELVGL VPSNVNGSHYIIWPSLKRGPKLTLGALIYCMLQMSISTSAPQHIDIELISCPLVLQNQ SFLTSSHQNHNRVVSYSLQLASSSVDPWLHNNSNRQLSKTSSSSRDSQPVAQESNRIC SSQLSWLHQLNICYPQEEWWASSCAQSQAPQQTLNCLSLQDRHSISCKTTKLGSLGWI LNLKKLSLKLSQQLENFDYLLETQTMTIKLSGTKLCNLYQLIHQHITSVSPIFPSDLQ PFHENSDHNNWSVSSPSIQTVSDMIQEQTRSLEPWLECGSSSSPSLSLQAAIILGTQE SQYINQLVRNESSSTGSPYLSLSLGHLGPYLYQQYYLNGIPQQARQLTRLPPNATDHK VLEMVSPQQSLIDCTAHCGEGQCHCQSGIWLDFYREPLETLQGNVQYDTVDMSNDFGC DIHLLEPMKQLLSQLSIESNWLVSAQDMAEELDSNLGGSNLAECHMVSSSSEDVSGFS PNSGQSVHSPRLSQDPMAVKKLDIEACHIQTIKCKFDNTG PHYBLDRAFT_165549 MSSVKLLDRCFFRNNTVIQLLQGIQAALISLKSGQEALLGRQEA LEKKQDAMKLQMTSFYNEFKDQEFPDRTIVTSTSIITDNLRIELTEETKRIVNTCTKI ICDQLAALPSVQDLGTNPDWIKTGCVSIILSYSETMESILPDVTETGHQLQGLASSEE GIRSENTLVSSYLVGILASTIHE PHYBLDRAFT_109684 MKKFGKRHSIKMNRIHGEAGSTDIESLQINKAAIKEKIEGYSAC DIYNFDETALFYAAPPRTTISHQKFSGWKDNKKRLTVGLLCNVDGMDKWSDVLMIGHA RRPNCFNKNNKKQEASDHEFSMYHYNSNA PHYBLDRAFT_142571 MKAQKRKVLLILDNFSDHIVDYTSTNVELLFLPPNTTSHLQPLN SGIIQAFKAYFKCKQYGKAYQYIGMIQNGNQDKIGPIDKIFEIDQLWAMKWIREAWES ISAKTIENCWNATIFHFIEDEDSEDVNQAMIQQSLAEKVLIEGLQETLDKIAGSGLLS LEDCPTNESDPLYERQCTHRVVNENEIADIVMEEYDANKNAANNSCCDVPMNDEETAE VEPAVSFKRTYSASEKFEYVCTLLDILEDEDIDRDLVSKVEGLRNKFQKTANSKQTKV TSFFKSF PHYBLDRAFT_165551 MSETLDLTQLTQTIAALQTHLVSLENIFSSMLHTILVCATYGWT PPELLKATTSMSMNFFTSTLDIKTHKKIIDTYLPIQNMAYFPPATLSIALAQFKPHQL KKDTLLYNLYISEMQALYFLAMIKNLCSLLIHTFAFIIQSCNELALQSFNLKFQMTDS GSTKNYTIDPFKFQ PHYBLDRAFT_68131 MNTVGFTSHHTCYKLRAIKNELPVSTPYGLSRYADYQSAIVVGI KRRKKKTLSVQMNDAIDIPVGKMGGKDQVSNSFLLVTFKKEMQLVHTKSRTQATERRN QQVWKDMDASPKSFPPDCRAMMRYIKPPKKAPGRCWGRTTGKYEFGHKKGYPRNISVN VQSHPAQKCWIQRYV PHYBLDRAFT_142574 MLTTSEKGRLQEALNSYKSCKSYSRTFRNKLRHTGLFVGRDFKE LIQVLPGIMSKLFSDKPSASLFIKALHALGRLSSLVYMRGVDRCFDYYIAQIKHAVTD VTDLLFQLDVQILQKGFSKQNFTFKPKVHLLYHITDDIVCFGSVLQYETENGEQFNKF IREHLFKTNRHSTSRDVATRFGKQFICRHLCNGGSYVVEKPAGNGTRSVRSSIGDFVK LAPVNFPGFNLHFFGSRVNSDNSGLSTPTLCDTLAGVFQSNGQLFLGQVKIVQARDSA DRMRKAFFMQKYQIVPNSNVNCIYTPAVVMDNYNNIVVLPLGGLVEVNKDDINIVQAV DIHLSVGSSNNQKFLNVAKFGMFWWMLMNIAKIY PHYBLDRAFT_142575 MENHFTTKRNTFLTLTATDNRTFVCTVCETERVLGSLQGLRRHY TKKHPNEMGEYEKLLKRRPAMFDGPSSSASTATATATATTTATTTATTTATTNLNSNN EPAPMEFIIENPQDTYGHEISDEDEYSDDHILSDSSDDYDETTDDEDTDTRVEYDSQD HIARMAAEMRTFQSLSHAMNVYSNEDSSRQTSYRPDDFADIFTGPTRPFKSKVEFILH ALFYGDEDLASERSIKKIMFAMKMVLDVREESGVALDFPTPNAVINYHKQKKNQIPVF PTASFDVVNQDNERHVLWMNKPSDYIKFTMTCPGKSSQISALPDFTENQRLNLNQGKK WKENPLLQHPMITSNGMDYWVGDVVEVQGSPNQYLLEKFFTKDGSILANAFQVYGGHD PRLNHPDDTHFLRFGNSTNFAVSTLKYTIEVDRIMFTVQKDSDLFLGRGFSVSYCPAK IVTYALTGVQSDLWLNKSRVEEFKRRLPGSGLMKVVVCPLNLYSDDTSGNSTKQYNKY DSYLMYFAALPLETRNKRENALFICTSNHTLNAVEMLPPIVDDLIRLEKGIEMYSEDH GEVVLVIAPLLLFMGDNPRQSQLAMHKGTSAKKFCRKCLIPSPRIEQGSIPDAPPYSP VDHHGSEERTRDFLCAFANADSQSELYLNGCELSYIKNGSEEFLRLEAFDPTKDMPVE ILHIIPLGLTK PHYBLDRAFT_123060 MVKSTLLLSLPCVLAAVTLVRGANPSMRGISPEHYDLYAPLLQN GESTWKCLDKSKTIHYSAINDDYCDCPDGSDEPGTSACPNSLFYCENKDHIPAYIKSF AVNDGVCDQSCCDGSDEFNGLTSCPNICKQVSIEFKKYQAELNNIQSVGILAKQKLLD AAEVIAEGWLQEKAVIQQEIDFKYADLDNLKRELEILEKAQIKHTPIEKFDNAKTIKQ ARKIALLQRHILGLQDDIDVLVSILHDLKNDHNHNYHDMAVKSAISGYDEFIETYEDY KTTIEKDLADIEDSSEDFITDEYEVDEAEADVPENSEDELSSEDVAAKEALESTRKAY DDLNSDISNQEQKLENINEELSKDYGHNREWLTLKDVCVEKNEGEYTYSLCILGTAYQ KSNKDSSRTNLGRFEKFAGTVESGAKDRYHEHLHSHGTRCWNGPERSVRAFFECGAET EILEVSEPEKCEYHFRMRSPAVCETPHIPSEDKDVKLAEGEVEERLHLHEEL PHYBLDRAFT_158061 MTIVLEVADTLKNSSSLKELLCLILLLGNFMNASSIQGGAFGMR ISSINKLADTKASNISSMSLLNVLAGVTRREFPHILTFLDDLKNASQAARIMASFNDM SQQYAEMRMSLRQLEVELGSKWQGEGVQLEEGDRFLAVMNEHREAAANRFEDLQTLYL NMDAKWKSVMVFYGENPAVMRPDDFFSTFSEFVKNWKEASIQEEKYTQRMEREEKKKR EDEEHKARMEAKKEAKRLAENTDGKVDTPQRVEGVDISEEATTGTEDDRKMMDNLLAK LRTGESEVRTRHARRAGRSREVQDNSDVMPALRPSTSTQPGALSAEALLRSLQA PHYBLDRAFT_109013 MPPSSHDNSQVDRSSPNYFIRKFMEPDMRGVTPSLVAHLEVNLR SRPIDWVVKFIDLEGVRAVIGGLKYVNHKPDEERKELLLDIEVEITKCIKVLLNTRWG IRETIGHPTYIHSIVYSLVCPHWQTRKFICDMLFFLCHCELPKGHEQVLKGFESLSAH RKDLGIFDGWMKDLEKTVDGRGKLGSLVGANDDFKRLGVYNAPDNHLMEYAVRISVLV NDPLNKGYNKM PHYBLDRAFT_109706 MAGEFKRESLFQKGPKWKREHVADYKFDFVDVDEFHDPGWWMAF KFIILYFSIAMSTLVYCAELWTAGILLIYDKWSLSTQPLIRFEISKWIYVGCIILSFL LLAWEIRKARNIIRSRNISYAATNVMASRFYSMKSYSHFCLFQTIHSSRKITDYMAFF VFFTLKGWKRLLFAQSPRQIIAGITVYALLKSAWTNKQNNFEFTTNWDAYGEDWSQRV ALLLMSFTCILWCLSILSFVLGCFLYLPILCHIQGNLKEYCCHKIDKRISEILERQRK RRIKELERKEAAINDQNTRKNNASNLKRENLNRTATLPTLPKVDDSD PHYBLDRAFT_142579 MLFSIPILDRLTFRDYQGLILTVFFFGFENVIRVIVTFLPKFVI NLIDAFIDSTFPWLSKLDSDPHVSPLEKAESFEKMMLIWKNYMCEQHLVRTQDDYLLC VHRIPAVVQSADKFKDKDVFRSFCDENSKGIEIIDHINEFKQQKTNSNFSGKPVVLLY HGFLMSSEVWVCNVDEYRNLPLLLAQQGYDVWLGNARGNKYSQNHLKLNPRHQQFWEF SINEFAMIDLPDVVDYILKITGAPNLTYIGFSQGTAQAFAGLSVNPKLNQKINLFIAL APATAPKGLRHPLIDTFVKATPSVIYLLFGRKTPLKLALFWQRIISPPMFVKLIDGSV GFLFGWTGKNMTADQKLVSYQHLYSLTSVKSLVHWFQIIRVGRFQMYDEMPSRLPYHT VNAVMDHVPPKFPTNQITTPIAIFYGRSDSLVDFNVLAADLPSPLVYVKSIKSWEHLD FLWAEDIETIVYPDILRLIDYFNPFPQNTERKKLDTVVPLPIKAMK PHYBLDRAFT_165558 MSNEQVSNDQKMQDPLISPDYLKQMAKALSTKTKQVHPYEYHDT EEFYLEIDEFFSYGEVASDLQACRHDSIDSHGPLWKKKDANEREDEVKDLLNQLEYTE QDQRLIALKKLLLISLGDIKGEMTFSLLCKTGAMTVFFQALKRSYASLHTLEYVNKPM SHVFGLNLIKIKSQGKVDQITLENTIKEVNQLQSLLYILIEYCRQKGLFSDGKVKLDS GFIEFLFSEITTLKEKYIRSSLVKKCLFTLVDLKNLSKRGKEQESDMDFLEMVVENPV TKCYPEDFYLFQEDMIEKYSAFSTPEVPDIISNPRSIKTTPELSEAMGISTAINQTDL PYQTLFPPKQNQVSQTENQEGSSEQPIQKQPMPKELTTVVLPVTENGPSFPKSLKDAG EIYLANLHISLADYQMIHEREEAINRWQTLKSLKDGSSNRETVNEPSDETVEDRVETV YRYIVPHLQTIVIVFLKLLLLSINPAKTGERSESLTIEEADMFRDKEITAKSVSATLW LLLKWFKASQLRTQLDVLKYEYFSQLLVDSGSMLLILKILGLQEITSVVATISDVDKY SLLTHLGLPKKDEQTDDVAFTNKRNMFWTINLLRILQILSKRKTARILLLIQYKSSAI NMKIVSAIYMRCQPLLRDDWLVTVDSEKELEAADAQEKHLRVLIQLYHGQHYLPEMLP PADDYSNTEGGTFSMTRIRGDREDYNLDAPISMTEVDLAPDFKETCYRWVEEELESTD EIETDNRDAWAIGTPIPSPNEPISPRLLAAEINKLYHEELEQEFKVEAPVVVEDGWDA PALVPPTTTATAAKIKAKYAGNGHDDYENDSDSDEEVQDDDPLGDINWETLTEKDLVD RLTKVEENTSRRWLNIDINDPSYLKVLNTFEGEPLEEPISDDDWDITPDKPLGESTDD GYNNIVDDTWEETSEDSWDRPVRNLQQQSEIDEQDFESGNTWDNTSENSINSSWNNST SQSNEDNWS PHYBLDRAFT_185926 MSLFPATQRPSHLVQFNAGKCIREGNMLKPDIRKGMIYMDQSDD QLMHFYWKERKSSSPEDDLIIFPDEAELIRVQECTTGRVYLLKFKSSNQKLFFWMQSK DQTKDEDVVSRVNQLINDPHSSMDEGRSPLSSTMDLNGDTPSELMRILGNEGQDNILQ YLQTAGGYGGTVPVTSNSGGDGPVDNPPYLFPESQPSQAESEQASQNTENTNQPTAAQ PEALEQLRSMLANVQQSSGSQNPPIQLNDVLTPQAIRPLLNDPEISRSLFPFLPDNAE HSEEEVRQVVQSPQFQQALQSLSAAIQSGQLGPLLSQLGLDPSAGQSVEAFLRAIEKQ ARDRERDGGEPMEE PHYBLDRAFT_165561 MHHWTGGLNWAFTNIRVNISPTLWIILSAFFLGCNGAPFNKEAY ITDKEAAIVSVLKTIFIAYLAHANTVRPDQSTLALPTIYKQMSAIAWPVSGIHEAVGS IIKAWRADKIIGIGNFKLERYVVENPLERYYSDANLLPRDENGFILGTGLKQIRFSMS ILRTNLERAQLKNELEDISTFDNAFKIMDENLAEIEQWRRTYKATPKYQLICGGNANV SRFSKGSDISKESSSSEKPFARYAGDISTHGSSTNFKKFIVDNDEKSSGHLNITKPDD GDGQFREYLRKKSSQMYNRIAHDNGAYLDQILTEMGPKNAKKMKHAILNGSIYIGYNQ EEAAQRDLIRQLTINMEVTGPGARCKYQMPVHPVIIRYLPTDMLDQLKIAGNLEDTSS LSKITSAVQLLYTVYEIVRGSGNGWSKLIMGVFMIMSLLQTASLVFLPTQLVAFSIHC KTERNMGTNSYNLAEKITHPITRLLTRILPKFLQNLTNDIVKEHDYALIGALEKSALP PMLIYKIMMGLSVSQVSSSIQPAGRWETLVIIGGTVVPLLLGLLAGYTEPSPAKWIVL AWIVGSWPFTFIRIYVIDPNYMVNKLAFALTTLFLVLPGIALVISATVIGNLPK PHYBLDRAFT_68118 MVKFTLSFIIAALISVAVVQVRADSATDKALYDARLAEFKSAID TLPTEIRDKFNAAIAKVDLSYSEAQAKQTEAARVANAANIDSVSTISSDASTSASVPA TVLLATIVSALGLIALN PHYBLDRAFT_123070 MGIDLKNHHVKNSNRTAPKSDNVYLALLVKLYRFLARRTDANFN KVVLKRLFMSRVNRPPVSVSRLAKYAATKSAANKTFVVVGTITDDVRILDLPKLSIAA LHFTKTAKARILKAGGEILTLDQLALRAPTGANTVLIRGSKNSREAVKHFGMGPHKNK KPYVRSEGRKFERARGKRASRGFKV PHYBLDRAFT_123073 MSNFPYSDHDKEVDVHAPASTYYTTGKNSRDRPRAHSRVQLEAT PKPHFDTTRTRRASHDDKNKPRRFLVDVEETQRKILEQEDTDGDFQITINDLGPKTLA LGTEDSGGYRKYDIRGTYMLSNLLQELALAHDYGRKHIVLDEARLNENPVDRLSRMIH HNFWDGLTRRIDADTIEVVCADPKDRSEKPVLRMYIPYDEDQQYEYYQNVADERKHLD LRVIRLPREITDEYVKSINDAPGLLALAMKEYTDHEGKKKLKGEPFVVPGGRFNEMYG WDSYFAALGLLVDKRLDLVKSMVENFAYQIKHYGKILNANRSYYLARSQPPFLTDMAL KVYEELPASEERENKEWLRRVLKSAIKEYHTVWMAEPRLDKKTMLSRYHPGGIGIPPE TEASHFIHIIEPFAQKHNVSVEEFDDLYNDGKVKEPELDEYFMHDRAVRESGHDTTYR FEKVCANLATIDLNSLLYKYEMDIADAIRDYLDDELEDFDGNKQTAEVWLERAKQRRV RIDKYLWNDKENLYFDYDTVKEKQATYESVTAYWALWAGCASAEQAAKLARHSLAKFE VIGGLISGTEASRGQISIERPNRQWDFPFGWAPHQMMAWVGFERYGMQDIARRLAYRW LFTITKSFVDFNGVVPEKFDVVGLTHKVEVEYGNVGTDFKFVPREGFGWMNASYQVGL SYLNVQMRRALGTCTNPDLLFEKQLLLQGKRQSKEEMDRAMHYRRKSEIAVHKLTEDG DLDGAVTRIVPENHPSHVDDKGFLMP PHYBLDRAFT_68115 MTKDSKDVWAEMKKWQDEFSAKDSLLSKIKPINDQVLPNIRKPT VITLDGTKPAGIQSFMSNRKGKQVENKPTAVPLKKSDTPKLSRTDKALAEKEKGNAFF RAGDNKNAALHYGNAIDLDPTTAVYFVNRAMAYLKLKNYLEAEKDCSRGLQLQPCNVK ALWRRGTALRELGRFEEARKDLELALELEPGSKAIVEELAKIPIKKKPSTPPVANPAI KIPASASAPATSPVPKTVDRRRLPIKVVDEYYSNSDKIQPLAEKAPSPKPKLPTVSVK PADNLNKPSVAAPVEKPSALKQSTSRISVVESSQEHTLNPSANIATSPASNTDSAPAT ATTTTAAAAAAAAVPHGFPTNAPPVKLVCPRTNFEFERDWKTCKHRGDDMLYNYLQCI PPSSYATLFKSSLESDQFEKMIEILDSHYIPNKTGQEIVDVLSNLSKVKRIDMLVMFL DKKHIQALQRLFEKSKGSVNINLLTRLSKIYSVKL PHYBLDRAFT_154786 MSVDNFKTNIYEYLETLPTVTFLRLFQKPATCLAIFRLLPSIGR QIVMSLLYVETSIIAQDVNSWVTKDGQRKLSEALLKLTRLRILEHKDNCLVMNETFRQ EFRNALTGGGTQQSFGLPCSTPDKHPVDIAFLDQYATQQWESILHYMVGTSLTKKPSR GVLNLLERSQLMQTSPTDQTHLQITNKGFQFLLQDVNTQVWAFLLQYLDMAEVLQMDL VEVLNFLFQLGSLELGENYSVETLTQTQQQMLEDLRDYGIVYQRKGGSRRYYPTRLAT TLTSGNAALAGAALKNCTGPEATKTTTTDEEEGDQGFMILETNYRVYAYTDSPLQIAV LNLFVNLQSRFRNMVAGVISRESVRNALLKGITADQIISYMQTHAHPQMRKKTPILPL TVVDQIRLWEMERNRLKTTPAYLYHEFGTQADFDAAEKHAQELGVLLWANPKKRTMII TQE PHYBLDRAFT_101372 GTNNFPPCFPLMYLDIPAEIPPEHQWTVWWIYREWLLFELTLVL NFIACLCVLFSHPASVTSGPTDLGISLTEMFTHTLASFFLWYRPAYNAYMKDVSLYYF SFFIFNGFHILYTFYKAVGIPSTGGAGLILLVSLFSDGFIVTGIFTLLATICWLVMGF GAIYLYKRTYDHYKSTGHTFSEAKQEAFVRMGRSAYT PHYBLDRAFT_32627 MQLLSKPISGHSWLQKNKTIALSSLLAAAAAAAYQTQRTKRKST NDGVLEETTQDVFRRHKKIFPVSDLNAKVGVNNEFKRQLKAIATIIFPHWHTKEALLL ILHSVFLILRTYLSVVVARLDGRIVRDLVNGDGKEFLKGLGYWFAIAIPATYTNSMIR YLQSKLSIGFRTRLTRYVHDLYLDPQRTFYKVINLDGRIQGADQYITTDVAKFCDTLA SLYSNLAKPMLDTLIFNYQLVKSIGVVGTFGLTVNYFVTARLLRAVTPSFGKLAAIEA KLEGDFRAAHTRLITNAEEIAFYNGANLEHSILDRAYTRLIKHINTIYKIRISYNMFE DFLIKYAWSAFGLGLCSIPVFLPDLAGQRSVAAAAASEGSDENVKVGSHTRGFITNKR LLISLADAGGRMMYSYKELAELAGYTSRVYGLLSILHALHASEYDGPEDARRFALTNI KGKVELGIDGIKFDKVPIVAPSSGPDNVGELLVNDLTLDVQPGEHLMVTGPNGVGKTA VARVIASLWPVFEGKLSKPYDKDIFYIPQRPYLSLGTLRDQVIYPHSYEDMKKSGRTD KELMEILKVVHLAYIPDREGGWETQKEWKDVFSGGEKQRVGMARLFYHHPKYAVLDEC TSAVSTDVEGLMYAHAKDMGITLLTISHRPALFKYHKHILRLTGNQGEWEMEAIGTKE QKQSIGKEMHSLEAKLKEVESLKARLIAIDSELSLKV PHYBLDRAFT_68111 MLIKPLTGEYNLKLRPHQIDGPTSPREFAHWNMAMRKWRREQSE KAPKDDITAGHDVPSLEWVTASYVQPHVMAHDLFLYDYKTNTYTVDRFLKDTEERYGQ IDSVVIWPSVPNMGCDSRNTEDFFRCLPGGTFGTRGLVEQFHKKGIKVLLPVLAWDNG TRDPQAAWSYILPRLFKEYNVDGMSSDVSFITQDYWLNSLAIGHPLVLQATAHSSESM SRGPDEDDTAILRYNTMDMAKYDTKLRVPTIASRKYFEPKHMTHSMDRWSRNKTELIQ HAFFNGIGIETWENIFGTWNQMSPRDGEALRRTSGILRAFGPTFFSSPEWEPHSPCIN YKNVFSSRWRSSTTPEQVLWTFVNRGPFQVTGHQIVVAYQIGYQFYDVWHGQEIYPSN VVDGLATLSFDIEPKGYGCIFVSPDMVQLPHEFEVFLKFMAERSKIPLQRYPISSSIL WQELDEVTVSPISSEAPPFMVRIDGDHFEFRINGREPHPPGSEYPGVDIKYPWEFEPT RVHHPHQIKMRSFYMDIYPVTEKQFKEFLDDSKYQPADPTNFLKHWVEGNYPCDNGNK PVTHVSIEDARAYAKWAGKRLPHEWEWQYVAQGGVEYRAYPWGECWDETKVPEPYTGR DRLYPNHPAADVDTHIQGRSVFGVYDLVGNVWEWTDVYVDEHTRAAVIRGGSYYKPQY SDKYFPQAYRNDEHGKYLLMSPSLDRSAAIGFRCVKDTEESAAVYGNCPWYGQED PHYBLDRAFT_68110 MTEPRTIVVLDGHPSTISLTVAPPDPNLTGLPQLPPFPLWSSIV DGTLGFCRIAWDLCRPDHAPVQVLVAKQETQVLNSWRDIDQSLVKVSNGFRAAQPNSP LLPSDKPRLAAAIKDAFMALLLNKGPPLPPWRRFRIVLVILRKRPDEVGYMYRDNEDD KEAVNLKSLVFEALHTLAPDKLSTIKHVQVDVLRLLPSNESLTDMLPCQISPQLTLSV YNVPNGRHDLHQAMINLAQQYYNINHLLVSNIPMKTKADLAHPNQTTTKSVEFYYQAG CQHRLDPKTPTSESRLHHPDFLKERTTNLLYLKRSKRSDSGWCTCMHAISPIKPSDPP TEVFLEMILKGSSSYLVTAEAHETNKKTWTHMLMEHQGGVYLRCLDRQTEALFSKAEG VPIKQDPERHNVKQEPDGGIGIGGGGGITPIKKELFVKDEFGPPVPIIEDRPSVPVAV TLPKPAVNVSAATLQEFMDSCVRPCVFESYEQFLGVSGPGNPCELVPFNPFRYSHQDT LPALLSTMATKDNKVFTNQYLERAARWRTCMRDCNGTDKFPVNLEARSIASCSVDVLN GSPLGTGFGVASGLVNDLFEQLEGIVLQDQIRDMQAAEGLVDMLIDELSMALRGTPKN VFPKSLRGKPVQLLAKKLLLALQLVAKRFMDNSPSHTILCTRLLTMITQKTVPKEQQE QLPVQKPVNTTQQDSGIEMAWRQSQHYQNMSHREREDAVITDGMDSNLNKDQGFKSQN RGQPGINPLFRGRRGKGLDNTGPAVGNNNNNSSIGGGNNNGPDKIKYPGPSAMYKSYL DMAPPTIEEKAAEEAEEEKALGVPQSIHWAYWKTRQIRKRGFREEKDPYDGTELVHKQ GGGWQRAKKEFDSRLPVHGGEQGELI PHYBLDRAFT_158068 MAKERRLDLLGLVAGISFLITGIVSIAEPSEQVATICESITPLM VGLFCLLSLVPIRFGSFEVRPLVYQIASQIMPRQEADEVLQAHDDQRLGNTKPKTKAQ KMDWLYTHAARFRTDMRIMTGAWGMMLVIGFVVKVIVVETGSDIGHAQLAGYLIFGLI TICMSCFTWIYSSFVNSHICQQVAFWREHPEAPPPPKAEAALNLNWGVNAASNAFGQA MG PHYBLDRAFT_165575 MLLVLKLWSISFYYIQLVNWVFVVCYCEMHLHIIFFGIGHHVAV YLCTLHDDGFYVVFEVGDIKVPKSILELPGFIMKLDGIKQLANLYEYSCARKDDETVI SKPKILSPNNTEMSTIINTRITFFIR PHYBLDRAFT_165576 MYVVLTGLSIYQLSWNPIDRQLRINYGILLKCFAIRFFIYALKK RIVPREVLEYCRIQLHLSVLDHAGLFAKILCDIKLQIDVINTIANAKIVTILQYDILI LPNHKLELIFSALSNFIWPLRVLNVRIKTLFCALQKKRIGWDQLLVGAFKLWQYTSPN DILHSQLLFMQCTFFFMANCQDTTFCPGGLEKDTGPRFQADGFSQTYYKALIVIKRTN KAYLECARLAKKQYDTISLNARR PHYBLDRAFT_158069 MPSIASIAKLAIGAAILASAVVAEPQLVLQQQGDLSASWGNVHD ILQSSVQGSIETAKKMFGDKIDEARHSIKDSFKAFTHPAFPEYGMRYKEPALCDPDVR QISGYLDVDDDKHFFFWFFESRDKPAEDPLVLWLNGGPGCSSLTGLFMELGPCTVNLP GNDTIPNKYSWNNNANVIFLDQPINVGYSYGSRGASNSLAAAKDVNAFLQLFLKEFSE YSHLDFHISGESYAGHYIPAIAGVINRQNNGEYLSASLQTQASTLSTINLKSLLIGNG LTDPLIQYKYYAQMACDNSYGPTLDRSTCDQMEAQYPACARLIENCYKSKSVFACLPA AMKCNKDQIQPYQQTGKNPYDVREDCKGGGGLCYEILDSVQTYLNREDVKKAVGAQTE SYESCNMQINFRFQMAGDWMLPYVNEIAPLLEDKIRILIYAGDADFICNWMGNKAWTL ELPWSGQQEFVSAEDEDWISEITGEKAGELRTTEDGLFAFLRVYGAGHMVPYDQPEHG LDMLNGWIRGFR PHYBLDRAFT_165578 MAYITSIGLYVPEWTSFNVGLFILGICLCLSAVATETLEPTPYS KFGNRLVDTIPTRWAMLAMYLPSLLVCALPFDHPHWPFSRFEWIHTITFLHFFKRLIE VIWVHRYSGKTNIVTTVIISSTYTATSFFDLLVVSRMPSSVFSFGWGLAGLILVIVGE TTNGYHHYLLRQLRKDIVDTKSSTSSAYRLPRGGLFEYAVAPHYFAEQLTYLGLIFLS QNVVSLSIKFFPMIYLTLRAARTHEWYYDNLLKSEKILLASRKRLIPFVW PHYBLDRAFT_68105 MSHSSKEWIKQRMTLLKRQTGFSFSHDLFISVLLCLMSGRDKHA ILTTPSHRLPEVAHMATQICRCLFGFTTANITCHANQSSADLIQALFQSTQDEEHFHP KAPSTSRDPQKHHKTSEEDLDTPIHPISPVDFTLSMKRERMAKRQQMGSRGDRWEAEE PKRPQINRLPQCMIVQSLDQANPIIQAALLELVVTKELNLANTRYNLPRPFFLLIVLP QDYNHSLISAQLLDRIFVSYTIGESTQTLPSSGRFHLGRRAALVKSEEIKQLGDRAAE VHIDIDISRYIRDIVVGVRTHPLVIGGLTARASQELVLVTKSLAVIFGRDYLTPDLVS IAAEKVFGHRLRLSSTHLTSADIVAEILRVVYVSV PHYBLDRAFT_180313 MPKGTIISPKFWVLPVLLVSGAALISRSKTSPVQPNNYVLSGEK LGDQETLERVRKDWRKRNNGIGLRDVDRSGGGV PHYBLDRAFT_123082 MTSLSNHKNRLWKEKSPYLLQHANNPVDWYPWGEEAFEKAKQEQ KPIFISIGYSTCHWCHVMEHESFESEETAKLMNDSFVNIKVDREENPGVDKFYMTYLQ MTTGSGGWPMSVFLTPERYPFFGGTYFPPKDRLGKPGFQTIVSRLAQLWKSQADKIRS DSKSSLKDIKDYIEAKLPSSSKELDPWKIAEATYDYFEKVFDSTYGGFGEAPKFPTPV QLLFLLDYHMYTKNESSEHYRNNKAQNALEMVLKTLKNIGIGGIHDHVGGGFHRYSTD RMWHVPHFEKMLYDQAQLLSVYTKAFQITNDSIYSDIAKDIILYVSQNLLHEEGGFYS AEDADSYPKNGDSKKLEGAFCTWELDEIDGLLEPKISELFKRHFGVKEGGNVTDEQDP QKELENKNILMEQETIDQSASALSIPVESAKTLIADAKDTLRNYRLSVRPRPDKDDKI LTCWNGLILSALSQAYLVFHDKSILKLATDTAYFIKKELYIEEKNILLRSYREGPSTI EGFSDDYSFFIQGLLDLYEATFDDQWLQWSYALQEKQNELFYDNEKGGYFNISEKDTS VPMRLKEDQDGSEPTANSVSLRNLIRLGTVFEIPGYFEKAKQTAESFRLILTQFPYAI PAVLSSFLLFSEGLKEIIIVGPTVGDDTPSSSSHFSSLSEKKQRFEAIIARPFIPNKF VASLTPDGILAKKNPLFEEIVTRHKNSDDVAVYVCENFTCRQPIFTEEELEKTMYI PHYBLDRAFT_131846 MPKSKRVSVVALTQTDKKGREGKEKLIEEIQKSVDQYSYIWLFS VKDMRNTFLKEVRNDFKTSRFFMGKNRVMAKALGTTPEEEYKPELSKLAEQLNKEVGL LFTNKTPEEVKNYFEEFRQPDYARSGVVASQTIVVDEGAVKRGVDPMPHNMEPLLRSL GMPTLLRNGIVSLSAPYTICKVGDTLSTNQAHLLKLFYHQLAEFRIQPICCYHNGEIT NVSEQ PHYBLDRAFT_180317 MNPESSKHQQNFSSETTITRPVRHHLLRVYLTIAGMLAIAAYGS HMSVMGMGDSALISMSNSPLSIGVVLGSVFGIRHPSTNNIVRWMLLGAYALFSGLSLS SLIDIFTEWDPSGGLLTAALSSAIFIFFGFSASALFAERRSMIYVGGAAAGLLGVVFW TSLANLFFGNSLVFSVELYLGLIAFCGYVMYDTQMIVEHASAGNFNIPLHALELFMDL FALFTRIAAILADKEKDKKKDKKRKQESFDR PHYBLDRAFT_165585 MQDANSSENTHGSYEKANPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIFQLVTEKDPFDQNDGLK TENQA PHYBLDRAFT_79039 MNIQLFLIKFGEESNKPIKNLVLTSLTITLVYTICSTIYKKYAK GNDPWNRKGFKKIPSPPERYPYIGHMYSLGDSPSTKLDKWHVKHGPILHLYMGIQHWI FVSDPVIAHELMTRHGSVTSDRSNHTFAFEMFSKNGSSKRYLSKAASGISFNKYGKKW KDSRSAVLSILSVPYFDAVAGTIESVADNACGHLKKITENEGSVDPLEYIQMATYHTM IKAVLGKDVRSIDDTVLKDIIWITHKLLRYASPEGDIESFLPKFSWFREQTQLKKDMK SFVELRDKVYTDLIKEAVANNTECWITRAHARKSEYNLNDNDLIVIASDLVSGGGENP CTSLMWLFAALSQYPDIHKRVCAEIDNFVTENGRIPNFLDRLDLPYTCALLKENLRFR NTSNFGIPHRVTKDIEALGYFIPKDSLIVSSMHAMHMNSSVYNNPYEYMPERFLGHTK TWAAESYGKIDERFLFNFGWGRRICPGPYLAEMTIFIVAVRILAQFHIEPTVDVNGKP EFVDLNSFSNKGFTFAPTEYKVKFVPRSNNNLPKDSV PHYBLDRAFT_6938 SEPHHGFNDEHNSHHSHQKDSDAARHHHGSKDGHKSSHSRPKDI DGARPHHGFKDGHNSHHSHPKDIDAAGHHHGSKDRHNSRHSHQKDSDAARHHHGFNDG HNSHHSHQKDSDCSKPHHGFNDGHKHPHSRPKNIDNARHHHGSKDGHNSHHSHQKAVD DARFQ PHYBLDRAFT_79038 MKFTLLAVSAFLLASMVSAAPSTVCTGKHVATKNESCASIAKHY KISVKEFQQWNSGLGSNVKKCGKITSGKSYCIKSGHKKVTTTTHKAAATKTANSGSAS TNGLHLASHTDPNCKKYYTVLANDGCESVAKKNGITQAQLFKYNTGLHHVGEHLCDNL DTGRAYCVAV PHYBLDRAFT_165589 MYIWAPENDKYKETHSIATSLQKGREDQRSLVSFGEDKTYISSK IFLERDKDIENDLGLVPNSSHDRHRPKFFNGSTTFNTYYLYLSTLVENRKYSSLFRFC IIPYYASKIVIYFLVLVFFIIKLGFCSNGHKKQPVSEGFIA PHYBLDRAFT_79037 MKFSLLAVSAFFLATMVAAAPSTVCTGKHVATKGETCASMAKHY KISVKNLQQWNSGLGSNVKKCSKITTGKSYCVKRGPKKVTTKKTTTKKSTTKKTTTKK TTTKKTTTKKSTTTKASPATSTNGLHLAPHTDPNCKKYYVVVDGDGCDTAAKKNGITE AQLYKWNTGLHHAGDHLCDNLDTGRAYCVKV PHYBLDRAFT_68093 MYDYHIRLGCLQIAPEYEYTNHCFQDPRQLWKRVMVPIAIIIVI VYATMVFISSIAFPYTRIKIKPRMLFSWNFTPLKAQELTMFTKCLKYGSNSIYPKSTT VDSSRLMGDFLSLLSLFQINYHSLHKAQTGSVHRNLLTFLGNYFSGGLYLTKRCLSCA KSYNRGFLHR PHYBLDRAFT_142611 MLKSTVPALKISASLNLQGPNKMIGNFNLSLQIINAINLCSLIT PTSLEIPLKMFNWAEIQALSSLVVKPSEFTMTVLSSPPI PHYBLDRAFT_131848 MADNAQGQRNQMLDEKLEEKVRFFQGFLDNENVQGTDNGESYKK QINTMLAKGERRLVVSIDALRHFNAPYTEDILNNPNEWLPAFDKALKDIVKSITDPNL HDFYDLPFSVGLKGSFGDNQVNPRSLRAMFLGKLVCIEGIVTRCSLVRPKVVKSVHYC EKTKTHLMKEYQDSTTPGNAIPTGSVYPTEDDNGNPLMTEFGFSTYRDNQSITIQEMP ERSPPGQLPRSVDVILDDDLVDKAKPGDRVSIVGIYRALGNRNADQSSSIFRTVILCN EISLLSTKAGGGIAAPTITDKDIRNINALAKKKNVFEILSQSLAPSIWGHDYIKKAVL LMLLGGVEKNLENGTHIRGDINMMMVGDPSTAKSQILRYILNIAPLAIATTGRGSSGV GLTAAVTSDKETGERRLEAGAMVLADRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIA KAGIHTSLNARCSVVAAANPIYGQYDLTKDPGKNIALPDSLLSRFDLLFVVTDTMEET RDRQISEHVLNMHRYVPPGLEEGAPIREVIDDMMGGDPEEVTVDDEDIYEKFNHYLQG GARGKAGKNAKVLKASFVKKFIYYVKNKAHPVLGKKATETIINEYTSLRNDKDDDSRK KTGPITARTLETLIRLSTAHAKSRLSNTVDEKDAKAAADVLRVAMFKEVIRSKKTKRR KMLIDSDEESEEEEEEEEEEVVPSSSVTRGQPTQTYDHSDPMEEDTDMTDGVTESRLQ LFREKVNQLIVNNQFGDGVQCEFSELFSAVNNSMRPNQEFSMSEANSALQEMSNNNQV MYSDDIIYII PHYBLDRAFT_180322 MMRKNSPTPNSKAPILTPVPADMQLEKIVQAPLVMIDAAMRESS QDLNRWIDFLKRRVKLEERYHKELAKISQDVITDKSITQTNQPTKTKTMFTQFVEMSE TLHSHRTNLTISMRNQIDILSQLRTQQDRQKMQHRRNMFGLKDQYLKTRLEELPLAHD TYMQKCEELEKSQETSTNMTLSPTTMTPTSPLGLGSSLARPSRSGTSMTLPTSIASDD TESGYRMLSLDEDRPLPSGSSVSSASSISPRLDIPASPSPHRRIERFMKQFSNLTHHN DLGRQNVRSAKLKVEVVEADHEYRKIVRKLDTLDKTRVAANDYAVKLFRTHSLESAKH IKEMVDIVLAAQLAYTKSSHQIVRNAHEIGTNMEPEDVLNECDKILDITNIVKPQSVY YINRRVGLCKDLIFGISLVEYAQIKGRAPPLIVTKCINAVETLGGLEREGIYRMSGKK SNVELIKQSFERDEEATVFGQDDVPEEVFSIASVLKIFLRELETPLFPFKLADRITYS QTEKELRLMNLLTRLLKLPFGNYETLKVLIEHLSRLTKSVDKNKMSINNLSLIFTPAI FQDHNQAQRSPGDWFSDCVLEDLIQNHESLFGNKDLHNASSITGGIEYGFEHIHDQDL DSCDFSLPSPSSSVFDYSPTESIKSLKKVSSTGGDSQTSLHTDQTADGISVTQYPQDL ESNLLAEQSQKVRKGSVDSFVSKQLGILTGRPERSSSRPPSPASVPAAGPTGDISATV NPATPIPILPPSRQGSLDKLKKYRAVSQDRGLKVNTRISNGINVSDVSILNPNNHVLS PSPVQEKNLRPLVTRESGLKSATIPSYDWLNRDPEVGPTPVPRLYRSTTTGKKTGPKR KLSIPANPISFGKSL PHYBLDRAFT_68089 MTIENWSSKRTLKAHVSRACVKYQIVNCRKAHLACDNKRPCHRC QSIGKEQSCIDVDHKKRGRPKLRSNTSRNSSDHTPNEIAWKSSSFNMTASMASNYPQS STTLKPTVITMFLSMEVCCARVSDEVQSILGYYPQELSHRSLYEFLASSDSTNTLGRM HRLLLDNVTAVAQKVDPRYQPKNVLPTERTTSDVFFSVSPATLCIVTNGSQTFTGTLE MKRTDGTTESLQVQLYLGGGLGADLYTPSTLSGLYIVCLLTRFTETSTNSTDSPQQTS FGFDLNTDISPPPLTTWPRQEDTHSIHGSVTPHTTTVHTPLCSEYRSYLDNPDRAASE NTDEGIDGDTQKEEAPSELSQASQWVAKLPYFSPKLSSKPSPPSHSSSPRRIVLNTST ITLPISPASSFGQPRTISGFQHPLLSNAAIHVAPITTAPRTTKLHYEQGGLNFFKPFI EPVRLTLGHPSTASTPSTANTVETPIAANNTRTGQFILRGLESTSHSPIMLSHPSIFP TLHKSSFSNLPAQVNENRPSSTANSFMSVGALLS PHYBLDRAFT_185942 MWANNRPNGSTSTNKDNGYVFEQQNDVRMHELGSKLSVLKNITL EMQQDVEDQDRLLNESQNAFGGLGDNLQNSFGRLNRMVSTRHKRQMCFYVGIALAVFT LFYVGSSLASWMPSTPAENPVDSGEQDI PHYBLDRAFT_68087 MANTLTRKLKQLILFFSKVDKVTPTVSTSTQSNETNHRTKKDAI KITEPPKISTTKDEKRQSKSEPNNFVHSPVDMVDKETKKETTAVETSETEKVGLENTK DGNNCESVIENKGHKSWTQSMHSVQNQLKHAETVQTVGATVAFFFPLIAGIFIYWQFR AIEAALGKAKGAVFQGANLILQMVFSKIRQLF PHYBLDRAFT_123089 MEPTETLPNPRTIVSGLAPYMPKESLLNKYVVVVNNMKPSKFRG VLSQGMLLAAGKGDKVELLHPPSTSQLGERVYLSKVNMGTADPVLKPKQRVFEQVSQD LKTNGSRIATYKGHELLTSAGPVACESIVDGQIS PHYBLDRAFT_123093 MIPTPDLSHLTTKDFDRLYEPAEDTFLLLDALEEDATWLQSNNS RLCLEIGSGSGCVTAMLAKIIGKQNAVYLTTDINPYACKATQQTAKHNGVSLVETLQT SLVGSLLPRLTHSVDVLLFNPPYAVTPPEEVGSNGIEAAWAGGIDGRQVIDALLPFVE KLLSPNGVFYLLLINENHPLEVVDIMKSYHMRAEIVMERRAGRERQYILKIQHEQQ PHYBLDRAFT_131857 MVDLRIQGYKALLSPSFIAEEFPLSEKSKETVAQAREEISRILT KDDDRVFVIVGPCSIHDTLAAKEYAKLLLEAKAKHQDDLVIVMRAYFEKPRTTVGWKG LINDPDIDESFDINKGLRIGRGLLSELTSMGMPVSVELLDTISPQYLADLISWGAIGA RTTESQLHRELASGSSFPIGFKNGTDGNLTIALDAIRAAAVPHHFLGITRAGVVAITH TTGNTDGHIILRGGNHGPNYSAEYVQDAKLQLKKAKLETSIMVDCSHGNSSKDHRNQP KVAQCLAEQIAAGEDGLVGVMLESHLNEGKQSVPADGPAALKYGVSITDGCIDWESTV KVLDNLAQAVRARRAYKKTAPN PHYBLDRAFT_40396 MSDAQLPDNVELLRTRVFIERDRVFSASGNDYPHQYPGISNAWD LESFKKNFSIKINRLSDHSIEFDLKGVDASIANAFRRILIAEVPTMAIEKVYVMNNTS IIQDEVLAHRLGLVPILADPSAFEFKAEEDGPTDLNTIVFKLKVKCERNPNAAAGETD PLKLYKNSNVYSKDLVWEPKGDQEEKFGDQPIRPVHDDILLAKLRPGQEIDMELHCHK GQGKTHAKWSPVATASYRLLPEITILEPITGDDAYKFQKCFAPGVVDVVKKNGQMVAK VVNPRKDTVSREVLRHKEFENKVRLTRVRDHFIFNIETSGIIPAQDLFGMAINVLIEK IKTIQPALASATSAA PHYBLDRAFT_40394 MGTGTDKAVGAVAFFASVAIFTYYTIWSLLMPFVDEGHPLRNYF LPWEYAIRIPLIILIVGLTVIFTFLGLVMVKSKNKQDKKAK PHYBLDRAFT_185948 MPSNAHYVESLPPSPTIETNIRPSMCPQCYHQIDSTQPPHTSFL CCVFWRSSELPWKCTECGYIQKQTQASGNNNIKAVDSGYDTPVKDESSPQYVPMHAPT PAWVARTGWTPQPDINTVINRGLCTSCDSYVKIEGGNCSQCGIPLAKPK PHYBLDRAFT_180329 MADKIDISAADNDTFDSSAAPIHAVDTTSQPHTSAKKLIPADIV PDLHAFAKSDGLKTELATHDANEIKRVITEAEKKPVMPSRLRKKLEISTEGITSTDRE DISPTMVGTFPHCPLPASRIPPWYRTGWTAFSTENNPGGSLNIKATQPKFHILDEAIP NMYYGEWWHNVSALVITALMAWIIGKVGGGLGPVFLGCLFLGTYYQLSIRRFRRNARD DIQREVAKVKVEADEETVEWMNSFVQKFWLIFEPVLSALVVENLDTYITDYLPSFLDS VRLTTFTLGTKPFLIDSVKTYPNTEPDTVCMDWRVSFMPNDLTDLSAKELDSRVNPRV VMTARVGKGSLGAGFPILVEDMSFTGHMRVNIRFMSKFPYIKTIDACFLEKPEFDYVC KPLGGDSFGFDVNIIPGLQGFIADQIHAILGPLLYAPNVFTVEVDKFMAGDLDMSQAN GVLAITVYSASPISNCEDLICEPANPFIRFYIDHAQELGRTSPKEKTLEPQWNETHFL LLNNLTSQLCLELRDHNPGSKDRRLATGIYDLRELDAENKYDQEGVNVVLLRDGKSIS DLRVDLHYLPISKPIKNIDGTIEPAVESNSGVLRLTVHECSDLEGDKISPYVRLIISG TEKLKTPVVKRNNNPSYEVSQEMVILDKSEVFIRVEVKNSANNKDVLGVCTSYLNDLM RTQEENDYRLTLTRDGKDVGTIRMSAQWKPVIMSGISEGLGGHGFDNPPIGVVRLALW EARDLRNVEAVTNGKSDPYVRVLSGNQIRASTEVIDNNLYPEWGEIHYIPIHSPKEDL LLEVMDWNQRTKDKSLGVTEIHMSNLVRQCIGDQAENPDRWCESTGKKIDQWAQLRST DRRSTKGELRYTAEFLPALSIPQVPTPEEGELVSSHQEPPLKDLHGSYIKYTPDDLVD LISYRSGIIKVKIHEVSLPHVAAAYCRLVVDSLSPQYKTSKMRGKDIKFEETGDAFIK ETDFSRVAIEIKPASSDEKDDVKIGYWVDSASKIVRRIQQQRRRQQQDPETSFKGDWY PLMGTNSPGRIRLSFDYIPLSNFTLNPDESLENQGNLTVTLVSATDLMAADKSGTSDP YVVFTVNGERVHRSAVIKKTLNPVWKNEVFTVAIQSRVTASLRIEVFDWNQIKGHDPI GSGGITIRGDMVESFESRDAVIPLDGVAGVSGSVRVRFMWQPKLLINKKTQTSVLGDN RMYTSEIPEAVPEPPNNVVAPAQSTYTEILADSSISASNRSGYAGTNSDNESVGTNPR FSIDTNSIISSFADSSGGSESQGVNGEVTVQIVEARGLRGVDRGGTSDPFVRVVVGRS PTYKTKVIKKTLTPEWHETFSASVSNQPTVFDFKVKDHNKLKHSIDLGQCRWNIWDLL HVEDGQIAPVDIWLPLYPTGSGELRLKIEFAPA PHYBLDRAFT_131862 MSAAARPVINVYAESGNSTDGTLPLPAVFKAPIRPDIVNFVHTN MAKNKRQPYAVSEKAGHQTSAESWGTGRAVARIPRVNGSGTHRAGQAAFGNMCRGGRM FAPTKTWRKWHIKTNLNQKRFATASALAASALPSLVMARGHRVEKIEEVPLVLSDKVE TLTKTKDAVALLKAVNAYADVVKVSNSRKLRAGVGKLRNRRHRQRRGPLVIYNEDNGI VKAFRNLPGVEVVNVRRLNLLQLAPGGHLGRFVIWTKSAFGLLDALYGTYETPATLKK DYVLPAHIMTNPDVSRLINSDEIQSVVRPAGNKHEKRPFTQKKNPLRNQGVMNRLNPY AQVLRRAEIVADLKRKSGKVSKAQPPKKTKRTKHVATKFLETLHSA PHYBLDRAFT_40386 MGRVRTKTVKKASRVLIEKYYPRLTLDFQTNKKIADEVAIISSK RLRNKIAGFTTHLMKRISRGPVRGISFKMQEEERERRDQYVPEFSALDTSAIEIDPET QELLKSLNFENLAGVQVTNPIANDFKPRRRGDRAPREKTVEASA PHYBLDRAFT_142626 MRQEHIPGYFVLDFDNTSSSSSSNNTNSNETTDFASTSVIALPT DDTTTVDSFSNSENLTSEDPPHSLTSLELFRHDDDLTTASVDADLEESTVTSANNGVD SISDHGGRDGRGVDQDSAVTPSQASNRPNYMSLINMPNTQLRGERWDQMTVTFKRLMN ENGLNVGVPNDIITPKKLQYKWGDLKKNFHSIQRVLNGTRVGGGMGEERWPYYNHLFE ILRDDPSVNLGVNVESMVRDRRHGITTSMTPRAEPAIAESQRNIEVAASSSVESSIIS TSDTNIGTVTLNSTNCAGPSSRLPTRGTRRTREEYEEEYEEEIDATLFERLLGMHHEN EERSREALQSLVTSMREENEAFRRDQRQQHQQMLEMLERTLRESDDRWLSVIAPFFNA RNRNASCPVLAGAFAAFAALEGQLGSVWSGCLSMVS PHYBLDRAFT_142627 MSKQHLILQQQVERSEQQLLQWTKNIMLESSQLVSRDYFYMFYT CRLHSFTLERRPREVNHVRYGVQHQVYEATLNDHSYPIELQFATVLWRFANTNFEYRL AEQFLDISAGSYTRFTMRFITAMSDYFDKLVNWGVYDSETTRRKAAGFEQPERRGVRL PDVIGAIDGKLISIQKPSLHGNFYVDCHNNSSVIVLAVLFTTFAWTTSQVRSFGAYAD GDDDNVVEIAVEDLDPFLIEIPVDLKNASRTVNRSVRQLQICTRSFTRTW PHYBLDRAFT_68074 MQKQSEIPQIAFAGESTSAYIRYERVEKLRCLITGDKISQETKA KSRSRKRLNLEDLSNAECKKEFRFTLSETQLYDYVIVISVLLIFSLNIMFCIVYVLQQ HPA PHYBLDRAFT_142628 MHTQAVKFSLAMGERMSGIASLAYSIIFGSLRADHGCRNFQLIV GVAQRSSARGD PHYBLDRAFT_158081 MVWKIQIAALSVAAYAFAGANAAAVTNYTSTTDPTKITIPDITQ TTSHDPAAECSWYTSPYTINAAEWPTSWTIATSNGMNTSKEFTALYNSIDWTKAPNIP VRTRTAAGGLDMSTYDTANDPDCWWSATQCVKPKTADINADIYNCPQPSTWGLTFDDG PNCSHNAFYDFLEEKKQKASMFYIGSNVLNWPYGALRGVKDGHHLCGHTWSHTMMTTL TNQEILAELYYTTKAIKYVTGVTPLYWRPAQGDLDDRVRWIATQINLTAILWGLDTDD WAANVTPGITEQTVEDNYAKFIAQGSDGTFANSGNIVLTHEINNMTMDFFIEHYPAIQ ANYKNILDVATCQSIQYPYVEKSVSFTPFGGASASASGSASGSAKVSGATKPSGTASS AAQSSGQAASAGSSTFNSINGSLFIAALFGLLVMV PHYBLDRAFT_68072 MFKAVQNVLQQIPNTFNEIPIGISKRPILQNLLNIRNRLTALKR DRSTYLKESDVEPLRQETENEIKKLSETRGGILLDENKELNRTDDVLDEICQILSLCF LSLGRTREVSAVYSQVVAIKQCFDRLEELGIYAEEFLAPYEQKLKDIDNIITIDGRNK ALPEPLMQILRYKFLQCQKIYNHLLDTIHEISPELLPIRNRLLRIRRQLVITACRSNF VATDLLPFQEEIREVDDQRVDGKFLGPDGTIPPGQAVVVDLLEQVFGMAHDLVIATQP DFSPALQHLRDRLVEIKSQLERLELTHKWTLRQTDLFTYQHQLHDIIKMQCDDETAEP GKRGQFLDENGEAPEGQTVLRCLLQKCYRMILILLNESVPVSEALTPTYNQLVSVRQC LKAVRDIGAPCSEEELYPYQMKLMSIENLRKDGKFYDQDGHIPEGQSVCASIMEDCHK ILEELRESPPSVEEAQSEQLEA PHYBLDRAFT_177123 MGFRVLHLVRPFMAVLPEIATPDRKIPFNQKVMWTAVTLFIFLV MSQVPLYGIMSSDSSDPLFWMRVILASNRGTLMELGITPIVTSGMIMQLLSGANIIEV DYSLKEDRALFSGAQKLFAMIIAFGQAAVSVLTGLYGSPKEIGAGVCLLLVIQLVVAS LITMLLDELLQKGYGLGSGINLFIATNICETIFWKALSPTTMNNGRGDEFEGALISLV HLMISRKDKTRALKEAFYRTNLPNVMSLVSTAAIFAVVIYLQGFRVELPVKSNRVRGQ RGTYPIKLFYTSNMPIMLQSTMTSNIFMISQMLYKRFETNILVRILGVWEPFEGSSQL FASGGLAYYLSAPRSMTDALLDPIHTVIYIGIMLTGCALLSKTWIEVSGSAPRDVARQ LKDQQLVIAGYRDTSMYKELKRVIPVAASFGGACLGAVSVLADMVGAIGSGTGILLCV TIIFQYFEMFVKEQMENGGGMEGMVMAQ PHYBLDRAFT_142633 MTGLTAETTLDTKTRHVLRTGSTRRISLQNPNAAVTSSLALPSC NIPSVSLLPIQNLSRWPHFDSSIQCAPFGVSVADLWTSTTPSTASASASPSPSPSPSP PPPPPPPPTQPSSSLLYFKSTPIMVSQENTTNNQDNTHKPEPELDQMKHKPPRPPMLR PSLKSSRSRSAPSTPVATKSVHFDFPLEHISLFTSNPDKAKSECDEDEDVDVAYFGHD PFVNRYTGGYYYNLNTNNSPKTSPGPVLSLPNWPSDLPVRFFTQMVSLESIIFDPAAC ALRGAIQVQNIAFQKTVTLRYSTDAWATWTDVGALYKESVGGDCENSALDRFVFFITI SPDTDLLTTQFSMAVRYQVREREFWDNNGGKNFRVQWRPPPPPPPLTPSPPQVQAQVH QTDSDQPRTRQERRRTNTVGDLGFRQYTDSQQTFSSFSERYSFEQALSRANTSRTNTI FVGEKAFF PHYBLDRAFT_142634 MTKHTYRFSHLPGHTEQPASGQNNPNVTQEYDQLSGPYDTVDQW LAQEEELLDEPNLTSIQPHPLLYIQSQVNMHINQGYRYQSSQTPSVKGITLCPTSEIL QSASLEIAYHRDDNLVVSAPTGSGKTVIMELAMLRTILSSRTEVKIIYMAPTKSLCSE RTKDWTNKFSQFGITCKEFTGDTETVSVEGIKNSTIMQIDEVHFLNEKRGAVLEACKI SHSIRYIAVSATVPNLQDIADWLDARAMSFSEEFRPVRLERVVQSTSPKGNNMFLFEK NLDWKLLDLINKYSNNKPALIFCSTRKSTQSACETLLKMMEKRRIQTLGQNNPCLTDN LVQIKDKNLATFINKGIGFHHAGLDSSDRHNVEQLFMQKSIRVIATTSTLAVGVNLPA HLVIIKSTKGYQNGGWSEYPDLDILQMIGRAGRPGLDDSGIAVIMTTTEMESRYKSLV SGTNQIESSLHEELAEHLLSEICLNTITDDLSSIKWLQSTFLYVRVKKNPAYYRLKDI KLAKSPDALLQDICLKYLRILVDGGLVEEGGGGSGDCRHYSPTIYGKTMDQYFIKLQT MFNILKMEKCLSVRDVLWVVSSADEFKDMRFNAGDKPFLNDLQKDPNIQFHLKERVTT IQDRIFMIIQCVLSDVSLQGSSSGHKLVSDSYLAIQYASRITKAIIDCAVYQRDSAKL RHSLDLYKSLQAKIWYNSPFVLRQITGIGSQGVKTLAKNGISSLEHIRNAEAHRIEMV MHRRPPFGNEIKSVVNNIPRYSLEIKQSKGRNRNEQQIILNVTITLTSVNMKRQRYGK GFYTQFWAETNENELLDFRRIQVYKLQNGPQKFNIRVTIMSPSIRIICHVQSEDYIGV DIMETIKPNVDPTKFIAIAEPLFVSQPNLADTQSNDGLDDPGLDAAILEGLICETIAK DHVSRESEISTCAHPCCKVETNQNIPKKRKRTCLPDPKREKNLTSLQNSPKPIIVLDD HEDTGSSIAVVPHQSNTSICESISENSFIENLPPKPKEIQPSDSNRDQQDIGLNEYDS DAFYDWDEKYADELCKMTEDPKQFQAIGTPKELSEPSSNPEKQFVEDPLDDVWDRTGD YAFHAFEDAFNKTHRALQQDSVSVPENTKSIDTRAGDGCPRSNESDWGSFEEWLSNYV TIIDEH PHYBLDRAFT_180336 MSKRFQHLNKYRNAVGKVANKEAWYADLTLGSSPSDHCNLIQAS RNWIAVKWTGNGGSMGLLPIDQPGKGCSSKAHVFHAHGAAVSDWSFSDFDDNLLATGA EDGLVKVWKISQEDETPVCLTSLTTPSRRVDLVRFHPTADQIVTTLGNDGKKVCIWDI EKSSCAFEVGTTTTDPFHSFSWKGDGSLFGTSSKGAVDVWDPRAQDKAVMSGSGHEGI KGSRVVWLGDSNAIFTVGMNKLRNRQYALWDVRSMSSPLKMTMFDSSTGIVIPLYDED TETMYMMSRGDTTIRSLQLSDVQTTPTIAENMACGTNTSIYGAALLPKQSLNVMHAEV ARVLAVTENAVLPISFEIPRKQYLDFHAELFPDTKGNVPGLSGSQWISGKNAQVAKVS LDPSNQAVNKTAESIKPSSPRETTPQQVLRNIDEPSVSKPSSQSPTKQNSSNTVDKID KSVNNNNNNKKNSTDSTSDVAIPKASTSQQEEPVKPKAVPKYGSNHASAYKYISGKAY HPSTHFEDLRGLSVDKSGEVDLIKTNGKLIAVPIAGPGGRVGIISVNKPGRLPTHVPC VLCGSEVTNFQFNPFNDHVLATVSEDNKIRVWTIPEGGLEEDLGEPTAVIGTNAMDKI ALLEYHPNAENILMTVSSDISKPTIRIWDLVEQKEKIVLTGMHPDIIFAAAWSPDGSR IATVARDKKIRVLDARTGKVLAEGPAHDNARPSRLVWLGASRIASVGFGRGSMREVLL FNTDDLTRPVKKNNIDVSPSVMGVHYDPDCRVLYVAGKGDRTIHTFELEDDDTLKALA KIESGTLQQGFAFFPKSSCNVRETEIGKFYRLTPNSIELVGVRIPRARPEFFQDDIFV ETLDFGHPAQDSASWFSGENKGLKRISLKPDDMTPLSLAPPPPQQAKAKEKFEMGKKA VTEDQRRQELMDRMFSSAKEVVATEEKPVDPNDQEVADDEWDD PHYBLDRAFT_68066 MCRFLIFFVRNLTGTTFLLHQTMPGGTQCLSPIPQGKMACPEIH TYTLSIASYAEGYKELLSLDCDTNLLDILLCFGLFTQFGSTNWQMVPTNSFFMQSTKK KFYEYSVSLGTQESYSMLAKRSQFLVWYSQNVVSLGLTFKEYAIQQLNSQSYESVEDQ DNTESEYEVKCAMPFCQFGFLYQYQIPDILKISVVWKLELWKIKLFHTAAVEIARLCE TTKRMLHRRVTKARLPRELMTFGAMVYGSIVEYYIYQYYSSA PHYBLDRAFT_79020 MTKDEARFATAKQKALLGTVGLCVGIAFGTANMFGYGQRTYMRL FSHCITGSAMSVAAWMSVRGLLVSRQSFPTMYKSGVPHNNRPLQHLRILNNTPGSISI NRTPLPTFVHSRFA PHYBLDRAFT_79019 MRGIQSLFTKDSKDLQVKRSRWKFWKRRDRKPNTVSNVVNQAID PHSNLIHLGPFQSLKSYQLNSLMLCFILLVLLLFYMSYQFGRLITLLEVLIFGADDLL RGGVDIVFDFFELFRKYTILNLRCYGLDPLV PHYBLDRAFT_68063 MDLFHLLGSGATFNKNRFKGDVQLFEAATDSQIVVAKKKNEVAT NSELRDKLLDEIDFFKTTHTVVGTPTKQAKKNDAPTAKAFRKESQIRVYGSDVPNPFR TFEDLASPPYNLNPTIYRNLMQSEYKTPTAIQMQSVPIMLKGRDLIACAPTGSGKTLA YLLPLLQDLKKPEKTGYRALIIAPTRELAQQIDREIQKLSAGTKLKTRVLAKSTYATK AQTPKEKQKFDILISTPMRLVYAIKEKEIDLTNIRHLILDEADKLLDLGFLDQTDEIF AACSAPSVRKSLFSATFSSTVEQLAKTVMRDPLRVVVGTKNAATDTIDQKLLFTGTEA GKMVALRQFIQTGIKPPVLIFVQSIDRAKELFRELVYEGINVEVIHSERTKLQRDNII DQFRVGKIWVLIATELMARGLDFKGVNLVINYDIPRSVASYIHRIGRTGRAGRQGEAI TYYTKDDMDYMRSIVNVMKESGCEVPDWMLKLKKQSRKGMNKLRKAGAEREQIDTMSR YDKKRNAQKKEMIDASKKRKEKQLEEGGQAPRAKKAKKAKNSEAAVTATSTS PHYBLDRAFT_68062 MYFDDAAEFQKHIEDDHVTMTALDSQTFRSRKYNVVNVNATSTS DVNNTKWVVDNILVSNVLFSFRDQIISRHVKGESLSDTDLLGLDYIFPFSKNINDSVP GFTNSQHKSIIANIEIMQYTRTINKFAIKWCTKLSEMELLCWRSVKLATVECLSEAAA TENADDFLAAEIIHALSARLLNGSSRKQMLEDSFAHKYLDAILETIFGSDENFKQDWA NGTLLPGSKRKRANELKEENNDEDNDIKVDNDNDTKKDDLVYKPDWALFAKSGSMLTV IGTLELKVAYKRNMGYVSDYVKLAKEMKLVLHRLIYLGVTRPVAYGILVQGSKFETFA MDLAYDGVYRFVKLSQSDLCISLEQLPLFPNLFGNLMHLRTLALEVAMAVNTCLIDRQ LGRPVEQKTPLSWLRVNSANILVKANHLSKQEHSNY PHYBLDRAFT_142640 MLKKRNNESIVLQHRTSSYSTGHHLTAPDIILQHRTSSYSTGHH LTAPDIILQHRTAPYSTGQHLTAPDSTLQHRTAPYSTGQHLTAPDSALQHRTAPYSTG HHLTQRSVTSYNTHNFTTIFDQSE PHYBLDRAFT_109407 MIPCCGESNETLKQTYESLARASYEDTKKLLLFVCDGVTQSVHD SKETHVLILEALGYSCTEEPAMQAYVSLGQNRRRLNYARVYSGFYETGRNRVPYMVVV KHGHPREHSSGGRVPGNRGKRDSMIIVFGFLERCMNITNNRMTPLEYELFNQCYNVLG IDPRLFKYLLVTDADTQVHADVVQRLVLRLERDPKMIAISGHIRPANPEQNLTTMLQI FPLYLTLFSGLAYETFLKRVMTISSGLVMYKVWSDSPLLLCCIHPTVLRGFALQQAST MHTMNALLQGEDRCLAAVLLQSHPGCHLGFESEAIGYVTLPTDFLALQGSQTRSIRAI FYNL PHYBLDRAFT_165621 MVTATLPALDPSVALPFHCHRPSRQQHQTQYQTQQQQPQQQNQH YHSTTSRPTISEPIIPVPLHYSRSKTGSRHDSLTCRSQPSQPGFVTALPGTLSHQPAP SRISYVEALIDVNAVVIETIWPSATPSTPSSVVPLRTFIQEVLKRSRTTYSTLQTALF YLLRAQSAISLHLQPKTKSQRHEGGKTETCDYGRCEQNVYINCGRRMFLASLILASKF VQDKTYRNSAWAKMANLQVAEINKAERIFSELIDYRLYIAQPIFEQWYHLFHMQVQQR ATQSSTTPLSYLFLGSWSLPVQKTDYTRKRSVDTETQISPPKKSRVW PHYBLDRAFT_142643 MLEELMSQYVDVDNKDFWDDSVCKHYLVDFCPSQLFTNTKSDLG PCDKHHNDRLKERYQNATDKHKYPFEAEFYDYLNKLVNDLTRRIRNGKGRLNIQSDDK SAETRKEEREEKIVLLDVKIKELLQKIEEAGEEGRVQEAADLTEEVENLQGELQATRD NEDYNKSEKRMEVCQVCGAFLVTNDSSDRLEAHYQGKQHQGYLKIRETLDQMKQNKSF GRDNRDFSRARFDYRDRRDVGRERDRRNSDRDRGGYREDNRRSRDSRRGNEDDWDREV ERYSRRSRRDYEDMPPRRSRSRSPRHARYV PHYBLDRAFT_142644 MLSILLGYVLVASLVLVEHRYESPRTTTGLQLSGDDRGSTHLLW LTWLVVLAIAPLLCLITLASAPQWIGWMGLCWIVSGIGLLRWAMHVNPFYLRAVATTD DQYICIDGPYKVIRHPGYMAFLLAWVGFGLAISNWFSFGVVLIVAVYSCVRRIQAEEQ MMMDVFGVDYQQYADETYK PHYBLDRAFT_131874 MDMRVGDKYRIGKKIGSGSFGDIHLGTNIITDEKVAIKLESVKA KHPQLEYEAKVYKAISGGVGIPFVRWFGTECDYNAMVIDLLGPSLEDLFNFCNRKFSL KTALLLADQMLCRIEYIHLKNFIHRDIKPDNFLMGIGTRGNQVNLIDFGLAKKYRDPR NHLHIPYRDNKNLTGTARYASINTHLGVEQSRRDDLESLGYLLVYFLRGSLPWQGLKA ATKKQKYEQIMEKKMSTPTELLCRNFSSEFSIYLNYTRSLRFDDKPDYSYLRRLFRDL FVREGFTYDYVFDWTVTKPVRLLFVCFGF PHYBLDRAFT_23131 MESDTDDTADVFQSNNPTAPVIPPSHISRIFSRLKRKKKKKKPA VPVYKLFSFASPLDIFLICIACICSAGIGAIQPASIIFFGSFVSNLTEQLSNPTDLLN ATMPMILTYVYIGTASLVAAYIARACWIFTGENQTRRIRQKYMHSILRQDMSWFDKAG DGSLTTRLASDTQLIQDGISERFGDLITGFAQFVSGIVIAFSKGWQLAVIILAILPLM LGSVIVLGYYTTKLTLDAQASYADAGTVAEQVFAGIRTVYAFSLQKRFSERYDVELDK AKHAGVNRGKVVGFFFGLFVFILFCSYGLSLWYGSQLVVRGTLQGSTVLVVFFSMMMG SMALIQLPTSLVSISSASGAAYSIFETIHRVPSIDTDQSDGLAPDSIVGKVEFRHVLF HYPTRPNQTILRDLSLTIRPGMTVAFVGPSGSGKSTSVQLLQRFYDPVDGQILLDGND IREYNPMWLRQNIGVVSQEPVLFNMSIRQNLIMGTYKQVTDQELKEACIKANCHSFIT QLSQGYETLVGEQGGMLSGGQKQRIAIARAILKNPTILLLDEATSALDTQSERLVQHA LDAVSKDRTTIVIAHRLSTIRNSDLIVVMDHGELAEQGTHEELLDKGGIYADLVSKQA IATERVDQHHHPSALEKEIEDPNELLYQEKKEVHQQQEQYKQQQQDSAFQDGYAPISD QHKEIDAYELKLKKMADKKKQMKKQRAPMLKVVRQMRPEWPLLGVGLLCSAISGATSP CYALAFSKVITLITSPNNVAAIAPGPFQGANLFAFFFFIIGIGAFVGHGGQLAIFNLA GERYTKRLRSEIFRAYMKQEIGFFDQSDNTVGALTSKLSIDAKNVNEMVTMVWGDVTQ LIVTAIVGLIIAFSNSWLLTLIILCMAPFIISATNYESLIHRGFEDKTKRANQESGEV AGEAIKEIRTVVSLNRQKYFEDKYYKATERPHRLAMRKAYLSSIGYALLQGIMIYTNA VAFYAGVRLIMGKYIDFNQMFISMTAIMITARSVGRGSVFASTYAKAKYSAIASFEII ERHPTIDPDLEGIEPSQSTIRGDVGFENVGFSYPTRPDHQIFSGEFNMDTLAGQTVAL VGPSGCGKSTTIGLLERWYDPFCGKVSLDDKDVRTFELHNLRSHMALVGQEPVLFDYS IGDNIRFGVCDDDSSSGNNNNGGGNIPSQADVEAAAKGSNIHDFINGLPDGYATRVGD KGSQLSGGQKQRIAIARALMRQPRLLLLDEATSALDSDSEKVVQEAIDAILVQGGRTT ITIAHRLSTIQNAHLICVVKDGRVVEQGTHWDLLDLGGVYSQLVREQSLQAH PHYBLDRAFT_142647 MSQNIIIRSSRGLLTNKVNDKNKISIQAYRDFSKSSNQEQVSIQ GHRYTKTRGAYAHCLLYASSVFRPGQTSRSQYPFRATIMGIYSLDLIYLQSPAIQVKH QDSDLPAMSPAIQVKHQDSDLPAMGTGITKIDEQSHSVLFISSVESTKIMLHGSGFMS THPRQLSGSDFHELL PHYBLDRAFT_142648 MAKNDDQIYIHYGRPNSKDQNSNLGYSVFTIRLHNEKIVFLPVR QSKPAIMDPISVQCYSVLAVKGNEQEPVPLLSMPMIPNPSPIQSDRATIKDPISIHVF TAQVEEQGFHLHPRLQIILVFTQSKPNYKASVARPGSPSKPTSNALSYIQGMRYTCLV PHYBLDRAFT_23168 MSDNTESIKTEKQALNLDEAIAKGWEIPDFTIKEIRDAIPSHCF RIDTFRSFGYVLHDFFFVALLMYGASKIDTLSSPYIRFVLWATYSVLQGIVGTGLWVI GHECGHQAFSPSKTINNSVGIIIHSLLLVPYYSWKISHSKHHKSNGHLYNDMVYVPRT RSHRKLGPKEEDHELDGPHSALMESPIVETAKIFRLVVFGWVCYVLLDVTGKRPKKTW TSHFNFNCHIFEEHNYADFVKSNIGLAVAISGLVCAGQIYGSMTVMKYYVFPYLFVNC WLVLITYLQHTDSAIPRYSPNVWNFQRGAALTVDRSYGPILNHFHHHISDTHVAHHFF SNMPHYHAVEATKHIKKVLGKHYMSDDTPILQALYKSYRECKFIEDEGDVRFLKN PHYBLDRAFT_109402 MEPNPDAIQSQITCAKCHDLVGSRFMKALGKEYHPECFTCLDCG VPVASKFYTFTLDSNQTLHLCERDYFARLDLICDNCQDFLKESYIIAANKKYHTDHFT CSACPVVFGPEDSYYEHNGQVFCHFHYSIQFAVMCAGCEMAILKQYVEIDRKDDVEHW HPECYMIHKYWNVRIAQPSIEDAVDRSPQTPSQLLEKHQETEEKVFRIWQVLSAFEES SALCISEMLLHVSNGSYINGICISERFLVHVEALFSGIDELLMIYHEHKQQEFKYTRE AKMLCKKIINFFSLMWKTQEVEMRKLGISQELLSLITGLAHYLKILIRVALSAALRLD LIVGKPVAVSRLLAKLMEVAGKERHSRDSQRLVKSKEATDCCCCCKLSIEDQCMKSGQ FRWHIRCFVCHQCKRSLADHTHLAVFNASSHTLMCTRCSGSRDSTTNSFEYVTKLVQY AFLLRVALSRLCSLLHITGTKSLSLSLLISRSFKTAKRSTIIGNANTSIIDDVEQGTS ATTANINKIDNNTGTNTDNSNDNTNTAANRPAPARSDSLVRLSDQNKELPPLPTHALA NKTRHRPQKSIGLDDLPQFAALTVAEHPPYSLGRATATPTTLPSTQLSPHHPPTQPQT HNQTHPYTHPVATTAMAGRMFAPHAGTRSRIYLSELSALQYMIIRHVAVVHIEPYVRE HFTLGELLNMIELKKASIWGKFFTSFRTGGGRKAQKCKEEGTFGVPIDVLTEKTGVES NLGAGPSPIKIAGFLDDAITAMRQKDMSVEGVFRKNGNIRRLKELTEQLDKHPHDVDL TSENVIQIAALLKRFLRELPDPLLTFKLHGLFTCAQKITNPSTRRHVLHLACCMLPRC NRDTLEVLVLFLRWVASFSHVTTDVGSRMDIPNLARVIAPNILTTDSKDPVKDDSFSA IGVVEVLLESCEELCLVPEELEPFLQDPSLADASAMMDISSKEFLKK PHYBLDRAFT_108948 MILGIGVDLLHLPRLASLVARRGSGGLAKRILSKNEHEMFTRKF PTSECRDQVVQEQQLIWCIKEAIYKALFPVQKLEWKQVTVTKLKVEVDNAKAYGIKGV HVSLSHDGDYVIAQILLEG PHYBLDRAFT_23008 MEQNFDSLSRPAAPTCARCHDQLGSQLVKALDEDFHPECFTCLD CNAPVASKFFPFTAENGQTLPLCERDYFGRLGLVCDKCHEPLRAAYTIAMDKKYHTDH FTCSACEVAFGPEDSYYEHNGQVFCHFHYSIQFAVMCAGCEMAILKQYVEIDRKDDVE HWHPECYMIHKYWNVRIAQPSIEDDRPPQNPGQLLERQHDTEEKVFRIWQVLSAFEES SAVCISEMLLHVSNGSYIKGICISERFLVHVEALFAGIDELCKIYTDYNQPEFKYTRE AKMLCKKIINFFSLMWKTQEAEMRKLGISQELLSLITGLAHYLKILIRVALTAALRLD ITIGKPVAVSRLLAKLMEVAGRERHSRDSQRLIRSKEATDCCHVCKLPIEDQCIKYGQ FRWHIKCFGCRQCKRPLSDQLESTFFSAASQSVLCSQCSGSKEGGFLFEYVTKLVQYA FLLRVALSRLCSLLQITGKGIKKNKSAPKDALGKDVVTHTVEKQQVINQYVDEKMLHY PTHIADTNMMSATKLERKMSRSFKTAKRLVRRLDQQKNLPPLPLGQCSPNSPRSGSQG SLGLSGLPQLATQNMANSLPSINEPSGLPTSPTSFLPGNGNVNGNGPPTPILKGTYHT TPEGKPRKYLSELSALQYMIIRHVAVVHIEPYVREYFTLGELLNMIELKKASIWGKFF TSIRAGGARKPNKGKEEGTFGVSLDVLTERTGVESNLGVGPSPIKIAGFIDDSITAMR QKDMSVEGVFRKNGNIRRLKELTEQLDKHPHDVDLTSENAIQIAALLKKFLRELPDPL LTFKLHGLFTCAQRIENPVARKHVLHLACCMLPRCNRDTFEILCLFLKWTASFSNLSN DAGSRMDIGNLARVIAPNILTTDSKDPTKDDSFSAIRAVEILLETHEDFCLVPEELEP FLEDPTLADGKAIEISSKDFLKKVENMMR PHYBLDRAFT_165631 MQNTPGADHPEEPDLPEVTEEDIKTFGCWETSNLKNGVLFCLCE ETYKNNLGYPLANALANAVQEKFPDDALGALVRHKIWRGDRDEKRYIIEFAFDSDIPR SILKNEGIQAKNKIRFPLFTHPFVHRFRRFRITQLPIIRKDTLKTHIKRFMGPFGNVI FVKFEDGPIGELFGGNAWIMLYIHTLDKKEPNIIPNYIVYSDDEGKEVYLQIIKNFGC RVSKTQKNGVVFCTCNSWPKKRQIAKEIKSKFPENILGVLEQNMNLNSPSANQNSCLE FAFNSDMPRAHLRRTGIKVKFSTIFPLFTRPHIHIFHEVQITGLPLIERDTLKRHITQ FMKEYGKVSHIRYYDEYKSDLFEGRALIIVYINETDGKKLDDLLEEIIYSDDEGKNIS VEVKKTLYKI PHYBLDRAFT_165632 MHSSPGIDNQDETGFPKIYERDIDKFKCWELTTQKYSVIFCSCY YIYDSKELSAAIKAKFPDNILGALSRIKYTRARFNSQEYAIEFAFDCETPVISLRENG IDIGDSTVFPIFTHQYPQKFHKFYLTGLPLIRKEILKEYIQEIMSKYGKIVFILFYNA SGTELFGGTADVILYGDSIYWTKRKAKYENEVYSDDEGKKYIIRIHKRYN PHYBLDRAFT_165633 MSCHAKLIGKQTPLLTRTLLLSGWVRLCFYNIDFKNPVSITLKI KREIHANNNKGDGCKRNQYKPQKVAKEEKKALNLLKGCVILYIMLPLLDNKILSDALY SNIQPSLRTNLFCWDLQIILHFHIQIIIPGIFIREKNIVLCDTGWWAGRQELDYLKRN TPFNGGCIDAQA PHYBLDRAFT_109620 MNWIFLALGAAFYVLKDYITGSLLIVLAITNLYMTFSQEYAAEQ TLAALRNLSSPQANVIRDGREQDVPSRDIVPGDILLIKEGDSVSADARLISISDLEVD EALLTGESMPVQKELIVLSSPGK PHYBLDRAFT_109625 MVYSSTVVSKGRGRAVVTATGMNTEIGRVAAKLNESKDDDKTQL QKSMNRMYIALLIVSVLSVIIVLASVKFKVNYDVGMYAMTAALSVLPAGLTTVMTVTL VMGGKEMTSQKVVVRKLKVLETLGSVTNIFSDKTGTLTLAKMVVIRFWTLKEGYFYVT PNGLSPEGEVYRTSGIQTREDEEKTNQGELIDKTQISPDITSLIQCSALCNMSSIYRH ELPETEDDWVSNGAPTEVALQVFAHKFNMGKPILEESGWELISEYHFDSTIKRMSTLY HSNQTDQNVVFTKGATERILPLCTHLSDSEKDQILQTVDILAAKGLRVMTLAYRKIST GKYDLGAYTREDAESDLDFLGLTGIYDPPRPESRQAVLEAHKAGIKVHMLTGDHEITA TAIAKEINILNENTMSAETIRRLVMTGTQFDAMTSEQIDALEELPLVVARCSPETKVK MIEASERRHNVSAMTGDGVNDSPSLRIADVGIAMGKNGSDVAKQASDIILTDDNFATI IRAISEGRRIYQNVQRFLLYYWISLSSIAVVVLVCLAVRDPEGKSIAPLSTIAMLFLY VAITPPASALSIQPASKTIMLEPPRPPKESLFNREIILDTLVYGQSAAICFVISFFVP LYTIGNGVGGVNCDSNYVEGACDSLYRGRSSLVVAVTFIPLVIMIHCRSYREYEWNRD GLKKTLKSKTIMGTLVFDIICLLLFIYIPAAAVKGFRMLAITWEWGLVIGLTLFYIVF GEVYKMLKRRFLKPMTTTLVEINV PHYBLDRAFT_180340 MASNPFLQSNGEYSQRGNNGYYADERNNSDRIGDFSEMEVVLRD IHNSWGFMLDENFDPVTLALALMDDSSVGKGKDYGAFQRILGNLDAALKTIVDDYYQG FNNSIGTFGGVLQHINDSQNRVAQMKINLKKCKEELLEKRTDLLNMWHKSQQYKEMIN LLETIEETRTTPEKLESLMNSKHVYAAANMLVESWKTVLYDLLIEELHNHLYLKNSYC DNRWAEYVHDQQKLPDIKILPRSFDDLAMRKRSTIDGNIKDEDMFALDENDVLAEDLE INPETDSYYYMEIIMEALAMLGQVPPALETIQERLPLEIYALVEKTIAQVEERHSEQS NTSSVRRDTASEDSDMYFLDKANTEAKNEILKDLLWTLYSKMEAVLRGQRFLETCARR IKKRAIRKGEFNGSEDVFNIYHFHEIWKPVQIEIRSLLQDYLTSRERTPASLQHSALT PDNASGKSGFSSTREKNKLLFKFTESPEDQDLEQAYQRVRTNLYSSFKKQISGFSFSD TDRSESFPHSSVIDKYASDMASKGHKVLVKPDAYNVSVLLKPTMAFLQRLHQVFPNYD DQGSEGFGSFLDDFAINVFLPQIEEKVMQLIHHATVGMDAFQDDRNYKNYSKLPIVKS AVALISVIQSLCRTMHSMPFHTEEYIRMIEVVLLKYYEKCYQRFYSMVVRGSTHGSKE VNVTEMNISTSGDWAQDESLVGLLTQNPFFNEDSKIDAEFIRALGQAEITMELKLKDG RQLEADELIFDSKRLIALGRLYHSLKWFVREIWELRTPRQTTKVSIVDPVSDKDPNEE DLSEEPESSQGANSRRWSQSDAKEPENEDPNPVMLSLRGETAVRFDTLLTTYQQLAET CLFTLRLEARCHTMYYLEMVIREGNYYLEDETYEPDPYVITLNSDLMEVDDCINASLP AKDEMFAFDGLPALIVHLLISEATYIKRMNKNGVQKMARNILALQQNLSNFVPSSQSS TLDRACDYYQLYNLGSEGLIRSIRENGPAFTFDEYLVILGLIHDVNKGADSEAKSATP ETPTGSPDQRSSANYSEWLMKLDEVMADYE PHYBLDRAFT_109406 LWRRVIADPDKVVRTELRLLRQNIGRGVPSSMRGNLWQLFSKSQ NNNGDLENEYRELLNRTSPHEKMIKRDLARTFPTHAFFKDRDGEGQQMLFNVIKAYSL FDQQVGYCQGLAFIILILNLLQMSDDAAFCVLVKLMGQYGLRGHFTPQMESLHEHMYQ FDNLLQQKYPEVHRHMELQGVNPSMYASQWLLTLFAYRCPLTLVITVMDLVIAEGTQV VLQFALALIDRNQGTILSLSFEPLVEFLVNGIFNVYGVSKITFLCFLFF PHYBLDRAFT_142657 MKSWPIYKKKSNGVDNSIREEDYERIPCWKRSTKENSIIFCLCS PRVSDRLLLDAIKTKFPNEKVISSKKLSKSCALDHTYCPYNAHEYILSSKEICKELYT NGLVIDNKTIYPIPSLPSKSEIYVGRLLCVPITDEDSPVKQLEKFLKKYGNPLHIQLK KISDLDDISWNAFVVLDRTVPTINKALRLKSLLPFNLEEYTFVLCDWIKIA PHYBLDRAFT_165638 MCRLGRYLYKRFVLNKVSAAPALWRKVSSIPTVGFCSFDYEKYT CWRRSTEENGVVFCLCDVDVTLEELADAVREFFSDEGYRGLKDLSKCKTAVLTKQDTL TYEFVLFRSKDCQDLRVKGVVVGEYNIQPMPILPANYNITVVEVSNVPIIEEAVLYET LAMSFLPYGRLLDIDLKVRPDTKTFQGDAIVTMDSTPDSDGPFKSLPKSITWHTDKTR KLKLKPTVIP PHYBLDRAFT_165639 MIIKQLQNLVKTLSPVRQFIQAISGTIGKYLEVPEDSSKIQLDY EAIFKKTDTVVLKGDITYSHSKNTKPNRTYAVESYLNSANKIFVQNRMDPGKLQNVLL QFKSCNNSSDPKKWYKLVYVK PHYBLDRAFT_109138 MSSKPENYNNWHTLSVEDTFDLQGTSPSDGLSTTEANKRLNQYG HNELSSNEGPQWIKVLLRQFIDVMNWIFAGLGVAFFVLEDYVTGSLLIALAFVNLYLT FSQEYAAEQTLSALRNLSSPQANVIRDKREQNVPSRDIVPGDILLIKEGDSVGADARL VNISNLEVDEALLTGESMPVQKELIVLSSPDEPLGDRINMVYSSTVVSKGRGRAVVTA TGMNTEIGRIATKLNESKDDDKTQLQRSMNKMYIVLLVLSVLFIIIVFASSRFKVTYE VVMYAMTAAMSVVPAGLTTVMAVTLVMGGREMTSQKAVVRKLKVLETLGSVTNIFSDK TGTLTMAKMVVIRFWTPKEGYFYITPNGLSPDGEVYSTSSVQTREGEEKIDQDVLIDK TQISPDIRSLVQCSALCNMSSIYHDDSEKEDDWVSNGAPTDVALQVFAHKFNMGKPVL EESGWELVSEYQFDSIIKRMSTLYHDKQTGEFVVFTKGATERILPLCAYLTDSEKDRI FQTVDILAAKGLRVMSLAYRKFPAGKYDYESYAREDVESDLDFLGLTGIYDPPRPESR QAVLEAHQAGIKVHMLTGDHEITATAIAKEINILNENTMSAETIRRLVMTGTQFDALT NDQIDALEELPLVVARCSPETKVKMIEASARRHNVSAMTGDGVNDSPSLRIADVGISM GKNGSDVAKQASDIILTDDNFATIIRAICEGRRIYQNMQRFLLYYWITLSSLAILVLI CLAIHDPEGHKASPLSTIAMIFLFVAITPPASTLSIQSASKNIMLEPPRPSKENLFNR EIIMDTLVYGLSSTASFSVAFFVPLYTIGNGIEGVNCDSHYVEGACDSLYRARASLLV ATIFFSLLIMVHCRSYRESEWNWAGLKKTFGSKTFTGTLIFDIVCLLIFLNIPVVAIE GFRMKEITWEWGLNVGIVLVYILFGEAYKFFKRRYLKPMATSVVRTVV PHYBLDRAFT_165641 MPSHVRRNCHSPSSVIFDVTNYKAITQEGLTFSLKDDMSMYETS AFGINPRIRVLAKNTTIPTVPFQRSRCLRLPHTLATTHLVTQLDSKSARVAAQEVVVN ELAAQEAVARKNVAQNTQRANAAALATQNKTEAILAALAAQNSSPVDFTGNTALNSDN DIRCRNTMLSLFLFFSIMTAEIFLVHFCPYCQNNKSTSNTLRKHLESIHTLKCKFKNE RRKSTKEITFVTNHTNKSRTCLGCCYCKMYFDDVAESQKHIENGHVIMTTVVNVNATS TPDVNNTKVREE PHYBLDRAFT_131879 MSKGTSSFGKRHTKSHTLCRRCGRRSFHNQKKTCAQCGYPAAKI RSFNWSEKGQRRKTTGTGRMRHLKEVHRRFKNGFREGSQAPKKAVAAASA PHYBLDRAFT_79016 MGLCLSSEERAERNHSKSIDRTIKKDGVKLRMECKILLLGSGES GKSTIVKQMKVIHQNGYTEDELYTWRSTVYKNIIESAQAIVAAINKYEYEYQNEKNKA SRVCHAERIASYLLGNNTNVVLDKEIVIAINCIWTDEAVAKLLDQESSGFYLMDSASY FFDEIKRIGESDYLPTTQDVLQARSKTTGITETRFSADTFNIHMFDVGGQRSERKKWI HCFEAVTTIIFCVSLSEYDQTLLEESRQNRMMESLVLFESVINSRWFIHTSIVLLLNK VDVLKAKLPKVPLERYFPDYKGGKDYLSAARYFDWRFKQTNRANLRIYTHCTQATSTS NIRVVFHAIKETTLRNSLRESGML PHYBLDRAFT_158089 MAVTRVPLARQTITTIAPRVNTFWIQSASRAYSTSNLEGNKNGF IVFEDIQKLIKENDKNCHIIDVREPSEVIQGSIPTSNNVPLSQFVDAWQSSDDSFEKK FGFKKPSEKETLVVYCMAGIRSARAADYLRQSGFEDVRNYAGSWADYAEKSRA PHYBLDRAFT_108983 MYIDAICEYKDLKSKLASLSRKSTNPSKLEEGNLSSEDFNLDEF LHCISNESARIGHTKKHIGVIWRDITVKGIAAEAHTISTVASLPIAIFKMMKLLIKKQ DVPQKVILHNNTGFCKDGQMLLVLGRPGAGCTTLLKIISNMRGSYTSIDGDVSYGGID PATFATHYHGQVCYNEEEDQHYPTLTAKQTLQFALRTKTPGSRLPDETRRDFVNRVLY MLGNMLGLTKQMDTMVGNAFVRGLSGGERKRLSIAEQMTTSSSINCWDCSTRGLDAAS ALDFVRSLRIMTDVLHKTTVATLYQASNSIFNLFDNIILLDEGYCLYFGPVEQAKPYF EQMGFFCPARKSTPDFLTGICNPLEREIQPGYENRVPQFAHEFQKIYMDSHIYKSMMV DLDEYESKFRADNQADVFKQAMDEEHQKRAPTTSPFIASFYQQVRALLIRQYHLVIKD KSALFSRYATIILQSLVTSSCFYNLPLNGTGAFTRCGAIFFAVMFNAFVCQSELVQFL MGRPVLKKHKQYALYRPSAFYIAQVIIDIPYALVQVFLYTVCSYFMSGFNLTAGKFFT FCIILFFINMTMNGFFRIFGAITSNFFLATQLSSLTLVGFIAYLGFTIPYSKMHPWLY WIYWANPLTYGYKALLVNEMNGQKYSCDGPGNAVPFGLGYTDWDYKICTMLGGVPGEN FVKGESYLLKALDYKPWEAWAPDFIAVVGFFILFTVACALSMEYLDSDNGGNTTKFYL PGKAPKHRNDQEENERRKRLAKITEEMDSISTGTTFSWQNVNYTVPVKGGNLQLLTGI NGIVKPGHLTALMGSSGAGKTTLLDVLARRKTAGVVEGEVFLNGEALMNDFERITGYC EQMDVHQPAVTVREALRFSAYLRQDESVPKAEKDAYVEKIIQLLEMEDISDAQIGMVE EGVGISIEERKRLTIGMELVGKPQLLFLDEPTSGLDAQSCFNIIRFIRKLADAGWPVL CTIHQPSAILFSHFDHLLLLVRGGKTAYYGEIGPDARTMIDYFQSNGGPVCPPEANPA EYILEVVGAGTAGKASRDWSEVWAGSEKSKELDAELADINRTANKKPARKAHTYATSF WTQFKLVVNRSFLTYWRSPDYNVGRFLNLSMSSLTCGFTYWKLGTSSSDIQNKLFALF STFIMAMTLVILAQPKFMNERLFFRREHASRYYSWIPWGISTVLVEIPYVLVYSAAIV FCFYWTTGMSNSTESGGYFYVMITLLIIWAVTLGFIIAAVSELPTVAAVLNPLVVAML ILFCGLLQPPSYMPTFWRKWMYWLDPFHYYIEGLTVNELEYLTVVCAEEDLLRFSPPP GRTCGQYMANYFSFGGPGYISNPDAAQPEQCGYCNYKSGVEYYTLIYGWSASNKWRNV GIIACYVAFNVIVFLGLVYWNRRGRR PHYBLDRAFT_123123 MAPAKKSTGKKVAPAPYPVKGKTASKSPVNPLIEKRPKNFGIGQ DIQPKRDVSRFVKWPAYVRLQRQKKIIYQRLKVPPALNQFTQVLDKNTATQLFKLANK YRPETKAEKKDRLRAAAAAKAEKKEVAKTDKPVVVKYGINHIVALIEAKKAQLVVIAD DVDPIELVLYLPALCRKMGIPYCIVKGKARLGTVVHKKTATALAFTDLKEADKADFAN LISAVKTNFGDKWEEHRRVWGGGINGPKSQAKMAKRAALAAKEIAARQ PHYBLDRAFT_68034 MQSQISKLAIGNTRSWHQQAYLNLVKNTTCLNQLRNQSRSSVRS FVTLKYRPEPTDYNRQQIREERQPTGRLQYVNVGEDKLNGPNKYLYTMPTDPYIASNR INQIIKTSTLDDALNFVNCLPNRLQSTILWNQLIAECASQSKANMAHTLFVRMRKKGL PPNERTFTLLISCFRKSTSPTAGERAKEVLVRMREYNFKPTTIHINALLGVYGKLGQI DNILHNLEDMKDNDIQPDHITYTIALSHCHLLPEGDAVKTVQRLWKEIEDRVELSSET FKQKRTNYSPSQEEENGNGSPVSLLARKAAKVSATERSMKKDRFRLSRETREIPRFLP DDSLITAFLLALSRTAIDSSDLWFGVRTIEKLYGIRPTQVTRMMEEQKMDTEIKANYH LRVTPKSLYGIMRFCGGLGQYKLGEEYCHLALSEDSDLEFDKDCSVVYEWLEREAKKK VATDSKRWRTRKEPATGPIRKSYTFNTRNQ PHYBLDRAFT_79014 MKWTSLIICLIALVDAGPTFTHQQQQQPFGSNILDLQSFKLKTI YHHASINGPIPHLFRRLDVSESMSMSIQNNAFEMKPVIGTYMRPSQKDLVPLHRQKET GQRMRMEANADLEFEPILGLQPDVTNRQTVMTLAQMTYNAYSDIINGTADWYELEAPW VKNSSFGWESDGIRGHIYSNADESLIVISIKGTSAGLFTGGPTGDKDKLNDNMLFSCC CARVSRVWRSVCECYKGNEYMCESSCLEGNIKNSELYYDNAMELYKEVANQYPEATIW LTGHSLGGALASMVGQTFGVPTVTFEIPGDLLASIRLHLPRLPVDMMPLWHFGHTGDP IFVGVCTGPVSSCWYGGFAMETRCHTGRVCTWDTVNDHGWRVDIRSHRVGDVIENILK KSEEEFPLPHCIPEDPKCQDCGLWEFFDNRDTPSDPASLQSHNPHSNTNTSQLHRIGG CGGSH PHYBLDRAFT_142667 MKDFSLLETILYDPQQGFYLLNLHIARLLLAINDMNKNGHDFGS VESKDIINVLQESVRGNDRYLRVRLLLSKGGVLSTSHTDLDSPKPPLSCSSLVEAAKG GAVFSIVLDNCPSDVLDNPFVTHKTTCRDVYEDARHRSHCEWNPLNDHTPFDVVLWNK LGQVTETSIANLAIGTYSNNKWHWKTPHHSSGLLQGVFRQKLLEENDVEEVMITKEDL VSAHEIYRVRLLQDVVKEAMV PHYBLDRAFT_131886 MAPAIRETSSVGWNVVSNIIGWTYFLSWSVSFYPQAILNWQRKS VQGLSIDFLYYNVLGFLCYSIFNVAFFFSETIQEQCKNHSSGNLVRANDVMFAVHAFL ISSFTLGQTFIYKAKAQKLSTTATVLISIPIVGAGIGLLAVEFNGILWIDLMYYLSYV KLAISFIKYLPQVWLNYKRKSTVGWSIYNILLDFAGGALSIGQLLLDASISGDWSGVS GDPVKFGLGFVAIAFDLVFIVQHYILYRDRTDFYLNSVEEERRRLIAEGRVPHHEPDQ ENYNSTGWTQ PHYBLDRAFT_180347 MYLRAFTARSLESLPIRPSVFTRSLPADSHTSSTTEGSHSESLL RVSRPVHNAVFAYVPPETSPNPKVLAVSSYAVQDLELDPEESKTEAFAHVFAGNKILE GTRPWALCYAGHQFGQFAGQLGDGRAISLFETVSSKGDKFELQLKGAGRTPFSRFGDG LAVLRSSIREFLVSEHMYALGVPTTRSLALTATGKRVYRQDAPPEAKQPERGATVARM APSWLRFGNFEIFFSRGDMENVRNLADYAIENVLPEEKGTEEGNKYERFFTRVTKRTA KMVAEWQSIGFCHGVMNTDNMSILGLTLDYGPFQILDFYDPENICNHSDHTGMYSFKR QPTVCVLNLFKLSIPLFELIGAGDAADSIVYPIKGRDDLELTSKETLDAYREAGREKV TKILTNDFSDWFMADLTEKMRSKLGFTNSNKDDMDDIVIPLLDWLTEYAVDYHSFFRS LADYPITDAGEDQDTKKALETLSIVTQDPGVLEDSKSQLKTWLSIYRHRLLEEGPEKY GDKRKRMNRVNPRFVLRNWIAQDVVNAFEDLSDEEARGVLDSCLKACVDPFEDNYEDQ RIEKWINTGVPEWGVDLKCSCSS PHYBLDRAFT_165652 MSLPSAIRLPDDDNNEPPRRHLGFSGCFSCLPSFNSPIRLPDDD PKPSNIANYSPIIPPQQDQPDHAFHRLSAEPNRYLSRNPFGAQEQEPPSVKCLTVQDS TPNVVEAEEVFGEQELMDVPISTNRHAYDNEPDWTEMDQDLFNSEPSSPLAAPAAQLQ FSDKGSARELSAVVPLPGVMFEQVDLSTSRQNAQIEALVDETPAKEAAPPLPLTEASL PISVPLKKQDEPLETHRSTVSSVAHSILGDKLEDLTEKLAYIRKNIIMKVEDDEDWDE PQDSPAISHSSPLGYPVTPSMSIQKNTQPRRPSYDPLTSFFSKSPDTTTSRSIDPEPS VPRPRLHRRSSSLLDEVGPTIARFMHQIGGEEGHSFSPSSFFAALAGPSPSEDTDREG DESKQAHGRPHMKSRPSDMAAHYPTLEEESGAEDDFEDLFDFSKVIEMGKNVRTFTDD VVDSGMRIINDVTSRIKHPEQHSDSQEDHNAWMLENESFI PHYBLDRAFT_131889 MVNIPKTRNTFCKGGKCKKHTPHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKIVLRLECTACKYKMQLSIKRCKHFELGGDKKTKGA ALVF PHYBLDRAFT_165653 MFLKEDISPKYEAEGRLRPVHLYGYSNNSSVPKLETHIISVFCH FEQFAFSLFFLSLFLSKASIMTPSDLPPDILTRIADYLSEADKSSCAISCKGWVYPFQ KALWKDMHAYSFETFKETFSIIKATQNESVSPGAWVHNLCIYDCFVEADMSDIQVIDM IRCMPNLKYLCLKSVSYEDLHPRITMEDIRAMMAKARENASLTLGRNENKDMHSGKYI LEFINTCTMLQKLEIRADKPNYYIALDVTDFDSMHQSLQELSSFKAGISLCPDFLATL DIIPNTTPAFSMTSIDINLKKYKYENGNGNTNKWNPLWLYYFGYKYPNLRSLKLNILG ARHESIDPDQRQKVISLFHSNPNALKHLETFKFVANKTFESFDLILWELLYPLRVPIK HLTLSTGQDHQSSNLYTMHIGRILQSFSGTLNTLSVTGFTYNDNHQIPAPKLFPCCQF LTDLCIYGRGGTFNMDDILNKCVALKHLKLLSSEMFVNLKTKNGELKQQHGLQTLDLH RCSITSEVFNHISLRCRSLQHMSLCEVWITGSFSQKNGCLLLDMTHTFLKTLHVEKVK YGTSHQEMQRNEENIISMTLLSRLNDPSLSDVKDEIEKVEIGSKYQIAAPQNIAWIFS YEFEEIPSVYIVDRTDILDEEGADIARKYYQDFQLSKTQTLESNKPSHFSWIGELYKG YGEFRCGDIEEYTTD PHYBLDRAFT_68027 MFSCHSSLGRARGLLRPLGTNTLRSYASPAKKANAIDFSAMETK WKARWADAPSSTADPSKPSYYVLSMFPYPSGLLHMGHVRVYTISDTLQRFRRMQGYNV LHPMGWDAFGLPAENAAIERGIHPAEWTVKNIAAMKEQMSKLTIDFDWSREVRTCDPD YYRWTQYIFLEMYKAGLAYQKEAVVNWDPVDQTVLANEQVDAEGKSWRSGALVERKKL RQWFFKVTDFAEDLLNDIDLLTHWPERVKQMQRHWIGKSQGAEFEFSVLAENVSPLKV FTSRPDTLYGVQYLVVSPEHELIKRERLPAGQADEVLTFVEDLGKVQNMEEAEESKKG VFTGLYASHPVTSEQIPIYVAPYVLSDYGTGAVMGVPAHDKRDWDFCRANNVVDKIKF VIESPIKEVGVTEEPTEPFTAHGILTGISGPYKGMKSKEAGKAILKKAIQAGFGHSAT QYRLKDWLLSRQRYWGAPIPIVHCPTCKVVPVPQEDLPVPLPLDVTFTGKGGSPLARA TDWLNCKCPKCNGPATRETDTMDTFVDSSWYFMRYTDPHNTSLPFDPKIASERLPVDI YIGGVEHAILHLLYSRFFSKFLYKQGAIAPSPNKLPGNGEPFNVLLTQGMVHGRTFKD PVTQRFLKPEEVDLTDFNKPKIIATGESPSISYEKMSKSKYNGVDPTSVVEEQGVDPT RLHILYKAPPSEVLEWDDASIIGMQRWIAKVLKLSSTAGESRLKLELPELEAMTGEER DMYRTTHLTIKQVTESMSTSFGFNTAISDLIKLSNCVSGSKVEASSPVYQHAVQSIVK MMAPMTPTVGEECWEELGEKESVFQQEWPKWEDKALAKDNVECMIQINGKTRLSVNLP AALVGNTEEIERRARESPVGQKWLGEKSIRRAIVAKNGELVNFVLQN PHYBLDRAFT_180349 MHTPDRKLGHLEKFQISKQLVKCYGSVNLTVDLHHSPRPIHDDK SSARQFYIDKLSPALAQLIQDHPLLSVATKDSYKPSAHFIRLESVNLEEIILFEESRF WEERDQIIANESRYEFDLDAESPLWHLLVCAHPDRPDQCSITLTVQHLIADGGSLVIF WKGLLAYLSNPPSHTGFASNIVTIISSEPLPSPMDQRHPPELDFVDMCQVVYGETVKI LPKSLKNFLDPSQLSWGGDYPAPADAVHNTVLRTLKCGGPTWQSIVKKSKEHGVTVHA TLYATAVLAWSELYPNTSLKTFTPTNCRFLCKDTPSDEIGNFVGTFENFLTVGELGIG VWPLAKSYHKILQANKINSAKKAWLLKYLKNFPEDYYEFYRKRRDIYEMGRVGGIELS DLGRADVLPSGGDWKVDGMWFCQSAHTSSNALGLNTITFDGTAHATIAWQAGSLDESK IDRMSQVWLTHLENCAI PHYBLDRAFT_158094 MESSSKAKLPIGFAVEPISDCPHIPETIPLSVSKAPVCANCGDK KENWQCLTCGSILCSRYINGHMSEHSTEAGHSVCLSYSDLSVWCSQCESYIKGSVLQD IKRLAYQAKFGEVVPSAADI PHYBLDRAFT_165656 MYARVSKNAPAFLLIKCNLSGYIQRDNRFKQIFKESVLSIRTLK NLGNIRQYNVDRLETWGLSTLCLFGTKKTSFGKSSKHSVSCFEYKILESVIRCLFLVY SKGMGNVDIVSLSWRYRLIR PHYBLDRAFT_5370 VACTKRDYLLYALSVGVPEEDLRWLYELDIDFGPLPTYPLCLLL KADDWDVNSFVERWSVGGPLPGVPPYDPNQILHGGQSLEVMVPFPEEGGRFKSLKKCT GVYDKGSGMVLEMTVDLFGEQDDVHYCRMITSMFVRGCGGWDGPKGPKLTLYTPPDRV PDAVDHFYTSRNQALLYRLSGDFNPLHADPSVALSVGFPRPILHGLCSYGKSSHAILK HFGNSDRLRFKSISARFAQPVLPGETVTIDMWQVEEL PHYBLDRAFT_185967 MQLTIDIIQSFLFDLPWVSQFFLAIIGVLMVAFSLAHTFAFNNV GFCASLVDTFLIIKKCPPDLGFHILWPHVSNSVGRLAVDYGSLIFMRDSAIWSGYLLS SLAGMRNNMDSSQTRVNFSDKSSTSASIGSLGAEKSSLFLPFDENDICLLAKHDDGMP TQPLVAGLANIGNSCFLNSVLQALSSLTRLQSHLDRMSRMPYGKPLPVTRSLLKTLRL LTRPIHKSLVLKPTEIISALESKSLLNREQQDAEELFQLVSSQIDHERRLVEATGRTG GGLKDILNNSFWKPAVAGKSIFSAKRSLTSTGNGCSAKIENPFTGLLASRLSCMQCGY TEAVRHFSFNNLQLNLPETCSTTLESCLEQFTAMEYLSDASCRKCSLINAMQEMSVEA EALSQKLVTIADDTVATEQIQAHVYELDKACRTLESRLNANIVDDVQEVVPAGLNVPR TVSRASSKQVMIAKPPKILCLHINRSSFHPSGMIVKNPCQVKFPEYLTLSPYSTTNTL NTQPQLPISTHNSIVNQNEDFFSGVGVGAGAGAGAGSSTSADSNATPGPRYRLMSIIV HYGSHNSGHFIAHKRQISPVKCNCSRCVATATATTVTATATTTSTNTTAIGSGISSRS FEPCFEAQDRWYKVSDESVEPTTLQNVLQANPYMLLYEEIEENDVDTETNSQTIVAES SFAAATTGKAAVVAATTSDIDIDVDIDTAEPFRPNKQQDSIKAAVSSSSPSASWPELY NSNNSSSNKNNNDQTSELASLEALRIANTLLSDDQQQQPGPKDQRQLKRPSMPSLQWN HHRAKAMVH PHYBLDRAFT_104084 LINGTKFACSTCIKGHRSSHCYHTERPLFEIRKKGRPISQCAYC RDLRKTKQAHIKCACGEK PHYBLDRAFT_23085 MSEDEYDYLFKIVIIGDSGVGKSNLLSRFTNDEFNLESKSTIGV EFATKNIKIKNHTIKAQIWDTSGQERYRAITGAYYRGAVGALLVYDITRQSSFQNIEH WLKELRDHADPNIALMLVGNKSDLEETSRAVSTEEAKEYAADSKMLFFETSALDSTNV SQAFYTAFEEIYNTVPKSVTQDGNVGVNGPAQNTIKLKPPTSGFQDEGQADRGGQAKA GGGCC PHYBLDRAFT_185968 MESTDPHYDAISVASDGLSPPVPITPPPTFWERVKEFEYARFLK THLAYVLTCLIGFFLILGFIILPFLPDDGSNKDHYRPLPTFKAPIQPGIPWHVIQAEH LQYCSTLNTSPAQINQNQDQDRNQNGNHGLSGSSKRTTNPRAPKDVRPVLLTNAVVWD GEGNIEEDVDILMVDGIIQEIRKGIVAPNSANIINVQGHVVSPGLVDMHTHLGVMGWP TLVGNLDLNEETNPLTPFVRALDAFDPSDKAIRIVASGGVTTALVMPGSGNIMGGEAY AFKLRPVPTSSNEDMLVQAGQDEASKWRWMKMACGENPRNVYGRQKKMPMTRLGEAFL FRQRIQKAQKLKEQQDDWCYAVSELSQHNTRAKLDSHFPTDLSLESLVALLRGKVLLN IHCYETHDIEAMVRHSLEFNFTISAFHHALEAYRIPEILRRAPNNITVATFADHWGFK KEAFGGIPESPRILHEAGIPVAFKTDHPVINSQHLVFEAAKATHYGLSPQDAFKAITS VPAKSLGLGHRVGSLKVGYDADVVIWNREPLLLGAAPLQVFVDGIALFDEPTINPIKP TEKQQQQQKQQQQQKPFSTSTVSSEYKDIKNLQNFVLTNVGRIFLEEGDNKEEDSFKA NSEYQSEQPLQITVRDGKIVCSGISCIDQAATVFQTSDYETIDIQGGYVLPGIIGVGT KIGMVEIPSEGSTGDGVNRSPTRDMSLLPQAVDGIKLQTRHLEEAYKGGVMTIISEPL SNQVLVGVSAAFKTSADSLLSEGALIAPTVALHLQIGNNFKSNFLPTVSSQIAYIRQT FLENKAKPNFVGQAVRGEIPTIVNVHNKDEIASVIRLKQNQFPKLRLAILGGTEAHLV ASHLAEAGVSVILRPALCTPSSFDTAHCLTGAPLTDRTAAHVLFSHGVKLGVGVSFDG WTRNLAWDAGWLSVTSPGVKGSPDYISESEAIKMITMNIQEIYGLRDNTLQNRILKDE FVVWSGSPLDLQSRPLFSHANGLSAIDGY PHYBLDRAFT_68019 MSWLRGLCFVHSLNYVFYSGETHEEIPTRSGVRLSWLNRVDCAL YIFMVTPIIQVYPSLKLTISVPSVLNSHFKQSAFNPFFPSLFLSTASIMTPSDLPPDI LTRIADYLSRTDKYSCAIACRGWVYPFQKALWKDMHAYSFESFKGTFSIIKATQNESV SPGAWVHNLCIYDCFVEADMSDIQVIDMIRCMPNLKYLCLKSVSYEDLHPRITMEDIR AMMAKARENASLTLGRNENKDMHSGKYILEFINTCTMLQKLEIRADKPNYYIALDVTD FDSMHQSLQELSSFKAGISLCPDFLATLDIIPNTTPAFSMTSIDINLKKYKYENGNGN TNKWNPLWLYYFGYKYPNLRSLKLNILGARHESIDPDQRQKVISLFHSNPNALKHLET FKFVANKTFESFDLILWELLYPLRVPIKHLTLSTGQDHQSSNLYTMHIGRILQSFSGT LNTLSVTGFTYNDNHQIPAPKLFPCCQFLTDLCIYGRGGTFNMDDILNKCVALKHLKL LSSEMFVNLKTKNGELKQQHGLQTLDLHRCSITSEVFNHISLRCRSLQHMSLCEVWIT GSFSQKNGCLLLDMTHTFLKTLHVEKVKYGTSHQEMQRSEENIISMTLLSRLNDPSLS DVKDEIEKVEIGSKYQIAAPQNIAWIFSYEFEEIPSVYIVDRTDILDEEGADIARKYY QDFQLSKTQTLESNKPSHFSWIGELYKGYGEFRCGDIEEYTTD PHYBLDRAFT_68018 MRTDFELIKVFWFRSADRYNTKMSKGGEKLSVWFERGLVYDTST TLVENDWIWWTVRAQTTSIQVCQQAGLIRVLLDISKQKNICTRIYIYIYTLMFIFMYI ALHIDTKWLD PHYBLDRAFT_185969 MFTTQNSFESYKDSPKQVVAPAKTQEHSSIQHALQAASRIPTRH TSFVCRGCDAVANQYLNAIRYVRQSEPVECSADLLQLVSKVVDLALSHTQDHLHGYLN WSEIMLQDLWDFGKQLKDESHEAFLYPTTLNGPYQSALCGHDDYRRAIRITIYSCRAQ IYEQAKETPRAMIYYRKCLAISAPFEDQENIQDNVRASLQAHGVYEDNSLATGRPGTG SSSSSFSSVSSSSSSSCANCGVEKRAMPICAKYSTISYNSNLFSKKKYEFVPVTGNNT TSGNCQNFVVQEDTSFSTDFKHIIKPELYSSYIGILEAHIHMYAIPSVLNKLQLAFLL LHLWSLDQDFYLAI PHYBLDRAFT_158097 MARELNVTSVPENQLNTQALPNPWATGTPASNNTSAASSNPSSA SPGANPFASLFGLSAPMFPFGGNQQSPENTPNQANPANNANGQMPLWADPNILQAGMR MHQAMLNAQGQGQGQGQGQQQPMGFGGQGLWNMGGFPSFQPPQPAAENAEPPEVRFRS QIVQLEDMGFGDKQANIRALLATGGNVNSAVEYLLANN PHYBLDRAFT_185971 MGEEYHMDSVPLNHRKQTTNRHSYTNSGDTVIEGLAGVQGNYGE TEVNAVNIEDAIGEYETLKRELTTLSRKTTNTGKLEEGVAQSEEFNLDEFLHGVSDES DKAGHTKKHLGVIWRDLTVKGVAAEAHTIFTVASFPIAIFNMWKLLLKKPEVPQKVIL RNNTGFCKDGEMLLVLGRPGAGCTTLLKIISNLRGSYTSIDGDVSYGGIDPGTFFNKY RGQVCYNEEEDQHYPTLTAKQTLQFALRTKIPGSRLPDETRHDFVNRVLYMLGNMLGL TKQMNTMVGNAFVRGLSGGERKRLSIAEQMTTSSSINCWDCSTRGLDAASALDFVRSL RIMTDVLNKTTVATLYQASNSIFNLFDKIILLDDGYCLYFGPVEQAKPYFEQMGFFCP ARKSTPDFLTGICNPLEREFQPGCENTVPQFAHEFQERYLGSNIYRSMMTELDQYENS LKADNQADAFKQAMDEEHQKRAPTKSPYIASFYQQVRALLIRQYYLLIKDKGALISRY GTILIQSLITSSCFYNLPLNGTGAFSRGGAIFFAVLFNAFIAQTELVRFLMGRPVLEK HKQYALYRPSAFYIAQVIMDVPYALVQVALYSVCSYFMTGLNLTAGKFFTFFVLLFFI NMTMNGFFRIFGAITSSFFLASQLAGFMLVAVVAYVGYSIPYPKMHPWLYWIYWANPL SYGYKALLINEMNGQTYSCDSPGNSVPYGPGYDNWENKVCTMVGGIPGESFVKGESYL LQALTYRPWEAWAPDFIVVVAFFLLFTAICALAMEYVDSSNGGNTTKFYIPGKAPKPR TDEEENERRRRLAKITDEMDGISTGTTFSWQDIKYTVPVKGGKLQLLNGINGIVKPGH LTALMGSSGAGKTTLLDVLARRKTTGVVEGDVFLNGEALMNDFERITGYCEQMDIHQP AVTVREALRFSAYLRQDESVPKAEKDEYVEKIIQLLEMEDISDAQIGMVEYGIGISVE ERKRLTIGMELVGKPQLLFLDEPTSGLDAQSSFNIIRFIRKLADAGWPVLCTIHQPSA ILFGHFDHLLLLVRGGKTAYYGEIGPDARTMINYFESNGGPACHPEANPAEYILEVVG AGTAGKATRDWSDVWAESKESKELNDELSEINKTANKNPTREARTYATSAWTQFKLVH NRMALAYWRAPDYNFGRFLNLVLTALINGFTYWKLGSSSSDMQNKLFALFSTFIMAMT LIILAQPKFMTERLFFRREYASRYYSWFPWGISTVLVEIPYVFVFSAFFMFCFYWTAG MSTASEAGGYFYIMFVFLVLWAVSLGFVIAAASELPTMAAVINPLIMSLLILFCGLMQ PESAMPTFWRKWMYWVDPFHYYIEGLAVNELEHLKVTCTDNDLLRFSPPAGQTCGEYM TNYFSYGGFGYIANPDAMLPEQCGYCTYSSGAEYYSGVYGWDAAHKWRNVGIIAAYFV FNVLAFLGLVYWNRKGRR PHYBLDRAFT_165666 MFYQRSLRAEGSPVSYEFYTSFKDITFRNYIKKGRSEFADSCHQ CRTKSDLKKVQCTAIRKYTGMRCSFKWDKSCLLKYNQKLNVALNNPKWRCPVCEGRCD CSRCRIKRGLRPYGNSWRIIRCTDTDDEDEDISGDKSVSIECNEDGNKAQAALFVTKP IVRERRKTAIYNRKYNFSRSIEVPKENSMDHSKKATYSLKKETRPLKILIKNSLKLDS ILDQKMKDLTMKISQ PHYBLDRAFT_32569 MTTSGLMIIYTRDEVDFIQNLVFYIERAYRTPDYGIWERGNKIN HGQPELNSSSIGMAVAALRAINGINLFGTRGGPSSIIHVLPDELTRNVTTLHSFLPRE SNSKEIDGSVLSIIGFPAFACSDIDLIRRTKEEARLKLEGKYGWKRFLRDGHQTELED TSRLYYDANELKQFENIESEWPLFFTYLVLDGLFTGNVEQVEYYRRKLEPLIIDSKLP SSLVSKNKGSTPSSPAAWATPLTVPLIPELYFVPKNKIEAEKSSPHSQTRVPNDNLPL VWANSLYFLGNLMYEGLLSPSEVDPLNRSLNSTRPPKDSIVQIVLLSEDESLQNTLSL YGLETQTMDQISSTLTVLPAHALADVYAGLGMNSKLKMSGRPKRPIGVLGTSRLYRIE GHVYAFTPHFMDNDEFYLNSDPDYLVSAFESELIFTSKHWFYPGRPTIVVLLSHALLG TMRTLQLFDSSSSHNTSTQLSRKNLLNFFMQLRGGTCNGVRVRLSRLSETINTSNIES LDFLISKPDIDWKNILLSAHASRRKTLHRKLGYSETMTQANTPGHKTPKRQSSTFQQK SFSSSINLLQYLASCESAETYIEVLDATIRELLEEVYLKSRRLQYWSIARQAAGLLHK NVPSLTINITDLIIRQKQISIGSGAGEYLISTPVGPDALNAMLAEHCTEDVREGPLVQ EILIYLGSFIRTQPDMFDGILRLRTHHIIIALREEVRPISRPERRRLSIASLQAPESS SSIPIPVQEDVIVKRINTTVDTSNITEDNINSCFPNSNGKWHHRRKNDGALNRVPPHF FPDTWKLLDRSQGLKIHEHRLLRDPTVLEMTAEEFNFALAVEGFLGWYADPAERQIAV ETLTVMAKVQQRNPEMKTMEAMIDLPLIMNTAIRIFWEKWVYSNHRLHKCPLLSQGPE YDRHRELAGKLFYDLPQQGTESTFSYLARAILKTLPFEFDYNESNFL PHYBLDRAFT_79002 MKEKTLNGLMEEDNVLTGEIREIDGDMKTLVYENYSKFISATDT IRKMKSNVENMESEMDRLNESMNSLTHQTTTINQALGPNRDKIQQLSRAHNQLKRLQF IFNLPSRLSRCLSTDQLAKAVKYYARAAQLLDHYKHMPAFKGIERDCQTIITKVKSQI ESKMRKEDSVEKIAENIRLLILLKEDVPELRQKYLKLGKVLIREFGQEKKQAGPVTSA EELVDVYIVPLDTVVQYYNTLFVENSEDFPPKTKQEIKDANQDLSEAIQTCINSFFES VTKIIALPVRIPNELDNVIEEKRPLKQTRDLQILNEALAEKGTNLSMAVALDKQMSQV IEVWENELVNRFLCTVVSGMRDRMSELIEPPFTEDTPYDALSETLAQFIDISETWCRE HVYNNCLIPLRECLQEDIEQSSFTERISNGLNSMWHSLAEHLGTFPNGGEVSQLNRHI VCLVSSRLCFDFADHIIARIYSEFSSKSANPLSRHESIDTIFLSDCQELTELFSQTGQ TLLNNQIMEDGYALSAKVQTHYLSLQSKPPTKPSAVWREVFTRLQSVEQLVNITFPQP SSPEGRDVSDSEHDYRFGSLASTLEAPPIQATHSSQSLATMSSGTGEPLGNVSKFGND VTLNMMHTINKLFEDRVDVYRPIEPSAEGACGALVRVLLKTLQEVVREMWVDCNIYQQ LQVDVEYLGIALRSYAGDEKTSSALRDIVSGAYMRCSDPVSINADDLERMLAH PHYBLDRAFT_68010 MQRTLRHLAPRALSRQSQILVPSFNYARGFNTSGACNDKSSNEN QYKNFPWKLSTDPLRIPDYPYKSAPDTWSFLNILPRGIQHSMSQFLCNRMLELNTGSS YFPEQFLVGASMASRRALDVLSNHLSHPNDPVPAELQSMLSPELLYRLTEAAKETLQE GDVVSLSVPQVYDVNVGDIWVTLGNSNATTNPRKYELIEWMTLQLGLKRSVVDDVDEN FKDYRARVSKGLMEGAHVAVDVLIDADVEFSITRGEKVLVKDQGRRELIMRFETPYFE PAHDMVSGRDEMGEPINEWRWRIADVDHWLEKEKLDRENEDEDED PHYBLDRAFT_79000 MKFTLIATATMALVGMVSAIHPIHSCTLLHTASSGESCKALAQK YNVSVEDLRSWNHGLTNSSEDRCLNLFPGVPYCVLASDPKVKRTLFAKRAAKTTKKSA KKTTKKAKKTTKKAKKTTKKAAKKTTKKAKSPKKASLNIANRTDPNCKKYHVVKSTDS CYSVAKVNNISLNKFYSLNLGLHHLGDHICDNLDTGKAYCVAV PHYBLDRAFT_165672 MTTWLIYAMVKKKSFCPKGIFHFKASYFYPTEIMGCLSWYLSLA IWMRVSDLREGWKEWLEALMTVFTSNLLISTSFFGSWYLLGVLSQIDRSNSCVNLGQS YQFVVEDTKRQKYKIRTKFIDFYSIYRIIFAHSDFFFEPVGI PHYBLDRAFT_68007 MTETRVDFIPIRTNKIPSATARINALINYLWKKKQETDISVFLE EQKLIQLQFHMSTRQCQHIMHKDSILSREVQEEELSSIGEAETKGILNNLASYQSVGA SNYLGLVLQYGSYQTIFECKQIIKTSSLITIT PHYBLDRAFT_165674 MIREIVKLKEVKLDKTRCGISECIYCLTSVGVTIMTSTLSYSFL FYTRALRLPTKLAPYRSDTVYPAGLSKESLNLFVRVVKELDLKSNGLARTGSNPVADV LLLWSFLVVVPDLGWSSFYYNKVKSHYTAVNAQEDITAHKEVLRLTIFRFYGFIKIMS DIIMAGIILKIVNLVTRI PHYBLDRAFT_142690 MNLNQIDNYQKISIPYTNTTQEFKTNVLPGMETEYSEKNGQHIH FDESQEKSIKDQVNFELSPGLSETINLHSQPNDQVEDSMLTPPHRPIDITSVDILPIP GEEPPPKQDQDQEDTSMSLPFYLGGIKEGHKKVLTFVGRCGFIAKGVVYGIIGVLSCT NVTGDWTPNGSQNNESPQGAFLLLGGIPYIGRPILVILAIGLITYIIWRFWEAITSQG ADAKMSKAANFFRYRLSPFVSGCVYVAYTYYVIRMIYQTPEEQQELTSSKAFPGSWTG SSLGKAGISLLGIAFMIATITQIVNSVTCNFRHDLRTSDPNARQWEAAIVNTAGRIGF AGRAAVFGTLSGFFWDSLAKRNESGSHNVIGAAMSKLATSSGGQFFLMLTGICLVIYG LFSISNAYYKYFPTPPPSREPMYTLPKVRRDSSVRVTDQGAPVSYHNRFKWWSGLLSR LQRNTSNETKDDVHIETVSTIGSLPSQYSLLPNQNNANRIS PHYBLDRAFT_23173 MAGYQAKKILVANRGEIAIRILTAATELGFETVAVFSDPQDTSH CDAAHISIRIRSAASFLDPKEIIEAAKSVNAYAIHPGYGFLSESAEFANQCQSQGILF IGPSPGCIKAVGDKISARQVAIAAHVPVIPGTEHSLSTPAEVYTFAERVGYPVMLKAR DGGGGRGIRMCLGREDVEDALKRCINESPSKQVFSEKAIQGAKHIEVQVMGDRHGNVI HLFERDCSAQRRYQKVLEVAPCPSLNKDLAKEIHAAAIRICRHIKYDSVGTVEFLVHP DSNSFYFLEVNPRIQVEHTISEQITHVDIVQTQIKIALGQSLVQMGLNQDTIQPSRLV AIQARVVAENPMHNNMLSVGKITDLRFPTGHGIRVDTWVKSGATVIPTFDSLLAKVIV TGQSFDDALSKLDNALKNTVITGVNTNIDFLRALLSDADFFENSMS PHYBLDRAFT_158098 MYPDGVQIQAEPANETRVELVKETSVVKIYTTQPTIEGKNVTIT IRPTAPVITYAASIDEYDMAKEVQETEVASTEKIPERPALLDSFNNEKCTIKSNDDQQ KDMSSPAPLTHPLHPLQIAEQSPNPPGAYIEPKSTSYFTSLNTDITSASLRVPKGYML VPEHQNNNIIIITDELSFNGQQLAVVFIAMVLCYYIGKFASVC PHYBLDRAFT_177127 MPVCVLYIYIYSSRGMASHVKQKYSPLDWTECFDTREIITIPGT LDISFLFFLGLQINPCQKIEATVDTPGPVFLLHHGAGSSALSFGFTAKHIKEFTHGKC SIVAYDCRGHGNTKTEEDTDFSQARLSDDLVLVAETLYQGNVPDIILVGHSMGGPIVV DVTQRKRLPHILGVSVLDVVEGSAIEALVSMNSYLATRPTFFTSVEQAIQWSVKSHTV RNVESARLSIPPLLKPQEDHPSQYVWRTDLRNTELYWTDWFTGLSTKFLACAAAKQLI LAGTDRLDKTLIIGQMQGKFQLHIFPEAGHFLQEDAPSKTAHCLVEFWERNQRLVLPP KVKI PHYBLDRAFT_165679 MSVTSRHYATPEVVQIPVLTQPIKDSRSFIHFIAGGAAGLAGAV CTSPLDVVKTRLQSTFYQQSALKRAGKQTFLWHHFAETGRLLIRIQQVEGVRGYFKGL GPNLIGVIPAKSINFFVYSNGKRLYSEINHGKETPVVHLVSAATAGIVTSTATNPIWV VKTRLQLQSTTTRQYTSSLDCLLHIFRQEGIKGFYKGMSASYLGVVEGTIQWVTYEHL KKRWAISASEKALQSKKRTVGGKNLQEWMGNLGAAAISKLVAACISYPHEVLRTRLRQ PAEGGIHKYKGVFQTLKLVVKEEGVVALYGGMSAHLMRVVPNAAVMFFCYEAIIHTFG NQATESQKVHL PHYBLDRAFT_154816 MPHSFGLRARTRHMFSRKFRDHGAIPLSTYLTIYKTGDIVDIKA NAAVQKGMPHKFYHGRTGVVYNVTKSAVGVIINKRVGNRYIEKRVNIRVEHIKHSKCR QEFLDRVKDNAQKKQAAKDAGVIVSMKRAPIQPRTARYVSTVKNVPQTITAIPYETLL PHYBLDRAFT_67999 MQESNVDLRSLNYSEPNPNLICCVCQTPFIDPVISPCGHTFCQS CIYQAIETSPHCPIDRAPLEISNLIPAVKIITNMVNELIVQCPRLEAGCDFEGQRQFI QNHLDHDCLYSSTACQMEECKELFYKKDLDCHTATCQYRTIECLMCKKMLRAIQLQEH HDVCPAELIQCSHCSTSHTRSEYTKHINTCPLHPATCTHTQFGCTWAGKRHEQDDHAQ TCAYEAIKHFLFKQQHTERSLRHDLDQLQQENQRLRNQQDYLREHVQLTVDQLSLFFP SHFPSQISTLATDNTYTHTATTDTTTPTVANNSTTSTTSTSISSISSSPYSYLQNGLP NSSSSSSSSSAVPTSSSTLQNPIRPILLADSERLETELETLNANLISLELKQNMALMT ETFRLQEEMQSLRAVCHGIRMQMHYVMMERRESMMANASSTVANTNASANTSSSTTVA GTNSTPGALPALQRMRQWLETSGPRQDTKL PHYBLDRAFT_158100 MAKEKTTKKTVTKKLSPYNKFMKTELAKVKEAQPGIAHKEAFKQ AAQNWSKSSDNPKNKEAAPAEK PHYBLDRAFT_142697 MRTLEDAIVSEIQLLVDNIAKLNEIVADANERNVVQLIDQLRRT ETKMSLVYTFFKTAMYSNSQWNTDQQQQQQKDSIEPKDDVQTNRPAFT PHYBLDRAFT_158101 MESTSEMASSLTAIVEPLTMFIVVRKDLSKTLKWPTGSVIAQAC HASTAVLHTTRDEPNTKEYLKDLHSMHKVVLETKNLDSLEKLVTALEPHQIPLLKWTE QPENIVTAFATSPIRGRSPEVKDIFKKYCSLYR PHYBLDRAFT_142699 MGIQGLTKLISEQAPAAIKSHEFKSYFGRKVAIDASMCIYQFMI AVRQQDGRVLTNEDGETTSHLMGMFYRTIRMVENGIKPVYVFDGKPPTMKSGELAKRK ARKEDALNKMEEANEVGTTEDVARFEKRTVRVTKEHNQECKRLLKTMGIPYVEAPTEA EAQCAELAKAGKVFAAASEDMDTVTFGSPVLLRHLTFSEQRKMLIDEINLDAALKGME LDMPQFIDLCIMMGCDYVETIKGVGPQRAFAMIKEHKTIEAVIEHLPEKLKANVPENW KYDEARELFVKPDVLPGSEVDLKWETPNIDAVVQFMVNEKGFNEERIRKGCEKLEKNL KTASQSRMLDFFKASPSTAAPKKRGAEDTASKTAKKGRKKK PHYBLDRAFT_101433 RRIFRFPQMDFEFAMWQMCYLLIAPRRVYRNIYYHKQTKNQWAR DDPAFLVLLASLLSLSAVAWGFAYGLGFVGILRAMLFMVLVDFLLVGSLVATFSWFVT NRFLAHNAMAHAVEQKVEWAYAFDVHCNSFFPVFLVLYVVQFFFVPLLQRSSWISIVV GNTMYFVSAVWYVYGTFLGYNALPFLEHTELFLYPIIICIILFAASLFGFNISQSVLA LYF PHYBLDRAFT_180366 MGATGNKIYFGLSTIISALPSLFGYHLYQKGRKYVAYLLYSSSF FVFGLFIAIFISYQTLRHGTPYVFSFLTKINHLLAPIAKNLAASRILGPCISLVVRSI FFVCFMFIIMSDRLVRTFLGRFVGKHASERYISVCNAADPNFDMFDSTIPQAPCLENS EQFALKKRTSVQPGDQKGFLTDQMQRPKYSQPLAYTLAVACKLVYEDIALIKYELSRA GFDVENTFKPMAYKNICAFIVEKEDDIILVFRGTNPLNMQNFVTNLNINMKPISSPTA SMGKVHEGFWNAMGDPAPRVKPEEGSTTAVVNDTGITLHIELSGASLYRTISSAVTAL KKILGFMTLHMFKHVVDPIDSSWMGHDTDITSHSMYSQAENWIMDLCERPGLRKDELG DRLSPFIGLDNTGTLRKPGKKRFYITGHSLGGALATTFLAKMMQSESPLLEIFSGLYT YGQPRVGDAEFSRVFTPQMSSKIFHHAYNNDVVARIPGWNGYYTPPGTLVFISASYNI TFYPPNPQTNEPVSVRPISFLHLSGLLNSHVIRRLPKESFLKVAFRVLFPFFINDHFP ANYCDGLRSGRVKRIVMTEGNFQGGQDNEEEEGEEAKLMAQNQRYSTINVQAVP PHYBLDRAFT_165686 MDKSENYISQGPQVVGYNCYNFLDFFKTSITQSVYKLTLNSHQP FFDTINTIRLKYSNSKDTEKKKNSISTALSVVCQVTINTIKLRIFNVLVHFIIIAVYL YTKVIDEEQFMLLQVDRSNKIPIDLLGNADRSLVTFISKSMIKIDKLLIGTKLQW PHYBLDRAFT_103993 IMNDTSIQDFISWSDNGQAICVPNAATFAKSVLPRYFKHSNWPS FVRQLNLYGFRKVYHVGISPEVTQHAVWQFKHEYFQQNASELLQNIRRR PHYBLDRAFT_131906 MDGVLGLPSLQTILPATYRPIVVICIGIWGWAFSLLILIRSHMD PASILQIHPDKNVNLHNPIFAIASVLTCIITLNLWFCYHYGTPSSWFPLITYLAAFIM ILWPGKGFYRKERTRFLRVLRRLFSLNIFAPVFFSDIILADILTSLSNVFGDLFTTLC VILAGQDATLEKTQVDYHRDVFLPILISIPYFIRLRQCTSEYIDSNRKTKRHLFNALK YASAFPVILLSAAQKRAAMYVAETGSVPKSWMAFVFFNSMYSFWWDISMDWNLVHITQ GGTGGKLGSVLSVPTVRIRRHLHFSQPMLYILATAIDFLLRTTWSMKLSSHLFVKKLE NSIFLVELLEVIRRWIWVIFRMESEWVKRNQISLPSHRNDHDPIRMDLLDRGKLTPIS EEDDDGDDNDNQTI PHYBLDRAFT_100923 INLVVFGFWQVRSLKPFMNRWFLHHPGSPRSITLLTSCFSHQEV MHLGMNMLGLWSFGGILHDYLGREQFLALYLTMGVGANVASHIFSLAFRNSRPLMPSL GASGAIYGLLAGTAFLYPHSSVSLIFLPFVPIKLGYALPALMSFDLAGILFKWRMFDH YAHLAGAGLGLGYTCWG PHYBLDRAFT_185983 MSRNRITAGPKSIVCLETNLRGEISIGSGSILHPQCRVIAENGP IYIGRNNIIEENAVIFNKNATPLVIGDENVFGVACYVEGSRIGNSNVIEARGRVLGST TMGNFCVISAACSTETNETIPDLTVIYGAKSNRRTQTEVLPAQATVHARHLDYLRETL PKYNHLKKLDTV PHYBLDRAFT_154819 MSAHQESTFTSNKFVDPTPLPANVPKVEEVGATSAPLKSASFFI GQYCREYNDDFMLCKNENNDPAHCLKEGRKVTRCAIDLITKLRENCGKEFDAHWQCLE NHNQELFNCRKPERTFNTCVFEKLGLEKKIPGSPEGQEQVHNKKNPSINIQLK PHYBLDRAFT_78991 MDSLPTARKPSPSSAGGLTTLKPSPSSLGAGVNRDQQVEKLLKE VKQLKQKVDILDKENIALKKSIYDLSTRYAASISQGGLPYRPGPFVIADTSPGSKGMI TKAQEMITKAVQEAGGHDTFQSAGKYPHDSRDGKAFQMRYELKGHTGAVYTVEFSPNG ALLASGSFDKTVRIWDTASAQKEARHALNVSDLSWTSDSSMLLSGAYDETCKTWDVDS GKLVGSYDTEGFVQCVGWDFVDSNIFYYGTSRKVLAVIDVRTDGPAAAIIRNDAMVNT LYPSRDGVHVITGDAQGMLKVWDIRSKQCISAVANDSGKMPITHISIGRRRTDASRRA VDDYDEPRYMAVNSYDNLMRVYDRGMDPPKSELRIVHALKGFKNKNWPIKGSFYCGSG YNSSIISRPTVPFINDLYSDPDLVSNPGEYFDVAEPKEEKSVLLATGSADPYAYLYNV GEDSAELMQRLEGHTDRVYAVNFHPTEPILASCSADCTVKVWGPSPSRGKKKAII PHYBLDRAFT_123152 MTQSTIHVTSEDVDVHAPASTYYTTGSNAGKSIRRLKTLTDSKR LNEQPARKRRASHDDKSKAQRFLIDVKETQRILVEQEDTDGDFQITVNDLGPKTFSVG TADSGGYRRIEIRGTYMLSNLLQELALADDYGRKHIVLDEARLNENPVDRLSRMIRHN FWDGLTRRIDAEGLEIISADPKNRSANHTPRIYVPHGEDQVFKYYTKVAATRTHLKLE VEMLPEKITPLYVKSINDRPGILALAMEKVTINGQETLQGVPFVVPGGRFNEMYGWDS YFEALGLLVDDRVDLAKGMVDNFVYEIKHYGKILNANRSYYLSRSQPPFLTDMALKVY ERLPPTKESKVWLERAFQAAIKEYHTVWMAEPRLDPKTMLSRFHPEGLGIPPETEASH FDHILEPYAKKAGLSLEAYMSAYNDGSLKEPKLDEYFLHDRAVRESGHDTTYRYEKRC ANLATIDLNSLLYKYETDIADTVEQIFNGKLTDEDRRPQVASEWRKRAAERKERIDRY LWNKEAGLYFDYDTVTKKQSTYETVTAYWAMWAKCASQEQADTMTLRLHKFEALGGLV SGTEESRGITSLDRPNRQWDFPFGWAPHQIMTWRAFQNYDKVEVARRLAYRWLYTITK SFVDFNGVVPEKYDVVSMTHKVQVEYGNVGTDFKYVPREGFGWMNASYQLGLDLVNTQ MRRALGTCTHPDLFFEQALKRQQLFDTIQRRKSLEASARTITQNGQITAAITRIEAES SGPGNPVFDFTSHPFNHQ PHYBLDRAFT_180372 MPYSYQSIPSQETHAVEDPQSAVDAERRLVRKFDTQLLPFLSFM YLFSSLDRSSLGNAVLDNFEQDIGITPGQFNTCVTIFYAGFLIFQIPSNMILKRLTAR RWLPTLMFLWGIVACCHAGVKNYAGLMTLRFFLGFFEAGFFPGVLFFLTQFYKKDEMA TRIALFWGSTVAAHAYAGVLAYGILQLRGSHGMTGWQWLFLIEGIPTVLMALIAWFYL PASAATWSRLTPEQRILAVKRLEVDSPLDHGHLTLGDLNATNKKQAFKALTDWKVWLW MVMFFCGSVPNTSISNFLPLIVRGMGYNDKLSANLMSAPPYLCAVVVMICLAYSSDRH KDRAFHAVGGAIFCLVGYALLCFLTTRDALYAAVCVAVAGIFVINPIVNAWLTSNIAP DMKKSVATAMAVSANNSAGLVGSNIYRASDAPRYIRGHSINLGFLVAFVCLAILQRCL LQRENRLKEAAIKAQEAEQQPDDIAAIEVLGDESIHFKYRL PHYBLDRAFT_158108 MEQFIQQHRLEDAILNNGLDQPEEILTKPMPEVQRVLKITKADC NTLYSAASSEIYDWRKRHQTVDDLSESTIQLGDPGFDKMLGGGILLGSVTEIVGER PHYBLDRAFT_714 EEDEREEEYRSGDHRHKSFAPVRHEAEVKYFVDGHDYCWAVSEA IENAKTCIFIEDWWLTPELYLRRPPAKYDHFRIDQLLRRKAEEGVKIYVVVYKEFGTT MPLDSRHTKDALQTQHENIIVQRHPDHAVGGTFFWSHHEKFVVIDNAIAFLGGLDLCF GRWDTHTHRLADFHSPEPGMEIFPGQDYSDARHIVKSWDMRLIDKTVVPRMPWHDISL CVMGQPVLDVARHFCERWNYVKYSKANTKKSMPWLKPPLGSCRAQVLRSSADWSSGIK LECSIQTAYIDAIKTAQHCVYIENQFFTIVERIIRAHEERQKFKIYVLIPLMPAFPAD LITKAAASAKMVMHFQYISICRGSRSILEKLKAAGISNPDEYIRFYSLRSYDRINRNK LEEMLARAAGYSPRDQQLAEAGQSVNAQRGMFFHKPNQPGEEVRVPSFEEAAALREKY KRDAETVFKVDDCIASDSIAHNAMAGGDVESEPWVTDTAFEATRDEYSEREEAMAYVS EELYIHAKLMIVDDRLVIMGSANINDRSQCGERDSEIALLVEDQDMIPSMMNGRPYDA ARFAATLRRQLWKEHLGLLEDQPLDVLNDAMLPLPVPQIDYTDTEEDILVQDPLSEES LDLWNSTASINTEAFRAVFNCVPEESVNNWEEYKQFYPDPEKINIGHISNPNMPVDEI RGHLSKIRGHLVEFPYGFLKDV PHYBLDRAFT_123162 MLQEKYIGLTLAMCSSVFIGLSFIITKKGLINSKRRHGNAAEEG GHYYLRNWTWWAGMGTMAVGEILNFSAYSFAPAILVTPLGALSVILGAIFASLFLREK LGAIGKIGCLLSVIGAVIIVLHAPEDKEVTSIDELLFYALQPAFMTYCAMVVIVSLIM IYLVVPRYGKKNPFVYISICSLVGSVSVMSIKAFGIALKLTFAGNNQFTHPSTYAFGI VVIVCIITQMNYFNKALDQFSTNVVNPIYFVMFTSSVLVASAILFQGFNTDNTVNVVS LICGFIIIFAGVYLLDSIARGAGAGGGGGGGVGDHLGSPEEQGFLMGEDEEDAMGLTD LSASDEERLRRSNR PHYBLDRAFT_123163 MYRSEHMAQQLCLIEKTVLLDVNWEEMEEDLFGRQNNDINYTRR TRQIQLTRKDHGGVEQVIKRFNAVCLWVASEIVRTRQIDERVRVIEKFIRLAQKCKLF CNFATLVQILLGLQSPSVSRLRKTWSRVGTSEMKLLDQLSAFTSPMRNWKSIRDSMMM IKLPFGGCIPFLGIYLSDLIYQANTQNTPISPVLKQSLVNFRKHRIIATVIKRVLTFQ NLARRYSFETREALNDLCFQVDALTTEKIRELSLEIEPA PHYBLDRAFT_165700 MMTIRLYSNLTGTTLPGGARRFYLTGLICDYLSVSLVFFSSLSG STEFGLENKEIIIGLKYAISIASYSEGYKELLSLECDEILLDILLFTSFLRVSCLSLS LTYLYSQCMTALTVKRDSKDGDSTSLSFHIAIYYCTQVASLGNRFVHFSSKDENDSDC DLKEISK PHYBLDRAFT_165701 MFLTLRRLSSAQTLVRRPVFTRNAPLLTRLMPFHSTMACTNEPS SEPASESASESAATGPNTTTKLAKSKANKKAVPEMLSPERLQAIMQRLVESPPSQSLE AERSDLIMEIDSHRINSNPRGISAERYNKLIELLNRCYNVAQLREYIKAKGIAPKKLK RAVLRQIANECWGVLSEEQVHKELKSLKARQVRQQVSASKEELFFVIGNNGATLRNIE NMSKATITIDVSKSQYVLEGLPEEVEIARQEIKSCLPIVKKEYDIPQLKDDMAKTDFA VQSKNLLSDISKISNTYISINDGKFHIAALSQKSLDSSKRQLELVLTEMGYTDKKPLS VSDHTLIAISKDLTNLSVKPKDFYSLTPIHDASAMPLSARNVGWSRVVHDTNHDQYRP FGSQHQESLLRMVSNKSESEQSTIEFSKIADLLRDTLKVSDETKENISVEAFFGHLLL KNPVTNQAQMNLLTPGLEGSFGFEQFQNIMNERYYERRIFFPTTPPANFVTPLIPIEV DGSLHKRIIQAHYVNTSFLTSLDPRSKDNGLERLTVEFSEQNDGSIKLDRVLGEHGRA AVDAICVSGRIDMRILAKRYSLYTNEQLATPEGYVNLPLPSSVEELIKKCSLIGNNQS QDKTRQDVAHTYYFRYNELSCPNFWSQDNVAGSNMALVDVSFKNERQHLIDDCLVTLS HTQKQEGHTGFNELKVTHVTEDAAKNNYLPVKNGLASWKNMASTLEHLARRWEY PHYBLDRAFT_142718 MPNIAYATCIAAPYGKGHTVSLARLAHLQSEFTNQHTQLEVIHF QPSKLSLSKSKPQAIQATLAGSISPTMKARGAFLRQNPIQVKLSVKSFSSSILRNHAS LTELLVGLQKISDENKPTKVVCTGTEITIFGTIDSAEAIRVKVLVFLDVMSRLISDTL EIPHHLHNLMAGRKHSHLQPIMEETATNIYLQSPFTSQIHSNTSSHLSDTSGLINITG ESTVSIQRAKELLTRLAAQKVKSLFKKESTMSSSKIDWILLRQREEIRNIMRDNGSFI RFPLLGSGDNKIVVYAENRVNVERTYRLLNYLIFSIYRAVFSFSPEEESESVIRSPDT IMKQVFGSYEKMLQTVGCLAETSSSEVIYNLEDCQFEILGTEREVRNAYQFLCGIPTF KRHHKHTIFSLELASDHQDFISGKKNGKINKIMKSCAVQVKFHTVGQYTFMVSIDSNS PNKAIDGLSMLQEELPAEISFYVPEMYHRRIIGVGGKNIQRMMKTYGVYVKFSGSEEF SSLGGYFENEDNVVARTPMKNAPNLENLRRAVMEYVGLEKDRDFIPQRLLLPIYLHRT ILHKYGKTLRDAARPSNTKIWWPERQGSGEVTVFGPVTETNEILRMLKRHIPEEKTSL GPVKMVKEEPEIDATIDFKNGLQKTQSVPKAGLFEYSRLYRPTLDLPRNEPVTNQPLE SPLSESPLYALYKGDIRSIFPSPDISQSLSKVMSTMPPTLGTRPLATLSAPIPSGNNI WIPPKADYCSMFGISTESLHGPSYHDSMSEYIQEERFPIFSPLEDIQKNTTMFNEQRP MSNSFIVRPRQHSVADDASLGWGPFNYQHSWPNIDFSRECFDGMVSYRQPPTTQDAFE MAPNIPRRASLSTRTPSI PHYBLDRAFT_131926 MIGLKGTLNVIDNSGAIVAECIRVLSGARFARAGDEIVCVVKRA RPINPNLIGTQAATQKVKKGDVRHALVVRTKKEMGRPDGRYIRFDDNACILLNQKKEP LGTRILGVVAAELRMKNWMKAVSLAPRVV PHYBLDRAFT_165704 MNLPIPTGPHTEHNMFHTRSEDILAIAWHPYVDRLALAHKDNTV YIYEKDPESPNWTCTLLKHKFMNNITCLEWKPKAGGILAVGCRHGVCVWDLYAKRVKG AASVSTNSHHETVNVGLHPTAWMSYFRTPGQDHISSIAWDPSLSSNVFVAASTSTSTL VAYDIVTHLATPLKRYGKGNVLLRWSPDGNWLYSASMAGASRLWNTKTWTCTKFSNPP GLWVKSACWSPDSKSILYSMRGKRDLHILSRRTSDKDVVWDISKVDGYQTTVHVGERV VPIGIIREITLDPNNAERLAVIYEDSDVVGLYSVSSVNSLTGKTGTAVTPIGLVRGAA VNSNAVGQLGAIPMTGARPIHAAFSPSRKQGAILAVVYDNNIISFLSHQYVSKPRS PHYBLDRAFT_158111 MNSLSVCYENKPGHLDSLSGLSEDQTTVVFTSSEEAIKNWRKSI QKMIKGVGRSNAEMKKKVEQTMKLIELNLQII PHYBLDRAFT_131929 MVVNVGINGFGRIGRIVLRASLENPNVQVVAINDPFIDLEYMVY MFKYDSTHGRFKGTVSTEDGKLIVNGKAISVYAERDPAQIPWAKDEAYYVVESTGVFT TIEKGKLHLVGGAKKVIISAPSADAPMFVCGVNLDAYKSEYEVISNASCTTNCLAPLA KVINDKFGIVEGLMTTVHATTATQKTVDGPSGKDWRAGRGAGANIIPASTGAAKAVGK VIPELNGKLTGMSFRVPNPDVSVVDLTVRLEKGASYEEIKLAIKEASENELKGILGYT DDEVVSTDFLGDDRSSIFDAKAGISLNPNFVKLVSWYDNEYGYSCRVVDLIVHAAKVD GAL PHYBLDRAFT_98605 IVKHLDDYVIGQERAKKILAVAVFNHYNRVHANSKAKETVVEED VEEGPSLPSRPPISPEFRFVWLNPPTQSVSLPQPKAHEKVKEEQSIVYDKSNVLLIGP TGSGKTLLARTLAQILQVPFSMSDATPFTQAGYVGEDVELVIQRLLQSCDFDVKKAEG GIVFIDEIDKIARRSDTMSSRDVSGEGVQQSLLRMLEGTTIQVTDKTGASKKGPSMGP PNGKGEVYSIDTSNILFILSGAFVGLEKTVMDRVAKGSIGFDALLKVSDKETNKTSHP LSRVEPSDLVSYGLIPEFIGRLPVLASVNDLSVDDLVRVLKEPKNSLLNQYKGLFQLN KVDLKFSNKALRGVAELALEKKTGARGLRRIMETLLLEPMFDVPGSSIQQVVIDSKVV DGK PHYBLDRAFT_165708 MKKGAPVRSCVGFIDGTFNRIARPRENQEVVYNGHYRGHGLKYQ AIVTPDGITSSIIGPETGNHHDMHMYRLADTERRLFDAFDFTSVGRPCYHLYGDSAYA NSAVMARPFRITNASEDDTVFNTEMSRVRISVEQEFAHVGSFFAFLKYSQTQRIDQCS VGLYYIIGTFLKNVHICYNGGNKTSAKFDVSPPTPEQYIAGLLRQ PHYBLDRAFT_67971 MFDPWTKVPVPIDSFIVRTMMIITENSIGVYLGPNESRKIIQLK FLNLFVLSICSSSLLVTSVTMVMVLVRGKESSVLTLKRVVKKRCVDLLIIHTILKHMY NLKVYIAMGDK PHYBLDRAFT_78980 MNEVSCPTHESFSTYYPYTESNSNQISSNSFSSSSSSSSSTSSS AQAPRIMNSKFLGLSSSSTSASSALSSTPLTTIKSSSALSSTSTTAATTDSSQNPSFY DLQEVINQYRSQPELLKLILTSKVEEDKRRAEEAKLRAKELDLYLHQTIPTPNNHNYH NHNHNHNRSSRHRLYSRRSHHQEEENDEEERYGHYADHEEEDRDMQSSPRQKRRLSSL ASEPSSTSSFSSLSLSSSSASSLKNYRRRSRSPLTPQTRNLHPYTHNNTPPTPPATIA HSDSVDHHHQKRRNSAAAAMLAMGTLTLHPPSPPNNNDCASSPCFPSATLSYPSPVTD HYDIHESKHCSDNTMSEEDNEYNEEEQYANKVNRKPIIEEEKEDEDDDEEEEEEETAR LLTLSGRPRRRREMQAITKIVETREFPYLDNFFWKNNGNTTQKKTGCKSIYYKCSNSN KGCPVNKTVTARPNGEYLIKYRGRHLLECGRVERIRDL PHYBLDRAFT_67969 MNPRNHVARVKTGVANQKRKLTRFSGSTLEPCSEPVVLYPSEVN PMAKRAPMILNRFSGSTLEPCAGPIVMNSKLSLHSQSLLQVPRSTTIKPSSTKSKSSP PNIEFSSEAALSVRIIPTRPTKPLRRNAKPSSIHIPNLSQIASMNAADRLLDEGHASG GGWSDDEAGEPWLMWDEKTQTIYRPEKRHAFWDTPPPRRPSAYCAYSPTPSFSSASST SSTD PHYBLDRAFT_165712 MPITYKLYVFKLSLWAAVPRLLIAERGLEGIQEIEIDLSKGENY SPEFLAINPHATVPTLEVFRDGVRTDVLTDSISVSEYLNKLSGPKTEVPRPTDQGSKL LQRMHGEADVGNPLFFTSGTREELEFKKSIVVPFLEGRVEAWQEYRTQAPEHGQLYDH NIAQTQQLIGFYNGHADPSPLFAMNANQWKLGAEFLDTVESGLGNQGEYLEGPSYSLV DVHFTPYLFRLVAVKNELVFENRPKLKAYYDRIQARDSFSIFH PHYBLDRAFT_177136 MSVSFASVVGVTATGTIGTILYQTNDQFRQAIQALERCGTAGLV GARVALDYRQTLAGSYGSPEEREAAKSACHQRSADHVLWGLQKLGGVYVKLGQHVSAM VYILPPEWTSTMAVLQDKCDPTSPQDIKNLFLADCGQSVDQIFDTFDWVPLGVASLAQ VHRAKLRDSGSNSSGLGEWVAVKIQHPSLDNFCRIDMDTVSFIFDIVKTVFPEFGFEW FAEEMRESLPKELDFVHEAANAHKVEANFSDDRINRRTALTIPKILWAKRRIMCMEFI DGARIDDLAYMEHHNIDPREVSLEMTRVFSKMIFLDGYVHCDPHPGNVMVRPAKNPKR SKYNFDLVLLDHGLYRTLTDELRTDYARLWKSIITSDEDGIKKYSMRVGGTDVYRLFA SMLTGRDWDAIDSADLGSSRSEAEFKRLSEGAIEFFVDVADILGRLPRSVLLLLKTND LLRVVDEQLNRAASDQKTTYVVMGTVCTRAVWLDTKQRLREQLAMVGFDFKVFGELVR SWLSFQTLEWGLWFYEKYAVWAERISKLKAARLTL PHYBLDRAFT_165714 MCTFYIFFHAFTLPINLRDLLAYQKYLLTILKAPTKQISYLEFI VDWEKMNGTISFRVFTEHVEQQLIKRTTEKKNYARDKQYEKTVPFSIKQSVQKKIKNS LNKSRVYYIYESKQGSFKLSMQTKEKIKSRELMRDTTLYMKGTCKRRMDMRISFENVK KVFLKRSQQEYCHWDNISHKHKHRKYNFVQDPLINFFVTIFSHTLIHPTFLTRNGLVF GNLGFLTTFVWFGVILFGGGLSTYLSACLPNHLYSNRKTAS PHYBLDRAFT_131941 MPVMDSTPSQTSVYSTGKAGYSTIAKKPQHFLSTADFTAEQLYE LVRRAINFKVEAKYETSKTPERPLTGKTLALIFSKRSTRTRVATESSMAYLGGHAMFL GGQDIQLGVNESLLDTSRVVSSMVDGIMARVNGHEEIELLAQESTVPIINALSAKYHP TQILADLMTLHEHVHHRKSGAKDQYTAHLQHPRETLPGLRVAWVGDANNILQEILVAF PKLGISVASACPKGYTCDEDVLAIAKADAKKSGAELLFTTDPLEAVKNADVIITDTWI SMGQEDEKAQRLREFEGYQVTMEMANKAGAKPDWSFMHCLPRKQEEVDDEVFYSERSL VFPEAENRKWTILSVIDTFMVKGGF PHYBLDRAFT_109041 AIDFPKRPVWDYSMTKEELEVREEESFKAWMADIETRYGHQEDG RELSWYEHNLEVWRQLWRVLEISDIVLVIMDIRHPLLHFPCALYEYITKDLKRKMVGV FNKVDLVSKFTEFAWRKYFEEQFPQLHLATFSYESEEEEEEEDGVCHERKSRRSNDQD CDEDGNESDTGSMDGLGKSNKQKTISLNQVIIIKITITNIIALLFFFAKEDEFSQIME VTAREITPHRDYVTIGLVGHPNVGKSSLINSIMGKTVVSASRHTKHFQTIHLTGNVRL CDSPGLVFPTLLPRALQVLSGMYPIAQVQEPYSVVQYLAERIPLEKILSLSPPNLDKN HAWSAWSICEAYAEQRGFYTAKAARPDVYRAANALLRFANDGRLLLSFKPAGFLTSTK YERLQVEEADRQARQEEEEEEEEEESDDGGYNRGNRIRVGGAFDLLAEEE PHYBLDRAFT_185999 MQETGPREAIEAVRKRLKHGTNQQKLRVLEVLKLLMENSNQKFH RELISNEKMKERFELILNAPGEDITVKKSLVGILGGWAIKYKGEPGMNVISDLYEMGR SKLGLPRRGRSGSMPVRPRQSTPPRQESPPPLPALIPTETLVPLEPSPPVVSRPLPPP IATKEVKRRSLPSVKPIRTTDSSGSRASGSGTTPTGTPTRVFNFAAAKPRIINEVALA NQNSNNLVNALKLINTSEDRWEIDLQHDSRLQDYRQKCEESKKKIVRYARLVEDEEWI GTLLATNEELLKALHMYELMAVGEVPTNMPSPSAMVLHNSRPTSPRSPHTRLPPPPPL PQQPTHIPAQIGYYEPTDTLQSFSALRISPQNTNNSIDNRSSTESIDPFADPVAPVDD IPLSERERRG PHYBLDRAFT_109157 PFIKQQKNKAYFKRFQVKYRRRREGKTDYYARKRLVVQAKNKYN SPKYRLVVRFTNKDIICQIVYAKLQGDFVLAAAYSHELPRYGIKGGLTNWAAAYATGL LLARRTLTKLGLADKYEGVTESDGTVSMVEAIEDGPRPFKAFLDVGLARTSTGARVFG AMKGASDGGIFVPHSGNRFPGFDIESKTNDDELLRNYIYGVHVAEYMEYLEEEDEERY KKQFSTFLKQGITSDKVEDMYTEAHEAIRADPVYKLTKKNIPAKPYPIPQRLNKKQRD SKVQAKKDAFEKKRSQE PHYBLDRAFT_165719 MSSMSWVADKSSAELIPMLKNAYGALKDKEKDLMLAAEIGKSLL ENNLRLKSSYENLLNHTRSIPPTTLPLPTPNPSLTTDYENHDEDRPFIPSRSTREAMI EVLEKKNVELSRRLDAATSEHERHGRNESKKQRRLEAEIKLLRSNLEIASNKIQELED MNARQQHQQQQRQQRQQVVKEEQEDSMEEVVEEMLIKLDVLQDEHEEITQAKAQVEAK LTSTLKDLRKLKEQFETFQFTQKDYSVLCEAYDRQFDHIEELNKSLEDHKQVLQSLKE RGINPSAHSTPAPSVYGRSEYSLRHTLLGELENEWLKGKQDLSTGPSDHPASMTRSLR DLTHFTEQSLGAFYSVPAESTLRSILSRASGIDKHLLDEALSLIHELELDDDMSTDDL VVYHPQSVNSLYPDLALMSSFQVDTFGQDSKTMMGRFRRLIRTLFHSVWRWCRFATVL TTAVLISVWNGPDKMITAY PHYBLDRAFT_165721 MESNSTLPLNALVDKLFTAASISTSGTIALTTSDTHDIIYYLKY LAHLSDQPVNFFPDTPLEYQNTDIFESPSISASATLPSLCNPLPSATSTPHWALSPMD SFSGLSPHESTFEALSNMSEKDTYATDSLQILYSEQIRSANQTPSPPDELWTKFESIN QNPSFDDSFPFTDNPVFPLSPCPMEIIDSTVENNPTPSWSYSCPTISQSQFNAPLEPS SSDTLSSSKNIKNCLSKRTGNKKVITTPYNPQKGYKFRHLVSSCNGPMPPSDGNDSNV NKYRCSNCCRDFTTKFNMIRHRKTIHP PHYBLDRAFT_165722 MENQEEKTVKGVGRVNREGRHIPCKYCSSIRDKWDIMKCVLESE LPRWYLMCIPKQSIWLKIEPRSGKCDYTIRICVLINFERMRLIFTVDDVVPNFETNNQ MVYVFDYAPNLITNRKSSKRKESG PHYBLDRAFT_180388 MPPKPDADNPTSTSQNETINKPTGQATFALPQPIYNSDPQSTRF DELGPRLRALADEFAIMERNLGKLKEVGTSFDGFNRSFGAFIFGLSTIGGTVDWPQAP VKESFENHSHLQRPFVSDLSAVHNTTIEDIQPSDKSPAQEKTKDTIKRITKRNSKETP SRPIIRTGPRTSNKSTGNPASKISVAQSNRFTRKLNTKKIIEQLPIEFRDKKERFDQT KLILESLMSRPDGALLDDIVKSTELPKYAVTVCLNALVHRKHVAKLTQKGQYSIFKMD PVRYPTTEINPQR PHYBLDRAFT_109263 MKHNQAVPASQLYTKLKRVGKGAYGSVYKGVNNQTKKIVAIKVL NLDTEEDDVDDIQNEIALLSQLTHARSQNITPYYGSILNDTKLWIIMDYAAGGSVRTV MKAGDLEEKYISVITREVLLALAYLHKNRIIHRDIKAANILLTAEGSVQLCDFGVAGQ VINSLKRNTFVGTPYWMAPEVIKEGASYDYKADIWSLGITVYEMATGNPPLSHVDPMR AVILIPRSKPPRLEDKFSPAIREFIDMCLCEDPEERLSAEELSKSKFIKGSSKTKSIL REVIGRYDKWKKTSEAAKRCSIVSNDLSNR PHYBLDRAFT_165725 MCNFCSFHQNKNRFCDERKYLFNTEFEDDEHLFQAYARKQPVWQ QVATRFLITPTFLTFEDLLLPTSPLSVKDIPFVTSRIAAIATLNIRRLELEESIQTYN LYRIENRSTTPFFFNTQKSKSQAFLALSKILQINKALMLFPIESNKHCLSTPTEKLIH TMKSPKRYLHLSDILYGHLIQNAGSVPKKDPVRSTTCVHPTHYKKSN PHYBLDRAFT_142739 MNKRKKERKKESPVLVPVAINGKRANGLILVAHLLRAPVFYVGT LMAAPWQTTSIKIAYFVSQKWSFTSMQQLNGRCKASVTKIKRRKTGRQFIVPFMDIFS FSDSLYA PHYBLDRAFT_180390 MSTPPKDHNGMTFSPGSSPNSMGSNWLYNKSARGNSPRLVQRRL TDADHAKPRAFVPQRFDKNEGYNSPRRVSQSFPIHDTPDFTTGPRLIGKPSQPSLDPR QWLNQVTSLVKTRNGSVLGRNAILKMDHFSSGTNTKLDFHLQGAPNFRVAELSVYGVA QPTVIGLSSILALLHCHPKSHTPQSCTWFLTREEPLVYLNGYPYVLRDYASPMQNMST FLGINAARLEKVEERLKDDVLKESKKMGGLLLVHQELADGSVVPCFIAADKVQTPREV FEAFQAQGYRIKYFRIPISPVQAPEDNYFDEYVRVIKTLEPTDPLIFNCGMGAVRTTV GIIVAQIIRRTQLIERGDPDPFPIPGYTYSAQGNGQATEQSSAFSSDIVKGLEEADTV STQNHALLRVIYVLEKALDSKMSPRSAIEWTMERGSLIENLKEAILGNYQSVLSLASV LDSGVFSKRMLDKIIDRSDAVINIREDILTNRIRQTTQTFSSKEDPRNSYLAKAMAGL QRYSFLLCFTAYINESPDTRFETRFSDWVRARSEIWTMIQHLRRKGPQFYLFRPVDDL RNLTSNKNPGVMAGRHGEFGYGQGMFEMMGAGAQSGTVASEMEDFILKARTGVVLTSQ TILKVDFWRHCYMDETENNEMLSRAHTPSFTPVDPLADAQSLEMSDSKKHHIFFIDGV SNFRRVRHTHVYGVAQPTVDGLRKVIRRLLTDKPRNEKILWVNLREEPIIYINGIPYV LRDRYFTLRNIRAYKGITGARLEQLEERLKEDVQREVVNYDGRILLHGEDKEGDVQPA WEEVQVDDVMTVREVMESVAYEISQELNDEVGDDVHQESSVLDYHRVPITAEKSPDIN DFDELRNLVASVELSKTALIMNCQIGLGRSTIGTVIATLLTRWMSQNTQQEVATKTAP PKYLNYQIINSLLRVIKNGLEIKSIVDDAIDKCGAYLNLRDVIESTHIQAESEPDLKK RKRIVKRGILALERYFLLICFQAYLSSTSPDIIGETESFEQWIKRHGELRTIQHELRR QDLSLLVPVEKSMGDGVALSSEVMKVVSARHGQVLAQQTILKHDAFPGCQKMSLKEKI DGAYNFRRVEVKKVKSAVKYGGLAANKSGLAADMERQEDDIPSSPFICGCAMPSKDAI KAVLKSMNAGPGGKRKVLWTCLREEPVLYVNKQPYVLRLFQDPLKNLETTGIAKERVE SMENRMRLDVLEELKEYDSRLLLHDEEQTEKGGFTLIPIWETVPVECVETPAQAFQSI VDEGYQVDYLRIPITDEQAPIPDVFDQLVQRMLSANAGVDALFNCQMGRGRTTTGMVT ACLMSMILKNDSLADMTSSYIVDPSSEPSTPVSSSALGLYNKVVDESFEERERYLNGE YKIILQLVSVLTYGKLAKRLTDQAINMCDHMQNLRKAMYDYKLRLDATEDRNGKKWKA TREVAINYLVRYFYLIVFANYLLEETDNSLGELESGDECEEDTICDKEARKMSTFKSW LNGRREITNIIKLQAVDLS PHYBLDRAFT_142741 MPSHPILKKLGGVKTIFGGLEIPLDTVEVKKPYPKLAVKVGGAI GYAFFTFIWNPIVYTFRFLSRHTNLTEWIIFSMVVGILIGNFAPDFGVQLKPLGTAFI NMITTIETPLIFSTLVVGIAGHGDDVGKVGRLAVKSIIYFEVITTFALAVGLIMANLL KPGSGVTLVGDAGVGAEYAEKQSGISWETELNLIIPKNFFVAAYENKILSIVFCAAMF SCAMMLADKKSKAVMLQVNHSLSMVMFKFVGLVMNYAPIGKTSFPFLWPENRLDQDSL SIGAGLAATVGTSGIEVLRNLGKLIGSLYAALVIFVIVILLPVMFMARVPILGFFRAI GQPWLIAFSSASSESALPKAMERMRQFGCPNSLTAFVIPCGYSFNLDGSTLYLALASI FAAQAGGMNLPIGTQLSIMGTLMLSSKGVAAIPRASLIVLAGTLSQYNLPEQAIPMIM GVDALMDMGRTSINVLGNCLGCCVMSRVEGSFRGDVWKEEEADRRQEAMDAVAAAEAK ENGSINSGRSQVDEELKDIVVHTEKATSSTNHQSNHGIDVFEYESPKPKH PHYBLDRAFT_165728 MFLLQHIHSNTSFCLRSSLFTRPLIRQIHASLYRRSEAVMSRQE KIPSNLSMIDFFDPALSTTPRPFILHHGVSGYAKRGKPINSTITTEQDESAYSSLQFG DDAYFKRHDALGVADGVGGWRTRDGANPALYSRKIMHYAQLEIGRVRDAIQPYGVDEQ ISPVDVMNKAYQLTTQDTVSEGIVGSTTACIVLLCQNELRVANIGDCGVSVIRRDNFI FRSEEQQHSFNFPYQLGTSSCDTPADAQQFSVKVEEGDIIILGSDGLFDNLYDEEILE EVHRCMGRPIIKYDEFGDIEDPSYDDNDPDTNTNTNTDGLAIDTLVPIDADPQSISDA LAIRAKQVSEDMDNPTSPFQVRAMHEGLYYQGGKADDITVLVAVVSLAGQGPVNTLED PHYBLDRAFT_165729 MCANQKKDIERVTITESGNKPEAGSWSFNTPLVTQRLEKGESLN SDSHIKYIELKSRSLSLSIIESKADFNNNFFLGIFTCFVAKRKGTSLPLIKLQLTEKK KLINDQPRYLDSGNSSRLRNSDKGSLVYFSKRRKYLLFQNLDLVNHLLSKVSISEST PHYBLDRAFT_165730 MAGGLPNPVPDAQFSPASTSNSSAISVSSQTPLNTKDSMATSPT RESHVDKSIDATPEKSSEDNGNTTYFLLDISEPSQEENLNNQSEPESVSRRISRRSSD SSSSSVSAQVVIDHGGSLPRRLEKSRAARLLRTGDDESESDYNYSYVPVRNIPETEAL SGQHRRRRSTQMHLNQSFDNAHDDVEEGSRSAVETPSGSEKEDEDEDDDENGDYRNHS HSHQHHRHRRNHRRRQRDPKDRHARRDRKGKGVTVYTDEQEDLMDEMPSWDQERMRQQ SNGNYQPSDDDIPHDHVRYRDRSMRRRTKRKVQGLLQTDLHTHSWSRRESFQSNRDPG LSSDTALRKLLYIAHQIIDEKRSRISRTADIWAWLIRPEMIMSIFTAISLLTFYIAGR IKGDPYQYRVTGALVEAILIIVMSVWNWYICHREQHLTDCEMTDRAATIISALERSGM NMVQDTKIPFIPSMSVAKVVRDGVVRTFPVNLLVEGDVVEMLYGDVAPGRMKYIHKSS HTQDNPDYDNSNANKTTEGPKEQAAAAAAATATNTTATTTTSFDLQTREYYLAQDQTF KPSFFGIPPPAGLMEEYLRARGRHQFLLLETPLEKNMRAALAQERPQTVIANEARKLM RVFYHYIIWIVFCASIVVNLLRFGLRDRLLDDFPADQVAELILVLPFYTILPLLPLCL PSLWMVARSFGNAQLLILFEALQISKTEYEDDSEVDEFDAEAPPPTKDVELSPNAVWD RFCSLLTKWDRLSLTRSTNLLESLGSITVICCLDREGTIANPFPTVEQIMFPNSEEDI SYLDVEEDSDEPFGVKFEDQDWEKNLPCLKPLGLNFMLNTNCGIIQGRKRSDYHRRRS KLHVYGKTSPARQSCLCRLGKCIGFREEALQSFVSRAEIYTFAPYHDILSTPRYKYSQ YFSFEVPNALSTVFEEKTTESYQLLTDGHPTLVLEKCSDYWDGSALQTMSETMEKKIN DFFYNAMVHDMQCIAYAYRPINTANGHRIPFLSPSDEEDPGCAFVVLPHKSQSSDSSS SSSSESESEASLISKASAGPSHTSTKHKRRSSKVITSSGSQSSSEDSSSDYSFEEDEP VDEQEEETFYKEVVKGQIFLGIAAMCHQPKQNVVDFIEDLGLAGIRFVYFSPTAERES KAFAERLGLETDWNSCILLSSPDDENCGNGYLQKHDIKAQLPRGIDQIRPHLEDVDDI PLHVSLFAECTPRAMKEMIRIFQEYGEAVCCIGNALNVKNTESFALADFSIAMEPMHT RAQTKGRLSLNDRQPPLAVGASLVSLPCGLFMQYETSLYAVTQLIRDARRLLSCVRMG FAFYAGSCMSLTIMQFLASCVLLPPILSGYQIIWIMWIILPLLTMSMLFSPKEDNIML LVPGKNIEHLRDLGRFMVYFALRFTLTIIMSITIFVLTLAYSLNITASDIFGGFGERG WLHWTAEEQWALLYAQNFMLIVLGRAGIFNLLAWMSPSFLHRTSSLFEFNPFRNRVWV GAFFTSIILQICFCAVSLSNGPFDLARIPWFVYFIGLGFPLVLVPVQELVKMHDNKEF TRFQKRSKLEFSTKIRCVIMQIILNDNHLHTSPKQKMFNRPFDQLVLKHNQANHYSNS P PHYBLDRAFT_109572 SQGWMEKFGKRHYIKMNRIHGEAGSTDIESLQIDKAAIKEKIEA YSACDIYNFDETVLFYAAPPRTTISCQKFSGWKENKKRLTVGLLCNADGTDKWSDILM IGHARRPNCFNKNNKKQEAVDHGFSMYHYNSNDWMTRSIFHVFLHRFDRSMKAQNCKV LLILDNFSGHIVDYAPTNVELLFLPPNTTSHLQPLDGGIIWAFKAYFKRKQYAKAYQY IGMIQNDQQNKIGAIDKIFEIDQLWSMKWIREAWESVSAKTIENCWNATIFHFIEDKD SEGISKTIYWL PHYBLDRAFT_180391 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_142746 MLYVTILRADLQLSKSYSIHTTIIPTPSSIPMTPFNSTKRTPPN KKSRDVNLSSLACAMNNLSSPPIKADPSSTGRRPVLTSWKRTEWGGDRERWGVRLAMG LKNKREGKLNYPTPTTPTTPTTPTTPPPIHTTPTPIHPTRR PHYBLDRAFT_142747 MLLHAATETKVMVGNKSRGAKRSGMSANLLRLHVFWTHIPSPVS KPASPVNKTQPQREPQCNFSLLIGFWDSNGHSGELTFSGLHLSVGIEVSGDYSDTMYD YVNNEYNVYGVRVKGFNGEVLQHIATFTVLFASHYIVYETVTPYFSQSNTDTLLVFFV RSGLEVKVCQLNRELNIPLFVDLGQMYIVVEVQLLLYFFVQKNFSFGTAKRTAEELVK SGDLASRNNGAGQRVHIIACQTAILHKDPNNTKISLNNFCHHFKRLYPTSILLCNIHL HVHIRGIIWNLSPVYELLKNLQPPFSSPQVLIKENESPSPSVFTPLVKELPVADDTEY LHPLKYYKVAYQFKNLLKFVNSLVKNIVIKMVLLVVNIFQVIIVGPNCDTELAYAIQI PHYBLDRAFT_142748 MNNSQLRTALLSFLPPTALTPLVQPASRLDLSLVALMSTVLATA THIVRTQTRPSQQTYNDVYKPNPRSTLPTGITINNNTSNSKARCNTDVAFDMSPNPES SIGNGGHK PHYBLDRAFT_180392 MSSEFQTDRDFVAAGSTYFGFGITTAFLSALVIIGASVQLRNHG HRHLYIPTIAAGACYFINGCLAAAVGRIITTTVNDEIPWTFQDLTTRELLRDFFSDAF APISWLIVFETYRLIVKPFSTDSPVLANDNNNSAKKRPLWSVINTYFGYLWIVMLLIC EIVALVSLSQLPAGSPETRVYQQLVTSAKLFLFTSFGLWVLPVLSIIQAKISSRHIRP FISSFLSYQFFLFLVIIGRTIKMLSLATFQQTYRTVEFAFVDMFGLLGLSFVIMFGHT WTKPPSPNVKLV PHYBLDRAFT_165737 MPETKGISAKTYMRCCNFAFKSHIRINTMSICFDNPYKNYVCIY WEGVDSPTCLQSFHNDILIVTLKHIFGTLSMKGSIYVYLVNGSPRISKPLINSIILLI STNNVSFPSSTFSFQNNIGYMLKTMKGYKHVFAAHIFGREYSPLDAAYLLIRFAEHLV MIPWMSTH PHYBLDRAFT_59286 MPLQRVQFILCIIILTLLPKCYAPPVSQDNNIYTSKLAVVSILR TILLGYMTHIATIRHVAGITIATTPTRLFFALAYPSSGIGTAVGSIHSAFYGDEILGI TQYMSILKEYEKEDNVSDANKKESHHQDQVKTISQDSLILTKVYTLDSEEEKYDATKK TLSEKRRIKVVRLRDRLVKDAKTEDIDIDIKKGNNAPYLAAFLHVMGPEKARKIKHCI LNDSITIGFNDTNRPGNNGYDCDTEEITITGPGLGCDKQRRVYPSDIRYMTTNMIDQL EGAYNVDDTSYIEIFVTIGQLFFTTVECMDIDGDRWAKVIIIIYTTMSVLQSVSLLAL HKQTMAFSIKVDEVEEKSCAEDSKISTETDHRLPSETEDFRNHGAEIVVGLSNILGII IFLLIGIWADYSIHSTTEWLVISWILSPVILSIAVKLVEILFHCTFDGSNSLVYAISS IPIVCLIAATIIGYLPK PHYBLDRAFT_123193 MQYIGAIDQGTTSTRFLIFDQQGKLVTSHQVEFEQSCQKPGWIE HDPYDLLDSAIKCADEAMRKFEWMGNDPRDVKAIGIANQRETTLVWDNRTGEPLHNAI VWGDTRTNKLVKRFAKKPDAEIIQRLCGLPLHNYFSALKIRWLMDNVEKVKTAFDKEK AMFGTVDSWLVWNLTGGVQSGVHVTDVTNASRTMLMNIETRQWDPQLLDFFDIPASIL PRIVSSSEVYGKVKWGPLEGLPISGCLGDQQAALVGQKCFSVGEVKNTYGTGAFLLFN VGHKPVFSTHGLLSTVAYQFGPDKSPVYALEGSVSVAGGAVRWLKDNMGIIEESSDIN VLASKVEDTGGVQFIPAFSGLFAPYWRDDARGVLVGLTQYTNKYHLSRAVLEAACFST RAILDAMKNDGNLPLKVLKADGGMSNSDVCMQIQADVLGITVERPAMNETTALGAAYA AGLAIGVWKDTDAIQKLTVDTKDTFQPKWKTDEREKRYAMWEAAIERSYGWTDIYDSS DES PHYBLDRAFT_142752 MITPTFKVEQDDNSITIIINTPYVRAQDVDLHVSGTEFRFFLRP YFLRLYLPANVVEDDDSKAVYDPSLGQFTVKVSKENKGEHFPDLDLLTKLLARRGEAA DVSVDQAQPKKPLIEVIGSQDNKEDVSMEESTDQIENEFQKAADFNWELPQELPADDL MIHSVYGFNNQYSGYFTHVQETMNEIIDIREPEKSTIESRRQDRLEQEELKFDEDYYA MDFVNDEEIQNAIKYKTIWSKELKRIQKQAKEEEQQKKQNEKKSLIQEITPDLTQSNV DIDMNGLSIGDQNESLIKFTPKEEALMRSLPNKEYLLSNEKVTYLGLVDLLFAYSYNH RVSEGDNTVESVWCVGKLSPTISCLEQFTTLKDTIIACCRRVLSYPLYRNLALCEKVL QDVYVLFRLGKRAILKALLDMKDLFDHHDVYYVYSKIWLDDYCVWIQHASDHVIRTLA HELHHFKVPKEELGWDLSELEEIAKQMNDLEAEQGMNGVEEQGMDSL PHYBLDRAFT_142753 MTHYLLKHVWGRNYNVPLKSPSLIIHWCCGTGIWCLELAEEFPD AKVIGIDFKTAPLQNIAETRTNLTFQDITICEGHTGLEGYPDNAVDYVMMRDVWIVNS PIHKWHNILKQVLRILKPGGWIEIIEQDIRVSKPGPSFVILNKYGNMFLENIQARPGI LEELEDMLKDTGYTNINSTIVELPLAMRESGYLFKDLSERRFRVFAPWICEYNQLPAS VFAETLSKAMDECETSKSRISWHCFLGQKPL PHYBLDRAFT_142754 MGSTLSKRKDKCTLSDARRASRFSARTSQTSRRDHHSQNETHSQ PVIQRIKNASSFNRRHSIEAPRLKNSTDYPNDDTQISQGSSIVAAAIYTAIGLTSIDS ECQNTQTSSLPRTLSEWSPERNKYDIVPDIFVSDSDRWATDEPNPSSLIYPDTQDKES RPTRQTKAILARGAPGGAAGSEIPKFPSERILVDLFLSDDHEKAMRKERDR PHYBLDRAFT_75844 MSLINESELRVNPIEQQQEQLGTLKSLRQKTQLLVDCKASLTQH TEALDIKQGLLEEATSERQRLQKEKKVLLEMIHSVQRDMEAVTEIEKSLGKERDNLKQ TVQRIRDQEYEPLHEQVNVLRAENGLQKLPSVEQEIEAQMAKHLEDRREKWQQTPPVE PTNRSRSGRWRA PHYBLDRAFT_180395 MFRALTTSTTQLISQTLSPLGQSARHMAYKLKTHSGAKKRFMPT GTGNYKRWQVGLGHLNSSFSAERVNRLSRVVLATNTQKKMLRKAMPYTCS PHYBLDRAFT_165743 MGQERSNKEVDEVFAYDLMITEYRLVDFQITMFYIEKIELGALP PPQSKTKKKFTRPEEIGRYRFPRIYLLDRHANHLSVYCNLTDAFFPGLRSNKYLSLCI GIWVYRASPLKQDYHMSFNGFPTQWIPNYTHRKCNKVQYQLTQLIRQNEYQQTTFMSL ADRKKLLAAAQSFVQEIVGNIIQTHKKNVMMSQNVSLFELDKE PHYBLDRAFT_75845 MSAQFNFNRLPHEIISIVMNHLPLKDLVRIERTSKLIQDFCLEE IEHRMSSGSAAQEWGLMIHLGQTIASPSQFDPKTKTIAYSVPMDPVNINTMFDHRRQI HCSLLRRIPSTPNYNLSEPFVITIQKGMAEGHTEQLDIQGKLCQVQASITRLVTPSQE GQDDKNKKLILAPAPLTYALQVTQMRLPLSTLATVC PHYBLDRAFT_154832 MNEFCVQLPKVELHAHINGSLSPDTMRVLVERKKDSNPSLADFR IPDSLERIDDFFPLFRFIYQLTDDEPSVVIATRNVISDFERDGVRYLELRTTPRENPA TGMTKKSYLAAVLSAINEVRKSIVVRLIVSIDRRDTLEKAQEAVDLALHFRSQGVVGI DLCGHVMEGSFVALRPAFDRAKQHGFPLTLHFGEVKENMAESLELLSIQPNRLGHATF LTPERRENIYAGNIPVEICMTSNILCKTTSTYEEHHIKDLLVEKHPFIICTDDKGVFF SQLSDEYTIAAKTFGLSKNELYEASYRTIDAIFEDETIKQNLKKIWSEWYKDNLATQ PHYBLDRAFT_165746 MSFQRLIRHVPSLVASGLVLARWSHRPLVKRLYTTKSTPRFISV QSSRAAVSSQGASSHDSSTQDSRASEIKDAKLIFNQAWKHIEDHYGRENIHLPREFIF LMGAPGSGKGTHTPSLLRARGITNSPISISQLLQTPECKELINQGQMISDRYVIELLL HALLNCDPTVGILVDGFPRTDVQVEALKMLHEKMTELRSEFYNTDRRDQFPRPVFRIA VLYVDEEISLQRQLARGKMIREHNAQIKKTGQGELWEERVTDNDETVIRERYSIFKAH YGSLLKLSKMFPFHLINATGSIKEVLDIIMKEFEYQSSLELDSDTYDAISHIPLASKI GIHARLDLISRLEHYQDTEPSTMKQAIEYIDEHVTPHIVRHSISGHAMIRTENQLLDD SHFVNIVMDVLSERGYHVSFDSRERYVPTAVDLKTGKINLETHHIHVLTIDFPKHYIR ALEQKFS PHYBLDRAFT_142761 MGKEKTHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKFMVTVIDAPGHRDFIKNM ITGTSQADCGVLIIAAGTGEFEAGISKDGQTREHALLAFTLGVRQLIVAINKMDTTKW SEARYNEIVKEVSSFIKKIGFNPKSVPFVPISGWHGDNMLEESVNMGWFKGWTKETKA GVKSGKTLLEAIDNIDPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKAGMIV NFAPAGVVTEVKSVEMHHEQLVEGLPGDNVGFNVKNVSVKDIRRGNVCSDSKNDPAKE SGSFTAQVIVLNHPGQIGAGYSPVLDCHTAHIACKFAELLEKIDRRSGKKMEDAPKFI KSGDSAIVKMVPSKPMCVEAYTDYPPLGRFAVRDMRQTVAVGVIKAVEKVDKASKVTK AAAKAGKK PHYBLDRAFT_59296 MDILTYSSSAFIIVTVLYRPFITKLDRVKYFMFTATSFCVTLLY IILSYSTGNDSGSAKVNLTFPLVDATTIGAITALSVSISGLFTRWDLPILFIRPGVSS CLYNMISRRGLFFIALSSQMNYFAQWSVLWFASFLCIWSVSGGYLLRRRQSLICSSSV AAVLGMSCTHLSSSMSIMNNWKFVNWFAFTSLVVAGCCVLDHLDALLNTFPHLVLTNP RDHYIIQNPLGGDYWRCFVWLLFHMPSENNIPSGPIDDLRVVIRLLGPVSRSWKAMSA LFPTNLRHELCLLYAFFRTADDLIDNASSKKQGIKHLEMLKCFLEEVFSCNKQYSPLA NDPSLSSHIHWSFYAGLLSPDALSVFRSFARVSVCLDQTVVNELVNAWKLDLKGESLK HEEDLLNYADLISGTFGEMCAHVIMYKGGHGNWNLNRQVRKENILKQARAVGQQCLQL VNISRDILSDSLDGKCYVPLQYMLNPLQEYRSLTVCRAPFKLGRQTLKAYALKMLELA DQQTDLAYKGIEGLPYEVRDGFRGAFEVYMAISSELRQNSSFPLRAKVPVWRQRLIGI GHLYGLFRLLDNLQNTYERLVHLSIHFRF PHYBLDRAFT_158126 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_158127 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINEELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGDREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_186010 MSKFLSANQDDDYTEFSFLSNNISISVFTVLNIKNIYKRPVSNF KIFYPKTSTLIGCLSILISVINTFKVEPSKIILLWWLKFKKFLRGRIEDLKLGTKIRE NLCSPNEVLTSKVNVFMSYNYAYNNPLMYPILW PHYBLDRAFT_165752 MGILIDRIHIDIISRKNFRTHRFVRKDWRKDVGLRASSHKKLVW QKKVVPHPENVVPKSPNEVLTSKVNVFMSYNNAYNNPLMYPILWPYVANDHQRYLTNN YIESWHNQLKTIYFGCTRIRRLDRLVFILTNDVEFFYDEEVERIHIQNGQMDPIENEL ARHSFSANTIQDDMLPFMIINPLNKIGNSMEDSNSKESNHLQLQRSLASEHEVAVVNE EVENETNIVVVSGRNNSVWLQRIMAQNTTLYNQREDLEQLMEIPGIDEAKLQVISGLL EEAMNLIDTLRNANSSRFRNLNTQR PHYBLDRAFT_59299 MNHIEAFSKYFIFTFPENINFTQVGKIAATGLKIQSAFFSPLGD IPGPFSKRFFEGSYFSKKKPGKRDYHNKYGHLVRIGPSKISVSDKDIIKQIMVTEDFE KSSIYSKMKGKDEWNTFNMIDKDTHKQRRRLVSSAFSIKYIKSLEPLFESVTESFVKR INLDTERTKEGDEFGVIDIWQLLRCLTLDVIGETAFGGTFNMLENDDHAVSRAIVKLT RGVEFVFYTSPLADKFVSNIISERTHSKEKRNDILQILVDTQHAKNTKDRMNSRQIAS ETGLFLIAGSETTSNTIGFALIEMCRNTDVLTELYAEIDKVDLDEGRILFHQEQIKNL PYLNAVIKETMRLNHVASMGLERMVTHDIIIKENIVVPKGTHVRCCLHVAQIHPDYWP EPLTFNPNRWLKNADPEPCTDAFFPFSLGSRNCIGKDFSMNEMRLVLATLIKNYEIKP ISEQMKASEEKHHFLTLTIESGSFKVRMKPRAT PHYBLDRAFT_165754 MDKLEKSSHNLIFYIQHNVPIIQLGKAAISLLAMYYMSNKIKCA LFDPLNNIPGPFYRRFFPHFGNIGLKSGERFRLTEYYHRKYGHVVRVGPDALSVSDKD IIKQILVIDDFEKGPAYSRLQNGGEASMLDLISKDLHRRRRRAISPAFSTKYIKSLEP FFASSVESLIKKIDSEIEKTKGNEEFGTIDIWHITKCMALDVIGETAFGGTFNMIDND DHIVPSTILKLMKILEFVISYPWVTKIPFLKRNKRIPQLSNFVKNLIKSRLESNVKRD DILQILVDTMEAEDKRDRLTEYEIITETILFLVAGSETTSNTIGFALIEMCRNPDSLE KLFIEIDGVDLDGGSNVFNQNQLKNLPYLNAIIKETMRLNTMPANGLERMVTRDIILK GDIFVPKGTKVRCNISVAQVHPEYWSNPSAFKPERWLEDSNEKASPSAYFPFSAGSRN CIGKDFALNEMRLVLATLIKHYYIEPIPEQMVAAMEKRHYLTLTIASSSFKIRMKRRF S PHYBLDRAFT_165755 MFLFWKSEIAKYKCCCYHQYYTPKMVSSRVECTDFKHIVPFLKK NTSKIGRLMLFDKEFFIEKESRFIRGNAKNAWTEKVKWLKREKHLDTRSIFHLRKFKS M PHYBLDRAFT_186013 MYNPSKRTIKRRQRTAVPQFLLDYFSEDVPISGLNYIPTENPSV SEAEFSSPRLKYTYTRKKRARTMAVAPVETPSENIAASQTDLDVEIDFDTYEASQTQK RSLYNKWVDLLPQLADSFLRYLGRCKDGCLDKKVIAPLSHFLCSCTKFSKKNIYMFFL HSSGTFEVVFCGCKSIPEQLVEMGMLPASLNNVQYAIHFGLLEFMRDMRDVLATSGQG LADLYNKINLGAERQISKAYCQNLLHVFIRLTMIVEAKVEKLSPGFRESNCCPACPDV DSNVAVDDCRYVAMDGNFSLKCERRKDGEGDVGEELEQVEAQLKQVWIGDDVVKTYEK ERAEEGVGRFDSNFHAGSGSLAKSIKYPIKGLFAASCARHESVIKLVDMETGEGFKYP LSIINQLLGDSGSDGQSADNSPNINVMYDVVCKLAKSLKANFPGLMEKSKLAVPIFHA YAHVQHCQVKLNPKYRDGFGLTDGECLERLWSYLNRFVTMTRKMGQANRKLVLYRAIK FRNETKKVELGLMLESKYVKAKRIIEESRKALEGFDCVVIEREWKQHVNKVEKSENYV DIADLMESGRKVQGNIALFLVNFTILRRLRELANDANGNHVKDEINRLKHEMEKLKAK IQEEVEGFQEPDEENTNLIKYIEENAQLGYNEFLAYKKTYSKMRLEPMFERIMIPRHC FTNLAISAQKKQNNTKPA PHYBLDRAFT_154834 MSSPMLIGVSFVITKKGLQKASNGNGCASDSHRYLKNPVWWIGL LTMVSGEILNFVGYTFAPAILITPLGALSVIIGAILASIFLKERLGPTGVIGCLLSVI GAVIIVLHAPEDPDVQSVHELLTFMLRPGFIVYAVFASLITIALIWKAVPRWGKTKVI VYVTICSLVGSLSVMAIKAFGIAVRLTIGGNNQFTQPSTYFFAFMCIIFILTQVNYFN KALDAFSTNVVNPIYYVCFTTATIGASAIMYQGWNSASPINTMSLICGFLIIFSGVYL LNSISHNHTDAPTLRLLPFGSTSSLHHYLSQIDIEKATNKKHNATVTPIGQRARCMSI PHYBLDRAFT_59304 MSGDRRLSNSLGKNAIYAKDYKELLSLDCGAILFDILLFINLLT RIMSFSFFHLHIPKVQKHQLEPDGLILCRKKGYDLWSGRIKMSPLTKKYRPPKLRVHA VQAVLPGCPTLEKILVKQNCTNLPIMNMISKEEASCIFFCKKYSEENSMSCLNKIERM EDIELCYSTNSRRPSVQSEATIYGNPEEYHTYSTKEELKRKNAISDYEKEGGITFETK AQLLEFVNYVYQPNDESDPKKPDYIQKNILIKDIFRVIIRYSEEFKSVDSFTTWCNQI AKKISSTLEDHKTYMMLKNEGFHIIGYCRKSDLKKEEKNLGNLLQQMVENLYKCSLVD SVFVSPYCNANVPFSKRDLNGKTMIFSTLQNIHGDPKDKKKICIVCLDYAGLTTNILD LKHVLQNNENIKKIVVDMYFSENHFHKLDANDLLDDKELINVFDCRTYFDIISIASHP LHLMTSCLWMSLVFNLSKEGKNEERVAWLIFNFHFIVCSNPSLTYFSVNTTMSNAPKS QALPLNLKIIESQTISIESANSFLDKFLHDGVAIHAANNTVAAQLHQLHQGLKEEKKR LRNTHGHVDSDEL PHYBLDRAFT_75850 MSHFRCPSSSLVGAAGYYAYQRPSSTADLPHTNRGVFDSPYTIS STAMGSLDKTDDDNDGAGSTLSYSSYSSSSSSSSTILASKPTISLQHPNPHSQHPRNP PINTTEDNEGQQRCWICFGEDSDSEGRWVRPCRCSLVSHEECLLDWITENQKDSPTKK VHCPQCAAPYYLSEARNIPLALLGLVDQWVHTAAPYLAVLGLGCSMLVVSTTYGAHTV LTLMGEKEGGNLMGHPSTWSWRTWVGLPMIPVSLVASRSRWADAILPAAATLLLRATG SSSRTRLRWPPSPAVMLGLLPWVRLVYNGIQALVQRRLSFRLSDTTYRQQQQQSHQTT SSSFVALVQGQAGRAATRTGTGRGQTSDLVEHDVNEVRNQYQNNNREDDIFNDDGPGL SVTIIGALLWPTISSIIGSCLSRFKPVQKYFPDPFHRNILGGCLFVVAKDIANLVYKY ERIRQRRSRHVRNYDEIKKGRP PHYBLDRAFT_154835 MRLIVRQDYDEVSSYSAYYVKERINQFEPTKERPFVLGLPTGSS PIGVYHKLVEFYAAGEVSFEHVVTFNMDEYVGLPRNHPQSYRTFMWNHLFKHVDIKLE NVHILDGNAENLDEECRKFEAEIAKLGGIELFLGGIGPDGHIAFNEPGSSLTSRTRVK TLAYETIMANARFFGGDVSRVPKLALTVGVATVMDAREVVIVITGAHKSLALAKCIEE GVNHMWTVSSIQMHPKGLIVCDEDATLELHVKTVKYFKSIEHTAKRRRRLMLQSLLNN DDDDGSNGSTLSSSDETEDIVSKRKRA PHYBLDRAFT_165761 MSWQPERKILLSKSPHVSLQLYLLRCKKILKARSSFHANASYYK HNILVPFPNSVSKSHFLFIAECRRHKRRCSYDQPCTRCDKFNLECIYHETMTPNDQEY IKELAMLQEVEVLQDSLTEMENELQQLRSIKQKAHSPISVTTTPVAATGYNNGISIDY QPTQLASPPASPLETTSPRKRSKKVTQTKLGFKVAVEKNEPCESTPWTLTVTKGQFEI STFIKTHKDLQNQIQNIASTIQYQSIVPSTWNSHTTPSPVVPLLRKMVNKRYNKSFMV NMSPSILLLTQAGVLPQESNQHINITLTTVENTTHQLLYIYTQCQHLQHLAIHIPTFI SMIATVTETENCLLVNALCAMICSLRCKHILMVIPMEDLCGYGEYYFEKARDLLSDKF DEVTLETLAAYCLISLYKYKTSHLEDSNHYCSMATRMANLLEPEYKDSQNEDETFKGQ KTMYFRLRRFLEYITSLIEASYFTFKKAKHSSMNFHERYRSGVYTPYIHRTVVESKLE PAEGDTPEEVRAIRMHNTVEDLQKEIIFSLKITQSYDLPTFIELFGHRVEASIRKWYS KTLPAEDRLSMPLFDSYIRDEVYFATLERECQHSPIPCLTTLIAYREYLVLSQSYMPK VVYDVKRYVEHLKHWRTTGGSNYTEDCANNKMNRWIQKVAHMRDMIGFDGTPEEYRNL IYDAMCPSALSLDFPIYHISIHTAINTVRLLQFLRTASFSCFFDIQLAMNAYNILFRA SRLHYQRPGDYHSTIESIHANLIICFAMIQDEFYKWPHQSKNTEKMEKMEREFKEEIS SVKPEKMYPSP PHYBLDRAFT_59309 MRQLQYRISSSTFTSFNILQPQQPSNTLFSSSPESRVVSLLMNP KYYHFTDCYTAGTSVPHADTVPANNYTIESITSKENSMVLDLFHIFLRAHPIFPIKII ELKSNIVKSDLSDVSALNVKC PHYBLDRAFT_142772 MRFLHQPPIHRLGLDVLQLIIRKIDPYSLSALSQTNRFFNALVQ CELSLRSVTWRHPPSLTITSNEPVIDSPSHHNTSTQSSSEPEELCLSMTPPVYHMRCM ARVGTKVFMPFMTPDPVCYVFDLITLNWEPIPRKIVYSCCSQSTDKYQPFITAVAAIG SKIYMFGGRQVQSSTLSNSMYVLDTNTFVLQKLHCRGNSPQPRFDHSFDTLYNRYLVV FGGLCLDSSGENDLYIFDTKTDMWIEPGCQGQIPSPRYGHASVMVGDELYIFGGTQVE ADGNIVYDMLYKLDCKTWIWSKFDHPEAQRYRRKMYRNSQDISDADYLPANMDRQISF SLEKDCVIETTGNPPRERLQCSLLYISNKLMLFGGQTIRQNREDTNVLHAYSICSVDI FDIRHRHWSKKYTKLIGIADNIYPQDVCCFSVQDICDPRLPGQRLLVLGQQREFDSPT ASSGTGFSGSLGGSIYESDSSRHSSCIYGQETSGLNTQNSAGGTQDSEDCSNESEYTF DPTCGSGSVCTWSSNSAGLGPPIAHHRCNERFLRSPCRQPLGQGGLRLNLSHNYRDSR PIADYDGILNNNNNLEYNPDFQEIHWDFSDHSAPATPTPTQYEQPNSFDQGTSQPFSY NTSNEKQEKDDKHYTSTFMCMTLTINEQAIF PHYBLDRAFT_59311 MSRGIRSIVFDKWVCDYNLNKTRGLYFNTISNLYRITGPVPYRY FVYPPLEGLVCNSGEEMSNSIVFDIGAQLNYLDPKSWDYILFLCTSRSYRNTLSFVCQ ERKLILSTFFLFFLLDDVWVEKQ PHYBLDRAFT_75851 MGSAVSILSATAFSWLISQLMTGVLWDGKNQDGTPKNPWAPSPS NQPYIWNAYDEVAERQYRAFTDWAKELGALISVKIGQKRMVVLNSGSLVQESFVRLEQ NNSARSIRDGVEVLMTDSGKTVFAAPFEIYWVRIRRAIAIIIGAAYIAKFDGLFSAQA AKLSQGIQFEKSSKNGKPIDAEHLRQLVNLIAMDTSLAIVVGQTNTDPATMLLISEKC REIEAIQANKWYQYGHFINIIQSTYSFLALMRKSLTAIAQRNDLLEVFLEWTSPFIAN RGDQTVEVRTIAESLLTILPSKNDPEPAQLTADQVIVNLIHITLHSYKNLSSALFTLI QRLASLPELQERIYAEVLSVKDKEGTLDDWPLIGALINESMRFEPPMRMYSHTSRVEH DILDGEDKPFRIDDGTEIIANLDAIHFDEQYYAEPHTFNPDRFLVKEKKSVSILDKRT ERNDDNKKSKNKFMPARDHLAFGAGRRKCQGAKASERMLAATVARLVKSYKLEGGDAN VKVDYHSNIWSWTGRTETKGDEIKFISR PHYBLDRAFT_165765 MSVTWVNVTHYNQLDKVIQDYSDYSEVTTPLEKNCLVLIRNQNK IKEKRAMFFVEYNVAIQDLFVVMIMVMTVFVFVFVFVLMSLFTHWQSIQAENFIHTLT E PHYBLDRAFT_142774 MESKIIKTSTTSVPRNHKHTQTRSEYLLTIPSTFCQCCGVDVTR FFISG PHYBLDRAFT_158132 MSGLRPGSESGTESGVEQLVHNGIKFHEAGQFEKATELFRQAAA LDFPIAMFLYGVSLRHGWGCKKNEHLAFQYLQKAAEHAVLDINSLSSTVNTSASKGEL IMAIYELGVSFRHGWGCKKNKETAVYFFKIAADLGDADAQNDLGHCYYNGHGTKKDLY MAAKYYRMADKQGQGIMGNSWIHKAKYDKAK PHYBLDRAFT_165767 MACLGSKSRLGHTKYTISIASYAEDYHELLSLDCDAILLNILLF IGPSYTYRVFLFRLLYLYYQKVTQDLPLKKSQKQGFLIYPPKVLSHAMPSQAKLVAEL AQLQTYILIARLLFALVQTTQANMASSAPLVILEQIVNQISWRDKMTCLLVCKGWRSS LLSLFDTHVDIKSVEKWKIFYTSCCDTLQDDYPIGQSIRSIAIRCIVPNEELFHILKL CPRLQSLLINPSEPLHIILSEDRLSLNPNPILPTLKTLYIRTEIKFLDNQDLELIQRQ CPCLRALTFVAPRMYFDPISQTNSLESVKKYDSVEYLRFIIEEDTMEDVAQWLKYIGH KYPSIRTLGIENSSCADHRSYPEGCEEAAELLFQQCRLLTTLELKNINWGDIMTRQMD RSKSGIRSIKLDHRDPAMAMINAGSLMSCQFRNLSTVSLSIPFRAYSHDGAHWCFREL KLSHLSLKGYRETSTDRIPDISLSDMPKLESLTIEDAMLVDRSFIHDDYAENSIKKLT LRNLVFERLALESLSRLAQGLTHLTMDRCQLLPETGEYEDDSDDYVWDNGQIKVDLRS QSLFSIDIRNLSSWYSPTKRYAKQAENTTIFQIIQMSKQAANSASLFRIRADGPWYQK RNKRYVCLNEDEVCAVKDRLIPPRRRRPAADPEFDYLNDIQKGFITIYCKSVYYLYID SQWIL PHYBLDRAFT_165768 MDHIDDLILSLAKPGDAGYVPAEDIHESSSSAELADEMPLSGKK SGKKSKKYASESDDDDNVYSDDENNHKSSDDEGNGGVDEYGPDLYRDEEDKKRLSALP EVERERILSERSEERQRNLERLEVRKLLKDGRRDDITRRSTRVKETTTSRALSELTRK REEKSRGHTKRHRKDSPSPDRRKRRRSHYSEGSEYENSDNERDDSGDESRKREPTLKE LHAICLKREMIERWLHTPFFEKTAIGCFVRLLIGPDPQTKKLVYRLCQIQGSDSTTSS DIVPYHKVYKVAPDIFSNKAIKAKHGNAERVFPMDIVSNSLPEQHEYSRLCTTLETEK LPGPTIEYVQRKAKDVKHALDYVLNDKEVADMIARKRAVNGSSGNGAMEKAELLARLG DAKSNNDVDKITQITKMLQQLDENASYNNAGDGRQHIWADLNKRNRERDRIEVHEAEM RQSEERRRAFIASTQNARKKQLESANNASPTATTPASTNGQSLMMPKAVSKEAVEVGK ATSTKSGYEDLLSKVSASMDFDFDDDDD PHYBLDRAFT_142777 MTAQLEECYLTLEDQYEEAGLQRVYGDIEDSDEKLLSVYMYEER ELIKEEGVQRKAAFQIESPPEEDVLNLQLKEQLEKTVNQLKVAVDHIKNELKRARSAL SSENTILEECKTIKTGFAKKLAEAQESGNSQRLPNKFTEKSRRLRAEIRYTSGELIKF ADTYFPSHAVDGEEGNEDAAQCELKYIIEDLMNKGSNTADLDPFIELQPGEYWTPYIE TLVKAGIAVYHPQDARKICLADFRL PHYBLDRAFT_94084 PERFFSDDEYVLADAGYKATNYIVPIKKKPRNSELSLADQEFNT KISSMRVKIEHAFGILKERFYSLKSIPVRIKRKEDVVKVNAWIRVCVALNNFLM PHYBLDRAFT_165772 MSTPSAIEFVSHQEDDNQSHSWMKPDGGKDGLSSIDRLQYFLLK DDADNLRKYLGGFKNGKKVKVSKTRVINDCCQYFDKQGVKRTSSQIKSKLTYLITKQY PIAFKAWEDSTMRISEEEMSQREKDELEVYLNDICPNFTQMRKVLGESKAKSPAVCNT TTPLDLNKAQDMSKDEDGEDGENDGGDEASESSKSCKDQEEQGADVSNEISSQREAIV QSNSESSTSEHSDASAYSGKRRPKFFGKIVKNTAKRSVKSIEDIGHGIKEFNDARISL LESKYEEELKNQKEKLQKELQCEQRVKGVILMAKTFDWSEEKTKNELEEVYNQYLN PHYBLDRAFT_165773 MEIFLEFYIKEYGYLDFYYLDQNNRSLVFSSEATELLELILYLL HVSYASRPQPSRRLIDKRILLEIFRSDRFFPCPSFFHILVLGHNHAREVSTDAGLLLV ENYNQYPSAVPSGAAAIFGSLTKSSKKGQEKGNAMLIMLSLSNLSLLESVSAYRQSIR MQTISPVSKSPPHQYKNS PHYBLDRAFT_59322 MTVSASKDVIRCAKQIYNHLGLRHRISLLSNQDSQPQEVIQLET LHSIDQQSLNSVVKAFLQWSPPEGVKKTIQDLIARVEDAIVELCGAPDDELLSDDPLD HDIQQDDDILLDDDMLLDDAMLLDDEILLDDALIEDEPLEDDLFDGLFGKIEFDSIFD DEFDMPTTPSQQAQCTVKRALPDKKIPEAKKSRKESAFHPHPPPSPPRLFHDEDDMQS PPESTPPLSPFSDACSLETNTAENTICSLSNSSRCFDNVPWLIQFEIARFLYQYRLKM KDLTFEALQKFFAVIKVDPRQLYQVMLSWYNTKQGKSPTDHSSYALMESCSDQVWNHL ESNTKNRMIHYGAIVKLSTTEPPKMLLRAPTVGASNRFFRKYGQDRFLEMKLSKHSDP SLIQQQKEFFLKPFIVMGRTFEFLFIKDNTLILFATKGPKLEEIPIFQVIRWHIPIEE NYKMPLNKFASRMTLGYSNSIPTLLFSKESIRYVDDIYSDKNGEEETCMTDGCGIISC AAMRKIMQLEGKDDVPCAIQGRIAGAKGIWILSPELDFTSGEWIEIRKSQNKFKTGVL QKDASIDPLHYTFDLVKRSVCVYPASLNTQFIQCLSSGGVPTEVFVVLLQDYLHRLSS VITGSKDIKLLRDWVVKEGNLMRTRLDADIVEKGLWVERAKEEDTYVEWDTEDTDDVV NISPLETQWRINKYSGFPAMSHEAIVRLIDSGFDLTNKFVAGRVTQVFRDAMRNLTTK YKIEIQQSCMATCIPDPSGTLKEGEIFIQLSSRRVEELTGIKAGVITGDVVVTRNPCG LQSDVQKVVAVDCPALQIYTDLIVFSIKGERSLASMLGGGDYDGDIVFCCWDQRVVEP FKSSPVPPIPIRMKGTFVQNNTPVRALLSHTRDPVKHGTIFQKHFISISSPDSILGTY ENWRTVMAEVKSLEDKNVIYLALMCAKLVDASKQGLTIKDEVLKRDQIEFQSLKKPRW FLDKRNKMRYKDFVVGESEGTGKGQHRPQTTMDHLFVTLLKETDTFEKHTKGLTTNNK SSLIDSDLAEYWTNMYNTAKKNDDTNLLEDLEFIKKKIDECVDAYNKHCKQIRVRRYG RVKKSAPVNDEEKFSTTFDLEEHFSSIYHGITSTKSQVLRYDARFNGGQIMGAIKASY AYICTIDNGKFSNYCYVVAFDEIRRLKADASTRPFKENGLSESMSPELYIAMNVDRSW MRRLNASIMAKEMEKAKNNKSKALDTSSKLGARA PHYBLDRAFT_158133 MCILIDRYPVLFAYFCMSVSVSVSVSVSVSVSVCVLHLKQSKSI TTVKMMVDKVADSNDVWVTLLIDGKEVNESLGCKKKNPKPWHKIKSNSGNHRSKP PHYBLDRAFT_186020 MTPPVPTYKLNSGYTIPLIGFGTFGGADAPDAVYKATKVALKVG YRHIDTAFYYETEEALGKAVKESGVPREEIFMTTKLWQTFHEPKHVRPACELSLKYLD FKYLDVYMMHWPMAWEFKGYDFKSIKVRDESNDIKCIDVPVIDTWREMEKLVKDGLVR SIGVSNFTIPMLEDLLSKCEIPPAINQVEVHPNMPQEEMLAYCKSKNILVTAFSPLGS PGFPQGGQIKTLEEPVILEIAKKYNKSPVQVMINWGVNRGYAVIPKSVTPERIQANLE YFKMDPEDIEKITDIGRKNRVRTCDPVFMFGKSNDVFHEHDKK PHYBLDRAFT_142783 MTISIKRVTYKDKGKDVMTVFIQEVLDPAYGCYVWPSAIIMGDY VWNQRHKFANRTVLEVGAGTSLPSLILAKITSPPRLILSDLPELLPVIQDCLALNKIK PSEDQLWVRPLVWGELGLNGIDQLTEEVSCSWDTIDYILGSDTFYDPADFEKLIMLVS HVIHRHNKNCVFITAYQERSAKRSIQYLLDKWSLQCQLIPKESFELESTRAEDVNVHS GTLASVFLLEIKIRL PHYBLDRAFT_110377 MGRRDEDSKAFLPGPATQRKNDKNHPVSLIIAVVSFYFVISLSV VFLNKIIMTGSDFPYALFVTWYQLVVALVLLLIWAHLGKSHKAFSIIPPYEFDLGIAK KVAPLTFVYVMMLALNNLCLRYVEVTFYQVARSLSINFTIIFTYLILRKATSFPALVA CGIVFMGFAIGSYGEINFSWAGIFYGVGSSAFVALYGIYVQKTLAAVDNNQWKLLHYN TTLAILFLFPLVLFSGELAEILSSSEAIYSTNFWILMTITGVTGFGINIAMFLQVKYT SALTNTICGTAKACVQTVLAAMIFKNEVSSLNALGIALALFGSGYYGWVRYKERQAK PHYBLDRAFT_142785 MRKIICVAEKPSAAKAIAGILSNNNFRTSPTDDRYVKNYEFEYS FDNRPAKVIMTSVRGHLSEFAFAGKANKEWYSCEPIALFKYPISQNVAEDYSGIEKNL KILARSASVVYIWTDCDREGEAIGGEVADVCLSVNSHLEIWRARFSAMQPAAIQRAAQ NPARIDQRQVDAVNVRSELDLRIGAAFTRIQTMRLRHMVGDRKVLSFGGCQFPTLGFV VDRYLDIQGFIPEIFWKIHMQHTKGTNGQEQTTQFNWKRNRLFDRHATYAIYERCIIN PVAVVTKLDTRNVSKRKPLPLTTVEMQKTLCKILRMSGEHIMKIAEELYTAGHISYPR TETDQYDSTFEFQPIIEQHTEDSQWGQYARLLMGQSFERPRNGSNNDKAHPPIHPTAY TNTLSGDHKKVYEFIVRRFLGCCWRDAQGSETNITVSIETEEFGAKGLMILERNYLDV YTYDKWSGNILARYDLHERFTPTVLDLRDGTTEAPNLLTEYDLISLMEKHEIGTDATI AEHIQKILDREYAFKVNQYFRPSSLGISLVLGYDAIGFESSLSKPHLRREMEADLKSI CEGRKEKTEVLQTSLERYTDMFVKLLREFSTMTHSITVNFNPNETPPPGAEIQRSRAF QRPSNETPTRGGRGRGGRGASGGSRGGRARGGARGRGRGSERGRGPSRAPRGRRGRGE H PHYBLDRAFT_123207 MSTNRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSSQYKATIGA DFLTKEVLVDDRLVTMQIWDTAGQERFQSLGVAFYRGADCCVLVCDVNNSKSFESLDQ WRDEFLIQASPRDPDRFPFVLLGNKIDVEEAKRMVSQKRAMAWCQSKDNVPYFETSAK EAINVEQAFQTIARNALAQETDVDIDFPDTINIPNGHQNNDPGCAC PHYBLDRAFT_27870 MSTLGRTLKNLIKIGPANYLKQMNTIGDTKWGRLVGTDVNGNKY FENLDEVSGRERWVEYASDQPDPEDITPEWHGWLTRAFQEPPTEWNVQPKKFWGEPIP NFTGTPKAYKVYNTTVSKLHAWEPVTKQRV PHYBLDRAFT_110164 MGPLDGMLMGIKDNFCTSTLPTTCGSVMLKGFTSPYDATVVKLL EASGAILIGKTNMDEFGMGSANVYSDSGVVQHPFTCEKDVKEEDRRVAGGSSGGSAAA VGMKMCTAALGSDTGGSVRLPASYCGVVGFKPSYGRCSRNGLVAYANSLDTVGILSGT VKQASVVYDAISKHDPKDPSSMPDKLRSKLDESDSILRSQWTKGNLKGLVVGIPQEYY VDPMSDDVVDVWRSGIQFLKEQGATIQSISLPHTHLALPAYYIIALAEASSNLARYDG VRFGKLKGQGEGWCDMMLYADTRAEGFGAEVQRRILLGTHVLTAGTFDTLFLPAQKAR RLIQADFDQVFYQHNALYESSVEQEGQESTKVHVILTPSAISSAPRMTDVLERHESSN DVKAVDAYMNDVMTVPASLAGLPAITVPFGKSAVDGYPIGLQLMAQYGHDGFLLSIAN SLAKKQK PHYBLDRAFT_186024 MDPYYEETLVDSSEEYEDPHCNGCLQPIEDGSVVQFGEGIWHFE CFRCAKCRKLVECYSNHLLLRDGSPVCEDCSYSCHSCHKTIKDEAIMTGEEAYHAECF RCVQCHVKIEDLIFTQTSKGIFCTSCHEIRKQLRQKRKEERLHRNHSLSRNTSLESEG RRVKSPQQTTNMSNSIPKNSESQVGSKNAAPSILTFQELADLNNMLSKVLDSGIGEDC PPERRSMDTTPSPPQTRSTIPLGTRNQPNQADAPNTDGDLMALQTPALNMPPKREYGT REVEAIRQELEATKARLKDVESKFANVKTISRKALGEFNAVKEGFAAEVVARKEAEEL VLKLQRELSFYQQANAMGTGQFMDLSKEEVGQLTQSKIQLEQACEDLRNQRTQFLAEL DTMSQTIQENSASQTVESQKDRLQNSYQQQLGSIRKDIDNMRSGYGKLVKARNDIITE MIMLNTKNAELTSLNNDLSRRVTEREREAVAIMAGTSFLTTDPNADKDNKKSKVSSSS SSSNEVDKTAPENTTTTTASSSSTTSRQMAQRDSFSATEAPRLFKFRRNKGNKNKSKE KDALISIPYDPNPGRPMETITESLKNHTHIQNQNQNQNQNQQQNQQQNQQQQQSSKDS SEVVYHRVGGHNFLQTKFMRPVKCEACNEKMWRVSELKCQDCGVITHSKCVYNVNLAC SRKTSSDSKNDGDNSQKVVIFGTDLIKQVQFEKATVPLVVLKCIAAVEMRGMDYEGIY RKSGGAGQMRLIMQAFEQNDVPNLLDEERWNDICAVTSVLKQYFRELPNPLFTFDGHT KFMEAIMLSDAAEQIKMFGKAIQSLPIEHYNTLKCLMLHLSNVRKRSSENLMTSKNLA VIFGPTLMRHEDEKRDLVEMNHKIGSIEFILNNIDTLFTEPTPDALQSNRSTPLPTSR PALPAASSAIRHRREASCDNLVRSFPPAVPPRENAGYI PHYBLDRAFT_165783 MTLPMSEHPIFSPNTTNMIYHKKSASCTAIPSYTELHSRTTSMT SMSGSGAVSSEITQRPPKLEHHPNQNLSTSTSSSQTPRNNASSQSTSAEQNSSFSKDV VKSELSSMLIQSNMFSLPTVCIFSQSALANEYSNETEIAPFVYPVTTSLEHITMLIGM LQSLLRVVDETSTGEEEEEDEEEEDQDDEGSGEWDSEDTMLDEKSEDNVSDTGNFAIL GLGAFKVSLWNKMCDHILDGIVYKDDLCLVIIMSPRFSDRMITKHMQLLKKSLSESQL IKRSFSTDKVGEHLVSLAHYWFDQPDDDDKDI PHYBLDRAFT_142791 MHTFSCEGEARLLSRNLSNSGRDKCLQAVFMETRREFSPVSKQN SRNQIRKAKLNMEIRTNSTKQHGKNGIKPSISPSRWVGQKAHEESRLGYGYNKNKFLV IIQDYWLRTAKRAQGRNNVNHKASDKAIFQGFFLSLDFFVRDNTNHWSVYPEDKGYQR EFVSGSWCGKPRSGSSSAIWWSNPFVVDPIAASWHIVSAGSLAVYCWWSRIPPAGGQG PIRPVIEEHFAVPSLNPVQE PHYBLDRAFT_142792 MNTVPHSIHPPWLKHKTQATKTQNPANQTRQANRTTVAQKRPRI KDSGSPTRTETTTHRSRPQTCEEVNKNPTNKRFQPTLQQCKHHPRMYTNGKYQRQTGL KTSLVPPQVTLICRPPQSTFSLYCLCQAKTQNQANRRSMVSIPEGNDNPVL PHYBLDRAFT_142793 MLVKKQKFALAWFLKPGAVSSSKKVVETRIWLPGNPQTDNSRMT MAVVTPFDSQRGTSKVRHSVIQVTNRLKGPHIKMRSVRFTKRTSCRSVGPGAKPMRSE PKVDPEASGKFYGDTGIPRPFTVLFLWTIGVVIHVGFFG PHYBLDRAFT_142794 MNSKVYNALCAAFALAYLLAPALIFIPVMLWEASPMPSAFCMAK PARKRRPSMAGVGATPFVDARPNGENRVEAHDNVMRQTILGLVSNWVGSLWSTGSRTT GEPSGGLLSERQGGILGHMSVDESSSEEEDVVCVGESNVFFGVGLREYIPHLLPLDKL ERGIDTMVSPVDGVAGTCGETHADLDEGVSSELVDICDEAEISVRLRFICEEFFSGGF ANPRLPARFFDTMLEEELNASENKGHCDSLTEVAEPECGLVSKPVEETFVFGQESVQT PSGLNNAGLNDPKPKFGTVQGLDEAFALSSWQSVNGFFWECLSTGLPTPMEIDDDLAW SEVMEVESPMEIDDDVEVVAPDADCGEKLVAHTCNPTDDDLQYVSQSVKKDCDTNKIP ACVPQQVVDDKVEHGVMRLDAPIAVTALKPQSHSANKSGEQKKGGESLPASVSGLLAD GAKKVGECAPAVLGPIDHSKTVKPGSQSGKKAKDIKVVAKTETASASREQQPEETKAA PKVSMPGIPRVLASRAAKEQAKDSKGKAKESKVAAKTELTSGSREKQPDHSKAETKAP MAVTLKALASGAYMEQSKDPKVESSASVGRPKRSLVKGKKPAKSFDAPTNNGLSAAEF QAKGF PHYBLDRAFT_59335 MTISRADCIRHHIIEHIDRAAKLNVPKSTVQCFLKRYKERGTAD NRKSSGKPQLLTPRDKRRIVSNIKKDRWSTLDDLVDDASADTGKNVNKVTVRKALHSM DFYLP PHYBLDRAFT_103465 LVDRAERVNELQRLVSILPIENYTLLRALTAHLIRVVQNSDVNR MTLHNIGIVFSPTLKIPVGIFFLFIYEFDAIFS PHYBLDRAFT_97230 LVRLCSRIFALLDHWIFEPLLTLRRFTHILLLFVPVALSAPVVF LGKRTKDGNRSGTLWWYDFVAMEMERAGPTFIKLAQWIASRTDLFPSALCTRLSRLHS QVDPHQFSHTKRVIEAAFGQSMESVFSSFDPTPLGVGAIAQVYKATLYPEILNGHPDD QSSLINDNMSKDTVIITDKNGEQRTMHTTVAIKVIHPKAYNIVNRDLKIMNFFAQVLT FIPTFHWLSLPDEVRVFGAMMKDQLDLRIEGRNLERFNELFCNSLRVEFPKPLLGFTT KDMLIEEYENGIPLSMFLENAAYAKKMGEQVGIYDHKLADIGKMFCHMLIFHNFVHAD LHPGNIMVKFYKPSAYHPLRKAWSNITGKELKDDGDKAVDKIMALRHDPEAVRKELDS LESEGYAPRLVFIDTGLVNELDDVNRRNFLDLFQAIAQFDGYKAGELMIERCRSPELV INSDIFALRMQNLIIGLKQNTFKLGAVRIGGLLNETMNMVRSHHVKLEGDFVNVIVSI MLLEGIGRQLDPGLDLFKMALPVLRE PHYBLDRAFT_59339 MGLPEIPFEILFNIAYFLTAKDKLSCMTVCSVWKEPFCESLWKT IHINDQRSLIPICNSVDEEKSTFKENGDRVRSLFLSRWLSTKAEQLQALQKHFQKIQL LHAPHGSIRATDHVKLTDWRLWNSLIHLEICVSGLSLQDEVQGLLDILSGLPNLERLE YIWTAWGTSDFYRLQDIEALHKYLPRLKYLSLSISLANLDPDELDKIELVEPAENLRV LKTRINNMDLRWLAYFARKYTKIHTLEWTDRTDLPASELFQEEAFEMFTKLHSAFKQL NKVVVNGVSQTNSTHIAFWNLFGRFGVPLKSLEYNLGTCFENSEILEKTITACLQSCS STLETLLINGSTGISNPTNIPVSIGVCPNLVSLDINVFPSSIAINIILDNCIALRKLK LEAKRVFIDSNIQSDIQKHDLQLIHIIKSRANSALFKYISERCRRLRYMRLVDVAVVG PLSQDTGTIFIDMSYTCLALLKLNHVSFYGSQDDICNNESAVNLIRFSTVNSPLLYDN TTKNRSIIIPNSSLPETSAETVWFHTYWDGEEVSYDWRNECKIGYMRVLSSEEKDRAQ EYFKSFQFQSNQSLDQVQVNRSKEGLVVRNDWEYDLPRGQATLLFAQVNKYVINAKAV RKDNVWSRIYAKKL PHYBLDRAFT_180413 MVFDQLPLPSAPPPSGTNYSAEHGCFEGPEKLLEIWFSPQDNSS PIDTDSDLSDVSNPTTPKDSYSFVFPKDLRTVEKPVWDSMLATVKCTVLSVVSNAYSD AYLLSESSMFVYSHKLVLKTCGTTTLLKALPYILEIARTRCGYESVYRLFYSRKSFIF PEKQPGPHRSWEEEVNYLDDHFDNGAAYVIGDTNEDEWYLYLTSPIDIAHQEEAAIDM DQLMSETDGLSWMSPKKCPWTKVTRPSLALVDRFHGVHRPAGADQTVEILMTRLDRNA MRAFYHRNNEPAGLVGGVRVDRETGLDKIYPDAKVDSFLFEPCGYSANGLLDKGYYTI HVTPEPQCSYASFETTIPASVTHPVGNLGKHNGQEASVRLLIRQVLDIFKPGAFTVTY FSSVSHEDDESENISKLMRTVGKFGGYRRKDRIIHAFEGYDLVFGHYKKI PHYBLDRAFT_59341 MQLPNCDKRVSKHIRGWDVRIHKNGMKVWLSGIRDIPLRWPHLY CTFTSNIYTGARYWYRQLCLAFGSLNRSPWDCKTVLYSHVRLDNVHIVLHSREQVYTK IGLSWDDFQAQVTMD PHYBLDRAFT_27874 MAESILDFSKELDVAKLDQVVLTFYTGSGSEQQMAQKLLTEFQD HEEAWTRVDGILERSTVSHTKFIALQILEKFIQTRWNTLPPDSRNAIRYFIVNVIVKV SADENTMVRERTYLNKLNMVLVQVLKQEWPRNWPSFIPEIVESSKTNLSLCENNMAIL KLLSEEIFDYSAEQMTQLKTSNLRSQMCGEFAGIFQLCKEVLDKANKPSLILATLETL LRFLSWIPLGYAFETTLIDTLCNRFLTERETRNVTLQCLTEIGGLNVENSYHDKLVAL FTSVMTSVNVMVPPSTDLAEVYENSADDDQEFVQNLALFLTGFLSSHLRILEQSSDTT DLLVNAHFYLIKISRVDDREIFKICLEYWAQLVQELFEEVRNLGNSDVPPISMSLLDL GAGGNQMGNSGYRPRKMLYLDVLSNLRVVMIERMVKPEEVLVVENDEGEIVREFVKES DTIVLYKSMKEVLVYLTNLDVSDTEEIMSTKLQKQMDGREWSWQNLNKLCWAIGSISG AMSEETEKRFLVTVIKELLSLCEMKRGKDNKAVVASNIMYTVGQYPRFLKAHWKFLKT VVNKLFEFMHESHEGVQDMACDTFIKIAQKCKRHFVLQQSGELRPFIDEILESITGIT SELQPQQIHTFYEAVGYMISAQHNKPAQERLMVNFMELPNRAWNEVMTQAKQNINILA DPAQIKFVTNVLKTNVSACSAVGSPFIIQLSKIYMDLLTLYSSVGKMISQNIAEQGPI ATKTPRVRGLRMIKRDILKLVDTYVDAADDNYAVNDNMVGPFFDAVLSDYCLGADVSR DAEVLEALATMVNKLKELMTPRIPAVLEATFEPTLNMITKDFAEYPEHRTGFYNLLRA INQYCFPALLELAPAQFKLLIDSIVWGFKHTMRDIADVSLQICGELISNFSRTDPNIA GVFYQTYYLSILQDIFFVLTDRDHKSGFKGQTEVLAQLFGLVTNHSIQVPLYDPSQVE DPTMSNAQFLEGYVTALLRNAFPHLQSGQIKVFVMAMFEYNGNPPKFKLEVRDFLIQL KEFAGENAELYLEEKEAKLESTRRAELEKALSIPGMVKPSELPGMDEDEAL PHYBLDRAFT_59343 MSAFDIAISETIWDGKLPLQVTFHPTESISSARTPDPIYIEVAR LSYLSLLTHQLHSIYTGLGIQTQPENVWYDYNGEPLKWHFPIGLLYDLYNFPISQVPT FGEKASLPWRINIHFDEFPIDKILRNPTLDTTQDMFMSMLKEADFLRHGTTKRVMNMS KRDQTQLWQSLVQGKYSEYWSVNKHLLENGQLARHIPLRLYMPYKCPPIQELVPGNTE EHPLLIGDAMTRILPDLFPSDDQEECDAIPVIHGVPLPLDTPLGWASDNLCFADNFLH IVISKKGTDNGLDT PHYBLDRAFT_59344 MDEPKMPETVDTELLQLNISEEMRGISAFMKVMATLFHYGNQPM NAAQLVGAVRALDLLPLRGETPKSTIQGIISTARKAARGLKQPDPFDIAKDGAGRQTK YSIADFVLNGVQLPPIQEIPDEPMHIKPVENSSSSYSYSSHLGKQQRKPSSDRNGSRR RRTNSSKLKRKRTSGYDTDSDGNGFDVDVDMDDESMSIDSGADEANIAQSEEDTIFDY SLLYAPPPADMNYLDPINFVDYPIAANFAAVQQKGYSYPRFRSHEKIKIPKIHCEDRF RVADIHDTTGKKVVGRVFILADGHGGRGCSEYFVRKTPRALEKVCAEYNPQQLDNKEV QERFEHDIKLMVESLDEDYLTIKRAQLSGKSDSCFPGKNGNLSTEMGDAVDGHLESEK TDNDGCTLILNVFFGDWLVNVNVGDSRTILISAPEPSSAPVTMDYPLTGGVDKDYLME VVFASQDHKPYLEHLAREILENGGEFVDSVQNRIIKVELDKLREDGNRQAKRIALKNA RIRPKDYQVTHDQANGDTSVQGWATQSAVRTTANNVPAWRAREDRIPSLNVARSCGDL DFKLNPKQKIISCEPDVTFIRISDGTPEKDPNNNSEDSSKEIPPRTMVTSSAHKEKRR HFLFMSTDGTFDYMYEEAPDKQNRVIAKAIGAMVEDGEKAGRYILEQEEHNEGQTIEH TSSSIEGVVKDNETANHSKSQATLPTTDNVESVQKGSEIKNTEQLLPTVLKQPESVPE AKAGSAPPNEDMSVEATEKEPTAESDAALLNQQEPRKPLLFRELTKQEEKIRKLKERT LTMAARYFANREGAHGFFASKLQDYDDCTVMLIEI PHYBLDRAFT_165793 MSASDSEQVTSQAETHVDEVPNDNGSEDSVVEKTNKTTETDANT SLDDSKTDEPAKHEIKQEKKETKGKGTDKAEKKIHPSKADIAKAWTAAWDQNSQSYYW WNTLTYETTWENPLGDGSKADSEQTEDYSLYYYGYPAVESSQTATRPDHVSDNPLDSL LDKIDTQVRSTFDDTVEQSPAEKTQTPYSSYFGTEDQYKFQAVFNAKTGKFQTSEEVE RLHPDRMTIESRAKRQMQYYFDVDAYMDERNRERAAGAGQKRPLTKKDLDRFKKAKME KKMKRARDWLCD PHYBLDRAFT_142805 MSDSDDPDVPIDFSTLLSSRQRGKQAPKRGSKESEPNESLAQKN ELESSRDALFMLIGEKPKGGQKSMSHGHWSQLTHLTTITSTKGNHVHTMGHTIQGHIA LYLEEAAWLISRNALEVTENDNPKLFEDFCLQMFKGADGWITYEKYQVYVYLKRLGYI VQRSNSCAIQSKAKLVVPNKTWSYYFFKIISKGNRLCYNVVNSFTSFLQRLGVIATSI KPLVWDKTCSSYADVYSTLQIIPSSPWYKPFQQRSHLFEKSVKENNIAFDWDVYRPNP KWKKRDAGVPDFRVMDTPIPPCEQFNGLFSHLEQDLCSLPHAKHLQIRNTQSPQSAPA FLMGLVDDSEGVTFLRFTGDGLADVSAVSAVSITKPIFQNK PHYBLDRAFT_154844 MIIVSEIGDKTFLIAAIMAMKHPRIVVFAAAFCSLALMSVLSAL LGHVLPNLIPKQYTDVLAALLFLGFGIRMMYEGYYMDGQEGQDEMSEVEQELKEVENR DKTANLEAMEVGGMEQTVEQKPKTEQIKDGLMNLMQLVFSPVFVQTFVLTFLGEWGDR SQISTIALAAANNVYWVGAGVIIGHTLCSAMAVMGGRMLASKISVRTVTFAGSVLFII FGLYYSYYVYQGQLE PHYBLDRAFT_16029 MSAAAFCVSNFATTTAVSKSSSEATQRAISLYRLWQKSVPEIMK IHEINMPTSQVRAKIRENFEKNRYVEELPVRDILLARGHMEFQETINVWKQHNHIMSY FASEEAAPKATTFLEKFYEGRS PHYBLDRAFT_142808 MDIFEKRRGCEASLVKQQQMSLPALRKGQRWSGLFEFVKVLLHK QDPPSISLDVHSEFQKSYVSFPEFKETNENEAEDEWLLDSPPTTVNTAWSS PHYBLDRAFT_74324 MLLKAFARPAVLNNVASNMVSRSFASQVSSIPTPAPVEQTTIFP KKYGGKYTVTLIPGDGIGQEIAASLKDVFKAANVPVEFEQYDVSGLTSSDEALFQESL ASLRRNKIGIKGVMFTPTSMLGHKSFNVTMRKDLDMYASLSLCKNVPGVPSRLSNVDI AIIRENTEGEYSGLEHQSVPGVVESLKIITRTKTERIARFAFDFALKNNRKKVTIVHK ANIMKLADGLFLRTCREVAEEYKHHGIAYNDMIVDNTAMQLVSRPQQFDVMVMPNLYG NIVSNVGAGLIGSPGLIPGCNIGREYAIFEPGCRHVGLDLQDKNAANPTAMLLSSVMM LRHLNLHEHANRISNAVYETIESGAARTADIGGTQTTKEFTAAVITKL PHYBLDRAFT_131986 MKSSVKKIIANTIEQHNEELRAISIKIHDNPELGNREFDAFRLL TDYLETKGFKVTRGVAGLETGFIAEYSNSDKGRRVGFCSEYDALPGVGHGCGHNLIAI TGLACALAIKALFDHKITKGTVVLFGTPAEESTSGKITYVLNNEVQSRVDAALMLHPM ATDSLYARFLALDSLKVEFFGRASHAGMAPWNGINAVDALMQGWDNMSMMRQQTLSSN RIHGIITDGGKSANVIPDYASAAFYARSLTRDQLVELKVKLENCFKAAAISTGCEVKL TWAASGPIEDVFQNDTLTSAYREYMEEEGIKFATRVEEEQIVSGSTDMGNITHVIPAT HPCFGIHTTATNHTYEFAEAAGTEQAHYDALLASRCLARAAADVLLDSAVLKSAVADF KKGKQ PHYBLDRAFT_59352 MTTLHIKQGWASTSIGSFGHQRRKSEPTVAPTSELPSLSSSTTS LTLSNPISSCASIQTSRKIPCMASYEKYMPRPMYAPHESIYRLNVALPQAPIKLCVPR RISENVPEVPTGDLFDSLLAAHQLLEGLEGKEQQKPRIGTMTSQSMPAISVCLRPETT ASQEERRNIYDTAYWALVKADSGLGNWLHQQTQKEPMDLSAYARPQSQRSRLPWAPLF QKTKRCFVTRPWSTQSISSMAQSIEERPASKSTVHQEQVSSWVDVEVEVEVEKKKALT FQIKRREHQRYIYKVVIFVVGFYQYSKRDFPIDTLLDNPDINFPILDSHG PHYBLDRAFT_59353 MPEARLFDLPNKDIEPYHAFPGKGHSRIETACGLVESKCRLPRI SISAQASSSLASDNNAEEHKVEKFEKQWEDVIKDPKSDISVDHYPLKKREYYFSKGSE EYAYNHHVIIHSLQAFDRLPAYSSNVYEWCAQCTSCSYNNWRKLQAIAIKLLNNALQP KNYLDIIVANLLHRILPLLKISGCFHRGGEDSFAHNILTPPLDIGVVIFMVGFYQYSK RDFPIDTLLDNPDINFPILDSHG PHYBLDRAFT_131990 MNTVDFALWKKAAREIDWFVPPSAVISNTGKGSNPYVWFPGGKL NTCYNTVDRHALTQPNQPALIWDSPVSNSKRVYTFIQLLEQVSCMAGVLREYGLKKGD TALIYMPMVPEAVFAILACARLGVVHCVVFGGFAPKELAKRIDACAPKIILTASCGIE PKRSIPYKPLLESALAMSNHRVPIKIVLQRPGQVQAEMSYDAGDRDYEKAMKAVRAEG RHVKQCEEVDSEDPLYILYTSGTTGQPKGVVRSNGGHAVALRWTMSSIFAVKKGETIF TASDIGWAVSHSYTIYGPLLAGATTLLYEGKPIQTPDAAAFWRLVAEYKVKTMFTAPT AARAIRRADLHGDLAKHYDISSLKTLFLAGERSDPETLHWCQAIVGDNCRVIDNYWST ELGSPVTALYEGELPLLPVRIGSAGKKVAGSDIRILMEGTNKESTKPNQFGNIVLKLP LSPSAFSTLWENEDGYRDSYFTKYPGYFDTGDAGMIDEDGYVYIMSRTDDIINVAGHR LSTGTIEEILASHPKVAECCVVPMPDKLKGHIPLGILVLQFENETEDTEKIIQELIAA TRRDLGAIACFEHAVIVRRLPKTRSGKVLRRSVRDMVEGKEVKIPATIEDETTLVEIE DALRLGGLLPQLRAHL PHYBLDRAFT_165803 MFRYQTLVTHPARRQLSTLAKAALIVTGVPLGLYAYKCLVMVAF QNKLIYMGYIPYGSRHQPYVPVISQNFHVREQEIVTPDKKKLRGFIVQRTPHAKGPVL VYFQGNAGNMIDRFDLFKVILDAVPDLTIVGISYRGFGSSEGSATEKGLMIDSKAILD HTLSLFPDQSVYLYGHSLGGSVAIGLAAQIEKTATQSSSHSHVCGLIIENTYTSIKDM VQALYPRYSPYPYLAKKFLWNHWPSKDTIRDIKSPILFLSSDNDEIVPVEHMHALAKE ATAPSTFLRFKRALHMDIYSTEPAAYKAALRDFIATNDIYKDEPIQIKR PHYBLDRAFT_165804 MGQTLSEPITIKTSDEGSDKRLTYAVSSMQGWRMTMEDSHTIIP TYKDTHASFFAVYDGHGGDLAAKYSGEHLHEILFRSQAFRRHNYRDALKRSYFTIDEQ MRRDYVDPLFANDLSGCTAVSALLTEDNVLFVANAGDSRAIISTTKGKAIPLSHDHKP TLKQEHFRITQAGDYVEFGRVNGSLALSRALGDFEFKKNSQLPPEKQAVTADPDITEH ELTDYDEFMVIACDGIWDCMTSQEVVDFVRQHLRDRMKIKDICEALLDNCLADEVTNT GIGCDNMTVIIVGFLRKRTLNGWYDWMAKKEPFKAPSLEPHRVHLNSSPQK PHYBLDRAFT_180420 MENERKRAFPETTEVSQSKRPHVEIPREPSIFNIRPVDDITRYI GDFLWDYCSQENVEIEAKMGVFIDRHTNSRLNIGALTETVLNPNHNYSFKFESDMPLE QHKHFNQLLNDRTNKSQVKDYKGERIAYKHTRETDRFFPLPQSRNNIRVTTDQQTGKV VPNGIIEKKRLADLNIHSPANALDYRISVNLEIPRKKPETELSHERHKDRLSYTHGGF TFDLTQVKGAPEKNEEMRHELEIEFVDANLLAEKKKEGNKPRSGYVQMIERFVNNIRL LSQSARRL PHYBLDRAFT_177151 MTELRRKLVIVGDGACGKTCLLIVFSKGTFPEFYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLENVQEKWISE VLHFCQGLPILLVGCKKDLRNDPATIEELRKNSQRPVGHDEGVSVAQRISAYKYLECS AKTGEGVREVFEHATRAALMSKKKRSKGCTVL PHYBLDRAFT_59359 MKFLAVVSSLAAIIALATAQGTVTTYTEEGVIGGTTYDVVVLGV QETVTETVLSTITTTITTTAFSAVYNHETPLNDFLADSSLINALTADPSVYRVVTALN PHLATLL PHYBLDRAFT_180422 MPFFTSIKDYAKRHRKGLLITASLAGGGYLAGRFAASKIRDMQE KTTSDRMARENLKRRFQQNQNDCVFTVMSLLPTLGDQILNEMNIEAQWAKLQESRKLE RSEDKARLNRDAAKNTQTEQEGGIKPEEHPTESQDLSASSVKIDLIKVENAQDTTGSQ ATLENSVDSLSEAVDPEAPAVPRVVPEGVLDRKAKQLVWEEIKRSSFTRTLTSIYSIT LLTMLTHVQLNLLGRFTYVWSVSALSRSEPSIKIQQEEGGDAGFLDPQTERMFLSASW WLLHRGWRKCSKRIQEAVDDIVGSIPLRSTISYEKAEDILYKLRRRIEFEDDGKTPFS FRSWMLPDTPEEEAEFLRETGFSEVSDEFSTNKSSVTFRRLIDETKDFIDSPDFSSVL TSCLDEIFGIFDAQAFGKSLLPQDDFETGERIREVTEADLVNMKPKTSTLAKLLPVIS RQAHLVIAGNEYLNAFAYIKELQAFSAMVYTQYEDEVV PHYBLDRAFT_165809 MRRYGARPPPPPPPRTQHYPGREPRHPPYGYDRRYKPYPTTYAP RPHPVVTPKPARKGFFEFRLEKMVMGDYVAESDVNKGESRLRFYLNNEADPESKPDSI AIIVQDGLIRFVILAENIESISLTHKAGIFNIKINGQYKVEKLENANFVEGGEDPSNG QLEIVKEISCVVDLRNPITQPKWTKDNIDDWTNNSSRFRQILDVKDADEPRTVKDVFA DWARTSSIGLPSERLLFAKVQLNKLDRLCEVLEWVGETATLGSTVRTMLDLIKSLSES ADVSKEDLSTRLQPVILSVPEPQLMRVLDSLWASENKA PHYBLDRAFT_158145 MSMRKCGLIFLRFLILIISAGALGCHVAQIVLLENYSSQNKNVG SWWPSMVPYILYFVGPGFSVICALTLVISTWTIQAIRHDRILSGFNIALLIAVIIYST MKSGTIPWTNGQVTEPSSTPYGFASYCDTYSKDQTTFYRCWLVNGTWLGSIISAALWI ILFIYTVAQKKSDIYCENYDPYDFKSDVPMAKASAPVKLDSGFRDIQPVARTATTSYR PKSVVHPYPSVDNNQGDPNYGYYSYSAYDGYYEGYGASTNVPVGSQPAGVPPVIPIHQ QQQQQQQQQQHYQNSPGVVPPAFVYRDQDYHPQVATEDNVVMMASDANERQPQYATYG NVPGHSPTGRYGTNTSSPHTPDGRKF PHYBLDRAFT_180424 MDSQVTITTNTNIPRVRNRVIVCMDGTWDTPSERTNVYYLYLHL NMPEGKLGKGDKKEDPEQDQWRQVAGYFEGVGTTQNKYLGGLFGLGLSNQIMKAYEFI SRHYENEDDEIWIFGFSRGAYAARSLAGMIYNVGLLPDEHIETQAPEAYKLYCSRDEA KHPKKEVAVNFRTQYQCMEPEIRFLGCFDTVGALGVPQLPWYLGGSTFWTLFHERNSF HDIRISPKVKSAFHALSIHEQRAWFTPALMDFGLKQREDQELEQIWFPGMHSDIGGQA EGSRLLPNQSLRWMMTKAADRGLKFTRTIDEICNEGGFYYQDSYESSLIYQIVSREDR VIDPSMFSPKGLAALYKDGQFEQFIEPEQLALYKSKTHHNYINSIKHLISGK PHYBLDRAFT_142823 MPPQKHRIKNNSNSQQPNQKKSSSKENLNWKINYLAVQIGEDSR LKSAKKAATVKLQHQQQWAASQGYPSYNSENNKVTLRHMLDEARGKKLELKLFQAQKE LRSALKKSKVVETQKQLKKLRDSRKLVADASKTQESNAETKSDEAQSEEVKSEETKSE ETKSEVKPKKGRVVTPELIAKMEKELEIVKNLDVDTLAEKTLRNKLLKHSKLKQSELL VSVVNSYEEYKPPTETPEDPVSVKLTQDIETRVLSNKVAKDELTKLMVEIELIVIGTP SQLKPGKPSSSTATNGKRFAENEHLDSETKKTKKQKSSVSDGSSLFVETLKEDSEDDD DESDDEDKDNKAKSKSKDKKSLKGKDWVDTDFNKYYENEEKKNRPGQRQRRIVWEQMY GKTAKHLAGKRKDNQKGGKPKAGAKPSISAPASKPKDSGFLEDDEMHPSWQAKRLQQE MMSKALSGEGSSNKKIVFDTDD PHYBLDRAFT_142824 MTEEVEPRFLIHQATHLFVTEPVFFQLVQMNNSMLVWAGKKSGK LNDLSVAVPSFGNHTSPSATTILGQDVSESGRNMARRLALKYKQQFYVSLDTGSQDPM MNVFIEKKLTEMIKMVLT PHYBLDRAFT_186038 MSAEEVIMDTQEVEVAAEVSKGQMSVEEALQDVLRRALVHDGLV RGLKESVKALDRRQAHLAVLCESCTEAEYLKLVEALCAEHNINLIKVSDAKKLGEWAG LCKIDREGNARKVVGCSCVVVTDFGEESEAMNVLLDYFKTR PHYBLDRAFT_59367 MAAFWVYTSIFGLKSQSTWDNLARMLEKTINVFMSYHYVYNNLL MSPILCPLNCSTMVKRQIGVEWQHKTSYANTKKTCRTSTRLIPNRYRTFTVQYNNHGG VEGMEGVKGSLVGRAQRNWVSKSHFPALFLLSIASLSTLFKYTCDHD PHYBLDRAFT_177153 MSLIYGLVAHGSTILAEHTNSSGNFATVTQAILEKIPPNNSKLT YVYDRYLFHYICEDGVTYMCMADDSFGRRIPFAFLQDLKEKFLTTYGRERALQAPPYG LNEFSRVIAKQMDYFSTNPSSDRLKQVHGEIEQVKDVMVHNIERVLERGERIELLVDK TDNLNQQAFAFKKRSTHLKRAMWWKNTKLMAMIALACFLIAYFIAASICGFPTFSTCR GLVSGPEEGGEGV PHYBLDRAFT_165817 MHPLPLNTSFQSCLPSPPTSPDGRNSSSTLTLSISTKRSHSSLD TTTNNDRDYKDIRTSSSVEPKTKHPRFGLSLLESYLEHGGSPNVRGTQHALSMLCWAC HSRSVVALRVLLDHKELDPGFAHGTADRATALHIAASIGFTQGVKLLLEHPKVIQDTL DINGQTALHKAVISNHPTILKLLLDNGSRLDLKDNNGRLPLHLAVLHRSIACLSLLLN YQYRTVNNPTNLDTLWTTTSPTTRSNLLNSRTVVQEAIVTNQPTLLLRIFQDKAWRAG SPIKQHLVMEAVMWNRISCLDVLITVGGCDINCAPSSTLLSPTAHSDSLDTPLYRAVQ QRKLDIVQRLRQAGADPCDQFGHNQSFIYAAIHGFVDMAALLITPRTSRDSISQALLM SESAGCRARLQSIIDGSGCQKNPN PHYBLDRAFT_123243 MDSRTSGVRFFVYFCVMVASLGALNSGFNTSSLNIPGNSVRYCP GVPAGEVTYYATSSLPQCLPMGDWIWGVATGMFAVGGLVGALLANPMANHFGRRDAMI IINVAFFIGAVLLSTSTSSAQFAIGRIFVGIGSGFMTVVISMYIAECAPPSARGALGS FLQLFMTIGILIIECIGLGLTSAIGWRVVVVITVAPAIAQMVLLPFCARSPRWLITQN RIDEARAELLRLRNGDIEEEFADIMLGLSKGGGAEKKAAPTNAAAAGAAEPYESEVNL TFLQVMSIPVLAWLTFKMMIVHASSQLTGINAIMYYSTSIFEESFGDSARYVTIGVGA LNMGMTFIALGLIDRLGRKMLLMISAAGMCIFAVLMTIGLRFDISPLQVVCIMLFVAS FAVGLGMIPFVLTAEVYPTYAVGAASSSALVVNWLCNFIIGLIFPTLQKACGPYVFLI FAGLSFAAFFFIMFFILETKRKSIEEVGRHLGWYGISPEIIMKKAEKSII PHYBLDRAFT_109875 KLQLNDFILKETLGTGSFGRVHLAQSKINGKHYAVKALNKHDVV RLKQVEHINNEPTILRDVAHPFLVTLWDTFQDDSHLFMVMDYVPGGELFRILRKQKKF SESAARFYAAEVILALEYLHERDIIYRDLKPENILLDAKGHVKLTDFGFAKRVPDITW TVCGTPDYLAPEIIRSKGYSKAVDWWSLGVLIYEMLVGEPPFVDKNPVGLYEKILDCR IPWPKDFSPVAKDLLLGLLTPDLSRRYGNLKNGSADIKMHPWFADVDWELVARRQAKP PFVPDIEGDGDSNCFAQYKEPNVSYGRQLNDDPHRAKFPAF PHYBLDRAFT_165820 MILLIDTFSIKIKPRLKRMMLAYYPAQTQRHITCYKSWGLSVLI YSVSQSVSQCVYYYNNNYIYFLYHQLLPIADCLLPTAIAISIIDSAHRSWNIRRHSVS FGLAND PHYBLDRAFT_165821 MCPVNIWLYTKFPKGYGFISLKSLIHLPSTEEEHEYLFTIWTNK KCAHFSKPGSRPGPSSVREPPSMDDPLIKMTIVDLILRAKSTNGSVQEKGAKDQELIT STEEKDTASTSLVFLH PHYBLDRAFT_142830 MENLLLRKAAINHCLGCRPVICATNDKRTSNFEVASNDVAGPQL WSRPTRSSLVELAAAIGIECQDLVRSLVPFWAREGIVSFEENDEVVCANKIVRSSVDS RHGRASNLAVLDDAREYGFILKFFSQTVNRVTRLFAAIDCLSDVQRVNQDLFPVWDSL APGVVKVVDVKSIKGIAGLVHDPNDEAIRHIIWPSPKYNQ PHYBLDRAFT_98457 LKDENWNDPMLVAEYAEDIFKILRESEVDTMADPTYVSSQQHEV TWRMRGVLIDWVVEIHHLFELLPETLFLTVNLIDRFLSRRAVVLSKLQLVGITSLYIA TKFEEVTAPVMEDFLFMTDQSIQVEDLLKAERFILQVLDFKLCYSNPLSFMRRTSMGD SYDVHTRMLAKYFMEITCVDHRFLNTKPSLIAAAALWLSQKMLSKGIWTPEMSRLAGY QVPEIKPTVELMLDFLSHNSKYEGLFRKWSSKRLMKASIFARDWIKCY PHYBLDRAFT_180430 MSNNDQQNRVRGPTSALSSFLREHGIRVENRSRRERREDLARQQ AEEASNEETPDTVQDTVTTTVDTPSTTVEAPHQEEETTAVLYAPRTRRTLAAANRALV EAANEKANNGKKKPEDDKPDPGIGSSFRAPKGRTRIFFCSKCNKRFARIASLVDVGDE NICPSCLDNNGPKEPPKPKKRKIGSKRQSQNKAGFNDVPSLQDICTDTIAEHIDCVEA LGDISTINMDKIATIISRNRKMDTRTAQLFMNPLRRELTLTDCTAIDKNGLINIAQFC PHLEKLTLTYCGRMTDEVINAYATRLKNLKSIELSGPYLVTDKGWESFFGAIGSQLNT FAIRHSMRFTQASMKALVGAAKNLKHLRLSRLSRLDDDGVELICRLKPLETLEISWPG CKISSSILAKILEHHTTLKELCLDGCTSVDDTVVEAIQLYCPHLEKLSLSECNEITSE AIQTLFEEWKTPYPGRGLTYLNISRCLSTQDEALIAIINHSKNTLKHLNIHSLDDLTP LVLERLGVCKELTTLDCGFVRSMDDCVMGCLAIECPKLKDIEVWGCHQITSTMS PHYBLDRAFT_165825 MTSVNEDIQWGKVLICGNTSWDNIKRKTKTDSGEEIPKLLGPNL VRELIDIKVTQAITGPNACHSVIISQDGETWVFGRNEKGQLGLGDILAQDYPINVRTN KNNENLFGDEKFITAAVGRNHTMLVAESGNVYAAGDNKCGQLGVPEFKNYTNFLKVPG LGKEKVIQAACGAEFTLLLTESGLVYSFGSQEYGQLGNGVTGEYLKSAGRLMSQPQPY PSPIQHIKKIKVVSIASGTNHSLALDDEGFVYSWGFGGYGRLGHSEQKDLYVPTAISA FAGHVRTTRATAITCGATCSMAVDGVKQLLLWGKWKNTGDGSSGQPWMHPRYLHDLNG WDIHDISAGNQSLFAVARKEKTTIAWGQVQNAELGFGEDGGPLSSTKPQKVEPLEGVE TISVSAGVGHTLFLVKPDDKIVPELPKWPAGPEVDENCMHCHKQDNEEKLLLCDKCDA SVHTYCAVPPLDEIPEGEWYCDVCHPPSKDAGNKKGARNKRKGGQDNEETSEKNNKKK RGN PHYBLDRAFT_59376 MNDKEGITTSNSFLKMRNAYCNIMLGRIKVQATYINNIFSTGIR SGLLGGYCETSKPCSFLNLPATLDVWALFFTVLYQARRLVICALVTKKCNHGFVRNSG SLISIKFKTKDFYLLSHLKAHCKDHDSSLTHTLVKNILWAKYLKKGLDDYKISLKAIY DSTFDS PHYBLDRAFT_98149 PPYGQRKSFRPRYPEDYGNGGAFPEIHIAQYPLDMGRNKGGRAT ETSGGALTLQVDADGNVKYDAIARQGHNDNRIVHTSLKDVVPIIERKDVDVEKLTMDR PSEEEVQSVAEKTRAALEKIVNGKIAAAQPKNVVKTSGGTNKDPTYIRYTPAQSQSSD TFNSGAKQRIIRMVDAPTDPMAPPSFLHKKVPRGPPSPPAPVMHSPPKKLTAKEQAEW VIPPCISNWKNTKGYTIPLDKRLAADGRGLQELTINDNFAKFSEALYAADRHAREEVR QRNLMQQKLAQKQKETEEEKLRNLAQKAREERSGLKPASSAGASADLPVPSNRPTAAS LMPDYDSSDESGSDRSDDESSHDSDSNRQRDQLRRERQKQRERELRMNKMGTEAKAKH IAREQGRDISEKIALGLAKPTLSKESMYDARLFNQSEGINSGFKDDESYSLYDKPLFA QQASSIYKFKGKGNSDVLGSTDADDIEQSIKQDKFGIGRKGFQGAEGGEGSSGPVEFE KETIGGRQKAKDADKDVFGLDTFLNKAKKGKRAREDDGS PHYBLDRAFT_59378 MRSYKTLQATVLLFACLIIQIKAYAIRPTPTPSTDGYILKHGTA LAKPTDPPQLGHRAGSKRDLDDTLSLYQNWAKVCNSNNDKEINDKLQDIRMRGVDDPE VRKLWQTVTSTVYCGNGHVKTVTTTITTSAKPSATAVPSGNGSFTCDDTCWSDYLWHT YGYGVSAAQGFTGTVLMLLGIYFLLFGYRFFRSTLGLVGFVFFAAMTWIGLVNNEPPF GYTHNEIVYVCVPVGLGIVGAILFIFVYPIAIYFVGGLGGYFLAVFILSWKSSLVIQI EVARICFIVGLGVVMAILVFMIESYTIIFCTAFIGGYLLMLGLDLFIHTGFVNSWLLI FDGNSHHNNAYIMKVPLYVMLSFIIVFTLISIGWQYYWNIMKLQRYFGINVVPAKEKV VIVEEKS PHYBLDRAFT_158151 MAGLKSIIGLAFVLAIGFLFVILSCALYNNWWPLLVVATYVLAP LPNEIFGRCSEEHGSELWNDDDNSSSFTDAGRFITGILIVTGFCLPFVLAHAEVITVP AMIMSIIGGVLVYGTIIAYTHFFSREQDISV PHYBLDRAFT_165828 MSIQANTLIKELNDTPLFLQALRKALYHHRFHDNFDLITKHDIC FFYLDFMNSPNSPLNKIMLERAAAAYLIIFIVNMLFISDNDVIELGWLEREFFSTDRT KFDGIIFKVGDKSIAPGLVEFSGVINDKTSSQINAKDIEKLYTNMVKVMEDIKTDKMF CIRCFGHNLYFEKLVHYDGVMYRTVDVVVEIPTKLRKIIAYINEIPNILSWKEALINH ALNLN PHYBLDRAFT_59381 MTTYQNSYGAFFYKTVFPTARIVIVLLTAVALILGSWAETSSTD NCSLHTNMAFFEVALSRNISLLDATPDKISLGLWRQCLIYARNCTCTPVRLNYELDAL KMISLANQNNTYAVDLLAIDRQDTHPSTSYVRVVPLIMGAIIIIASFTLGVWSYRRST SRLIPFIIAGLAFVSVILVAIPFGTTYTGWTKKIIQGCTILYESEDTDLRCNSYSIKF EVILFAVAIALGFLSSLFWALSAIRANAKKDHMDSLSEKLTQDNPVYHKPTVHKRAAA NSPSTSKPRQTVHRPDHDVLDVWRDVTRLEKLESPRRDYTRDARAYYEHDQPELHYEY PNNPTLRPPPPVAQYTPSGDPSYKHQSARSNESFQRNQYAAGSSSATANRRPTSANKY SPDLAPKQRAQNLSSTRYDTSHTLEDDEDLVPPDRPFARSRQTRKEPRPPSRASNNTF GANDPLRTSRNSSFFTSEDTLHQAQRTISDSSFITSGLKNKSRRQDSKDSSNSSAYYT PGRSVYSSGLAESPAISNSSGLINSGMISGHQRRRSDSLELTAHEEASVESTFNGSKT STNTTRSTNEDIHSPSSEHPYLHPLNNKVIVDQRIGAYLKNG PHYBLDRAFT_180432 MSPNKTPTPLNSEELSALKQAFSLYDRNNDGAIASEEFAEILKS LGIASQKDQIQSIILAVDSNKDGLIDFDEFVVAMTRHMPPEEVKGGPLSRSIANSRSS TMREPSFHEEDELKEVFKAFDKNQDGMISYDELEEVMTKLGEKLSASDIKNMMDDADI NKDGFIDFEEFKNMMPKDEAQ PHYBLDRAFT_154856 MADTAPADRRGGFGRGGARGRGGRGGARGRGGRRGDEKTEWVPV TKLGRLVKAGKIKSIEEIYLFSLPIKEYQIVDFFLSNLKDEVMKIMPVQKQTRAGQRT RFKAFVVIGDHDGHVGLGVKCSKEVATSIRGAIILAKLSIIPVRRGYWGSALGEPHTV PCKVTGKCGSALCRLVPAPRGTGIVAAPTPKKVLQLAGITDCYTTSRGSTRTLGNFVK ATFAAIGNTYGFLTPDLWEETEFSKVPYQEFTDFLSQKQRK PHYBLDRAFT_59384 MSYDSDPVWNGVCALIVESRVEDSDTPYILVNELHLFLYPGRLF LTESTGLIPSTAKKLGYTEVRALHGCIGGSFLSFKSSCIRLAQILTLAMIGKETRQER SNESGAKFSFFYILSDTRSG PHYBLDRAFT_132021 MKAHTERQNWTESGAADNIPVIYRQDAKEERKIEASLTKEYEDD NEDDHDLNGYQKICKVGEGVYGVVFKAWTNIGHELVAIKRIRLGYNQGLSTTTLREIA ILKEMKHPNIVKLLNLIQKDKTIYLVFEYFDIDLRKYMTDVGRAGLSLGHIKSFTHQL LSGINYCHSHRMLHRDLKPQNILIDMTGRLTIADFGLSRPFGVPMRAYTQQVITLWYR APEILMACGHYSTAVDMWSVGCIFAEMFTLSPLFAGDSQIDQLFRIFKVLGTPNEELW PGVSTLPTYKVAFPDWEPMDLQKVINSTEGMVQMSDLAYDLLKTLLAYNPAERLSALK AKEHPFFYDDISLLKL PHYBLDRAFT_158155 MPIICAMPFFGSLFSTTKPDRIRKSIGPISSVLKRQQPYSSPAR PLSQPKVNPESGKPEVVTESAILSNFPRPNLMPTLHFSLFGLQNSPPSEDTFRAKKCT NCAGPHSTDFCPC PHYBLDRAFT_132024 MAFFQTISSTVSDSFKSIFGNSNCDETTAIDAIAEEEEKREAGY ASAHHRNESFAPVRHDTSVKYYVDGQDYCWAVSEAISKAKEAIFIEDWWLTPELYLRR PPSKYPEYRVDALLKRKAEEGVKIYIVIYKEVELALTLDSEHTKKTLQALHENIVVLR HPDHAIGGTFFWSHHEKFVVVDNRIAFLGGIDLCFGRWDTHTHRLADFHAEDNDLELF PGQDYSDARVRDFENVKEWDMRLIDKTVVPRMPWHDMSLCVMGGPVLDVVRHFCERWN FIKHEKAMDKEHVPFLQPPVGGFGKNQRFSINNDDELTAKQKRRKYKHHTRNVTGTCR SQVLRSAGEWSLDIETEHSIQNAYINLIKEAKHFVYIENQFFITATDDDKDYVLKNQI GTAIVSRIIRAHEEREKFKVMVLMPLMPAFPADLATKEAATARLVMHYQYISICRGNK SIVERLKAAGIEPDDYIRFYSLRSYDRINRNKLEELLAAAAGVTSEEKQLANAAEGDE PQKVQFIKHAGNPDFAEGTEGATDIEAEVDYARVPGEEEAESLRNKYQEDIDAVRARL EEEGEYVADDSIAQDAMKGGDDIEDEPWVNDTEGSAPRDEAAEKQEVSDYVSEELYIH AKLLIVDDITVVMGSSNLNDRSQCGERDSEIALMVEDQDMIPSKMNGEDYKASRFAAT LRRQLWKEHLGLLPDRPTDEVVPSMLPLPVPQEDVTESEEDLQVMDPLDDATLSLWNS TAKINTEAFREVFHCVPDDNVKTWEEYKAFYPDPTKIDIGHVYDPDMSVDEVRGQLSK INGHLVEFPYHFLEEVDLQGESIPFITEATQALYT PHYBLDRAFT_142843 MKIQIRSLVFVSIALLLSATVVHAREKKEKVACHASTEPRAQAV CQDHCDDRGYKNLAKRHFPLA PHYBLDRAFT_165837 MPLDNYKKRSNSRGSPGRQLNEADLRADDEVYRQVDHRSTHFYI KINLFKYIFDPQGSDVRKAHVIQHDYGNQQSSHENNWKTPSNAEMQRSVVGNTHEIGL GEGVQEHAQTSGFTYGSEDIGSRVRQKTPVSGQYNIVPQDNNKDVLSHSTWSPHQHAE HDYASLGTYAYAKATEDPARDIVGDGLKDTLHGSHSPTIQSKSAIKQNAVTTDHFVRT PAINEAFSMAVRSSFLDLGHIVDVIKSSHAHDYTSDQAMKGVGFDVGKRLSGAGAAIG AAIGSVFHSSHPHNSHPQTIEETPDVKIARNREAFTGQHQSVDSYDHNQGSRGGINRA SFGAKSPDLDSSTQNEEMPSTWPDSSDPQKTFSQETRGISSVVSPSIKSSMGLDRGAN LVRVNKERIKEKNSSHPPVAHVPDVSRKNAGKSDMSEKPMESPSSSFVEDEYGVTAND AYYDKLSTSADDWEDNHTSSETGADPYKPTSHLKPSLEHERHVATPDIPPLKMGRPAV GYAGDGLSNQTYSHGRNNLSASSNRNAFETDHNQQSYKYRDMSSHPVSSKSGLEHTSM PYNDRVNREQSAPGIIPQSAANHGLGNNNMSDRNAQAKFDTSHNMYSNITQQKQPRRS DMLSSGVHSDMSHKPKNEMPGMNNKPISSSSVSQPQDGRQGSYSNTKVSQATGMSSAT DDRHASEGTISEAANYLTKLATSATAAIDSGIQATESAVKSLIKDTTTQETHDGTREA TSMDDQSNAYHYGTKSGHQNPGPQELTTSFHNPRDVSTYGRTHNTLPEDNTFLPLTSK VNSRTKGPNFPNADQLAPTESERKHYHEQVDVRGSEPQNKGPPKKTVRLVYSEDTDSY VPASPEGNGSPIAGSTYTGASSVRHSHVEPNAQGGRNTGLSHPLSGFADTSKNSSYAN NNSYKDGTTLGNSQSNSLSHRSNSSHLAKLAAGGAMTDTGIGASATNARPSAPPTSGY EGYNPAFSSSTGAFNSHRKSRGNYGIPKDDTRGLSTSDFYENEEPGFDEVMDISDISG QESRTGMYRNYNDDILSEPTESGDSNNTLPPSSRHTSPYDHDILVNSNQSEGAIVEDD IGADFRVNRLGQYEYETSSEDYEAEEGSFYDADNDESNDYMPYYGARKRTWSPRQSHR DQFVMEDSYNNRTPLNERVGEAVRRNSGYAGKSSSSFNSSQTTPQVSDNKRNMEYIAA GVAGAGFSATLKPGVKRNLYETRQTVPSHNKTTPNTGWPQGFTTKNGVRDGNILQKSE AIVPAKSGESNDHYPQLPSSSVHHNVHNVEAIKEKIIKNPANNDDVRPYDPGNNESNE DVSSNSFGAVFRSFFRKSTDQNMPGETQQPQKHTSNNIREKEGELSHDSKRPLDETNS GELPPSYKAATRGRSTSYDAGLPSRLLEGSDLTSANELENMSRNRHSIQEIDGWKQDT EKTLKATEAQHNLDWRTVANFHGPVPERHDATGWLGASESLKEDRGVLGGCKKDSVYN NLFDEKMEDSDLAKGIKEKSTDMQSKENDTNGLEPPVNSRRSTLGATESTLTSVGATI GAAIRSALGRGPQEEKAKDIDAYGEPCNKSESNSSNTPPKLSQHTNTAYESNQKDDTS ELLKKRDDGKFNLANQDGTPLETYDPVTGITHSNMRGMSTHGTSSTAPNIDMRKNKNN NQKEARITDRKEGLLTDHKKEGLITDHKEEGLLTDRKKEGLITDRKEEGLLTDRKERL ITDPKGKGPMENHNDGMNVNKELPDVKDSKTDKISRKAAGASATVGAAIGARAASLRS NVKDAGNKATDKIKQTVQNTEKKLPGISTSDNESKMNSNGKSNSSEHNPEHSFHSARA DVPPTPPAKDAESAYFDCTSPKPNDHKNEEKIGSQQRKPIVTEPLDLKAMREKHKRAS MSKRVDNSTDQTKIASSIGKTLGAVVAGAGTAKTVNAALDKGHLKSQTIATNKNEMDA RNPTDTSTGKNERKRSASWRKSTYYSGSKSPGACSGPGQGVWVNQTKNPLTCKKHIRS ASTSSVPSDTHRTERVGSYVGAGTGIWDNHVDNPLTSKKHELEKKMETKKHTKNRRSC QN PHYBLDRAFT_123266 MSFSNMAGGADCSAGSNPMAQMLKQFNQDRSLQRDRFGVPAQGG SGSSSMRTMRQAPMTASGDGMVDEFFGQEQQQHRPAGPNVAGQIGNNFQFSELSRELE SIRQQDQGGWANEFGMHSNGPKIWEVTADQEAAMEKAFEESMARAGPSQDISVWRNEF MNHPEVSQMNPQQLSEFEHAFQKHFDWAGEFDMHSSKGKGRIVELDNNASWEDQFAAF DKAETEEEMARKIEEAAAAQDPAMFDQFESVWQDIRDQMTGKGEFGDDNSWEDEFGQF NSNGPVYKPDLGDYVFEIDNPFLTHPDPLSEAIRLHDQGQSLSETALAFEAAVQRDPS NSDAWMRLGMIQAQNEKEEPAIRALEKAVEVDPGNLSALMSLAVSYTNESYDHASYQT LERWITERYPEIGGASLPAPASPFELHARVTDMFLSAARQAPDGQQMDPDVQVGLGVL FYGSGDLEKAVDCFVAALKGRPNDYLLWNRLGATLANNGRSEEAIDAYHRALELRPSF VRARYNLGVSCINIGCYKEAAEHLLTGLSMHKRGPHDAEEGVNVSSNLWEMLRKTFVM MDRKDLADKAVAGSNIQQFRNEFEF PHYBLDRAFT_142846 MRQSFQPQDELNDNTRQAMPITKSRATLVNGIPANGEDYLLHVR QQASRCAQTVVAPHPKHPQNISLPSKYSFSFDTVTTPSHLLPSKEWQDSFGRSFNCKP PQKAPPIPMSNKNEWYTFCYTGVPSIVQLSCVEHMSQRLILRLLSYFKEWLTDMTEKE SIWIFTLLVYLDPVMCADSTSLLRELSRKSIEIRNTKTEQDDNLVRLNTIITIIAKAF GQADLI PHYBLDRAFT_123270 MAEFSASTSPPPTTTVKLAAKYVSYAHTLFAYSAFFIALAVGCY THYYKIVQNEHFGYPQEWFPSVSATTGDRYPARAIFQIFIALTSGPRFALVFLWYIFT TRSVRTSTEGFGKFLLAVGIIRTVACGGWVYITSTDDHLTHDVTMILYLLLTLPWQLG VLKTSTRNPTALKWRRWLTFAFFATIPPMIYFFLQHKVHKIPGAYTTYAFFEWSLIIY DVAFDAVSAIDFQNFELSIVDLTGKAAPVRKEGVFQVCKKRKVCRVHSDNSQKLKLIW ALCVCVYVCVPFVFWSMLTSLALLIWYFPLWHMGISGYEAFLFITLTPVVLGIRPLRE LVFNYRGVFHALSLIGVASFWAVDPALRLILTASGLGISLTTWSATWIELRNQNGTLE RSVLLWGVGLLVHNVVKMAWWTENPIWPIMNEANGGYNVTGIVLGLIAAFFTTNSVNE TRGISAPPAVIKGWYFASAGFGAVLFALHSMYTDSSAIMRWSVGGYPSYGPEPVPWGV VTIASLALGLWLSTSNRYMTSMWWYIIGCVGCATFYAFQGWPAYYGGLLQGLYLMSVM PSLVRGITVHPPFKTLFTSFFIYNLLCLAHVWVVAYAFVPGGVYLRERSDLVLAATMI LVGLGVFHSNQHNALDPTYRKSTQFHFLKHTRSLLRLSLVSVVLVSILVATNRFIRAA VPAPFTTSQKSFTAGIWTIHFALDNDMWASEMRMRDAIRDLELDVVGLLESDTMRIIM GNRDWAQYIAEDLGYYIDYGPSTMEHTWGCLMLSKFPILRSSHHLLPSPVGELACAIH ATLDVYGQPVDFIVSHNGQEEDPEDRRLQTTELARIMRTSPNPFVFLGYVVTKPQQPL YHLLFDGGDMNDIDPTDWDRWCQYIGYRGLRRVGYARISHGHITDTEIQSGKFQIVDN PKDYWKASYERLDESTIVPELRYPSIFRGNGIRGHRFHVFDEPRYFVH PHYBLDRAFT_142849 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAYLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_59395 MTGFPDNNELSTILAPFFQTFTFSQLEAILYAYLPTQLEFYIQK TGIYAVDTFLVTAIVTLVLIGAKLFIHLIGVISNLLLLHTQSYRRSRNGEITVTVEPT AYDAYHTSKSTLSTWSHNTKARLLSLITAHPIHSHDTTAVPNVFHQALSHLISKHTQT NTKGGYTLRPMLHVDHDPLDPPAFHMVPQPEQVHHLVHQGYPICLQFEPQKSPVSNTG VSDMMMTVDKQKEGPNDGSIRVSIAPNFSKPVNVGILGAFVDKAAKDYLVHLESLRKL TRTRYDYSATGKWVRICSLHEVQGLATVALCPSNEKLVKQDISSFASNQAFYQRIGFP YRRGYLLYGQPGTGKTSLVFAVASELKRHMYFINLSYVKSDSDLHQAFANVPANSIVV FEDIDTMSPVLHRRQDRIQRQTTDDDSKESSFNLSTFLSVLDGHTLEQGIIFMMTTNH KDVLDPAIVRAGRMDIHLELSYATHHQMRKIYRMVMEDDDTSQLDDICPDLENIPEFC IPPSEIMQVMVLFRHQVELIPLQLKELVRKYSTPLVC PHYBLDRAFT_75888 MSRLLDQLSNTLEKRREIAKLRRLVINPQDAIDFSSNDFLGLAY SGAFRDAFLNELHSLDNILGSTGSRLLDGNSLYAEDLERTLARFHRAESALLFNSGFD ANAGLFSTVPQKGDVIVYDALVHASVHEGMRISRAGLRVSFRHSDVDDLIRILKNVQQ SHPDKNVFVAVETVYSMDGDIAPLREIVAAIRECWPAKDNGFLIVDEAHSTGVFGKNG RGVVAMYGLEDEVFARLHTFSKALASNGAAILGSPILRNYLINYARPLIYSTFMTHSS LASIKCAYSLLESGSTIQMQKHVHLLTKRFRQTIRLPIGTLLPSPSPIQGIVLNGNAP VRALATYLNKKGFTVKPICSPTVPKGHERVRICLHGHNTLEQVDALVDAVHLFFGSQK DTAANGIPLEQPKL PHYBLDRAFT_180440 MTTLGPSLVFQNAYQLSSILDKHPSLSLSLKLRGSTDRLISYTV CTIRQLIQCSNQKASYQHMLLPDLHTFIRHVYRQCRLTPTVLVIALIYLLRLKKNLHS NARGEYDTPYKFFLASVMVSSKYIEDCVTHAPSIYRIVSPLYIPQELNAMERSFLGVL KFDLYVDIVDLYNFVDKRQEVLGLELGLK PHYBLDRAFT_158159 MQELNIGRLLLRLKSIIEALILLNNLTNYKRRLRLLKEEIFHGH GSNTGYFQQVSQ PHYBLDRAFT_158160 MSAILRLPESTAKDVSLVYQFVKAQNVAKVESATELSLEVSGDV VEGAVTIAKYFAAKNQPELLGSSKTEQVEVEEWLSWVIALKALEKKQVVATLKKLDAH LSTRTFVALNRLTIADLLIFANVHKFSKEIKVTTMPNVLRWFDLIQNVAVKNNNIKDF DLIEINLKDVPEPVFAAPVAKKDKKAAAAGDKAAKSDEKAPKADAKAAKADEKAPKAE EKG PHYBLDRAFT_110294 SRLDIRVGFIHSCKKHPDADSLYVEEIDLGDGAGVHRTVVSGLV RWVPIEEMQNRWVICLANLKPASMRGIKSEAMVLCATANDGSKVELLAPVDTSKVQPG DRVFFEGMEGEPEKILPPKKKYWEAVQPDFKTEDDTLAYFQGKPFLIKTASGETVKCK VATVQGGGIK PHYBLDRAFT_59400 MIHKRIGLQNTVLLTGKDFKLYTPLSFTLASTQLTGLHHIILHN FLVFHPYQLGLSQAPPKPRISSPLRIEKQLKKPPHSESLLSRHQYKKPDRIQSSLSPY KTWLPKPTESLYIPTHFSDMKINGALIRCLLCKHESGLCLFDTLSISKHYLSCSRLYL PHYBLDRAFT_110393 MLHESHSTPTAEVAPIIRSPLLEEFRSNKNKKYELKDIVNHVVE FSGDQHGSRFIQQKLETASSDDKQLVFDEILPNCLQLMTDVFGNYVIQKLFEHGNQGQ KSVLAKQMEGHVLSLSLQMYGCRVVQKALEHVLNDQQAILIRELEGTVLKCVKDQNGN HVVQKAIERIPAQHIQFIIDTFHGQVFHLATHPYGCRVIQRMFEHCPEDQTSRLLEEL HRNTSQLVQDQYGNYVIQHILEHGKAADKTLIIGKIRGHVLQLSKHKFASNVVEKCVA FGGKQDRQLLIEEVLLTRSDGTYPLMSMMKDQYANYVVQKMLDVVDGEQRELLVNKIK PHLPGLKKYTYGKHLINSKLVFDYC PHYBLDRAFT_123279 MSNLDIERIKGLLGTHENFPKEGIVFKDMFPVFQDPTAVEALIC NIVHHINSTYAEKIDVVVGLDARGFLFGPLVALRLGAAFVPVRKQGKLPGQCVSAVYE KEYGQDVFEMQKDAVKEGARVIVIDDLIATGGSAGAAGELIRKCNAVTVEYVFIMELD FLEGSKKLDAPVYSLIHL PHYBLDRAFT_123284 MSLADVTGQFNFPKEEEKILQFWKEIDAFNRSVELNKDKEPFAF FDGPPFATGLPHYGHLLAGTIKDIVTRYAHNTGHHVERRFGWDTHGLPVEYEVDKSLG IKGKDDVMKMGIEKYNAECRSIVMRYSGEWRKTVERMARWIDFDNDYKTLDPTFMESV WWVFKQLFDKGQVYRGQKVMPYSTACTTPLSNFESSQNYKDVNDPAIVVGFPLVNDPK TQLLAWTTTPWTLPSNLALCVNPNFEYIKIKDEITGNFYVLMEKRLSILYKDPKKAKF TIMERYKGTDMKGWEFEPMFNYFEKEFKGVAWKVITDNYVTDDSGTGIVQQAPAYGED DYRICIEHGIIRPDGPLPCPIDEKGCFTSEVPEYLGMHVKDADKPLQKEMKQRGRLIV QSQIMHSYPFCWRSDTPLIYKAVPSWFVRVSPVIDKILACNDKMRWVPAFVQEKRFAN WIANARDWNISRNRYWGTPIPLWVSDDLTEVVAIGSIKELEDLSGVSPIKDLHRENID KITIPSKKGNGVLRRIEEVFDCWFESGSMPYAQKHYPFENADKFKNTFPADFISEGID QTRGWFYTLLVLSTHLFNEPPAKNVIVSGLVLAADGKKMSKRLKNYPQPDIVIDKFGA DALRLYLINSPVVRAETLKFREEGVKEVIAKVFLPWYNAFKFFITQTAVLQKEFGFEF TYNHEMKKSDNVMDRWVLASCQSLIKFVRDEMDAYRLYTVVPRLLHLVDVLTNWYVRF NRKRLKGENGVEDAKQALNTLFEVLFTLCLTMAPFTPYLTENMYQTLKKFVPKNKNIV DDRSVHFLDFPTVREEYFDPEIERAVGRMQAVIELGRTIREKKNISLKIPLKELVVIH HDPQYHADIKALENYILEELNVRDIIVTSEEEKFGVKYKADADWKVLGQKLKKDVMKV KKGLPDLTSDDVKLFVKNKETTVAGIKVTLEDLVVIRYFDSDDAHYETGTDQDVLILL DTKLYPELQQEGLAREVINRVQRLRKKAGLLPTDDIQMYYRLDKSGNQEIHEIIQSQE AVIVKVLKKPLAPASTIKKDAVVIIEEEQEVNGTTFDIVFVQ PHYBLDRAFT_110518 MLGNETLTDTIDKLSEVIGFRLPSVQLPHQKSYTYSSPVPALLV ENLPNKVNEPCVLGVDEAGRGPCLGPMVYAVSYCPVSRHQEFKAMGFDDSKKLTEDRR TALAKIIEANLDWVGWAVYVISPQDISVNMLARPPFNLNEMAHDATIKLIRSVLEQKV NLTEIYVDPVGPSQSYQVKLSRNFPGISITVEPKADALYPIVSAASICAKVTRDQIVQ NWIWTEEGLDIPKNFGSGYPSDPNTVKWLKKNGDRFFGYPSIIRFSWKTISTIIEEPK NIEW PHYBLDRAFT_132047 MSHVPAILNPTEKDLQLLLAAQCHLGNKNVNTRMIPYVYKRRAD GINIINLGKTWEKLVFAARVIVAIEDPKDIVVISARPYGHRAALKFAKYIGAEAIVGR FTPGTFTNYITRTFREPRLIICTDPHSDFQAIKEASYVNIPVISLADTDAFLQYIDVA IPTNNKGKHALGLIYYLLARTVLRLRGTLDYASEWDVMVDMFFYREPEEAEKEQIAAA AEGGLEAGEWGGAQEVDWTIEGAVDGPAGIAAAANATASADWSTQAATSDWAEDVNPA APTSSWD PHYBLDRAFT_59406 MSNPVAENAKLNEQRTRAIKTPVSAYLSIDPSRRNTPASPYDDT ILSPESPENRQYPSLIRPNSFNRFRNSTPGNQPDDASNFPSQWKRVLDKLSSLAQSDS QNDHGSIETRDESSMQESTENTNEEYELTAPSTQKYYRNKKGKTFENQIEDDALPSAF DIEALENSTHNRPGEDQESYFAHPFSSDRDHQEGSTSGQPIILPIQENELHHHNQVHP HSSEVTIPNEVHQINPAERAHAKQKWTKTLERVKLITNLPNQPHRQPEIPLQLTQTIA PWYPPIFDPPFLSFMTDEHHRKLPPILLQCMIVSVTDSELQTKGINQWIFRMELQYGD VKWVIRKTITDFVSLHYTLKYIAFLADIASTVPTDVFLLDKSFSVTNRKRGIFGRYHN HIILVNRFRRIEIKGSKRQIEEWMESIQKVSKNSPWVTNHRFGSFSPIRHNAKVKWFV DAEDHFNAVAEAILAAKKEIYIADWWLSPELYLRRPPTENEEFRIDRLLQRKASEGVM VYIVVYKEMTVALTILSAHTKRALQNLHPNIIVQRHPDHRTVDNNILFWSHHEKMVVV DNRIAFIGGLDLCWGRYDSHSHRLADYPAKGHSHEIFPGQDYSDPRVKDFLDVTNFAT TLVDRRVTPRMPWHDMSIAVVGPIARDVARHFIQRWNFLKSSKGKHRQTVPFLMPKGE FVAERDERRFEGTCRVQLLRSSAEWSSGIEREHSIYNAYMECISKAKHFVYIENQFFT VYFNHNIYEESLFVVSATTQEGILRNKIAQAMVERIKLAHKKKEKFKIFIMIPLIPAF EGDLASKEASAARQVFLIEYFVLAKAICNVMHFQYISISRGGNSIMEKLREVGINPDD YIGWYCLRNWDKITSRDRDDKKSSSSEPTVLSNSTENGSKSNQTPENNPRFSVSGSSE GRSLMAEDDARDYVSELVYIHDKIMIVDDRLVLIGSANINDRSQLGNRDSEVAMLIED TAMVPSYMNGKEVKAAKFAHSLRMQLWKEHLGLLEFDDWGALCNNPDTIHCKPTPRHT PPSSPNLNDHISNEDMIKDIENKDPENIFDFPRKSEGSKKPPPSIDQSRALDPLSDEF YYGIWRKTANRNTGIYRDLFHCVPDDTVHTFEQHRQFLPDPTKVSHGHVANLELSGPE IQLQLDQVRGHLVEFPTNYLKDENMLGSYLREAITPMTIFT PHYBLDRAFT_142861 MTRRKRKRIHKPDMGMHESLGYVHRKNTQDVCFDGHERPNVMKY CHPWTTRLMVCKQHMSDFTDENEKIEVFFTPTMEKVFHLSQEYTSVRLSMNVFQVDFE LFLICTKLCRKINRTPQLWQDLKLTNLFETLDGAMNCVRMWTWNQNRQS PHYBLDRAFT_142862 MGRKNARSVLTSKMPRRQDGKFCAKPPVIVNDTESSAAEEEPVE ENDWELLDLTTDMMVAGYYRALARLEKETKDDLRALNKRDSRPSSIRNKRKAKGELEA NKDKKVRTLADFGFSVPVKQVSPTTTTLIVDKKPNDKKLEKIRGAFEMISDKLNPLLG SDNQLIKSALFEDTKQIVLRDYFRRLLENSGKIEASERAAELMWDSPSKYCGAKVRAW AKEFLELGGIPGHQQDKHDKRSSIVDDEDLKKE PHYBLDRAFT_110214 MQDRHERILEELLKKAGNERCADCQSRNPRWASYSLKVFLCIRC ASLHRKMGTHISKVKSVTMDQWSLEQIQVNTVTLYKE PHYBLDRAFT_132050 MVLPLSKLVVLEIAGLAPAPYAGMILADFGADVIRIDRTQGSNT DVLTRNKRSIALDLKNPLSIETIKRLVSKADVILDPFRPGVMEKLGLGPDVLLTVNEK LIYARLSGFGQTGPIALKAGHDLNYLAISGALEMMGRHKEVPHFPLNILADFAGGGMM CVMGIMIALFERVSSGKGQVIDANLTSGTSYLATFPMLMRQHKLLWEGERGTNTLDSG AHFYEVYRTKDDRFMAVACLEPQFYAQFLIGLNLSKEILPDQLDNAQWPAMKKRISGI FMTKTQSEWTRIFDDLDACTTPVLSMEDSVPGGDTPKTGQRWPRKAVFPQPAPLLSRT PGIHVADPTGDPFLTVGKHSVEILSQFEFDKTVIQRLLNEGAMVDVGFRHKM PHYBLDRAFT_35679 MAHANVWNAHPRSNGKGSRQCRVCAHRAGLIRKYNINICRQCFR EYASDIGFNKYR PHYBLDRAFT_132057 MASGFGYDGSRGRCFQFWQEFNKCYANADFPQQCISQRDDYLEC LHHTKEFARATRIKTEELKQAEKRLQAKKASSAAADSNLPRLNIINDNVQKPVEAKA PHYBLDRAFT_180449 MPPAKGKKASGSLGRAIIRSRFQGQKAIALDEGKLHTTDADDEP GWVKMQSITQENDLDAFLSTAELAGTEFTAERLNVKVVQDTYQNPFLLAEEEEKQRLE KQDANVERLSVPRKPKWDKTMTAEQVQRNERESFLEWRRSMAQLEEDEGLLLTPFEKN IEVWRQLWRVIERSQLVVQIVDARNPLLFRSADLEKYVKEVHPEKQNLLLINKADFLT KQQRKQWADHFDSQGIRYTFFSAVLATESQEKEKAEKEREEYERMLEEQKKKKKTESE DEDSEDEETDDEETEEEETEEEEEEEKVEKKEEKKEEDIKDITKNLENSKLENEDDRV RIRTTTDLLDLLIQETPKILGEDGKVDPTVTIGLVGYPNVGKSSTINAIIGEKRVSVS STPGKTKHFQTIHLTPSLVLCDCPGLVFPTFSTTKADQVCNGVLPIDQLRDHTGPTGL VAKRIPQATIEAIYGIRIRTQPIEDGGSGIPTYAELCATYAIARGYFRSSQGTPDESR AARYILKDYVNGKLLYCHPPPGIDSTKFNEENNKAALKTQKKLAPTTRVPANALNYVA PAETTAKPRGSKTEAVDNAFFKRHMNAPSVKGKAAASMHAGFSRVNMYPHQLQLAEDG SPLPQAQGRKARLAAVQANLAEAGLAGKKHKKGKKHVKVRSGAGYDYL PHYBLDRAFT_15935 ILINDAGVSTDSGIPDYRGEQVRIYKRNPNHKPILYPDFIASQD FRQRYWMRGYLGWSQITKAKPNPTHYHLTWLLEHNYLNGLITQNVDHLHHDAGVPDES LIELHGTLHRVECLDCNTKMLRDSYQHRIHARNPAWANYQRALRKSNEAPKINPDGDV DLPSTMSYQDFDIPPCLECSSRRMKPQVVFFGENIRKDVSEAAENMVKQAGGLLVIGS SLATYSSFRLARLASEHKLPVVILNKGPTRADPLGTHKIELGCTDVLTRVRERLDQ PHYBLDRAFT_142868 MANSKTLWTSAAATLSLVILGGASYYLWKEDQQVKKRNLAKTRE KKAASLLREINNDHKMLHAEIDEHYKLMKLAGTSVPEKEWKTIEFKLSMSNEMLLRLM ERLDAIRPLADIMDEDDREPTKYEKEIIAEIKQKKRRIISKIERDFKRLDTYKSNMPT KEISPPKEQSPFEEVVIESKA PHYBLDRAFT_110543 FQDSMYCAVEFGSGRVEGLFIKSSLLSNIKELDYVIVEGDRGID LGRVIELDLSKNKAKMINKKGPKSFRRVLRLATRKEIKRYWKNIIMASQAVNICQKEI DRQKLPVDLVNAEYQWDQEKITFFFNAKTRVDFRQLVVFLYTKFQARIWM PHYBLDRAFT_158166 MSLFGIVWTMLDSMTTQTTRLYFKHLRGEQVDNNIVGRGVPRDA SSLLREQIFAEKILETYAVIRSQIGLRDVIENDIADLIRTFNLPNASKVVLEPTQAYM ITLVLFKAIADITIKDKSWLKKFEECCGAIGQTKDTIDACARVLVASTSYN PHYBLDRAFT_165865 MVLSSSIRATEIPSTSPCPICLQVPDNQTYLKPCYHSFCFSCIL KWINITPCCPLCKQLIDTLVYNVDEDKGTFQEYTLVGKDLDGQHNPPLKPPLITSEER LHAQRKEIYNSSIQIIHPKPLQRFANISILDPQHIQRARLFVRRELPILVGSLYEPMV EEYVESLLLIPYQKKASKRHDSSPVTMYEPSVLEPLSEWIGDLPGETRIAERFINELM GFVKSGMNYITFVSQSSRETFD PHYBLDRAFT_180451 MEGNNKAYSSVPPPASLSSSGGPVDFNDALSKARAIAEKLKQQS AAAAPAPPAAGPSYSSTGSKRGYREDSYEDDRNDYRSSRSYNSRGDNYHDEHDNKRST YDSYSRPSFGSGNEPARYGLGSDERKPSSSYGPTASPDVIQEECMVPNHMVGLVIGKG GDNLKKIERMSGAKVQFTGDNGDPERRVNIVGELEQTKLAREMIQQVVDDAHVSEASR MGTTRPAGVPEIGAGMSTSFNSGGSNLVITIPSKKVGLVIGRGGETIRDLEERSGAKI TVAPEPSSDRPSQRSVNLIGDDNSVQRAKALIDDIVSEDSLKSVTPSRDWVAYRQQHY PAGEPGNGRRDEDEQDEQDEQDDNDSPRQDAPQSSISSYQPPAFATGANASFDRYGPP SSGQDRGYGGSGGGGGSGGGGSGGGGGGGGGGFRNRFTDDRESVQVPKNAVGFIIGRG GETVRSLQDQSGARIKVDSSGDIDANERTINIFGSPDAIALAKQLVMEKVAEGSVNRG NYGGPSGPGGGGGRYGGDNPRRGGYSGGGYNNDRYNSNYNSQYQQSGENSGSYDYSQY QQYYGQYGYSQAPYGQQTSSSGQESTSAPGTSSTAGYEGYQAYPYAYGSYNQYPAGSN DAAGEKNTAADGSAPAESSESDPSAQPTSGDTTEDQPQQQWTQEAYNQWYQQYYGGQY GAYDPNQAQPEDQQDSDLPPGTTPNEHSDTHTTPQDPPAE PHYBLDRAFT_154867 MENEISVLSLNCWGLYLIASKRKVRLQAIAELLESSEYDIVALQ EVWLQEDFDMIRTKTSIKLPFAKYFYSGALGSGLATLSKYPIIESSYQCYKLAGRPLK ILHGDYYVGKGCGSVCVEHPEIGILEIFNTHLHARYGTSQEYESHLISEAWELARLLR ASSSQRRQVILAGDLNSTTTSYVYQIITKHGFVTDSWEEAYSRTSAPPIDTPDPNSTS TTIRLYNDGVTCNSPFNTWSRNFNKPYTGEIMGKRLDYILYLSGSRLACIDSQVSVTE YIPKTNTSYSDHFGVHSTFHILPETSSPIKVTHPCTTKLSATTIHEILTLLTKQQAKT QRTVRILLIVFVTMVILSLVMYGFLVGLSVGYSQIFLVTTLVPIFCGGGLLFCAALAP ILLIVGFVFGNTEQRAHRQFVDDLEVLLLSFKNN PHYBLDRAFT_59420 MIHIKSESFSLKKVAITAQYLLLEFLICFLNSYPFPDFAYFSTV YSNWAHSYNSLHHFHIPITEGRIIFYAIQYVGCEYIISEHIRCVEVRSYDDVSPTH PHYBLDRAFT_110097 MRPIKFYDVTLKGLSRVSWSPNTCKIRYALNLKNIPYETVWISL SQIFSEIPKVTKSADGPTAPIIFDENNDIAVQDSWKIIKYLETTYPNSPKLLHGNEGL HYLFYQYCENELYDPIFRLNCLDIWRRAGSKGVQSAFRRIREEKYNMTLEDVYESWPE HVKEANKALEPIRKTLSEYPYLSGDKGKREV PHYBLDRAFT_59422 MIHKRIGLQNTVLFTGKDFKLYTPLSFTLASTQLTGLHHIILHN FLVFHPYQLGLSKAPSKPRISSPLRIEKQLKKPPHSESLLSRHQYKKPDRIQSSLSPY KTWLPKPTESLYIPTHFSAKECLASNISSEFGWYSVLMVKNWFVSHNHRYELINGALI RCLLCKHESGLCLFDTLGISKHYLSCSRLYL PHYBLDRAFT_165872 MRGASLPPASPKGNSQIASLVRQWLQKPAGKVAVTPAQLKKHEK HQPESLEARMVASPYAAILASPIRQCLFYRRAFPSKLLIRFGAGYHPKTKQNWAFPTV GKPHEMTGKGYYVKLCKRVLELFGAKGHDAAFRGEVTYPLGMVHQVEKTINQQALGLF RDRFLSVPLTLVAPQRWEVAEKDYSKVERGFQCIVVVRGGRNGSESGDESGGEGKDRG ESSGECCELNHKVVLGSSASSETPRIFQNVPCYDSQRFWSEEERDVICKELDITGKYM VAIGIPKHSNTVELAVALWRIGLFHS PHYBLDRAFT_186066 MKSQDEVMTSTPLNACHMDWLSTWLSPQEQKQQQQETYRHGLDQ YEEDGDWIQVSPVPKEPQQLQPVPKEAILDDIPKDPISIKSPGPEPSNKKETSSENND SSSSAKHLSRQERRYQARMALKEKKLQTRPTPCRPNATVNHCLSSTRTMSIEC PHYBLDRAFT_142878 MDSKLFEHEFHSETNQLRKDNKNIFNRLKSIEEDASFVNEILEM FPTLAAIANERCGSWYIDRSKRKVYSAYFKSTDGHTGQWDFNLRRNNLSLIRTIATHG GCVIVDSTRRGKRIPDALSKTVPIWCAVINRALAIYRSRASLPTLDNWDTSFYSLPSI VSRSEDSQITSKLDMFAERLLSSGVDMQPLEGLLRKPLRPLWFTPQSSLFANAPDYGD ATFWPVICLSASQAVESGCQARSGYLYVQGSGDDQEAWCLGLTHSLFWKNREDLLDVS SAVECEDKVRALVKSSKGHIEEDEEGDQKYHYISNTGIAVGTRTSGKPPMCWKEFDVV VNCTTLEYNENKLEEHKHQYIQLPIPEGKKGQQALFDHIGIALAFVRQPLLEKRRVLV HCAKGQDRSVGIALAILVKYFDQKGVFQPEGVGRVDKQVIQHHLLSIISSRPKASPSR ATLKKINTYFMSSSSTAHD PHYBLDRAFT_59427 MTKRTADIAKLDDLGGSIHGMHPRNQYKNNPPNFKQLAKECPEL QPFVCGLESNPYIDFKNPEASRALTIALLERDFGLQVDFPLDRLCPTVPNRLNYILWL QDLLKETMQDKPDHILGMDIGVGASCIYPLLGCVSDPTWSFIGTDIDQVSIEYAQKNV ERNQLQDRITLIHNTDPNLIFLWDKIPLNSRFAFSMCNPPFYESEDEIKDNSQAKLLE PSAICTGTKGEMITKGGEYGFIERMIKESILHKGRIKWYTSMIGQKKTIKPIVNTLKD LNINNYVVTEFNQGKTKRWAIGWSFDTQRAVDARSLDFYRPKSQFTIQLPKDISHVHS KTIDILQDLAIKFNQEDGHHIILEGSPKANTWSRAARRKKNCVTPRDCDGLFGFRLML AEQN PHYBLDRAFT_180453 MDPLTADARADLESIHSLDLLRTASPGSNAPSINEPLRYANSEP ISPSLSQDDPHRRTSSNPTPPQLPARSRSLISEPATRKRLLSSNDTNSASALLGHSLT SNALFYDIPVSDAFSELLERHIPPEERPQRDNAVGVDVEKPKGPDVLVGLVMNNMWRS VARYARQRLVQIDPLDLDTILHLWYIRLLALTKLGLHQLASAEFEKLGDLNRPEFTAE YHSQLYPGRTGTLIPFELWVLWACLPAWLKYPLMSLERITMLAVFCKKMQFKDKEKAT MWKKREMQVYLVLSTQLMEMNDFSTAASTMEMVLNNFATSEDGKQNIDILSGLGRLYL QLGDYFSAEKLYKRIEDQESQDNDPSVKEAILTNKAFLFMADGEWSQAKRLLQQVYDA NADNLLVVNNLAVCEVYLGQLSNAIDLLERLTKDNPTSAGTCETVLMNLCTLYELRYD SAVEMKIGVLKQVARWVGDSFHAECIKLQ PHYBLDRAFT_180454 MTEPYSTMQTNYGVPQIPTNHPIPTYAPPETSAENAFEKEFWLK TLSSVQQDSFDFKVHQLPLARIKKVMKSDEDMISAEAPILFSKGCDVFIAELTQRAWK HAVDNKRRTLQRSDIAAAISKSDMFDFLIDIVPREEQIRQTKPEKPSLEYRAGTQPNY PNFNYCIPQGHYGLNEQVSMDNLQQAQLALQQQQQQQQHINPHTHQPMYMPHQLLNHP MYRQPGQSEQQPEHQNYAIQNHSAPNGSSQPS PHYBLDRAFT_59430 MDSQQRPARKPRPLFNIFRKNKPVDVPPPITTLGSGTTAVLMSS IVTSDDPYAPHPSIRSPRSPDPRNRYHQTIANIQPQPQPQPQPQKQSDIRQERSTPND KPSGRPRSKSVGREAQRAPPRLCHRSLTAREQTLYKLCQNEQPTPASLANTPLSPSPS PSPSPSRSAKRLQTPAAPPVPPIPAHHQSPLMTPMSPLSPNFAVPISPIPMPSLRKAS SARDLRKITRHQQEAQQPALHTMPTLPPIINANMNRSKSLNAPKKTSRRPKASNNSNN GDDDDDMPLASTLLRQAKANRSLLNDEEEEDDKDLVPIATLSDCSPHRSPPVGLKSAA AKYKEKVKERLQLDDDDMPIQIARMRSRNPLGGGVGVGAGVSDHHNHHQWMPQPVK PHYBLDRAFT_142883 MPTMNDRSFSIITGRLNPPYLARQDAFQVDEHENVVCVAPIERP RRKKMPVRVAMLGLCLYLFLVWDLCKCVGMKKLPGELFPPNQRGERVEYLWIPDLLVK DYDMDSLEFDSVDWVAWHCRLCLGMYKRMVAPKINGKNK PHYBLDRAFT_75904 MEKVRQALAQQVSKEAEDRRATELAAENPKSIDVHMRDIYGTAI DSNYGPTNSATQLCTDRTHPFKEDAEMQISGEGSSSHDN PHYBLDRAFT_165880 MFFGTTILHLRVVLLYLCSLSLGLTHCYCHPFLALFMNSSESEA EGKGEICDNFISRNVNKESQNIERMYGPGTLCPISPPFPVSLYHLCPPEVVPMRTDLV WYRCIVRFHKWIVRVSKRNISLEFLSLLKSVPNPGVFSTQLLILNSFMPFIFSYIFIP SVFLPVVLFILILDFFKAVFLD PHYBLDRAFT_142886 MHPSIHPPPPISPKLLIDKNFRVLWFSFFFSFFFVLTVSTGGDD GELLGPDGVEPRVKHGLSSKSTSARVACEPAVRIPVYYWLLSVVSSGGRGCLLLPPVG HFCQWPPVASCQLPAAHFPSTGGSIYLTGRSHLSHWSDICFLLLPVASAGEPDGSISA AKWSAMSCPQDTLSKADKAHQKPNMGASKKATPGHKKGQSMRQSKDQSGPQNRPMLQV RTRERASRPTRKVKVGARANINPAHKKG PHYBLDRAFT_142887 MHPSIHPPPPISPKLLIDKNFRVLWFSFFFSFFFVLTVSTGGDD GELLGPDGVEPRVKHGLSSKSTSARVACEPAVRIPVYYWLLSVVSSGGRGCLLLPPVG HFCQWPPVASCQLPAAHFPSTGGSIYLTGRSHLSHWSDICFLLLPVASAGEPDGSISA AKWSAMSCPQDTLSKADKAHQKPNMGASKKATPGHKKGQSMRQSKDQSGPQNRPMLQV RTRERASRPTRKVKVGARANINPAHKKG PHYBLDRAFT_165882 MFFGTTILHLRVVLLYLCSLSLGLTHCYCHPFLALFMNSSESEA EGKGEICDNFISRNVNKESQNIERMYGPGTLCPISPPFPVSLYHLCPPEVVPMRTDLV WYRCIVRFHKWIVRVSKRNISLEFLSLLKSVPNPGVFSTQLLILNSFMPFIFSYIFIP SVFLPVVLFILILDFFKAVFLD PHYBLDRAFT_186069 MEAERINHFRDTVLASSDGVRAKKWLLAYHRDVVQSKENVHRSF KRKEIFVNGQAIEETRILREGDVVEVNYNQTMEEKTRMENVDLDIRYQDEHLAVVWKA PGQNFGDYEKAILYALNLDHDTMQCVFMLQKAGSGLLIIAKSEKIQKALLDIYESGNM TMGMSVVCHGNVPNDFLSTVPDLGNVVVLNNVDLTEAMENEDEEDLSVIARTRARPFD LESIIRSITVETITRSNQANYLSTLHLDLQTPLQNSEIRRLFYLTGYPIIGKSIYTRY LKSSSKKGLCMSLTHLGFVHPVTQQPLEFKNAEPVKFAHLRERETKFWQRRRDAEEEQ LKYAGVDEVDRGDTNKPLAYVLGEKRFYGLCFKVNESCLVPRPSSEILVEAVLEYLPQ EKQTTRVLDVGTGCGNLLLSVLHNSPQSRGVGVDISKAALEVATQNAQLLGLEDRVGW LCKDMALMEDPVQLYDILVCNPPYLHRKTTKKEAQQMARLSHEPAEALFAGEEGFEFY RILHSIAHRLVCQHNGRVILECGKGMMDKVKDIWSDWKVLEVRKDRQGWDRCLVLSNE NGVDRLKVQKRVKKRRTALILYMVFTVIKEDLRYNLLEFELILLKHSLTHDFTLFANR ILNLWDRYSGQADV PHYBLDRAFT_186070 MPTSLLLELTGLAANGTLADAPEKVIPSLTWVNVAFASSFILIN GLISILLGLRLEKSLIISAIRCLIQLTIMGYILEDVFKARHPALVMLMTFVLIILGAY ETVYNKSKLTYKGMFMSVLVSTGFSTLFIGIIGAKWAMRQDPFWIPETFIPTMGMLLG NMMSGMAVALSSCLSSLTTNKEHMETYLACGASRWEAGRSIAIEAVRLAMLPTINQMS VIGLISIPGMMTGQILGGASVMNAVRYQQIIMFLISASTALGVLSAVVACIRVIIDSR HRLRPERIEEGRASLFQDIKGLFVGGWHMLGKVLCCKRRKQEYKKVEDNDEEDDQSQR RPLLR PHYBLDRAFT_109973 KMFVGGLNWETTDESLRQYFAQFGEVADCVVMRDPATGRSRGFG FLTMLDTNVVDTIVSQDHYLDGKRIDPKRAIPREEQEKTEKIFVGGISAEVDEEEFRE FFTRFGKVTDATLMTDRDTGRPRGFGFITFESSNGVDEALRHPMLSIKGKPVNILSLS LYIYLRAYLEKSTFFPSPIF PHYBLDRAFT_186072 MREIIHLQAGQCGNQIGQKFWETISQEHGIDTNGNYCGDNDVQL ERINVFYNEGSQGKYVPRAVLVDLEPATMDVIRSSQYGKIFRPDNFINAQSGAGNSWA RGYYTEGAELVESIMDVVRKEAENTDCLQGFQLCHSLGGGTGSGLGSLLLSKIREEYP DRILSTYSVVPSPKVSDTVVEPYNAVLSVHQLVENCDATFCIDNEALYDICFRTLKLT NPGYDELNQLVSGVMSGVSTSLRFPGQLNSDLRKLCVNMVPFPRLHFFMVGVAPLTAF GSQQYRNLSVPELTAQMFDARNMMAASDPRHGRYLTVATIFRGRLSTKEVENQMLAVQ QKNSSYFVEWIPNSVKSSLCDIPPVGMKMSGTFIGNSTAIQDLFKRVNEQFTAMFRRK AFLHWYTSEGMDEMEFTEAESNMNDLVSEYQQYQEATADGEEEYEEEEYLEDEDALEE PHYBLDRAFT_7078 LADMLGRLISHNDLIPLTPSNLTRFHSRTPPNISLSDYLRRIVK YTSAEKSCLLILLIYIDRICESHPHFTISSLTVHRFLITAVTVSSKALCDSYCTNSHY AKVGGLSTQELNALELEFLALIDWHLASSGLILQQYYANLVQQHPCY PHYBLDRAFT_9598 VTMSSWGSFSQTYVNPENLIAAEKQVDAFMGLYNRILETCQVKC VPTDYHEPDLNKGESKCIDRCVGKYVGFQKLIAQKMEENGPTPPT PHYBLDRAFT_101283 QCPCHGTMRGYVGDQYKTSRVVFYPGAQYEGYWKSSHMCAQLTD IIPLFNAIHPNAVAVFLFDQSSNHKAYPEDALLAQNMNLCAIEVKDSDSGQGKFRDSS FYVRKQYDYAEQQKNKKYKKYFIGLRGILQQRSMYRNEAERYSLKRSCNNVATADSRC CAIHIMERQPDFANQKSALEEIVEGSGHKFELYPKYHCECNWIERYWEAAKKEARREC DYSFQSLNRKINSFLDIVCPPE PHYBLDRAFT_158170 MTITNNTVIQLLQHIQDTLTDIQKGQEELKERQDAILTEMASIT TSLNALRTPDFFATTSDNVSTGSIPRPVSNIRGITVKHIYKMMAKDLGVKLTKENRTT LQNCTRLACDNLALLPSVQALGPSPSWKSIPQADKKEICANHANTLKEHGIDFTRCHQ NWASVARVSHLWKDRYRKATTSEAL PHYBLDRAFT_59444 MTIKTHPQLSPSSDLIENMQICILLADICPDVPEQGGMKRVVAH QILQLNSTLKHILNIHKSCKKLLPESLIFFHSVRDPTNDADYSDYLTDKKPKPKTEGS WASFKHKLIDLLKYMWKSKLQMTGVDKIY PHYBLDRAFT_59445 MPHYHLDIAEFPVPLLLKIVANLINSIISTNDRILTSENITHFH SRAVPNIAVQAYMSRILKFAPFPNEVLLSILVYFDRIAKLKKNRIAISSLNIHRLLIS SIVVASKLTSDVFYSNTRYAKVGGLSLLELNQLELEFLFLCNFDLHVRLQDMQVYGDQ LLTHAMSQQQTLVELTNLNIFPPPLRILTVCDTGAEEEAEEEDDEEREEDQVLKSPSE ISPDNDADQVLPITPPYPVAVDSSKSTEKDSLSRRSASPNSPTSRQRSIKPEKTSHLR YSPYSLSVSSKAKRLASSPYKG PHYBLDRAFT_186074 MSHQSGIKVSEELAQTFSNAVSGGETRVIRISIIKESLEQTGTS PVEGSLEQDFVKVGKLLEDSQPSFILVRLDEKAPTGEHKWLFMSYVPDNAKVRDKMLY ASTRATLIKELGDYRFTETMYGTQENEFTIDSFKKHIAHKAADKPLTRRERELAEVKA AEAKAVTDYQGTSTRKSYAAGVSFPLTEEAINALNNLAKPKEEREHNYVSLCLNNEKI DLDTESSVPVNKLKDQIPSNAPRFTFYAFEHEHAGETKEAIVFVYTCPPSSKIREKML YSSSKSSVITGTDSETALKIAKKYETSDPSDVTSEYFLEDLYTPLASSSSGDSTQSGA GIGIVADRIQMLGSTQGGFKRPSAPGRRRPGAH PHYBLDRAFT_142899 MAEQDLQLEFIEDLEISSENDEPEKDENTTDDYMEKWKKLSGSH KNSEKERSLRSLKKILGKNPTESEKDEEEEDKEEEEEEEYIFDREAYRLNNALFYGEI TEKDSKHIDMTQPFESADDIELHPPLGILESVNRLTNLYLSAERQPKHVNRFDISALM CLGSLVEEYTKHITTSLDAKISAVNKDTNPDENNDLTKDAFESVDNEPEQSKQANVEH SIKSETDQAEDIIVNHQKSDNSRKRTKKSSRNDRTKKKLKGVKIDLSDSPKVKKHKSK PHYBLDRAFT_158172 MAAKVAGSTIKDDVHIFNCFFYSDLMEKVKNNDLHSLKKWGSKI DIFKKKYLVIPVNESYHWFLMIVFNVDQCIARSECDRVPHIYMLDSMGGKRSHCQKSL AKYIAHEAQSKHGVPESDFLAPRRSSPKVPMQNNGSDCGLYLIHFVEIFLKDPQRCQN LLDAKYCDNEGWETKRIRMKRKELKRIIKNLQV PHYBLDRAFT_132073 MATKSNLAKGGFSFENFARNHALEAKGAKPPKATSTGTTIVGVV FNGGVCLGADTRATEGPIVADKNCEKIHYIAPNIYCCGAGTAADTEFTTNLISSQIEL HSLSTGRKPRTVTVMTMLKQMLFKHQGHIGAALVLGGFDATGSHLYTVYPHGSTDKLP YVTMGSGSLAAMSVFESRWRPNMTREEAIGLVNDAIEAGIFNDLGSGSNVDVCVIEKD KTDYLRNYGRPNERAVKEHSYRYNRGTTAILKQSIKNFVEVSEGDLMDISV PHYBLDRAFT_9060 LNEKHTIILKDLLSQSANMTCADCGKKDPRWASWNLGIFVCIQC SGIHRSLGTHISKVKSVDLDTWVPEQIDSMVQWGNGRANKYWEANCIQDGSRYNVEEK IRAKY PHYBLDRAFT_177169 MSFLTTSAVRTFAACSHVARPMSAKAMSTRVQVANPVVDLDGDE MTRIIWQDIKEKLIHPYVKLDIKYYDLGVEHRDLTNDQVTIDAAEAIKKYNVGIKCAT ITPDEARVKEFGLKKMWKSPNGTIRNILNGTVFREPIIMNNVPRIVPGWTEPIVIGRH AFGDQYRSTDFYTDKPGKFEMSFTPEDGSEARKWTVYDFPKNGGVGMAMYNTEDSIRG FAHSCFQMALTKQMPLYLSTKNTILKVYDGRFKDIFEEIYEASYRSEFEKSKLWYEHR LIDDMVAQALKSKGGFVWACKNYDGDVQSDILAQGYGSLGLMTSVLYTPDGKTMEAEA AHGTVTRHYREFQKGNPTSTNPIASIFAWTRGLAHRAKLDVNPELTKFTQELERACID TVEKHSVMTKDLALAIHGKDLRPQHYVTTAEFMENVKANLDNPRS PHYBLDRAFT_165900 MSTKSIPTVFKDTPSTSTSLIHQPPPTGSQQRNAFYHRHAKLIQ YLKGLAIRVATMVVVLGVLIGIGLGLMYTEGLPASETFSNLAFDWKVDPKSYLLPFNQ SFEYNVLLDGHSHSTYSDGKMNIRQLLDWHIANGYNAVIVSDHNTVEGGLAAEKLALA EYNETIVVIPAMEYSCCRIHMNFIDINQTIPEGPPVPTDEELRQVIDQVHSLGGLVIV NHIPWSNTTEDYYQDARLPNHPSVNDLISWGVDGFEIVHQDVLDYPTLQVTQTHNLVQ MTGTDVHHPSVGANVWLTVNVTKMDRQSIMQEIRNRHTSFLLDPAGTRQRSYSDSQPR SYNRLLPLTALGGYFSMFYTDYKGMYSFHGTFCHPERLIVHGDLVGWFIFWVLVGLLM FEIVRGLCLFLMDFIHRKRHINHQDYRQEIF PHYBLDRAFT_59452 MKPSIIGVDYLAYEWQPSELIACHREMRHKMTELSAKIRCPNDK RTCKMLDSDYARLTRCQNAVWRQMANRCTDVLGSKNPLLDPATLLWDKEADCNWLYGP LYNNKITVFRSRPTNGLKSALKTPRTSSKPFFKFTNNYNYNYNNNSKINLSNSSSTST SSSTSSSSSSSSSSNSSSNRSIKSTVSVKSSQGLHVRSPNEVRFDPEIRRILYQSDLP PTHDSLLTEKIRVKTLDDYCAEMDANTQFDDDCDGGIDTDDLVYECTLWVRQKILSLH FLASHRSRIDSVPCARPRDLVVLIIRILQSMVAATTMWMVYQSLLPVRWLIRPAKKSP ASKMNNKSIQ PHYBLDRAFT_15794 MAFSSALVLTDLNDYIAPSQACIKPVEIQKTADPGHQSEIKVDD QGGYYEITQDGGESKLEKAAISLNDCLACSGCVTSAESVLISMQSQEELYGIIKANQL ALEQNTPDKYRTIVVSLSPQSRASLAAHYNLSPLQIHKRLTYFFKQLGCHHLVDISFA RDLSLVESAREFVERYKSATAASRRRGGAGGLKAKSQEHLPMLASSCPGWVCYAEKTH GEVLPHISNTKSPQQMMGTLVKDYLATKVNTRPNLIYHVAIMPCYDKKLEASRPDFFM QEFDTREVDCVLTTGEVQKMFGEQNVDFNQLLEAPLDTMQALLNPSETLYGSAGSSSG GQMEYIMATAARELFGIQLPSDPSQSDQVVVRIGRNADVREYSLETVDGQVLLKFATA YGFRNIQNIVRKIKTNKCQYHYVEVMACPGGCANGGGQLAPPPVETVNAKDWVNHVEN IYRSVDGVLPEQNEAIVAIYNEWIGDPSTERSRQLLRTQYHAVTQNLVNPLATKW PHYBLDRAFT_132081 MPPPPQPSITNEAMQWYASVPPVTKALLTLSIVLTVAPALSLFN ISYLILYWHGVAKLQLWRLVTAFFVNRVNISFAMNIFFLYRYSNDLETQVFMGRTADY VYFHFIVGFIQLIAAYFLELFVLSDGLLLAVAYLWSQHNKETMMTFMFGLRFKALYLP WVLVAYDLLSSGAVPWASLAGIGASHAYYYLSGSPTGGSSQGGRNYLSTPGWLQRIFP GVNRGTRGFATGSMGGIHTPGQQRQQQQQQQQQSSIFGNSWGRGQRLGS PHYBLDRAFT_59455 MCRPPGISDCTNPISCLSCEEVDANVSSLQALPMFLIEKSPTLY VHSRPEHSTVRTLCRLTAERPEFETEQRENLVHAVDFICTNNEKSWNKVDASLVDIPG TLKARKIVFHALEFNFSAKQKYSDMEGSGRDRCYNGCMADMCL PHYBLDRAFT_165905 MFFGTTILHLRVVLLYLCSLRFTVEDNEERTRAIRRKKNYIDRY SLSFFFNIFKNISPLKSLGLTHCYCHPFLAFFMNSSESEAEGKGEICDNFISRNVNKE SQNIERMYGPGTLCPISPPFPVSLYHLCPSEVVPMRTDLVWYRCIVRFHKWIVRVSKR NISLEFLSLLKSVPNPGVFSTQLLILNSFMRLLFFFSADSSALIGSHIFLSEQGLEKS AIKYFPLYGHDLVQNDKTVSYWHDKPWHQDTEKKRNERNKPSNIKTAFFFKTEMTFNL KEIGGILAIMANSKQRSQFILPVWFLRNLIINSRSSCLPKSSDEVFLWPQLGKSSSEL SVL PHYBLDRAFT_142911 MHPSIHPPPPISPKLLIDKNFRVLWFSFFFSFFFVLTVSTGGDD GELLGPDGVEPRVKHGISSKSTSARVACEPAVRIPVYYWLLSVVSSGGRGCLLLPPVG HFCQWPPVAGCQFPAARFTSTGGSIYLTGRRHLSHWSDICFLLLPVASAGEPDGSISA AKWSDMSCPQDTLSKADKAHQKPNMGASKKATPGHKKGQSMRQSKDQSGPQDRPMLQV RTRERASRPTRKAKVYA PHYBLDRAFT_142912 MHPSIHPPPPISPKLLIDKNFRVLWFSFFFSFFFVLTVSTGGDD GELLGPDGVEPRVKHGISSKSTSARVACEPAVRIPVYYWLLSVVSSGGRGCLLLPPVG HFCQWPPVAGCQFPAARFTSTGGSIYLTGRRHLSHWSDICFLLLPVASAGEPDGSISA AKWSDMSCPQDTLSKADKAHQKPNMGASKKATPGHKKGQSMRQSKDQSGPQDRPMLQV RTRERASRPTRKAKVYA PHYBLDRAFT_165909 MFFGTTILHLRVVLLYLCSLRFTVEDNEERTRAIRRKKNYIDRY SLSFFFNIFKNISPLKSLGLTHCYCHPFLAFFMNSSESEAEGKGEICDNFISRNVNKE SQNIERMYGPGTLCPISPPFPVSLYHLCPSEVVPMRTDLVWYRCIVRFHKWIVRVSKR NISLEFLSLLKSVPNPGVFSTQLLILNSFILFKAVFLDSLILQLLLGHTYSSVNKA PHYBLDRAFT_75914 MLRIISQKSLVNAQSVSFTHARMMLHTSPVLGVEHQSTLSSEEI SKKLGIDFQTTNIIQQALTHKSFKHGRVPTNERLLLLGRRTIEFMVTEAAVESGSKNV EDIRSFVERYSSPEALSARFDALDISAGLQTDLKNAVPHTVKAHAMKAVVGAIYHDKG SEVTREFLKKHLLV PHYBLDRAFT_186080 MAHREGITTTTHDWTMVNAASLLKKQKVITIDGSLTVEDACNIL IENNISSAPVYEPAPKTSGAIVHTPSFVGMFDYADVIAYLLLVLKKMPPPSEQEDVSP TSLEIKDIIRLSHEGKDVPVKLASDLSQKNPFYSILPEATLLSAVEEFGCGTHRVSIL RPDGGIDGILSQSTVVRYLYDNQRRFPAIESLLSKTLRQLHLGEAPVIGVNADSLVLD ALSLMSQHGISSIAVLGHMGIVLGNISLTDVKHVMRSYRHQLLWNTCFNFVSLVRTQQ GIDDGQDRLPVFDVRLDTTLGFAIAKLLATKSHRVWVTDERDRAVGVVSLTDVMRVVA KSAGVDVVSQRTGH PHYBLDRAFT_123318 MAEERKESTLSIIDSSGRQVSLLNDSDTDLHSPSSVYSYSSDDS LSITTSSSDWRILDSEEPARKYHCTEPGCSKSFTTSGHLARHNRIHTGEKNFPCLFPG CQSRFSRQDNMMQHYRTHMAPKSRRAHKPSSSAVMKEEVPLAKPRLHAHHRIRSDPYR VEAPLTISQHLANYHHRSLATKDTSFFQHRPINPTPAGQAPSFVSTLLHPTPPTDCSK AVCESPKS PHYBLDRAFT_59463 MVHQYTFGVMSSSNEFIVYLEPTHSSDLWKSVNEFVHSTAIQFY PTTASRYNCHASMTGFFKIDSQDASSTIRDLSIILESTVQSYFKKGALQVGKKSILVK NLDKEHPKQIKAYLLLPVTVLSLYHQGTQSFADRCKTVFGIQVRPKPINHISLAYWDE PDALPQQTEEWNRLIMEDKLLERMEKTANEMFEKVSNPDSWDIVLYERVVKGHAIGEM HKFVECRRCKVANEIVEL PHYBLDRAFT_142919 MFQSKEYILRHRGKKGPDREEYLRQLVQEYSVTDNLESKQQILA NLGNFAYDPVNFVWLWNLKVVDLFLDAVTCEDPLLQEFGMGGLSNICLDPIHHEHILS EPYHIRAIMECLFSDELKYTENTIINSMTLLMLLIDPISQKGNTFFHLD PHYBLDRAFT_186082 MLRSTTRLIPRFSHVNATDLQIKIRLCSGAVETTVRRESTSAQR SMSNRLSKAANEISRNTSDQEILASVEERMKDVLNIASVKSTPGEVVVNQILKLQAIL RRHNLKGSADTYESLLSAHSIIGDKKQGAILLKEMRANGIQPTKSYCNRALHFAARFG DSILQAEILNEMETLNYPKTNKTYQEMIFCMQKNQELEHALDTFDLMKRHGMEPSLLV YMGLVNLSLSSNYPSMAYELLEAAEKQNGYEERHNKLYLDILRSAALKNEYTTVKNAW KKISTMEQMFPDNGLCHYILQVAASNSDIELADDVIQIVGKQGLPYTESLFVPLIQAF ASTSDIKSTFRVLHVMRKAGLVPTKYSVIPIAHKLGKDINAIKKARDVIEELAKEETT SDILEFNLLIHSFAYNGDYDEAMETYYTVIDIGLKPDTGTIDALLDACVHAERADTGV QIYDKITHGGVQPSSTTLSKMVTLMCTQDDFEDAFVYLEKMKSLEMVPLRGCYYKLIK KLAYTNDPRLEMAIEDMEGCGHSLSKHLLEYIAEKEEYHNPTNNRRTKQEIQFDNRFK KGYR PHYBLDRAFT_165916 MSGTGTDDTTIQHRFVQIHQTRALELDQTEKLWTILARQNLSPI AVSNACDKIIKAIQDDKLRYQDTLQGLQNLVLTTDQSLKSSIFLHTITQLLLLHIQNK KFRSVQFCLSTSLPGVLHPFVLILRENPESYYDLLSEIDFCFENISDEEPELADSLFQ VFTPFFDAVFFTNLGVDSTALLNKCTSLLMGSDEKGGLRYNTLNYLMSVSQRYPTQRS MHHHLALVDILICALTTHVELNKSIEEKTRVFFATNMSYQLLIRACDAAVYGRPTLPY IQRFAQLEEFSDMFTGNVLADPSPSIVWVSLSYLLMVSQTVSDQALIIKTMYAFVDLV GPILSTALIPLLQTLPEVVDSRENLKSSIMEIISKSNETDSEFKDNEDILKEIKSDIS KHHITGVMANMLPHLINFFKDKPTMQVYPVDTITMSSSLSCIYTLLFSTPHIFNSDEG IRRAYLDRISAIVSSNYGASYKFPALLLLLHLLRHPVITTDTILYILQSVLPSFADPN DLTMTSKILQITLAIIYGQNTTLGGMQSSRETTMASVGIKTLEKIYERQPRVWQELKR VLSDWVIRRKSITGFSRKNASLATLQMELSVLTTMRDLCISKPRECAPTILPMVISLL QSCQDLSITTLTIIVQTICACIRAGMAEPRSVWNVAMRYIAKYAMENDIDKVQLLHEA ICDFFSIVGDKDELSEPYIEFKNEVLNDFLAFLIQCSNEEVQTFALKALSHFTAVDIA TLLPEKAKPYVSEIASSVHPSIGYANVLAKLMSHELDSMLRGLFKEENSKKITPEETL EGKRNIVLVGEKEYTIGSQFVTGWENARVIPGLRSGYAISVLYTIHNHVDRQGSSNTP ENMTKTKWYRCMQTSIADIGLTDHIMIRISCLSAWMALFEKALVGTEADIEARGTVLL KDLTLRLERSTVPGTTCNILLAVTGLIVTAYRIIPSFATASASQIIDILTSKYIMKAG RSTSYSTLLMSEEVQFAARFSLGYISACVITNEKALTRLLDIFLQNVTEKTSTRSIDT SLDLVQFANGFAAGHFTGALATWPTKTPGINELVKTGIESLLNYCNMGTDEVSESKAL GIMMGWASSIKQDDMREIYQFAKDTLRLYIDGVLVNKGVLLGSTWVCAYGSSQEDQID EESANLLESAMTAASLDHTMNQHYFHFAANFAKVNRLRMILSDNDSEESEAYQNILLE QVQKVQKEDPSSHERLAALFSLSSLLGVDYLNAITDQELIYAEAQKYNSGTRSPVLDT LTVVAGLTDGGSPVGNLKSGRIAAALCGKVVHSALNMRDTLQLKQDNVSSGSLQSQIQ HQAMMAIANSSEPKTYTRLNNNTSYLRAIFDALTDIVDNLDNSLDKDSVEPTSLVFLC SIKDTPGPLPPVNWFNILTKLTTVSKTTWNECIMLASTHLATSMSLTEYIISQLSQDL SHFPKEIQILLLGEAGLGMVLELGGLTGHESSRTGYKRRGMDAVTKKTSVSEIRCIEL VDIHAKMFSKLPILAQSTFLDTIKNHLPLHLEVFDEKKTSLVENLRSVVMYNVTLPLL ERSDIEKHDGLVNVLQASIACSILDINQLTQANALEDWLTVETAYGKTIGIMEICNLK RTNTAVKRITETCTRLLILGVSHAMTWDVLAETIRKNSQSDEERLTWVVRFLDILIVI GSLRLPNCCNSLMAGISYGLRAILEKMWKTECNLDINTRACDLPIILGDTGHLLTFVI NDSNKHKQGQQQIIKRILKMIELVERMEEKEPLKGFFTRVLRGCTKEAIGQDSQMMRL ISYQ PHYBLDRAFT_142922 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRVCERTRETYHK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_142923 MPKAPRQKRTIVNCPPPKRPGEINFSLWERDLTCPVCSKTFLQT WSLRRHLTGVHQKSNPEKAVEYKAVKASDYSSKNEKECQSQHLLEGFLLTPTSPCRTL DFPRLI PHYBLDRAFT_35699 MSAQTAPPQSATGAELIAESLKRQGVDIVFGIVGIPVVEIGEAC LSKGIQFIGFRNEQSAAYAATAYGYLSGRPGVCLSVGGPGVVHALAGLLNAKINCWPL VLLSGSVDTDQVDMGAFQELDQVEACRPYCKYASRPTSVERIPFVIEKAIRSAMYGRP GASYVDLPADYIQYRTTEPQWIERCQVTRVQDTPRSMANDASIKEAASILCNAKQPLI VFGKGAAYARAEDELAALVEQTKFPFLPTPMAKGLLSDEHPLCVAAARSKALHEADVV LLVGARLNWILHYGQSPKWSDSVRFIHIDISAEELGNNGADTLPLLGDIKLILKQLLA QRPLPQLQPGNSFVKGLVAKVSQNVEKTRLASLEGDDTSILKYRTAFKVIKDILPERN IVYVSEGANTMDIARSFFDVHEPRHRLDAGTGATMGVGMGYAIAAQAYYRNERVVSIV GDSAFGFSAMELETAIRVKLPLIVIVINNNGIYHGLEDDDYAESKKNNCLPPTALSPE TRYELLSVACGGKGWMVKNRVELATALKEALAAKDETCVINVLIAPGGRQKLEFGWMK QKGDKAKI PHYBLDRAFT_59468 MQKTNIDSIKNLNKAILGNIILRRRIFTNILVSFLGYPAIYIGW MREKDILNQLRDDGMIFDELTRVNQIKSTVRPREYVLADHLVRQKQAYKKKKQKRLTF QGVLSPAIPKIFL PHYBLDRAFT_180473 MHRLWNRDLAAVLNFRHILNNLRYDGTIPVRFTRVIRIGRIRRQ AEDLQEGRRPTQATAVLPLRHTTRFLSIRSSDASSPLSLPRVLVLTDGGIEASLKATA LGRQLVKHNLARLETKTWVASKALEMFPPILQKYFINWAFSPQKDKGDEDQSLPWYLR PIDKDNKISKDIPDYVVCSGVSAVPACLYMAKLDPKKVFSVYLGHPAIPFISFDQVVL PKYEANAKMAALGPLARQKNCIITESSLLDPYPLCDENSLAEIIPDTFLSQKDSSDIT AVVVGGYSFACRWYSEDAVTLGDNIKRMVNSLNTKLVIVFTERTTPMVKSAIMKSIDS FGDKSDSVAVWDVMSLSSEGTDNTLRKVQQYESLISKAKRVVLTADLDYLTAHAASRR KPVYIAFGGQCRSYLLQFHQWAREVHLTRKLRLDRTKHTKISGDPFSYLGHHARFADG LKLLKVQPIMADVLHEIEAVRAEKVTGKRRKN PHYBLDRAFT_75921 MEKSNSSYNSSEQATQSTQQVPSTEGGFWSRLTSIPLVQESLGK MHHYANQTTLSRYALQQAETTLTRATEIASPYANRYKNQLERADAIGCKSLDLLDGVR DRIDSTLITVTAPVNKQIIHAADNIESLVDRWLPPPKKASERQQEQPYAPAEYPPTPP QQNSDSEDEEEEEYDDERGRERRSRQRQRGEYDDAEKASQRFYQLANDVSQRLAQRMP SSRADIARLAETSRLLQETAGQIAKINASLQTWILHSRHLAGERVQEITHQIKGNYGQ TITQERIHDLTVELVHRLDHASEYIKEQSVRLPTFMQSRLDPLLGFATHEYGIIRAEA IRSDLPPLQKATNIVNLTQAFVLPVLQTSIDSFQEQVRYYTVYASTSKDKVVNDIKAT IGIVA PHYBLDRAFT_142927 MYLSSDITSHEDMEMMSPLQSLIGCIAHCTPKVSSSSKNIGGFS HNSGKSVYSPRLPQDLMGIEELGIEAYHIQTLKHKFNNTALIKESVILLVDYIHANCS TNRIYQLDQHLFIA PHYBLDRAFT_142928 MISAFGPPRAYSTRSVERAIGEYSRAIKSNLAIGVNAGNIMVRL AHTQQLLNDSDGGKQRGVVLKYEDMSAGWPITSEGKRASADSNIKFWRPLGYKTIDDS FEDISCLPILIQDFYRSKGVKCRTIELAIITSCKAFINGCVIDSSFAQNTLREVHHIC FQMQVDLFTNVHRQYTPIAKDFFGKVILFFEHENSMVNDQLKPKVVHLADVKELVGLV MSDATDSTTTTTTTTTTTTTTKYIVWPELNWGPKLNLSQYRDL PHYBLDRAFT_142929 MAEKCLILCLKNQLENMRNAHLSATPLLLMSSQSVSAAIPGLIL KENTSTISHESTDGNNNTDLGNSMSEIESSTSTLVFNFSQPSPVPSNNDAKNLEFMKI INDFGISQQAYEKLAAHLNSILGMSTEITYRVCTSYLGKKLLKCFSSIEETVYDVCQN RCIMFNDAEEVACKHCDEARYKNNKTDKDGIPIAEKTMVQIPLARQIALSLANSDTRH EMIYRHNHKQKADDSKADIFDGHAYQSIKHLFSSENDVAISLAVDGFVPHNILGSITI LHATVHNLPPMVHYEKSWMLQIVMITGPFTPLDFWSFLKPTLADLKVLQEERMVVIIP TLTICAKVHVLVVTGDIPAVAKLAYTTMRTLESFQNFDPASLSSKGLVGQSPFSSLAS FTGPLFFTLDKMHGLCHEIGKQIWRLIGGKYGIKHSLFLPVNVLKEISVAMAATRKTI PTSFHGSWRNISKYSGYFKAVDWADFLLFIVPTLVAKCVRDTTSRETLLGLVQACNLL MSWELSAKEQISIKRYV PHYBLDRAFT_142930 MNNTNYTILQILQGMQETFFALQKGQEELQVEQDVLKKGQEALW DEQALLRQEIANICKDMNGQESPEQTINLGREIPRPVPNIKDITLIHIYRIMSHDLGV ELDKKNKANLNTCTRLACNELASLPSVQVLGPNPNWSAISQEDRNLICIRHACLLRNN DIDFTRCHKNWASVAKDPPEVHWEATQEVVQMDVFRLPTFSPPACYGLLYNPAH PHYBLDRAFT_110337 KTKATIFAERIGVKDFNASQGWMEKFGKRHCIKMNRIHGEAGST DIESLQIGKAAIKEKIETYSACDIYNFDETVLFYAAPPRTTISHQKFSGWKENKKRLT VGLLCNADGTDKWSDILMIGHARRPNCFNKNNKKQEAVDHGFSMYHYNSNAWMTRSIF HVFLHRFDRSMKAQNHKVLLILDNFSGHIVDYAPTNVELLFLPPNTTSHLQPLDGGII WAFKAYFKRKQYAKAYQYIGMIQNDQQNKIGAIDKIFEIDQLWAMKWIREAWESVSAK TIENCWNATIFHFIEDKDSEGISKIIYWL PHYBLDRAFT_132087 MHTIRFAISKRPLSITKTGQTLKAFQPLNRLSYSSACHTTQDPV EILHSPEQETKPTKSNPKKRLVFDSRSPSFEEFLAKGPKTPIDPALVRPDNIPYLNVN GLDWGRGRKFYIEVYGCQMNVNDTEILNAIMTNAGYERTTEMSEAEVVFLVTCAIREN AETRIWERLKYLRHYRTKINVDKPPLVGVLGCMAERLKTKMLEQDKLVDIVCGPDGYR SIPHLISLAENEYHNGVANVMLSADETYADVMPMRLDKDNVSGWVSIMRGCNNMCSFC IVPFTRGNERSRPIDSIIDEVRYLSDQGVKEVTVLGQNVNSYRDTSESTYYMSEAPLG TELSNPGFKTIYKRKDGGRRFTELLDKISLVNPEMRVRFTSPHPKDFPTDLLHLIASR PNLCKSIHMPIQSGSNTVLERMRRGYKREAYLDLVDEMRRIIPNVWISSDFITGFCGE TDEEHRDTVTLMEQVKYDTAFMFAYSMREKTHAHRRYVDDVPEPVKAQRLNEIVTTFH RVAGVKNQKLIGTKQLVLIDTERLKTKRGIETRLSGRTDGGHKVFILESPSLAPFSKG DYVAVEITSATSGSFTGKFLSPSSIQQFSTA PHYBLDRAFT_59477 MNNRNDNNLHSEIAEAAIADFNEIFSIGREFDSISHIREMLQEY GKKYSVAFITKNSKRSDLKIICKHTGKYREKDKRRKDSAGAFASLSATAVATATAFTC PSSSSSSACSYNTSQENKVIRNAYRGFKRIPCTCYIYAIKDDYGWLTIQSREARHNHR VRPQRNSISNSKSNGYFNYYLTKKEGDEEEEEQQQQQQREEEEARQIKEEDLETNSVS TATTTTTVYAPIGQAKQNRSSCAGTNGRDIMGEPTSNHITSTDGLTTQLNDSLANDIE KMKIGHLKANKWCENTDCERELCGRTEIYDYRGPLTPDNHDSQSMENDMDQDTDINTF ANSDTETETELNSLSEISDNLASKLLRAVHKMLGYYNSIDDLNEKKRIIYVINSIGDG AANQSNFEELFKEDAAESSKQKNEAQKTKNLDSNSSKFRKRPKVDKFEDKLRSAYMQF QKDLDEIEIIGSESVRNSLKNLDITPDRLTKVTVPKADGNCGFRAVAIAIYNNEEEWP KVKDKMLKKYLEYRDTFYKTRIDSSHESFSRPPMAEILKDKNSPLPTKHWFDTMDCPQ IVADTFKYAVALYNENHVKEGNNTLFLPFKSQPIQREPIILYLQNSHFVLVERKPSTA RMRWPTINLYHKNIVSQNHFADFSLVYD PHYBLDRAFT_142934 MSPLTKKYLPSKLRVHTAQTVLPDYPFSDSRFFIMYNRAKAACK ASGVPMLQENGDQHLAMLDQAGLDVVYVEESGDKRPVIMGKGAIIRDCITYMKYVAAG TQTAPSTSAIASSPVLSCRKPRGTVRTSWKYADRTS PHYBLDRAFT_59479 MCIAIKPLIMSDIAYFQAVLPGYPARLSVFSRSEYFQVVICALG QYGELTLIILIISPADTIVKKGDILLNILIFDFHKTAIQPMHEFLVLAAIFVALFCLV RPAQMTILQFIQLVPECSLMKQFVVSHDYMHITIAGDFNVDFTRESIKKATLLQFMNN RNMTTTLPNTIRSTTSQNNLIDNILLTMPVLDSGRYISLTSCRAPLWTKSM PHYBLDRAFT_132090 MINALFVINRQGKIIIEKQWRGNISRQVVDVFNDECAKYMNSVP GTMDKSDLGINLKETFYTREDVPPILETDNYWLLNVLRCDLAWLCPVQREVDPMLVFE FIHRIIDILTDYLGDVSETSIRDNFVTVYQLLEEMMDYGYPLTTEPNALKEIIMPPTI MNKVMTTVGAAAGVAPKFPQNMSSIPWRKAGVKYTQNEIYFDIVEEIDATIAPNGTLL SCEAHGNVIANSKLSGMPDLTLNFVNPRAIDDVSFHPCVRYRKWESEKVLSFVPPDGQ FKLMSYRAALSQYNAMPVQVKPQILPTKNGGKFDISVHPRTTDGKMIERVVLTLPVPK STTSVNATCNAGQYMYDPVSKTIKWDIGKLQLKERAPMLSGTYSTSESDPEASMTISL DFQINMYAVSGLKVDSLRVFHEGYKPYKGVRSLTKAGKIHIRT PHYBLDRAFT_165929 MPFSRLQPIPSSSLGLTKTQAKSRKYNERLLLLTHLLQTFDAQN HQNDPQYVQLWIIYASLQPDPLSICLSLLDRNIGTTDANLYQTIANHYIHHSEPEKAL SILVHAIKRGAQPAQAIRHQYEALLANIQLSAVTNDITTEARSEWINHCLLPRGKCSV DNLFSAIIMCMVHGPPEGLLELGSNSLESLLNACDCLESQIRLCGRVARLKECVGRGE DISLEELRSMSMAKSRSNWPTHKFIHRSMEKFDPVLCHQKLNLVSGKSQLESHQTQIS SAVLHLPVLKHPDIDRFLQDHRHFHDLSCQPTPKIGALKTNSKSLRRHLLPPPPILGK TRTRTRETQQSVPVIRLQSDYQVINVLGKGGMGTVYKVKQIHTNKTYALKVQSPAHPL EFYILQQLAQRLNRPADHLITTAHNAWVYNSTSFMLLTHLDGGTLLDCLNITRTQTDL NTYTSTSTNTGRGTNQPAMPEPMALLLSMNLLDAVWETHQTGIIHGDLKLDNVLMDWQ PDIPTSTTVYHPRHPWWHPIRLIDFGRSLDTTLWPKSSNLKCLASWSPQPHDMPDINT KPWSPRAIDYWAVASMAHLLLFGQPLRTLELRQPIRRYWHTTLWRSFFDVLLKPVAAQ SPTQPEVNRDYDQDNNQAVQKVIQDIQNCLITESQKDPRLFKLRIGLQQSLQQYLKTQ NLK PHYBLDRAFT_142937 MASNPLDMSLDDVISKQRTGRDGNRNNNRGYNRPRENGRGGDRN TRRDNNSPLYSRLRGQSNPVTPVSGNSLVVANLDYGVTESDLYELFGQIGPLKRAFLR LDPSGKSSGVADVVFINQSDGERAMTAYNNVELDARPMRITYASVINNRYQQIPMRGS NYNNNNSNDNYGGQRQRDSGRSNQYNRRNRNNNNNNSNGGGDNRQNQRRRQEPRPKPS EADLDADMDTYMNTLETGEEGKTA PHYBLDRAFT_158182 MAAVQTKPISNHRFSTFFWDEHDRGVDLITDRLRTARQTCQDIK NLYKARANIEEEYGQRLLKLSQFSINTDGQGSFADALSNIPSAIETTGRAHLDLAQQI QHHLERPLDDFLSEQRELKKTQSNQI PHYBLDRAFT_59484 MYQISSASSASTVEYKCPDKARAPASVRIKTYVNIVAPSSCQSN KAVLSNHDILKCHQIAVVEAKDKIIRELKEMNQYQAKHIERLTSAFKKSSLPVSYQDE FTYHSKQDLAIYTSDKKFNLKDSMTKNDTNTNLCSKDNSAAVECITIKQNAAWFQRHI KSCPLIRFSQESLPKALCQDESQAYSNSLEFNPWKEHAIVLQEKIIELEEVHFSTLTK LHAVAQGLDSHKPDNLERCLIKKEAECEKLRSVMRNIMRVSTQALLNP PHYBLDRAFT_59485 MPVPLVGYVPYDKFVYSMFSKTARRSRLCIPALRTRNGALSTLM GAIHSIPRDFSLLTLSCTACLSLKLLDAITYTASSAPVTSSWRRLNASDAFIFDQGLQ AIHSKTQTEWSTSPYLIAKLFWDLANATAELTHFFWRATLPLNDHPELLLPVNPLVSC RDHTQSPLVPLPLQGKQWRLFWAFPIYHTSRNIWYWAIRNSLSCQYNLHSRAPTIFVT PNCPICLSEVDTLSHFLYQCPQKWTVWETA PHYBLDRAFT_59486 MPTFSWGTQMSSIDYIFATPDIAALKHKSAIDYINPAWSDHFLV STNLSLRTSLKRQLSIIQQETVKILAMRAQKHWRENGERSARYLKRTILANAIRKDIP FLRHPTSEALCTTPSTMTNVAMHFYEKLYTVDPIENSSVEDLLNHVPPKKFLSEATRS SMTPLLTFDNICPAVNCSPNHSSPGPDGLPYKILSLLFCEDRYSTLLTNIYNDALNKG VFPSSWSFTCLSLLPKKGDLSSLKNWRLLH PHYBLDRAFT_165934 MYLSTKQPNLSVLTDSIRDIPATSTQKISHTLPLAALPLSYGSP SSITNSTPIYSQMAQVDYNKSKTICTAVLIEDNDENATPQVYRRGTTSHSVIYHLPSN FGDLDRFLGPLQQVYPRRIGRQLKTKTEKTHTAIELCLSNDIYCKQTGRGTIQVSDQQ LLAIPAISADLKLFLVNLSGLPADDYDNIAAQLRKCLSPYGNVCETIIFEEGQHQWFK GNKYVYLERPVVSPKVWAQLTYQIKYSKNIQIYGTWAKMGDHCVFCKQMGHTIDSFPE RRKKIHTCHNCGVAGHIQIHCIREPATNLHQKAQLDENPRTLLQATEAKTETMRRLET QYLIDQQTKAAADQITSKQKATEEAFQASLLDGSLNGPALNGLESNSLDVVPHGHNPM GINHNVEMTDNKKAKDIQRYNQQKRKDLLKEEAKKNVLPSGSTCNRNKINNHYV PHYBLDRAFT_165935 MKSIAQLEQLFRRCEGEQQICNLVAKVNDLINNAGNLKDHQEVA FPLSSEVKAPGRPKHSEIRKILKEEIIDVMKELLEEKPLKKTIKNIKKETQFAEKQEP LKEAEKYSSGIKRPKHLQDDDWYDLPSPKKQNKNVHDFALPAQIDQAAISLTFNPKSD DWCGVRVFAHLKEGGEDQFPLVKKKILATMATHGKLYEHNFGIDVAKVTEVIAFGSEI DPALGKNIPSCPSSMWFSAPDCAQIIADTYNEPVCVYSDDRSVLPVTFLPLHDWKDLK RKPLPMVLHHVHGCHWTTIKVKPHVHRSWPEVNALYFDAIRRGSIIDCFSTSWNHWGQ FPKNKSYILPSTTITNSPTNSLVNSSNIIDLTHI PHYBLDRAFT_142944 MKAQKHKVLLILNNFSGHIVDYTSTNIKLLFLLPNTTSHLQPLD GSIIRAFKAYFKSKTIENCWNATIFRFIEDEDSEDVNQAMIQQSLAEEVLVEGLQETL DKIAGSGLLSLKDCPTNESDPLYERQCTHRVVNENEIADIVMEEYDANENAANNSNKE TAEFECVCMLLDILKDKDIDRDFVKPPIPILYKHTPHIITKFFCQVDNHSILLKPFFF CSLLPHSLLDGLLPPPSSPIVSHVDPSPFLTSMFPIIPRKPFSPKTFRTLCTPSPDNP VPPLHTHQWCTFWSAPIHHSVRSLWFCALHNKLSCCSVLHQTVPTIFPDGSCLIFGDI KESTSHFLFTCPPKFSAWTIFWSTHFGNVPSTQDIHSTLFSFHLPPSLTSDIPTVSLV SCILLAIWCHHWSFVFDDAPFLSTSDLVTAASLVTRFHAELSLPLSD PHYBLDRAFT_110163 MEKFGKHHCIKMNRIHGEAGSTDIELLQIDMAAIKEKIEGYSAC DIYNFDETALFYAASPRTTISHQKFSGWKDNKKHLTVDLLCNADGMDKWSDILLIGHA RRPNCFNKNNKKQEASDH PHYBLDRAFT_59492 MSINNTQSNKTIYTLATISQALECSSVPGVMTLRLENIIRVICN TNKQPTNITAEEAKATGIKLCFSQEYSCHRWGTYESKAALRVVQKQTKKNKCPALLCV KGFLKTPEFYEFVVTKDHVEHTPGNMHSDICILPLAKKYLHELAQQLEQSSKSASQIR IDMLRAVDQYDRKSERKVNYYDIWNLMNKINKKLYHFDKDQMTSFLIWMNNKLPALNF NIFKANTSYSPDISAFAYGFMSLVQQEKMKTTTSFCLDATHAISSNVNKILYTLLVHD EDIAEVHAIQTTFPATSIQFCIFHITQAWNRKLSDSVKIPASLPSETQILHGVMMKSL QEIIYEEDIDEFHHKIIQFKEDFDDQESFLDYFERNWCTEAKFKIWIFMKRSRNKRLD KLVFVLVHDVEYYLTQEYEHVMSNNGPMSSFTRQQRIREMEAKEVDDDDRKMMIVASG TAEDVNWQVQSFVNENTAYVVQVEKPNLIISCTCFDYQRRYKPCKHMYLLKMHTNRSL YFSPPSVTSTNVIQPVSTSETVTITPTISRTSAFIQQCIDINQTLQYANQDLLTMQQY MTEDNGQTLFDRYKASFRQLGLGDEDYELKKDGLLLIAIEQHKTEPETDTETETETEG HREMIHALRDADSKNTRVLVTAEIWVGLFLDTTVL PHYBLDRAFT_142948 MDVDQIEEYVEDDNYSVGASSPEQYVHTYLPLLVEESLFETEKY TSEYESEYELSDEIEPEEQDREEEQESTANLPENPWHRVIAIFTVMFISTFIVDDGAV ILITDCHTLYDYSNTTQTYCNFRRVGSKTFCGNNLYKHSIRNTMISKHMFIYNSLTAS LKKLFMCPSFKKNINQLNRKPKVDGTLFDVYDGKMWKEFVDDEGAHAIYLTVNNLSRE ERNNVKNVILVGLMPGPKEASTEEISNYLRPLVDELIVLYKGITVNTYDCPGALIHAA LLIVACNIPTTRKTCGFTSHNSTCVCNKCNRHFKRIDGSTIVNYSGFKFSDWVSRTKE ENIEHVIMWKNARTLAERKQLEVENGVLRATVIDPMHNLFLGMAKRMMDNWIACGLLD NNDLAEMQKEADSMTLPMGYTIFQTKIGKGFSFMKTRLPSNLLVNWIHFVDACRVLTK PAITEEEIAKAHVSLRKFCCGCETMYKLDLLLPNMHLYLHLKESIQDFGPIYSFWLFS FECFNGVLKGFQTNQKSGFEKTYMKKFIKNSSKAQFHLAIIKTCHNSFIFHHSWNPPP IQNNKHLFYKIEYDDETLCSAKTMLRHRIFVNDRIQKIASINLLGQVYKGGEGLIMRG SYIQAKYMETNNNSKGIYAGHIKYLFTYNFTPNPIYTNFHACIELYDATLLKYDYDNI LPVYRILSPIAIGSHVSGSGAAKVIVIPLPRKLYA PHYBLDRAFT_142949 MAPRTNINQNARTNESASRPLINAVNTSRIGLSNPMIASRPDNM SIPVSEFNDVVSLLATLNAKMTAVSSDVSELKVQCQMGAQSTDMQAVLDSDMDSQDII SSSRHPKISSIIWGRLRNINLKMDDLELIRENNDKPTWDVNIGLSDEFNKNLVSDLML YIHHQPVAAMIPPKKLCGIIVNSYYNCLAASKLTEEDIQTNTTSNRRENRKTALNKRR KRTYTKHNYAVTKKFNRDYNDVFYRDTKSGDETETNTSVVASRPDWYSDELNAMFDFL DNLARDDLGKRATQLKSRSHVLVHKTIPCGLVTKMPAWSKRV PHYBLDRAFT_165942 MSTKNFISRRRTFKRWDNDNGDKPSSIQILINWLTDVSNYSRWK GGDSSGKTKEVLCSEIREIMVENDITNWSNGDICSKIQYLHDKFKDVTDFLNGTGQGI LNDINESCKTPEEAAKTLEEKVKQKCTYYYDLKCVMLHRPSVNPPFPMTSGDPIDVSG VYKEARSHEEVEAEDKVEFDAEVQDDKQLDKTLPVYHLSATSSGSQKPSKWARQTIDA SISEIVEESVKLNHIHVELIQKKFESEAKVNERQLRHQEIAHEDRMIMEKRRLNIEAK RVENEAKQVENEAKQLKNDCIQSKLQYIAKLESLGLFKEYILKKLDIKL PHYBLDRAFT_59498 MNPGERHQENFDKKTLSQFEIEERVQGLLPKELVNDYKKNRRVP HIPEEQRLKNTPAREQKWKHRTTGFSPFFLTYGREPRIPGDPLCPFMDTAIPDDPSLV SDGAIPFLRNLQQARINADARVATNSQQDKERWDSIMKPHQFAIGEHLLLRHENKFGL EYNWMGPYIVIDKNVDKNIYKLTTMEGVPYTSWVHADRLKIAKSDDFDRTWYHPTPAR AQMCRDLALDSSSALPFSLVDSSGVDRGLSTVLGGGDVGHYFDESVKSEDS PHYBLDRAFT_59500 MSSTQRCCAACHMLRHSRSTHKQCLINPKNISLHIPQKRANVDE YPAESSRTAALRIRSEPVQDQNLDIETSTSISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEMTGREEEVKEISTVNRGSILPHCPHCNGTDHCQITSRFCSNNNSSRARGS RNRGRDLNNIARLPAISEPAVDNSGDMDIECQFCGAMIRSSLRSRTFSMCCNKEKHVL PQIEPTPTGIAELLNYRTRDGKKFLENIRSYNSTMSFTSLGAKIDTSVGNNINGAYNF WIHGTICHRIGSILPVTESDVAYLKFAQIYIYDSAAQIDQRQYHSPQLERSVLEKIQS ILMETNPFVHLFRTMDQISWEKGQSIDLTLCLVAEGPRDQRRYNAPTASEIAVLIMNN EESTSRDIVLHTRANFQQSINDEDGWTIDASSLSEEHVTHLLHLFGRLFQQYIVDMYA KVEHDRLHFITSNQNRLRVDLYSGIQDAVIHNDCNLANLGKRVILPSSFIGSPRYMAQ LYQDSMSIVRRFGKSDLFITFTCNSKWPEITNSLLAGQKANDRPDLCSRAFNMKLKEL MIDLTKKIFWGKFWPLYMSLNFKSADFLMPTFI PHYBLDRAFT_59501 MNIEIEINDVEEYSEENSEECVETLIWTSLKNLKSLKNLMVSNK KINYQIDHYYLLNMLEDSNLAFIASFVTTFISKYVVNSGGVILLKFINEVLAHFEQSF HLPLSLSGFNSITGINLLTRDLYCYVACSECNSIYFESASVP PHYBLDRAFT_59502 MPGPKDAKISKINHYLCPLVAELKTLYDSVMIPTAQCPQETHVC TALFLAAHKTCGFTSHTSVNTCHIYNLECTIINLMYNPFVGTTKCIMEKWISTGLISN AHLIAMQDNANKLHVPIGYTSLRKKIIKTFPFIKADKWKSWCLVYSPTVLSDHLLQKH FDNWMCFVNACQYLAMPSLTYSNLAETHFCLELFGRNSQTLYGEQFITPNMYLHLHIK ETVLNFGPAYGYWLFCFEHFNSIVKSYKTNKKTALRAHS PHYBLDRAFT_142957 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSFVPSTTDKTPKKN QSVAALPTPIASRPSNAQEVQGDQQTLVAASEHLVALQNKMDSSSKIVLEGPTKESIR RIENTVSDILTSMEIIKKTIADRTLPAGSMPANNVHSSSNGQFAHRMRSSDVSFKGTD PKKIAENNSRPGWDLTSNFHSSYNYVLAKSLLTYLQGQGNILTSDVLMSKMADIVMNH FSNQQKESRKSEEEGNKKRQKSRRYQRTAVNATYGRHKAAINRKFGNVNCSFAFQKDV FSERHSDNEDSLTMFQPS PHYBLDRAFT_165948 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFNPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNKATTKTI VAQKLKTNLLEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDNWQQLADFNYLSNCVFINEAGFNMHIKRTFGCSVSGTPAKTTVPMQRGVSITIL GAMCERGIVNLSLKKPTAVATKKKRKLDIYTNIEVNGRIGTRTQHYLDFLSHTMDRAK ALSMSFMSI PHYBLDRAFT_59504 MYPILCAYTNGWPVTEEGDPANAEHDIEFWEPLKNLIIFYSFED RSHLSLLLKTFYDLKREECSMLEPSIKTSRKAYFNSCVIDAAFNQSSTKEACHVYVQL QVDMNCRRSLLLSRI PHYBLDRAFT_142960 MLSETQTRSLVSFRNYNLTSSEDRMGLNGQPPLVSMETLSGLFF FALDEMHGLCHGIGKQVWELVREEYELKHHICLSLATQREIGTVIVAIKFAISKLLHG ASRDMTKNASFFKAVNWANFLLFVVPTLVAECVQNLVAQNNLVKWNLFLESLLSTADI DIGVFTINQHIIQHYLKMVDLYASVNTENIMIRLAQFQSVAELTTVASTKTLPANLLA YSACCVG PHYBLDRAFT_142961 MSKITKAPRYQCRTCKAELLVNGEVSQTVSLPDQSQFTSAMIPN LAFGENINTSSSEDMDIIDSTEDDESMNVIDKIEGTTPSLVFDFSQPLPVPSNNDKKN LAFMHPHLGNKLLEYFSHIKADRYDICIRGCTQFNNENDTTCVKCREARYKNDQTSES DTRVPVRSIVQLPLARQLALCLTDNKIRTEVLYHHNYQPSKDGQKADVFDGHAYQFMK HLFSGENDIAILLSTDGFNPYNVPGSVTIVHATVLNLNPMIHYEKNRMIQIAMFPSCT GPSDIWSFLEPMLRDLCLLQTEGMEVKTPAMMIRAKVYVLMVTGNIPALAK PHYBLDRAFT_142962 MNSTDNTVIQLLQGIQAALISLKSGQEALLGHREFPDRTIVTST STLTGIIPRPVSKINNITLKHIYKMITDDLRIELTEETKRIVNTCTKVICDQLAALPS VQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGIDFTRCHRNWASIARVSQLWRGRKK REYSGILASTIHE PHYBLDRAFT_165952 MSTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDKMHGEVVLRSVTDVFVNHADMEWTRTSARNPAVTNLLSLKSFLPTEVNKATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEFFENKPYATLEQARIA VLEEFSGLQITKSAIQKHLVKKCALTIKKLEKLPEKRDDTNTIEMRRDRILEWQQLAD FNYLSNCVFIDEADFNMHIKRTFGRSVSGTPAKTTVPTQRGVSITILGAMCERGIVSL SLKKPTAVATKKKRKLDIYTNVEMNGQIGTRTQHYLDFLSHTMDRNINNTNTLLKKFV SLNALSMRSLGIFSVRRHVI PHYBLDRAFT_59509 MYQACSPHLGNKLLEHFLDIKADGYDICIRDCMQFNNKKDIACV TCSEARYKNGQTSESDTRVPVRLIVQLPLARQLALCLADNKTRTEMLYYGQKADVFNG HVYQSMKHLFSGENNIAISLSMDGFNPYNVPGSVTIVHATVLNLNLMIHYEKNRMIQI AMLPGCTGPSDIWSFLEPILRDLCLLQTEGMEVKTPAMMIRAKVYVLMTTSNILALAK LACHVGHMSKNGCHICRVVGQTPKHGQYFRMLPGTQTCSLESFRNYNLASSEDRKGLN GQSSLASMETFSAPFFFALDEMHGLCHGISKQVWGLVRGKYGIKHPLCLSLATQREIG AAMVAAKSTIPTLLHGAWRDMTKNAGFFRAVDWADILLFVVPTLVAERVQDSVAQKAL LGLVQICNLLMSWELSAEDQTSIRSNLVEWNLFLESLLSTADIDIGVCTINQYIIQHY PQMIDLYGPPRAYSTRSVERAIGEYSRSIKSNSQVSVNAGNIMIRLVQSRHVAELTTV ANTKTPPANLLVYSAYTDGWPVTEGGDPVNAECEIEFWGPLKNLTIFDSFENRSHLSL LLKTFYDLKGEECSMLEPSIKTSHKAYLNGCVIDAAFNQSFTREACYVHVQLQVDMNS RRSCSYHPGYKHFFGKMVIFFQHVHNSKRWPLALITIYSVHLKNGLHITSVVKPKTIV IHASDIVELVGLVPSNVNGSHYIIWPSLKHGPKLTLDALSDI PHYBLDRAFT_165954 MSTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDKMHGEVVLRSVTDVFVNHADMEWTRTSARNPAVTNLLSLKSFLPTEVNKATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAATARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEFFENKPYATLEQARIA VLEEFSGLQITKSAIQKHLVKKCALTIKKLEKLPEKRDDTNTIEMRRDRILEWQQLAD FNYLSNCVFIDEADFNMHIKRTFGRSVSGTPAKTTVPTQRGVSITILGAMCERGIVSL SLKKPTAVATKKKRKLDIYTNVEMNGQIGTRTQHYLDFLSHTMDLYTKNLKGRGQIQM AIGANSVGNECKFNFYGSQSDVNGF PHYBLDRAFT_142967 MTEICQIASNSSDKYKKLLAKIKEMEKFMINVRGKLITMQKVIC AGFGQGNEYLTYASVSLDNFSVAVSSIIRIPPGIASEISCKNKNKVLSTTCKDRENSR MQAVTNAPRRQAARETEHFDHCIIAYVLNKDVIDALIKRNCSRLMIKSAISEGESEDE FPERPCKRILKFNNIIFNIDEIVRANLGNSICQLLDRNLISLSEKPVPDDFDGKGVEP QISTPSFSCDLSPSKGSQLTNANTC PHYBLDRAFT_142968 MSVNNEVNVNFNNIDFEYESNENAEDTVGIAVEETCFQTAVCLR ILCTDLLQHSLCYLHYYVVNKGMVIFIEFINKLLKIYKQGFQLPTSLPGLQYMTEFCE LSKGIRQFVHCNNCYAIYEENQSAPSHCVFLKTDAFINNLPCSNQLKAENLILIKFIS APKKSKTDKIKNYLELIVDELIQLYCGVRIPTFVSPTDQVICAALMIVACNIPAARKT SGFTAHDSTCACFKCNHHFTHLDSTKKLYCLGYFDLVCGTIIDPMHNLFLGTAKRMMD QWIECDFFAFERYNDQLKNISINGKNGFEATFMRCFVEDIYKSNFVNSVLTCLTQALF LSVLSKLICLSTSVFTLLSASFTIIQSPFILQAYVDSSETIRISILDNESLSPTFFSL SVSKSFSMGDIDYPHSLEYYKLAYLTPNVVHYKNAATSPFFVNNQIIKLKSINILGQV YYGNNGTTGRRSYVQSLFLGSDRSIEPIFTYQIKYIFIH PHYBLDRAFT_142970 MSQTTQYSHSCRCGSNTHIRTMHRDCPLNSAVSYVQAQNYYCPS CELTGHRQSTHCSCPNNAININENMSTNNEERIKEDTSSRVVQSVPEQTVIGTCTCSS CGSNTHLRISHHDCPFNPKRVNGMNENISATLQYRIARMVPFIPENIIGPNICLHEGD QYRRHVFPPMERTCLYCNARMWIDECLQASSSTSPRFGLCCSNRKITVPLPLVPPQAL LDFVTNYDQSNQQAENFHTNIRAFNNAFAFASIKANVDKNLASGRNGVFTFCINGTMY HNIGSLRSQDPTTA PHYBLDRAFT_59515 MSTIPELYNEKCHCAGCSQNNLGYSFVARRTAQHHNKRARLNAI RCERDMSTQRNMIEVDNEAILTHQPRALEELYTQTNSPVWEGASMSDTEDVSVTNDAI SNSDNDDSGRNSNEISEDESEDDVVELNNNELNSEDSFATPDMLQNPVHRFIATFVVM FASHYVVDKGAVVLIEFINKLLTIYKQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYKESASVPSHCDFVKLGAHSSCNCQLTKTSALGALVAKRSYLYQSVVNALQMF FLHSGFEDKIRHWNHELKMVDTMCDIYNGAMWKELKDKDGVQFTKDSRSLMLTLNINW FQPFDNVTHSMGAIYTGKIGHVAESIVVGNLNQMISFE PHYBLDRAFT_165959 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GYSSSVVEVMSNDNDMEIDFEDKVDAKDQVEAEDLPLFDIDSLFDSESEDEGVIEATI LDISDGESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNKVLA HFGQSFCLPLSINDVNSMTGLSNMT PHYBLDRAFT_165960 MYLGTAKRIMEKWRSSGLITDAHLAKMQLDADKLVLPEDCTPLG TKIGHDFPFMKADKWKSWCLVYSPVLLRGCLPEAHLGNWTTFVNACQYLSMPSISMAH LDEAHQSLEAFCRECEKLYKAPFLLPNMHLHLHLQETVLNFCPVYGYWLFSFERCNGI LKNYATNRKDGFEGTYMKKYLEEAYQGDLIHQTLPIIRPEHLAIILELTASTANSIAT STSTATSIQFDINAFLDSPEINFDIIKGNEPLPPSTLPLALKGEISMDESKYEHLLEY YCETYDDQTLVHYRQAGHSDNFVNNRIQKFESIDLLGQIYKSKTKN PHYBLDRAFT_165961 MEKRNKAWVDFYKQASLLKKFLRGRIEDLRLETKIRENLYRFCL LRKDFVSKFDQVPKLNYYCYLYYFGLENYMTGISPNEVLTSKKMTKCIPTAPRRPNLR INAVLNSTNAGVVVPIDTPTPEVAVDIASEVQVAVTPMDHVLTLLAANNVLMQSLQQN AKGVTDAITHLKNGLDLSNKTNEFLKNSAMTSQNSVMPSAVPADSSSSMDDDLDLGAK HHPLISQLINSYIKKPNFVSTDPLKVAENNNRSAWSMTGMYGNKYNKTLALALFKYLR PQRCCTNVSKSIIINIIKNHYQNQVRVFRTSAEKIMARNKAGRRHNRKKTLLDHCIIT YQTYTEAIHEGMNRYDCRNILSIEMMSEGKSDGDNKVWAYRPSWRTDELQTFISIIDE LTVIHLKKNSESLKKRIPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_59518 MMIHISTIDDIVSLLKMIYHKNCDVIGSIIYIFINNLPRNERYK PENTLLVGLMPGLKEPKSKEINHYLQPMVDDLIRLYEGLAIPTFECPSGVHIRATLMM VACDILAARKTSGFTSHNSTCACYKCNRHFPCLENGVNVDFCGFDFSRWVLRDGIENR LHAEEWESASNPSERHWLEVKNGVRWSVVIQTLGCWIYHI PHYBLDRAFT_165962 MSQQSAVNSTIVSGEETARVNSGVIPPPSAAGSADHDGDTVMTP LCSEDGAFDSEEGSSGSDAGRSGYEGANSPRIYLGNSGVRVNNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEQILKDLKASTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRDVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLDHYDMPFCKFLNMGRVWCMK QGKGESARSFGAKFQKFCRQASLDDGVQLVLCFWWNLRPEVQEACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSHKGKKRSFS CERGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEWEKYLTKVSRMAVRSSAGRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFSILA NNSIRTISLLDCGATFSSVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFIRLADSN TQIKRIGTCVISVTCNSKTIQCEFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSY DDADSSEERREANRRFNNKSDLLESIERENERKENNPAVGPKQFEDAMDYIQPFIKDN QDIPKGSFCTIPESVVCPDTPENATAFRSPYPIPYKMQGVVDEQVKEWLDNEYWQRIS EAPEGIKDINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_165963 MHNLFLGTPKRLMDHWIKDKDIQDGDFVAMQKTAEMMIVPDALA KDRFENWINFDNVCCLLIKPTITFDEVNTAHQFLQTFCTRCDEPYNAKILTCNMHLHL HLRDTIRDFGPVYSYWLFGFERFNRLLKNLKTNRKIRFEETFMKKFIEDVHKDDLVNS FLQSIRQTSAFPLLTKLTSSFTPATIPSICQRTFRIQSFVEASEDQNVLVKGNEPLPP SAFPLSLKSATTMSDIHYVHLLQYYKVASTRVKVNLEVVALLYKQSSLEVLVSISLHT RVKYSTFSPILSLLHPPLHPLLPCSAPIATQHNFFTILNIFLHLSNGTHPKMINREST NTLKPAFQHFLLTISNVYCQCIELC PHYBLDRAFT_165964 MEESLKTIDSNIGIVIKGNKDSLEILDSIANASGELLAVIAPIT IPASASYTTPSETFFGISSAAPSVGPVVLTGANTGELSKQDRTRVLALSQGELKKHNF KSNKPELVATNDSKRSWDVNVDYRLPPNRQPMHDLHAYLAPKVVGTSVQQTNISNCIY TNFCGTRRRHFDHRELTYHTFKAEIDVKVGKSCNGLLQKEAMSEGESEDNMPGVLSNH AICTVHPSWRSDEYNHLLAVVNDFMRNRMDFNSCQMLKRSFGRDAVLAVPPRLMSLLP HWAFRYEFQ PHYBLDRAFT_165965 MIFVFWPFGPLVFCSFCFFFISKFSLFSLFPTFIIFTDFLDTRV LLPSDASPSQCSSGLAKAISPKLLSTIKHSYEHDEPPSHEHIANQELSFHTSVIDMTI SAPPMYSLGLQINPFASGSILSTMVRIHDAFSFCLCACGKNPYTENANTSYYPAVLTF SYVRKLVLPPMNLYQY PHYBLDRAFT_165966 MVILLSNCKCQRMLSIQEVAKAAAVLNTCQRTLVTQCGERQSML PKTKQNKLKRSLSTQLMPPKTNGFKRPITALEDYQYDNRTFVGKRVKLSLVFLSLMR PHYBLDRAFT_165967 MSNQNESYPTRRTPAEREMTNSLAILHRDMTTVMKDVADIKAKT SNTPVSAVLQSQLMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLWEHLWDP KFKPKHLAEIQANNGKPRWNTVANFNQSPNTELTENLVAYLERNFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNACNRRSSREKEHLKRRKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVFYSGLRLRRPDWRSDEYNHFITLVDNKVVADLGLNS HQLLSRTFGETVEGPVPDAIASQFSQWALRNGP PHYBLDRAFT_142980 MSDIDYPQLLDYYKIAYAMPNLISYHDARLSQYFLSSVAAMAEL QAYIPDKVSIFLYIHLLCLLIQTTEHQHYIKTSIFFAYIRWYNSTNDNEHRDEGIAIC LPEFSADNYHSILPVHRIHLEVATAVDVTDMNEERMLVIPMPKKYYA PHYBLDRAFT_102049 NLPRSERFKAENTILVGLMPGPKEPKSEEINHYLKPLVNEMIQL YLGIQIPTYQQTDGATVRAALLMVACDISAARKTSGFTAHNSTCTCYKCDNQFSHLPG TSSVDFRGFDCDQWRHRSDRANRVHAEEWNSASTPSERQQLEVEYGVRWLQLYRLGYF DLVRGTIIDPMHNLFLG PHYBLDRAFT_59535 MSDINTTLLNSIQKIEVDLAEIKQALRELQRRFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAKSVKRAQLTEYPDQLGKQVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVNHYIIDKDYPAA SKEWKNIPEKNREYYMMHLESLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQRDISDSLLSSPDMSETGDVESPIMADVLSPPPTASVEPAHKRSRRSVNAYF TEQVSILYEVFSPILVYL PHYBLDRAFT_59530 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHNENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYDSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEPGAKISHGETVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQESCVNCSKPRYKTD PNQSQTPATSMKLMSVGDMLSQMLADPATRELLCYRANQESVAGQLTDIFDGDNYKQL VQQGLFSNPDDIAIELYTNGFVNQKKGKNSYTIIHCIIFNLDPSIRYTNKYLLQLAIL PDPKKPTHLDSFLIPIINELRDLEAHGLVVKHNGVELCRFKVYLLLASGDIPAVADMA HIGSHTSLFGLPFLRHGMYFDDISARLRPLEDFKVGNPSKNIYQPSIYTQLSTFSGSS FFALDELHLIARGIGKLVYDLITITLTKETKFYYTHPDNTLNTTEYPFHIPRADLVTI GNCITSSRKYIPTSFQGSFDNVFAKIDGTHAVDWLDFLLYLVPTLVVPYLPNRAVKTA LLSLMKGCALALQWTLTSELLDEMESTGTRFYTNKSRIIPCLVVFSDQCNITWSIYPI SSSSKAPCDATPLAQWRDPNQRVAKTPVFSLSNLRFTIIPARQSASPYGRESYMDLPN DPSGAQLWEPFHQFVNLNDDSVEGVGGPSVKEALLKYYRRTTGLTGHEFGDSVVVVAA RLNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVMKEHDTAGHDSSVPIVKQRSQ STCTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFYVIAPYYIFNNNMRITKGNLS IL PHYBLDRAFT_165973 MSNFDLSNISLRNFFYSSVSQNAGFNPVPIALRPNGDDIVESVK TVDNKYFASIKRKVDILSLLVQQGLSQETIGRIERSIADIMASLETIKKVIKDRTLSQ RPLPVRGSGALTEGTFKSGLRCPEVLISTESKMIVNPKFNSTDLLMMSENADKSGWDL TAKFTSVFNTGLALSTLAYLEHQPQIALVPTSDIVNIGINSHRLPL PHYBLDRAFT_142986 MEKANGVRWSKLHHLPYFNTVECTIIDPVHSLFLAAMQDEADKL KVLVGFTSLRKKIAMNFPFMKAGEWKSWCLIYSLAILLGCLPQEHFDYWMCFGNACCY LTMPSITYCYLAEAHFCLQLFCQKSAEYYGEQFISPNMHLHLHIQKTVVNFGPSYGYW LFSFERFNIILKAYNTNGKDGFEATFMKKYMENTSKANLIHTIMPLLKNENQKTLLSE LTNTNMPLINMPPINMLRNFNLSVFLNSMNNMHQIRGNEPLTQSCYLLKLGHYEPMRE QEYAHLLNFYHIRYANINLRDYWEAVAYMPFINNIIQKMSSINLLGQVYKSESFIQSL FIRTNSTAIKTYTGQIQYIFLNDIIDLRLYEPICHTFVYVKWYNSAVENATEVRDVVT NDFEFFADDFHCILPVHCISLSAAIGEPVNEEGVVKIVIVSILRKIYA PHYBLDRAFT_158189 MFTCPYRNNCNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDTAGHDSSVPIVKQRSQSTRTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFY VIAPYYIFNNNMCITKGNLSIL PHYBLDRAFT_165977 MSDINTTLLNSIQKIEVDLAEIKQALRELQRRFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAKSVKRAQLTEYPDQLGKQVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVNHYIIDKDYPAA SKEWKNIPEKNREYYMMHLESLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQRDISDSLLSSPDMSETGDVESPIMADVLSPPPTASVEPAHKRSRRSVNAYF TEQVSILYEVFSPILVYL PHYBLDRAFT_142989 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQK IVDEMHGEVVLRSVTYIFVNHADMEWTRTSAGNPAVTNLLSLRSFLPTEVNKATTKTI VAQKPKMNLLEKYNQNQVNKLFSLVFSENQTAAAAARETGINVRTAQNYVRLAREKIQ ADFDAATVETDESNGLETMAIEEFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHL VKKCALTMKKLEKLPEKRDNVSTIEMRRDCILE PHYBLDRAFT_142990 MFIDEAGFNMHIKRTFGRSVSGTLAKTTVPMQRGVSITILGAMC EREIISLLLKKPTAVATKKKRKLDIYTNIEVNSRIGTRAQHYLNFLSHTMDVLDSQSM QGRYLIMDNAPIHKADEEMWSKIKFGVHREEITESGGLILRITESAKTVTLLDCLGWI NHAISFFPCCLNRERKL PHYBLDRAFT_165979 MSNNNINNTIYDISTIQQVLINLSLEEIKMLPLNSTILVKAIFG ETKKCHRANQYITNCQLRLAQKDTKACSCTAALKIIQHLDNPNVVTFCQTKAHVNHVP GDWDEVRTLSLPSEAIKIIEDQLKSGSSCRSTRISVLKQIDSWGVGVRKPNYEEIYNR MRKMTTLLYMFASGENASISIWLNVKLAEQNYCIFEINLSVYNDGKGFPVAYMVTNDQ TAIPIKLWLDHLRIKSSFIPMNITIDCSIMKVNAIKEALPHATIHYCDFHVLCTWQYN LDSKIKLNVSYTSEQLGNYKTALKNYLRHILIVSNEDVFLREIEDFKLMVQDQPQFLK YFEKKWTRMKNCCEDGGAHMLANNIRDIRLDRLIFILTNDVKFYFEQEVEHIHFNNGK MGLIDNELARNSFVASKIQNDMLPSMSLNPLGKSGNSIDDYNGEWQIRSFVTEDKWYN INISNALIQSCTCPNFLTCQIPCKHSHLLKCYCGTKFSFIEQREIARVNEVEKEVEEK LESGGTAEDRNIYVFDEIANYFAIMHHGFEDLQTLKTISGLDQTKADLIKRVLADAVR LVDEYRGENPSYFRNLNTQR PHYBLDRAFT_165981 MNNYIANASIASSNDESQKSELQKDTREDSQSNNEDFEMEEEER NQEINILAIGIEIDACRKKAAEKLIKVLENPEAKLDDIRKAQEEVANTKADSFVPPNL PAFQLRGGPVHQTNKAVHDSIAAFLNDFEVQLRAHNLDFDQHWERLFWLTCDKRQRVS FEKTRAGRGLKWKEVRQQLESEHGNPYHLWIKKHEVHCMLQKPGELVRAYAERFLDSV HATNLDSSNELVWLFTSKLLRPVREKAWQTLTQHYGLVVPKNIHQVIPLIVATSGEET DSLFQEERTTSGTKRYQEDNHKYTGSKRGRGEFRREQRGNNHNNRGSCPLHPKGRHSK DECHILKSITSMENKTFTPPAPRPAPLCHYCHKVLYFNGHKCPEFQLAKAKKPVFANR STRTVNSEDALNSRIELDLSQLNLQAQGKHLNIHHLTALPHNDGSLYVPIIVQSSRVW ALVDSGANASFISPDLVSSLSLPITKCSSKKQHIYLASENSLAEHLGTVENLSLSYMS CKLNHNFVVMSLALGTQMSIGTDLMPRLGMAITNLATTWDDQKSDSLSENTPDDVPEP NKSPAGTSEEQKQFTEAIEQSIRSNKLIPKTSFCTIPESIIHIDTPEGVTSYRRQYPL LVVYEPRIQETVNTWLADDEVQKIGKEYFTVRAVVAHRELAKGKYEYRVRWEGYEEKD DTWQTPESFSSPKPIADYWDRLANATLRSPHLHAKANAQDANAQYAYVQHDNVHDANA RCYAQEANMVPSV PHYBLDRAFT_165982 MQQLQEKKSNSHFTARREETLLQYIMFEHSEYQPWGQREATWER VTAAVNWIDSSEGDISAITAWRQYKSLMASYKRHQAHNQFQSKIYEPYSETDRLLCML VDMEKDLKLQSEQRDAAKVAETQEKAEKEQLIQDRATNAIHPPSTSTSAPRMEKVSMS DASTMMQMLKEEVETVLDPVMDQKILSRLDEIDMKVDHVIDLLSSIHLGNGNSEVFTL EITPTGLPLEPQSDMIL PHYBLDRAFT_186089 MTFNTRVSILVSIMSTFTIRAAVIEDTEAKKIQRQIVIQNRMMR LLCENHLYLRIFPDDDCKFLFRFTYQQMQQLVLLFGLKEKMFFQENSRGKFSLSAIES VAILLHRLSYSSRLGDLAIFFGRSESTLSPVFKELVCRLHLKFRAAMIFDYNQFKPEN LERFSNAIYRKCQRVQHCIGFIDGTFVKVARPTDNQEQCYTGHYRAHGLKYQAVVTPD GITSSFYGPVVGSHHDMFLYRESRIETYMRNTFDFRHEHGPCYHLYGDLAYVSSEYMM HPFGNAPEDSPYHVFNMEMSRLCVSVEHEFSYVGNLFSFVKFVQNQRLFLSPVASHYI VATLLKNIYVCFNRGNQTSMKFNVLPPIPQNYVYGLLH PHYBLDRAFT_165984 MLVNSLPFEILSNIADFLQTPDILTGSVVCRAWKRPFEESLWRH ITITSDHQLDIFCDKLYNQQLSGNKFDHHVRQLDLSYHRPNYPESFNLIEQSFPLLDH LCISDNAWFTTDPPPYVNWSHWKTLTRLDWWIFDSAVLAPESYFALSFLPNLTSLKLS YDCEDEGAFTLDGFEMLLQNTPRLKTLSVDSLIGNMPETDLARIKTLPPSTTLTALFI ESYSWDLGWLCYWAHKCPNLQSLEWNSSVTGTTPHHMDEASEVLKTMQNAFKNLKRFD MEALKDSHADHFFELLHQCDTSLKHLELRLKSDEQYVPLKMFEEAPFCFFESLETIFL DLAGETSDLFLLPYAFRTCCRLANLKIIAPDLIIELDVLLDHCQALKTLELNVCEVAT SDDTPLYPSAHALRVIELEKATLPSSLFYYISQRCPQLSRLHILDSDVVCSLSCTAGN MSIFMPYTHLDTLMVTSVEFHVITNSNNMESVVLNYLHLVQIDSPSEQTTFSFRPIRP TSHHSTDLEIHPPQWYCQYAKDGTPDTVSFQALDIDQTRQFELYLKSKQCTEDLVERR IKEQNCPGHPLDANDWMEDLLPENVALQIGGVRFSYRQSDCGRVFRTSTYATFQTYLR PSSRATVKVLSTHKYSAYAQISHKCLDAHFLFFEDLSQPIIFRIKPPLHPPVKHPKLV KPPIIRASLAVDFISNFISNLKL PHYBLDRAFT_180480 MNSTQTQTNTPKPALNGARIKQRKGVQKAQAKHEPEIFRDQVLK LLETAKPGNLEDISLKLDAGGNTLEYRKYNDSLFEILITGGILEPGGIINDDAERCPF SIFGCADDNTSIKNAVDVFNKLIRRYKYLTRSFEETLKNILQYINRWQPAENHKLAVA TGYFVTIQLGSLSVLKVLFKDYLVKDGLSLEFATTVFRTILSVQTIEQLGKSLINSGL DSKLIELFPPNKREDECLVRHFEAEDMKPLVEFHQRNQKNSKKDELAYKLKEMLQSEA GVAEVIAYVKQSSKDAGLVESEIIQIIWVAILSTLDLINARPDQVEAQTFRALNEWSK LMEAFATSPKTEIVLLQKAQLTCYEDAKFTKFFRQIVQLLYKNDVLSDNAILYWADKA HKPQGKTMFIKQMEPFVQWLKDNEDSSEEEED PHYBLDRAFT_142999 MLATQLPFEILFHIASFLPPKEKRIGSVVCRTWSQPFEESLWNP IDIKGNWQLWALCEKMSGSAESNRGYRQLIQELTLSAYSVSVAGICRLMEQRFPSIKS FCVEKDIWLCRYPPSVTDWRHWKSLKQLSFHIYGDKTTVPAFFSALSCLPALTQLKLT YDSFKDREPFCMDDLETLLTSTPHLKTLLLTAKMRDISQEDIARLRDLKPSNQLMCVS FEIPSWDLRWLYYWAIKAPNLSSLDWKLMKYPSDKKGHLDEATSLFGSLKNAFQKTEC FKLNILDSPFSSHILDWLRHYDTPLKYLEYSTDGEADEPAIITDGKSERVLFSFGCLE SIILRFINSSIELPVLLTTLGQCTLLVDLNLIAPELAIDIDSLLDSCRFLKKLQLDIK YLSVNPKISPNTIMHGLRFVELQNTSISSSFFGYLSERCRILRRLCLIRVAIQGTRQK SSGGLPIDMPFTHLDTLLMRSVELYALKSPSNFDRARMNLLSFIQLKDNDSTSNYRWQ QTQLRDCCAPPPTRSPDWYHWYLSEETPSRPVFWKLNKSEARYTEGYFRSEWRMFMSV TSLLGGVRFWTPNVSKAYWKEDLLRGYAAIQIGSVEHFIIE PHYBLDRAFT_165987 MAESATKVIGQERTHNSVYVWYNPKDGSEFREYMRNYLVFRLMW ICFRVRRKHIFYCLNILMAKRQINPVYKTHYSIRYQVHYYIHQYTCFQGINQKKVSQA IQVCEATTPRKCGI PHYBLDRAFT_59546 MVDKFALRYCTVGDTLDYSNELLVYLYATLLLGHLQYTTPQSSS LLNRRRLCLDLTFCKCFAFLNIKTWLKCLGSGPCTSAQVLRQAHHGLAYCNLAANDNI GSINDSDTKSNTATQLCSATKKPKQQLRNSTYAYFQSLVFLTQFMSE PHYBLDRAFT_35710 MTTSTANDTYSVQGVQSKFQEGLTRIDKELSQYKYANELQRRTG IPKTYFVVAGAGVIFLMIFFNLAGQLLTNCISWVYPAYASFKAIESPSTEDDKQWLTY WTVIGFVQLVEYFSDILLYWFPFYYLFKTLLVLYLALPHFRGAETLYARFLRPFLLNA QSDIDRRTDSIRNKVGEIATGVAQSAIPKSN PHYBLDRAFT_4535 SYQFKFMGRLGSGEYADVWRAQDVTTQKLYAIKQTREQFTSQSD RWLLLAEVENLHAVKGSPHCVELVSAWEQEGYLFIQMELCESGSLAEYISHKDGQIEE DVLWNIIYELAKGLRDIHNAQVAHLDLKPSNILLDETGNLRIGDFGFSLQCSGEPRDI RGEGDRRYMAPDLLKDHFDMPADIYSFGLILLEMVTRTVLPDTGESWEMLRLGDFSDY KMTHVSQALQDLIRRLLTLNEKDRPTAQELLDHDDLK PHYBLDRAFT_556 ELRARFLNYFETQQHVPIKSASLVPHNDKSLLFTNAGMVPFKDF FLKPDTAPFKAATSVQKCMRAGGKHNDLDNVGYTARHHTFFEMLGNFSFGQYTKKEAI RYAWRFLVDELKLPLSRLRVTILEGDEEGYKLWKNEGLSDDMIVRCGEEDNFWSMGDG EGPCGPCTEIFWDTQDDTLDERWLEIWNLVFMENYREADGKLTKLPIPCIDTGMGLER MVCVLQGKNNNFQIDQFETLIGGLRQVMKTRGIEVQLKATVEIDYLIIADHFRAMCFL IGDGVVPSNVGRGYVLRRIIRRALRSARQLGIHEPFLTDLYPHLLAGFEDGSYPELTS RASSIRSVVTNEERSFLATLDRGMALLENVFSQPDLQGSKAIPPAIAFQLYDTFGFPF DLTLIIAKEKGWTVDIKAVEALQEQQKQLGRESWKVDAITNKARLAGKYHSNFTKKWR NKNIMPKFSGYDRSMLHQTSEIVAVEPVMEGKKMTVNIAIDPCPFYGLGGGQVADSGY ITLANGQRWDVTDVFQPYDGCLALKLTPANIAKDETIESLIKRDQEFFQGAEVHHTAT HVLNAGLRSVLKTDIVQAGSTVETQKLRFDFTYGKPLTPSQLHSIEEWVNEAALNGGA MSVKHMKLADAMKMGAVATFSEKYGEDVRVVEVEGVSKELCGGTHVDDIRKLYPFKIL TETSVAAGTRRIEAVAGLSCVKWYRKAYEPVPEV PHYBLDRAFT_132100 MKFSHSLQFNAVPDWIDKYVAYDHLKKLIFQEEKERVEAANNSQ DPEKAHTETESRESVFLHELDMQLEKVFDFYTQKEYELYSSIDQIEATLMANGHLHST DSPNVRHLQPNALETLDSTYNAPIKVTHEHDKIHSGKRKMSFESRMTIDGDAEINVEA LVDLRSQLISLYVSLSELESYIDLNRSAFEKILKKHDKVLESDLRQQYLKKMVLDSRP FMPQTTEALRSQIERVERIYADTFCHGNVPAAVRQMKTHLRDQITYDRNTVWKDMISQ ERKMLDAHVKDTTPVKTYKLPLINKRITSDLLRRSLCFLFAVIVFAVLLNVDIFSNKN ENYCFALLIFSAIMWATEAVPLYATSLLIPFLVVPLGILRNTDGSEMAAKAAAKAVFS SMFSGTIMMLLGGFAIAAALSKYGIAKAFATHVLSRAGTRPRWVLLTIMLVAAFLCMW ISNVATPVLCFSLINPILRTLPDNSPVGPCLILGIALASCIGGMTSPISSPQNIVTLQ YMNPDPGWGIWFGVALPISIICIFVCWGMLLMVYRPDRASPHLNKVKTTNDPISLSQV YIMAITILTIALWCAESSIQDHIGDTGIIAAIPLFMFFGAGILNKEDLHAFLWSVIVL AQGGMALGNAVTSSGLLQDIAMRIKDGIQDFPPIAILAIFACLLLVFSTFVSHTVAAL IIVPIVQQVGQQLPIPHANLLVMGAGLACSAGMGLPVSGYPNMSAIMLESPTGKPYLT TKDFIITGIPVSIVCTVLIITLGYGIMSGVGY PHYBLDRAFT_180483 MTPAYNHLPSQLKNHYILARHGFSLANNAHLICSNPDIAIPETG GPLGTGYGLHESGKIQVRESAKLLSKHLFPDQKAPYGDEEPVKMFCSPFLRTRQTAEI IRSVLNETVLDGKVAEPTPNLALRERWFGIFDMTHDDNYHRCWKEDNDAPDHGEHSKF GCESASSVADRATKFIVEEIENKMENKIVILVAHGDICQILQTSFLGMEAWQQRQIEH VETASWRDMKVSK PHYBLDRAFT_143006 MQRFAATEDLTSVEWRDTNWLERVGGFQNQQMVLDYFAMSPFWD RQCNNQVLSMQTQYNDLRQPYEATVEALRKMTGIEFAVIHEQPPVWVIQKRYRRGPAP DDANVYQSPTIYSVIANRLLTSLFHVNSAFKETQAMMEFHPAKGYSWKANNSTNEKQP VTAIPEKTRGPQPSKLRAQENQAFRHWMDRAIEASAARIAQTRHSLDSQSVEGSSQGS KTATKIEPGSTSAITQQRDDSTGKRQRKKTDDGNSMTNKRKKKAGKQT PHYBLDRAFT_132104 MTVNLLTVLNHQLFNVGFFFFTRQMIKTFHWDNPEYVPLIRAIY LVTQVCIIGIGYWLIAVVRKKNDHTVLRYVEASNSGWDGTGGEDQLVNTTNLDYDVAE IKKNIKQAFTGIAIVAFLHLQFHYVQPIIVQSVLGFKTFLLTKEARIHVWGEKTVGDL RRPFRIESPLGIFPEKRQPKVDQGSIKRAEKALKGK PHYBLDRAFT_165996 MGMQVVDYRVSTLVVLCKDCGNDVGLYPARHKCQDVIRPAMPTL PAKYANLPDSSLQVPRKTPPPLESSTSSYGSSSTSTVGFPPSPKFSSSATSETTTTSK WARFGKSTPTPREEPNEDEEESIYFNKFAANLPDSHSDSPPVTGKKLWGKVRTNEKWK QLNESAEKPKQTGKIWGKIIQATHSIAEASYVDEKGPESDESDWEGESHVSRVLREHY EKKREALPAWLRDERTSTRRMAEPTSPERRHMDVEPVRADRNSVGRRQRLWASTPDSD RVLSKREQELQDLRQAQAAVPTVYEEPPAPRGREDPYSENIYNNPKSSYSGDNYNRQP QSYNRADNYEPKRNQSTSREHPYEDSYQSRSNHQDQRSGRYDNHVEHDRRQQPQQSYR HQEYENSRGTDPSSAPRQRPQQYINDKDFNPSIPSYGRPAQRSQREMQNRPGRYAETA GGYF PHYBLDRAFT_165997 MTDAQYDSFETIPPNFIKLNIRTQQQDTRSVIIPRNSSVPLLKQ KIQNVFDVAIERQRLIFLGRILKDDKNLLDYGTAHPFDGEAIQLTDTLCPRLRLRQYL SPRQIVMVRFPRITAYESPSMTARNIASSNSLRENTPGWTNPTGRGLPDIASLFQDRE PPMVRFRRSLRHLQQTLQGMPEPQRVNRRFSLFMPRSVRRSAVTYWPYSRSIEMRLAE SISHARNAQSTFNSTTQPSSNNTTGRRNAFTEDPTFLSDEYVQNPRERARQTAIALRL TVQAMNLAIPNLRHLSDTLQEAGVTSEDVYQQFTERVQTSTGLYELSLSNQCLSYVLA NIELAESNNRVFERDGLNASAHTTSHPTVHEPELESNKTHTEQPSDTLLEAERPGGSP STHNSSHALPSAAKGLKRKREDDSIDETFNKRRISDNGEGSTQQDKGKDLYTAC PHYBLDRAFT_59556 MCFTTAGKPHSSQPSTFSSLVNFFSLSHSSSLPSILSWIAGGAT RCPNCNKEVGSAGIIGPVFASFGQNHSTSPDLTDVSETLRIKRELKELKEERERTRLE LRQIGEIAKLRFRHRAKSAMNEGLFDGWKQSKAMWRSLPNERLPIILASYQTKLALAD DERRREAMANRSATARIQELKLKNAQLKRQVVALQNTRTKSPPPYCHCTSTNCICPAP PSAPILKSESPKKYPTYWGKKLPFKEQHNGNLIFTNSPSNLKRSYGSDHDDNSSTTDD GSGESTILAKGKGKKSEENIDTSDLEGSESPVVIQYKELRLLMAREQAQAQVSQGGIA RLILGQSKAVYRHSTFAITCYPYVHCTLIMIPKVGSKAISRKDPRYHTSSMVASNFFM AGQFKQLGRYTDKCKPPVLVLLLKSKWRYFHLDNSTEVYTLFNMPYINLIK PHYBLDRAFT_59557 MAFSIDLTRVMSKRSPFSSWSDFGIFLLTLIGIAPPLTVQCWYC HQTSYLLEDGQETYERWHCKVCDSDNLLDETGTIIDQVDAMFAEQPKMRSCRPAKNPI SSKDIGPNIKLPIQKGKICDQCMQAISENDLIMKNYIPDESDPLYKSNCYYSDALHTG LVNGTPLCRNCDELVRETIGTSKEKDWQPWRSDMMIKLQTAVPNQPTAKKYFSLATGW TIMHAISIMICLYIFIFMPFDTDKTQKTFLEKVQMDMNKISDILQTFFEDFVKPSKEE FWGDYLADMFKKCIRWIGNQILSSMQYTKHASLCTLTVPIAFLSNSCYNPSTHNPFML VVASYLLTKNIVWHVFITGTNIMDMRDVKYWKVYVGYHNILFYLRLLSPLIARYCSPT IIRAITGSMILSFPLAVYLSIHFVVYSLPKDILFNPVGGKPVPFTITPEKREMLLGRE DQSFEMTDDMRAQIRADLGAIDPPQPKPDPDFKKYQFEDEDYNLSPRSLNERQHHLMT RGYNTNYEKTLYRLESMQL PHYBLDRAFT_180486 MDRKRVNGPELSVPPIFKKSMDVEPTVLVNQNKKRADQRGLDDI RPIYLKTGLVTQANGSAYIEIGNTKAVCAVYGPRQLKKAGFSRNGTLNCEFKFSTFSC TKRRNNMRDPQEKEFSQILVQALAPAVRLELLPKSAIDVYVNILENDGTSSCLSAAII ASSVALADAGIEMWDQVTACSAVLSEDQVLMDGTEDEEKRQTGAIILSYMPSLNEVTH ILQSGKSETALTTQAVEQCIDGCSKISSVMATALLQSLDKSSGL PHYBLDRAFT_180487 MTPTTFALQKADGYIEYDTFLSTDFDSNSYANTIVNQSGTGDGS DVSTALAKLSFNIDSLNKQIQEQVVGNYEALLGQITSIKGLETILSSVHTNIIDLNES LGRLSTKIREPYNQLQTYAIQLENLQATSSLLSRLRRFLLLVRRLETYLANMKEEGMG ERDMTAAALTLHELDAIMKETDFEGVDAVSTKLDFIKESREYVEGEASRLLKEGIDSQ VQAKMAAGLQVLYNMKEMSQKVESITIEILDDLKSDIQHVVDMESLQKETRVAGQTAS SSVRRVNNEPAFGNQSTLTQAVWSRVEALMQTMGDACIKIYGLEKVLELRKDSFTQVS FLEEVSKVLDSTSLVSYFWRVLSATFEKELKEATKVSTFLQNTFVGDYPRLLRLLYEF FSRVAMNNGTALSDYSQSPEYVIMLRSFGTFESGFLARSLTRMYDTVNATFPAHGGIK RSVPTKANVLSITRVIGSELETSAFEPHLSQAVAKNAVKVLNMFCVKCEGLTPTGDQP IYTAVPNNAIATYLKLSIELANILYFMHQSTWKVLDEYPEKTIDVVKQGAEDCRRLMI NIGHNLVEAIKADADRVLLRMHQEDFSGQLRRSFDPEDNGSSTSGYMKELARHVRYYH STILSRLSCGTEPKGWALEISKHIIGVFIFQVSTVRPLSEAGKLKLAGDMAELEFNVS QLMSEFGGKMEDIGLEYKALRAFRPLLFLDSAQLTAAHHTTGLSKLVLIHHLAVRSQS SSRALPLPHTVYDIPRLEYMNWMNRQTEKEAAELAMAAIRKGSNMSEPELQEVPEYRF MIALVDQAE PHYBLDRAFT_180488 MPTTHPSINSIHRSNPYYENQYLVSDTLYIHRIHSVISKAEIYE LFKGCELTEVQLNHHYTDHTSGHLRFPNRDKADRAYTMFNGAILRSGSFLQLLIYSPE SNRPEPKPSAGILYVKDLPPQTNNVSLYDIFRPFGPMNICKILVEQGHELKGTALLLY FKSEDAAHAEQTMNNTPILGSTITVIPYISQQKSQPAAYEVHNTSSNQQRTDTTGTGT GTGTGTGTGTGTGTGSGTGSGTGSQVLRNISPPNGLQIQAPAQVDFTNLYIKNLDLDV SSTDLFTNFRKFGHIVSARVMKDKNGELEKSKGFGFVSFLNPGDAQRAMQEMNNKYIM SKPIVVAFHEPKKTRTDRPPQQQTNSSFNSTLSPLTPLHPQQIHPHPHTHPSHSPTPN NSGIQTSYPQSLTNATSDNSSQQHQIKITDNSPLDTSRRFSSRGSYVPALTHSPANLS SFPSTPDYIPAKFLASSQLDRSPQAQSQFTANGFFNSYNNVTSGLTANYQQNLQTVSA EKDYMISGYPKTARKHSQISITSPSVNKAIRATTIPITSPMVTNLNTISRTSKHSFTQ PIPVKASKVGGMGGRGGGGGGGGGFGKPPNTPKLATLSLPTTSKTALNGLTLRRRDSV ESVSSAMTVSTSTIQRVKMARAVSKCGESRHVDDIVDLLLTLKIKERTLCLFNKDFLR GRIEEAKSALEVFLEEDEVVTPVEQDDRSCCHSIVPLYSQNYPYQPQLAHHNQSPYQH QSYQQGTLYQPEKATKTAGRYAAAGTTTVTNGTSNATRNGPNNANPTTQWNQPSVFHM PLPYTPKSLMFSGDFPTTINTTLLGDLSLQPRGSRAIPIIAPPTKHQQTSQPDSRESL ERDQKQKVKALLDSIEGTSGIVTKQLVGERLFPLVKAIGVRRAPKITIRLLDTLSAEE LANSMYDKEKLKVKVDAVVASLNASQQY PHYBLDRAFT_186099 MQSMPYYQQGYQTASPYPAAGSPATSVMNNAPILGHPTGLPPLH PGLPEQPGSSVVGQSQAQKRKQVKNACTNCQKACKKCDDARPCPRCVKYGIADTCVNS VRKERKKGIKRGPYKRRQKPEEKPGARKHEGMNETQQQQGQYAPAAMRAAPLPFGYPS NLNQYGQPAYDPYGQYAAVYHKEQMMQQSYVVNPVYPPMGYPMLVPGQTDGANGQQQQ QQQQQQQQHPYQQQHSAMTQQHYSGIMHHSPQASRPLMHGDHFQQPQNPSPQQPAQLM TPVASTSTSSTTSTPPETAEEEEGSKFARLSQLCSAALHHTSEHARTESNNSQQS PHYBLDRAFT_110302 SFGGMANVLVGQPLDTIKVRLQLDNGRFKGAWDCTVQTIKNEGF LALYKGMASPLFGIGAVNALLFAANSSIKKNMQEYPGQVLSIGQIAIAGAGAGVVNSV LASPVELLKIKMQAQFGSKAIGKERYFSGPIDCAKYLVQQHGVANGLFRGMWATVLRE IPAYAGFYAGFEATKRQLVKDQDEHANIMQLMAAGAVGGIGYWVCCYPLDVIKSVVQN QALPPTGFYVTRVMKQIVARDGISGLFRGIAPTILRSIPAAGATFTAYELTIRAFQSD GLKWRKEVGVQLSE PHYBLDRAFT_180491 MGVQNLWTLTAPAARPCQLESLRNRKLAVDASIWMYQFVRSMRD KQGNPMHNAHLLGFFRRICKLLFFNIKPIFVFDGGVPDLKKHVIRERRLRREGALTSM KQVAGKILSAQMKSRILLEEEKRRNENAEKTNQPKELNSSVTSTVVKSLQQRHLNDPY DLPKATKKPADFDQRLATHEEIASFVKEFKPSEIDFDSDVFQSLPHEIQYEIIQDIMI RSRQTSWDRLDQMLKNSKDPLDFSKQQIKQLAHRNEMTQRLLTMNSPALKNDEATPLR IASERGRAYILYKNENPDEGLGWRLPGLLTPESTVVKDDKSNKSDENDDDSKSNEINE EDEVDEEMEVVVPSTSDKVKDAIMANPELAAMMTGFFNDEDEEKEEDENENEDALSND IPANFQSMDANDTDDEPLFDIPSKPISDARTQPQPQPQQQISLLDDMDAYAQDDETMQ HVLEKIYGNNIISFEEETKPKETKSEEIDEENLSARELYNLWLSRVPDSFIYMHSFND EHKQLIHNAVFTDPVNTIKSQRDSVQKSLGKTRDSNELSIEAHTFHIRMLESVIAWKS HMEENISFLEYAESLPQDSLIIDKDAMVLDEDEDEEGEMRFEEATSLPIPPTVGAQSD TLYKGSVDLVSSILGDKVPTTEDFAEEDPQSRKEPGGTNEVQPKAQDGPDFDIESSLT ENFISEDIKHKPISDVKLEYLEPKENVETDFEQIIKSKSEEKPKENIDALDESDEDDP DEEQIIDMDEEQNEYARFISDIKTKDIESVRKELDDDMEKLNLQLSKKKGLSDEITQN MIQDIQELLRLFGIPFIVSPMEAEAQCAALLESSAIEGIITDDSDVFLFGGTCVYKNL FSQQKYAEIYNMQDIKREMQLDRRKLIQISFFLGSDYTTGIPGIGPVTALELLAEFSA PIDADYAIDENEPLEAPLERFRDWYRQGNDTTAFQRRFRKKHGELEIPDNFPDSSVKD AYYNPKVDRHPSIPEWKMPELDTLRLFLMEAFGWPDSKTDEFLLPVIRQMNQRATLGT QSTINRFISPLEKDEYQTPHKTRLHKSKRIQNVVDRWRKAKVKKRPSEKCDSERPTKQ KKV PHYBLDRAFT_180492 MADIKRFVFVERKRVLTIAATCGLGIVSEKEELQGYQIYIVEQW MCDRTMPSNTVKVFTGDPTHKIDVCVIAMTSADLQHPRPEIKPFFDTGMPLKFKSTPQ GDITLTDPSELPYDMDMVLVPNGDFDGWVKQAYVNINLRRSNCTGRSALNLRKPNPAS EEKFRSIYKISDAVHFEDAVINLVILAQIALYLFKLLPRDYIDGLVCNDTINAFREFY LNYHPHKSSEYQIKEFWMEPHLLTALITKIIVCRNKLQTYGFTAVKDPFTEFETFRYD IEEFQRVKNLKRTRLIDLETLEKLNEYSLSQLKVRKVLKSKLEDISGANNLPLFVESS DPEVFRRHATLDSLRLVWRPRMKNGFGSDNERQTNEFMHMIKGVSARTSRTTGAAAEI LSKVANSLPWTTGAEQKQPKEHRSRLSHKKPTVKIPAIAQQVITLAKPAPSNQQHVPQ LHPMKTTSSLGQIVEEDSEVPKSVPGRKASPLSMETYPSSDEDMYTYSQQSTADNTSS KGISEHTAQDLPSFVRAHPPHFLTQADSYSQNLSFQHVHSNSADILHKKTISNDTTHE APKRLRSVSDSAIRSSAYTHHGSQSSTSIQSSPISPQFSSPVFLHQPNHPLSRNRAYS LIIPSQNNNNITETMLSNRPSIYMDVKTYLSYERLKKQHTALEKTYHQLQNMAREYEK TAHQLRATHQRRFKEFDKIQSNARKVMDDQQETERRLKSVEEDSAKLHYELNVLNDYL KDMEDNVSMFYGKIGMLERKMDDSQQSITTMLIIGNYFRYYWRKARNFLGL PHYBLDRAFT_132117 MATEANQRTPLLADGSGSKSAKPPVSRPRVRRRRSSFEASTYNS TGQLFQSPAKEELRTEPGLSLLQILALTLCMAGVQFTWTVELSYGTPYLLSLNLSKDL TALVWLAGPLSGLIVQPVIGAFSDKCNSRFGKRRPFIVLGGILTCLSMIGVAYAKEIG TSVAIHLYPDANNEKLLRERYSIVVAVTSFYFLDFTLNAVQAICRALILDIPPLWQQD YANAWSARMSNLAMVIGYFVGFLDLVKYCPWLGDSQIKAFCVVAIVVFLSTLAVTCVT TDEKKLTREEPEDLPWYNTFLYIWRAFRFLPRPIQTLCNTQFFAWMGWFPFLFYSTQW VSDLYFIANPPQEGDDWAEGTRAGSFALLCYSLVSVVAGIVVPDLSARFEKVKIFSLL NIYTISHLIVAGALLSAWFVRSVTAATVVLAIMGIPWAIVLWVPFSLVGEYVSFEDER RQIAAAAADQTQNLTNHPSPSSSTVVRLEEQKEEFDAGMILGVHNMYIVFPQFAVAII SSAIFAAADTIHDGDNESSSIAPVLAFGGLMALVAAGFSRYVIRVG PHYBLDRAFT_180494 MPFSSNTDNQPKSPTSPPAVRRSNSTMPRKQRRRSWFQNSVFFS LFASAPPSPVHEVTCQKQRSHSLDPDVGTHHKPTRSPTLNLNLGKSTIQSFLSKAKRS NTKRSPTQINDPSPIVLDEPADYFSINTSDPTQTAGTIGGDGARRYNPQLILTDNVLA SVHPLQPQPPPAHKYHHHKHASEPNHFLKSDDDEVDRIQLKNDLVKLAFEGEFKFPFR FQDLKNGRILDVGCGPGSWCIDIARKYPSVQVIGVDSEDMFPDPVTVPTNCQLVRYNV LDGLSAFEDNTLDFIHIRFMALSFTVDQYTKVIKDCWRILRPGGYLEMMEADITIYSP GPVTAKFNKEVATVANDRGFKPWLARQLANLVPEDALNRQERYRSLPLGLWGGRLGVM FRDDMLHILTKCKSSIRDFYNQPAEPEQQKAFELELADISREMEQYHSYSNFHFITVQ KPENITQQQQYQQQQQQHNNNNNLNLNSNNSNNNLNHNLNNTIANNNINTNTNNNNPT TTTTTTTTTTTTTNTNTNTNTNTNSTTTIATTTTNNNHYNNYYNNSINNNNINNPSIK QTNMR PHYBLDRAFT_166009 MLVNKVNGPILLSCLFLYWAQLMRVGLQEVHSQSNKQKRRVPQE KSRPRGITDSFKKGILFVLLSHRRLTVKAVTFAGTLIAETDTQYANYYGNWNIGNILD TFILSISRQLKRRVYLITGLARHDRLMIL PHYBLDRAFT_59569 MQIYYLTAALVILVAITAQAAPLSERAAGESLDKRSGVTVNSSP SIHQEFTSTDASTRPAKDDEPKNHAKRSGITINSSPKIDEKFTSIDSSTRTAKDVGSN NHAKRSGVIINSSPSIHDEFTSTDSSTRPARDDGPSNHAKRSGVTVNSSPKIDEKFTS VDSSTRTPAQNGKTANAKPASVIIYAGPTIQQEFTTTDVSPYENEANEPRSIERSSGR DAPIARSLFTRAGNSPAAPGTPDIPKVPDVPTIPNGSTTPEAPKIPDIPKIPDIPKIP TAASIDVANID PHYBLDRAFT_158199 MTRASNYGYGRAKPAAQGGNNYSICLGLLCVGIFCKNALDAQNM EGVLGLAFVAYLKPSIASANHQSIKQCQADTAQRSRRLPSMAFFFKYPNIYML PHYBLDRAFT_59571 MLSRVAGFGLRLNLAGSTKTTSQTTSQTTSRKEPTAGQRQSYNN QDQPSKRRLRNVLRNEFLDPLCPSFPPLDHDDHLLVQNFDATRAFHKLQLSLCQHKRK LSLKDYIYCAMAATHILLLSRNQYPEDLSFYFRNHDLKASINGIETKFGIRKLPMSME TTTDT PHYBLDRAFT_123357 MSVFLKSLGHPSELKALLAYKFAPASQNKLNHQKTMANDEQKKK CYQFLDMTSRSFAAVIQELDDELRDAICIFYLVLRGLDTVEDDMTISLEKKLPLLRSF DKIIYQRGWNFNENGPNEKDRELLVQFDVVIEEFLRLKPGYQRVISDITEKMGKGMAD YAAGEHRENTSVATIKDFDLYCHYVAGLVGYGLSDIFAESGLEDKSIAKDKVKANNMG LFLQKTNIIRDYREDLDDGRQFWPREIWANYVTEFKDLTLPSNSEKARHCSSAMVLNV LHVVPDVLSYLSNLHNQSVFNFCAIPQVMAIATLALVFNNLDIYHKNIKIRKGEAVRL ISASTNMDNVVEIFREYLKVISQKNDPLDPNFLEISMALGKIEQWIVANTKSQRAVVK PNGSQLLPIAVCLAAIFYFYFYQAV PHYBLDRAFT_166015 MLSVEQLMDIEKYIAINSYPLFQTMPCDSSILDFLSIGISSSVD VLLIYPSKVQGVVMFSRKPFGVVFPHAHLSQTVGVEASFSFRALASPRNLVDTQQILY RGILSSPSEQFFNHVTRNMAGPLEPGLREDLRQIFNPVW PHYBLDRAFT_8330 VVLFFYPKDNTYGCTKEACSFRDNYERISELDAIVMGVSGDSAR SHETFVKQHKLPFPLLSDKEGKLRSGLQVPKWYFGLPGRTTYVISKEGVILDVFDSQI GFNQHVTRAIKVLEENK PHYBLDRAFT_132124 MERPTQKEYIRRKLVIVGDGNCGKTSLLTVFTQGFFPKKYIPTV FETHVKDVVIDGKAVQLALWDTAGQEEYERIRPHSYVKANIILIAYAIDSPDSLDNVT TKWIEEVNKECPRTPIILVGCKRDLRDGTEQPLAREHVERAQSNRNVGERFVRRTEAE VVASHIGARCHLECSALTGEGVDAIFDMATRAALLHKVDGAGSGCCVIL PHYBLDRAFT_35737 MDQDEALSNLNDYNEILQSEVYVDLERLRILARHGIPTQLRGEV WKYLLGVEQADRSKELSNSKTRTNEYQQMDKIDPEVSKRIRGEVSRYQRRVPELDNKR YAETFENIIIAYLNTNHDIEYNPALVSLCAPFIYALDKECDAYFCFERMMQAIEEYGV NNPLKERVASFMTLFRYVLPELCNYFEEEEVDLNEWITSWLQHLLAKEMQFENLVRLW DSYFAMSDPLEFHPFLCLSILRNAKENLEDLEQSEIRTVLLRLPPMNMSMVIADAFNL RHETLERQMIEDEDL PHYBLDRAFT_110566 MEEEEEEDDEDEDEAEEEVERQTIRSERTLTEPAPRTLHVWEAD RQALECRRCARRFNFLVRRHHCRRCGLVVCDRCSGHRIRLPFEHIIQDPVTDPSHQAL IAMYPQRVCDACVRPIAKNIIPPLSRYEQSPVVASPMQRSKSAQSLMAECPVCGANFM GVRQNEQEHHLQKCLNTGSPPVRLVRYVVYQLSSSSTQLDDECPICFEEFKTGDKIAR MICLCSYHQHCLSDWLARGKGCPVHYDGSSTAIQD PHYBLDRAFT_166020 MKTLSEELPLKGWDKLMTEVHEYCVSKGYTPKDKKYEAIRVVKA IKSSFSPHALDTGLKEYVTTLYTPADKRKFADMFKSIKEDIAKEARIAAVKLKEEKKK AFIEQTRTKDAKELLDREYEKAYNELIKANEIPGSEKDPKSHPKPHREIHTKTQIETH PNPPKEAPKKASKRASKKASKKASKKTSKKACQKCDVSQAVKSLVVGTQTTPQRPVLS LINNHLKKNESVPISSQTSSVEPPRKYSPVGFAEAAKEASRFRSDNPDNLEKYDDYFS GRKGEANVKYAKNHKYSPHDQHDRRGKIVKPKCYKKCQM PHYBLDRAFT_59579 MGNHDNVDIFDKIDWRVNRVDNEMEYNCKRLACPYLHDYTIPPL YDQKCKYVGSCTQPGYRCRYTLQKQPSDFVSKILITKDNKGKEADEIPATEKEAYTTE KEIFVVKKNRYTEKNERNPTGEEAIYVRDKTLCTKDILVSTVEKSGSPIEKAESTEEK TLSISGRVEHTGKRVKVITQDTEPARKKIKAIAQKTKHILKEPRSTVKKTRLTRKTAK SITEVSNFTGRSS PHYBLDRAFT_59580 MIQVEDSNQLLLLVKRKELASQSVFKVVLKLQTWPKKDSTKESR LLQLPNEILEDILHRTAKIERDDGCQFLGWQYPEYRYSDLKSVALACRRLFILCAPYL WRDKEFILPREDDEKSDSVPVQIATDILSQPALFLPRQLGSYVRSLSRDLTNAANYDL ANSSLMARLVCNLRALRIDFHSKFRLEHYGIKFFAQHCPHLSELYLEHCRDTYDDFNS LLEYPRPLVSLTLLSCTIKQTTLQKIIELSKHSLDSLLLQRVRLEPELIDYHTQSPPA VTTIPTSVYLHLFSFLHLTRLALSDSLSHSVLEQIVQGSPRLEKLAIIIHETSPILVT RCIVLLVQLDRLVILSLAFRRIHPLSVVYERIACFAPANVWTYFASNLPNLHLIHISA SKLLLHADFFASILDGSRCRIPHIMLHHIAWVSGTSQQLTSLPDDEALLNEYRRNVAS AQDNIEAWQQEPIWQDACGSFLTWEQASAKGFRCFNESDRVCFVQGFESWTKH PHYBLDRAFT_143033 MSLNGVPQEGYLGSYVPEQDKKIQSIWQRMTIKEGFQIHLTKTR YPLTNLPFRLLKDNQRKVGMIAAKFIQPGIVKNLPEQNVNYFPNMFIIHGTTQHNTTK HYTALHSTTQHYTALHSTTQHYTALHSTTQHYTALHSTALHSTALHSTTQHYIAHHCT ALHNTT PHYBLDRAFT_59582 MEKTSPTDTLAYLTEEFAQPGWHAETVARELSIDLLTTFCQQYS EYDKPTKLGILLSLVHIPKANMMSFQHGIKKSCECIMGLEWRRNNEDKVVAVNGYVDI LSLGQEDKDEWIRLTSMMLQSYVSTQQFKLSNIKWPSSTLSLLKTVGKTIESTGFGFH PTELALLQPSARTTRAHVSESYQSMKPTTKQHFVLDTSSPSIVSSIQRMQRFEKLIRN EDQMKRAAGLGNDSVQRKKSLVPPTPPHPAQRVPVVGRRPEPAAAAALATGPNRPHQP ARPHPRPAMGGASSALFISRRSSVPAKPNTGNTLYAPKKPTAGQNAQENSTPRGLQRT QRTQLINFDTATDLMESNSKAVDQAQQEAKLEIQRKKERALEQRRMASERERLGRKRV CKSPEEETPKRTRTSNHPSPTEPAQEEPCRFKGTERRLDSTVF PHYBLDRAFT_166025 MKLRICSTGKSSLPPIILESVPVSFNFSLLIRSFRSFQTPILQG QRFYLAELINCDYLSGSWCLSLILQDKMVCPEKKHASYPDDYELLSLKCDAIFLDILL YISLLTRIMSLSFFHLLYPCFQCLKLSTYIKIPDPNIRRYNKKQLVLFKCL PHYBLDRAFT_59584 MILDLQDKKYLKKGVSTEAEIEEMKIKNPIQIISPIPKELATYI NASNLTNVKDLRAELLKAQDWEINCSIDKDHGLDRAKNTTHSFVRLYESGNLKTAHKK EWHNGCEWSLINNIFDDLENLQVASVEISSAATIKRKKFNRVIGAKDKIARFSVGHKY DLIIRENNVQHEHAYEYCVSKTAIQYQNTKKLE PHYBLDRAFT_166027 MRFRQVDSPYHKKNEEPKDTDMQEATVKKERSSTYNIYTNEDRL RFLFSLHEKLMNPTETTKLANVNPETTRKWKRESAKKTLRRRYPLKKTNRTSNRAPSQ LNESYKMYFFNFFDENPSVVIQDVVRILTKGFEDLKIKKSRITEFMKEDCNLSKKVVS RHPKDRNNQTTLEARTNSIE PHYBLDRAFT_143037 MRELGNVKKKRAVGTRKRKTLKDYAAAIPKRTTADNFVQLIKDS LDIMDEFPNMKGLHMAMYNALVHSHDVVDPFILERGYIPVHLPPYSPELNPINFFWKD LNDRIRREKLKDTGTLSRIIGGNEDFPVEHIHTFI PHYBLDRAFT_143038 MTTSPIYASIGSLIIDDIIYEDGSKETNVLGGAGVFAVYGMRCW HSPPDSKKIAYAVQRGHDHPANIDKQLDELNLSLVSYTHKDKCTTRGLNTFGANDHRD FEYIHPIIRSTPGDFPLEWIKSIRILHIIASTERALEITDEWRKREESLQKSIPTQFL WEPLPWACLPKDLPGIKNAAQRVAILTPNHEEAAGMLGLDLHEMLKKNDIKSVVEDIA HQLYEAMRCSRKQSELVLVVRASKYGTVSLSDKHPLTWTPAYWDYRNPSDSSHVRDVT GAGNGFCGGYAVGWVDTDGDLVKASLYGTVSASYMVEQVGVPLHRTIGEKEQWNEGPT PQERLSLLEKRAHEYNKTKQ PHYBLDRAFT_186111 MAHFNRKHSLERLDYYYQVINATVLSKQSAASGLIPASVAITNH GDYTDAWVRDNVYSIYCVYGLALAYRRLDDSSGRTFELEHSVVKLMRGLLFSMMRQAD KVEKFKKTQDKLDSLHAKYKTETGATVVKDNEWGHLQIDATSIFVLAMADMTTGGLNI IFTQDEVDFVQNLVFYIERAYRTPDFGIWERGNKSNHGQPELNTSSIGMAVAALRAIN GVNLFGARGGPSSTIYVLPDELTRNATTLQSVLPRESNSKEIDGSVLSVIGFPAFACT DPELVKRTREDAKMKLEGKYGWKRFLRDGHQTVVEDTSRLHYNDNELKAFEDIESEWP LFFTYMVLDGLFTGDMEQVEEYRKKLEPTIIDSAHWDPNNKIFNEDREPCADGDTGKL HIPLLPELYYVPCELIEAEKANPHSQKRLPNDNTPLVWALSLYLLGSLIYENLLSPSE MDPLGRRFNINAQKRETITQIVLLSESPELQNKLAMYGLETQSVDQISSSITVLPPKA LADVYHALGQNSKLGLTGRPKRPVGVLGTSRLYRIEGHIYVFTPHFMDSETFYLNSDP DFLISAFENELAFCSDNWLYAGRPTIVVVLSEAMLGNLQSSSMATPGGSGFDSSRKNL LNFFMNLRSGDCNGTRVRLSRLSETINTSNIESLDFLIDKPELDWESILLVNKSAHYG SSSHRKLGYNESKTQASTPGGFTPGNKTPKRRNTAFQGKSLGTPLDKLHDEGYFQDIA TALSNLNSSEPKFRLKDHEDSPLLSATRKGAVADGAVLSKLPETGGTVHLGGQEEIHE RSQSPLSTDVSPTTTEMLSLTLGDRSQFGQAVASLASSVNLYDQIDLLQYMASCDHLD SYISELQASLKELLEEVYLKAVRLQYWSIARQATGLLHKIVPALTINITDLVIRQKQI SIGSGHKEILITTPVGPETLAKMFVEQCSDDVREGPVVQEIIIYLGSFVRTTPYMFDG ILRLRTHYIIIALREEISRMNNCDEEEAIEHLMQLSPYELQSLLGTILSGPTLCDSGS SIMIRDKPGGFLMLSRFTDHWRRSSLGSEHQSFIPILPPPSDGHADRTQLIIRAQSGG YMAGNFARVEINGNTMFANSRGIHVWAIDRPQKIILEHASFDTHISSEESDAFAKFIG WLKPGMIVVIASRDDFSEHLTSDAIKALENLGSKRIRDVKYRDSYVFIGEKGGPVEKI TEAHKAAHEGPTDTIELSVKLDEKPERDQEVTQDNIISFFPNASGRWLRRRKNDGALN RVPAHFFPQTWKVLDRSRGIQIFSHCLPRDPTVLEMTPEEYNFALAVEGFLGWFTDPA ERQIAVEVLALIYRFQTSNPKTRTADTVMDIPVIMKKAREIFWYKWVESNKAQFEKSK LFSKGFDYEEHKDLVRRLFYDLPIEGTESTSAYLRKAIVSVLPFETDNIDNQNSEKCS PHYBLDRAFT_143040 MTSSLTHDWYVEKCGAGCVTNWLFPPGKKGRDLVLENFVTETKS SVAFLWLYFFGIFVPVICDDDHSDETSLVRSNKHKDNGSANFPSSVKNKATFSPPLSP TPAPAPAP PHYBLDRAFT_143041 MSDTEQPVVDQETPEKGKHQRQRKTDTKKKPVSKKKEKAQQREH ERLKAATATFNRFYENEFGPERWPVLLEAMKKPVRHCMMMNKYAHPEDVLAKLTPLKD DLRSLDFLSIPCYASTLPRFPHPSKDRANITDCYILDAGSVLATEALDIQPHDRVLDL CAAPGGKSLAILQRLSDYGNLTVNEISPDRRRRLRQVMDNYVPPKVAQEHVNVLGKDG TRFYHDPEQYDKVLLDAPCSSERHLLHDEKEFEQWTPKRTIQNAKRQLSLLKAASYSV NVGGYVVYGTCSISSAENDKVVDRMVRKGKVPMEVVHMSWPMGEKTENGWIILPDRSD GWGPLYFSLLRRTGVSKEGEWNDDEEEDDEEEEEEVEEKKPKKKSSKSKK PHYBLDRAFT_123367 MFGCIAAGRLVQTNLQQVDVNKYVFELSDAHTINHIVVFLLGSI PFEAGYAATVHLQWPNTDWQLLGMLSNDKPSAIFRIRKNDLSLNQPPSSATLGISIEP IEVVQQQVANLTLQPRQQQLVRPGANLTQAGTLSQRILENLYNYVTSFAVQEVPFQPG GMVVGQVVEQGFIPLKVFQTWFENLGRKLTNNPDYLSDKNV PHYBLDRAFT_154878 MRLVQYGDDTPARWMTESDKEALLRAGVRYMDITDYPEALVSSL DNWQPYIPEITRYSDEAAPYIGNLTVAPMKEFLQKFTSFNTRYYKSKTGAESCRWLLS QLKEVSAGASHVTVKEFKHKWDQFSIIARFEGSNEDLKDELVIVGAHQDSVNMWLPSF GRSPGADDDGSGSTSIFEAFRSLSQNGFKPERPVEFHWYSAEEAGLLGSQDVSRAYEK DGKRVVGMLQTDMTGYIGANGEVIGIVTDHVDEKLTDFLKATVDEYADIPYVLTECGY ACSDHASWRKIGFPSAFQIETAFDDSNHYIHTTGDTIDKLSFDHMKEFSKVAVGFAVE LSHSRSD PHYBLDRAFT_15811 MPALLAIPRRSTFPIQWTPALVKYINSAYDEDGNLYSADAQALD NLRQQAITSPSLETLFTYYSQLTFVCSRFPLNIGLEFAWLTAFQPTQPPVVHPNLFFE KSCILFSIGAVYSQLGCTEQRLSSDSIRKACSYFQQAAGCFKYIQREIVPDMRVVPMC DVSPAVLGTLIHLMLAQAQECVWQKAVMEHLKHGTIARLAIKVADFYESVLREMPSCI PEDWQTYTRIKASYFVAVAQYHKANECISQGRYGEEIGRLRVAEKANRCALDLIISSF QLIQHGVMHAGFVNEVRQLEEVIEHDLIRAEKDNDIVYVETVPEEAQLSPILRSDMVK PIIPPDLLEPAYWKKHPEVEIRRPLFQALVPFAVHQAASMYKDKKDQAVAEITASCAK LSEEATQLMEEFNLPFSMDVMDVTILPVNLMSCAEEVQHEGGIQSLKDMLEKIQELSK KNVDFIEEGFNVLEDENEQDEILQRQYGSLWARPSSKSLTGPLLSQGARFHDTIQAAQ KADRIVRAKVTNWGKAIELLSRPVSEIKANLPFTKDDPHYPQLEVLVNQLRDAVEKIQ TAKHEHNKISQDAETLSASDDISEPLLVKADALTGGSPIIKMEPEQFGDVFEAQLAKY APLNTKLQMCVKDHAENLTHLRDLYEQFSLVAQGSHLAVKREKTVKNLEQAFLKFKEI RTNLVEGIKFYSQYTDTLSKFRDSCTDYAFARRMEASELARSGASPADSLFPFSRCSL LIQGRTKETVKMT PHYBLDRAFT_123376 MAWSQSGGPKGRPTSDEYHASPMADLDHHYLPPIMASQSTPSPC MSAVTPKEWPETFGCEAIILETPLPHAPPKQSYQQIVRADSPALTHLVPPEAIRVAEQ EEQEEAMGSTNHQPFLTYPSFLLMKHMHSQTQVATSPSFPISYSPTLSLNSNSTLYQP THQDQCNLTMHPQRGNEQVDALGSIAQLSSSQKRLKRPPNAYLLFNRAMRHRLLEESP KRTVAEISKEIGDRWKVLEQSERDRYIEEARMLKEDHQREHPDFIYTRRSKAELADSR RFKGGKTTDSNGICETNTAKVSKQKKPKLKNGRDPRGRKKKQDCHPSGPKHPMSGFLF YAATVRGHVAATLPGSTIGPISKIIGANWRAMTAECRLPFLEKATADKARYAKEMQYY MASVRSKGTQPNEVDDEMVATVSQMVNHNQQLL PHYBLDRAFT_143044 MTGKRGQTPVSKHQQSPEEPDTAQNRKRKTAIIPKSNEKRPRVL AADAQWCICNVSTLTLKAFALEHDYYERRRCHAQYKTIITNMSEAEQSRLLQEFENWC LTLDCTAFWKERKRKYALETAASNCSEAIDKLLEATASQPIATTITADLDQEHANTRQ KIDNEEKHWIIDGSDINSLFLKYQYQEDILPKPVPLESNIQEILALSGVLFLANEQHS EYKCHTITPNFTNDDFMEMVNVVSAIDEEGMSPKSAKLRLLTLATSMEQFKSNVVEGI ADLLVKLPFDPIADKNQFGEIDVQTRYYDPLLSSIVADTKRKVVLRWPNKEDTLTAGI RPDAIVSTLVQRTFGQSLGFGEVKLGGDNATNHSLCLDTLKLAVLSRNSVLKYGHPIL TFQVKGNAMLIMLSLSNLSLLESVSAYRQSIRMQTISPVSKSPPHQYKNS PHYBLDRAFT_166036 MSTPSAIEFVSHQEDDNQSHSWMKPDGGKDGLSSIDRLQYFLLK DDADNLRKYLGGFKNGKKVKVSKTRVINDCCQYFDKQGVKRTSSQIKSKLTYLITKQY PIAFKAWEDSTMRISEEEMSQREKDELEVYLNDICPNFTQMRKVLGESKAKSPAVCNT TTPLDLNKAQDMSKDEDGEDGENDGGDEASESSKSCKDQEEQGADVSNEISSQREAIV QSNSESSTSEHSDASAYSGKRRPKFFGKIVKNTAKRSVKSIEDIGHGIKEFNDARISL LESKYEEELKNQKEKLQKELQCEQRVKGVILMAKTFDWSEEKTKNELEEVYNQYLN PHYBLDRAFT_94089 PERFFSDDEYVLADAGYKATNYIVPIKKKPRNSELSLADQEFNT KISSMRVKIEHAFGILKERFYSLKSIPVRIKRKEDVVKVNAWIRVCVALNNFLM PHYBLDRAFT_143047 MTEIGRITLPEALSCLHSFITLKNIRTLLRVTLLFWHYCYQANS SPSVVAPVSMPSPTSPTSPKVAYPIDTSTFSPSASPHTTQLFQMINNITSKHRDCSIQ C PHYBLDRAFT_143049 MRELRSQVPDEAFPRLVVYDLGLSDSQRGVLSNLKNNEYLDEWV EFDYGAYPAFWNISNNRGEYAWKTGAVKDVQERHGGVIVWLDTGDVPNHKFIMTMPDY IRRHGFWSPRSTGLMGPRFNHPGLFKYFEIDQADYVTKENCNGAALGFNADDPRILNE IINPWYECGLDLACIAPAGSSRRNHRQDQSAISLLALRAGFRCFEYPEFHGVTIHQDD QCNERLLRLNEKKQLLHPSSIDT PHYBLDRAFT_109960 MNPANVQLLSPSLHRQLFGEYGAESPGESVSEQLAREHLTIQDI WGKTAEIQAPIDLKLPSLRGKSIEEHFANMGIEQSGTTLDKAKQLANEIFPPKPTKWS RTSGWTRYSNGNATQVPWPTEDKLVFDVEVLMSEGHYPTLAVAASAEAWYSWTSPHLF DPAQSNEQLIPFGNSRDTLIVGHNVGYDRARIAEEYNIQGSRLRFLDTMSLHISGAGL CSQQRPAWNMEVKRRNLDKLAAAERDNVMGITTSEELQRMDIGQAKFFDVSSLNSLKD VAKFYCKIEADKQPRSYFECGNLEDIQENFDQLMEYCAQDVDMTHKIYKHVFPRFIEN CPHPVSFAGMLQMGNSFLPVNEKWEKYLARSSEKHRELEDLLDIKLRDLAEKARVLVD TPEEWQKDPWLSQLDWTVNPRQRKLKGQPKWYKDAYDTKTGKLKISIRSRMAPLLLRL KWLDYPLHYISDHGWCYKLASETMPATLRSKAVHNDDKYHYVKVPHKDGEQANCGNPL AKNYMNYFEDKVLTSEYQAARDALDLNAKSAYWISSRERILGQFVVWDKDEKSMGLPM RDRSDNKDAKYGIILPQMVTMGTVTRRAVEKTWLTASNAKANRIGSELKSMVQAPKGY KIIGADVDSEELWISSVIGDAQFGFHGATALGWMTLQGTKAEGTDLHSKTANILGISR DKAKIFNYARIYGAGVKYATSLLMQYSQGMDLEAAQIRAAALYLNTKGEKEHSVRHRF KRTFWHGGSESYMFNALEDIALSKEPRTPVLGCAVTDALKPEYTGSQFLTSRINWVVQ SSGVDYLHMLIVSMSHLIKRYNINARFMLSVHDEVRYLSSDEDQHRTALALQVANLWT RTLFSYRLGIYNLPQSVGFFSAVDIDHVFRKEPYMTCITPSHPEKIPEGISCTIQDTL RELNVNARPDECLLGQEVQQSTEEPLVAINAPQLSQKNIDLEFIKAQMYKVFQSSRDP SFRGGQATAEIIPQKATNSVKHVQKPTKASTPKPTLKKLYKKN PHYBLDRAFT_123378 MIASSILVTGGAGYIGSHTVIELLNQDYSVVVIDNLTNSSYEAI RRIEKITNKKVIFYDVDILDKKAMLEVFKRHPIWAVIHFAGLKAVGESTKIPLDYYHN NITGTILLLQAMKESNVKNIVFSSSATVYGDPKTIPIPETSPTGATNPYGRTKHFIEN IIRDLCTAEGDWNAALLRYFNPAGAHPSGILGENPLGVPNNLMPFLSQVAIGKRDKLA IFGNDYPTKDGTCIRDYIHVVDLAKGHLAALRKLKEDIGCVEYNLGTGKGSTVLEMVA AFSKAVGRDLPYVILGRRAGDVTNLTANPAKAEKELNWKAEISLDDTCASLWNWQSKN PNGLEDCPGSAPAECVIRYI PHYBLDRAFT_59599 MKINTVIYISTPVIIYEFKKKEYYGYTDPSKNRGPKNRTNTGFV IKAYVLRITERKYPSTRDLLYFPGCLALLSEFDNRIVDNVLHVWQQRAHGDNVNTRRP NWFDSFLYREKSNESRLYCIKVYPIIYLNDNFLLGL PHYBLDRAFT_75953 MKFTIIATAALALAGMVSAVHPTRSCVSHYNAVEGDSCQSVALA KGITAEKFVELNHGLADLGVEGCASLKVGKRYCVSAPKTNAKRCLTAKKEDADKSGTT VTSRPSNAVNKIVDNCNKYYTIAESDSGCSDVASKNGIDEEELYAWNQGLHHAGDHLC DNLDTGKAYCVGVN PHYBLDRAFT_110069 MSTDNFHGWACHGKDKPLELSELPLKAFDDYAVDMDITHCGICG SDIHTIDSGWGPTDYPCVVGHEITGICTRVGKKVQKVKVGDRIGVGAQSGSCLKCEDC KSGNENVCMKGIVYTYSSYWENGDKSYGGYADKWRGHEHFIFKIPDNMSNEIAATFFC AGVTTYSPLKHYNVKPGDKVGVIGIGGLGHFGVQWAKAMGATVVALSHSDRKRDDAKE LGCDDYIVTSNKEIMSAHNGTFTHILCTNFSPDFDWESYLSLLKANTHFIVVGVPDAP LSGIPALLLASRQIAIVGSAIGSPSMIEDMLNFAATHNVKPWVNKYPMKEVNEAIKAM REGKPRYRIVLEN PHYBLDRAFT_143054 MASSTNFVPPILHLLQSPLSTSHLLRGVAATNHHSHRLSMLGNS INIRNDRCVVPEFLLANQDDDYTEFSFENDDRSDFLLLTKELEST PHYBLDRAFT_59602 MTTVMYEIKIRYKINNYTPTIKIIDEPHFSCCFFYNILYLSLPY TFTSNLTTNVEKRELSDFEYEGIVWLSKANHTLTDIANRMNIPRTIVFNTIKRWETTE TAKTKTRPERPKKLSVTNITSLCLSVRCNPFESYRYHQANLGAAGVIVCRQTVIRYL PHYBLDRAFT_143056 MVLDTPLSIDTQDLLTDQNICFNQPLPIAILNPSNRKYHDLESR TYGLPCDEEELDRLNLQHSNFVTVFDGLVLNSVKNDIRPGSKVIDLGCGTGAWILDMA KAYPDSKFFGVDIADVFPKHDLPPNISFSIMDISKPLTFEKNSFDFINARLIIVSITE ERWPTILKECYNILKPGGLVQFMEYDHIASNGDENTMRFVRGPSIDADGTELSKRILE NWTRALIGFRPFILPFICPNDPDSYDLLLEENIKGCIEQRWFKQAVGVTGMKPLV PHYBLDRAFT_143057 MLFYTNSPSYLRKSQRLLNRTSKGQYKNSEVIVYTELDNFQEQE KYTGALLHSNQGPTGQQTSFSEPKVYVLSGHYLSVFEKVLATNFEPINSALGQVAFKC KGTRMHEKLYKATMTRPMTRLMKRAREASESSSSYCFSLLDFYAELARTTFPAPPSAT GTPTGPRVLKLIEHGHFTAPSTERTPPTKPRTTRVSPCVPASPERMTVIIVTVYCLLD TSAPSTSTLSPTGSVGQI PHYBLDRAFT_59606 MTDINAHKHPKTHTPSTSITPLPILLSDQASSCSSLWKEITQTI FSLFGSSPTPPRRAILMEFGRFVWLERKDHALELYDAGFFGKGSLSRSKPTWHERHSS QSENSPFLEQITVDRRKQRREKKRLDKGSLVDDRMDILHDTYSSAEILDLIEGQDIEC LQLDLLEAFFLAFSLNALEICDTSQRVLSVKECWDIFGRIFACSSHDPEHSLQLPVAC QPNIFNAHYAAYHYYRSLGWVPKNGAKFGVDFVLYQSGPRFRHADFAVVVLPQNETSD KTTSSRSWKWLLGVNRVCTQVKKTLVLCYVSVPQYKGDFDISLLRNCTIQQVVLKRWS AEKNRETK PHYBLDRAFT_166048 MPFNEKNSTPEHKDVPPHYSRQDRPIHNTGYRRSSMATPVQANP SRRYSTHHRPSASTTSSSFHTAVSRQPSTVEIFLKKFLDVFIFATAIAFTAYNYWTGT LALQPLKDAPQSPRAQPTLSSRQSERTKSSQFDDACQQRTREWTEDVTRKQYQHQRRH SSKSTLVEPKPILVKKKYSKASSSSSSETKRKSWSSERYAGHHDEIYNTTDESAIQAM NATKKTDERMTKISDGLELLIKEAQEALASKVEFYDTDIDDIAM PHYBLDRAFT_59608 MDIAFLPIGKHTVELGRSFQSNNNNTRNDLYALHFTTKPESGLL SRPLTIQQESNDLYQLQFKSRGLQGNNNNAPFEYEGVPEPNSDPNETEVLLIYDEKSQ TFILEESPVRLTLKKSRKRKISNKQPRKVVTEIVQPGGGVRKIDERPGEEIVDDFAFD ILKDMEEVLGEDDDDEEDDKGEDGEISGANKQQQQQQQQQQQQQQRRRQSIVSPIIST MEVDEDDDDDDDDDVIFEEFVSPVEPLTVAKKSPKITMPPPSSTPPNNGNTASAQSAQ SAEAKRRKYTMASVPIRHPGIRDPPPQPSLNSAQQSTTQSSTQPSQPSLQLPPTGLSV SQNQQHPQYAGKKLTPAVTPAGPSLFNGRGRSPKKSNSGAASSSGSDESSSSGSSDSG SSSESGSDVDSGSSSGSSSEDDDDDDDDDDDIDALAENISRSLAKEGPSAPSSPRHNY TGTHSSDHSIQTNSIPTSTAAATTTTNSATTTSTSTTSNTSTTITNYKPLHNPPYQSP LSSRPNSTPVPSRGGKPMSLRALFKEEAEEDGVSGSSSENE PHYBLDRAFT_109978 MQMQSISGIITQVRLTQGEVPPPLVGASVTVVNDQVFVFAGRLV SSRKMTNHLYILDLNTLLWSRHFPAPDSDSPPQARYFHSASVYGNSLVFFGGMGHSLE TEQIAVLNDVSLFDLSTMSWKKPPLQSSTHAPSARYAHLSSVTDDRLVIVGGQDISNK YISEINVLSLKRWEWVQAKTFDKSIGAYRSVAITTPTGTRLPVLLREPMSDNEDHPQS QQDKDQPVVDYNHRASLVRQYGQSLQSTREPSPIYLYSNHSFSDVRRELQLIFLPNAT ASNIEDCSSFMSGTMMPPGLRFPTGHTLGHHLILAGTYLSPQSQAYTIWSLNLGTLTW ARIETGAMFSTGSWHRGVLHENTNRFLVFGNRTRSFLEDYTHRQMNFDHLATVDLEAF GVYRLPRTTCSSLAQEMGLSLLNEPAVADFHIITREQQTIPVNSAVLAQRWPYFADLI QVSTEGLDVVDLPETSGTKSRGMAFPYPYAVVIALLQFIYTDNLLTAQQYQPHILSQL LLLSDMYNLPRLRELSTHALHQMLNMSTAPLIFETAALSHQTSLQIRALKMMIA PHYBLDRAFT_110014 DPSPESLGEAKSLKKKKMRKPHEKSRRLNARERRAFKVYDIPAE AHKYDIFVQLNKLWQGYMAEVYSQGANPSQFAQKLLKADFHGAIFKVIKSKNPSYVGI EGIVVQETLSVFKIISKNNKLQQIPKISSVFTMEMECCPGVFTLYGPQLQFRAADRAV KKFKPKPSIDL PHYBLDRAFT_59611 MDRNFDYVARLHQYRNYKSSLEQLGSLYEFLIRSVMRTRSVVVE MRMHLHMVYNYHIQSFLLDFGLMEEIDVSLLETEAEVFTPKLVSTKPTRGWELLSRGA NEIPPPIWPTPCIGSGWTWLGSNAVHRRRTSPKPSIEAFSSLACEAVECSSATLASIL DLRLGEERRTDKFGERSSKSYGVCCCDILFQATQPVRETYVWGGPNLTIKVGPEFGSR PS PHYBLDRAFT_75955 MASPHKDKLSPGWVDQNKNELNASHQSSPFGNPAQTNASTKNNS PVASQEENNSPHHEIVGDASALFGGTSNATDPFFSSITPAEDSSSFFDNVSAPAPEKA FDYTHQAQAHDTQYDYQSQKTYNHTTEANTTGQYYNPTQQAYDPSQQQYDPSQQTYNQ SQQAYNHSHQAYDPSQQAYDPSQQAYDPSQQAYNPSQQAYDPSQQAYDPSQQAYDPSQ QAYDPSQQAYDPSQQAYDASQQWIAFDPNQHYYYDEQGQLHFYDPNTNLDVDYQYPGT TEEYQFDPQYAQYYDQNAYAANDQVAVDQPAATSVAHSDAQPQSDSLATHPNTKPLHG TDSYLHDTQDYAQFEPSATVTNKSMPSFEAEHSHPPISTGVSFDKGETAGSWKDNSVF SPGEHEATVPTITQTTVTGAAEVPVMEEDEDELKGGLPMKKTESEQMNVFQNELGASD GYTSHQKEGFFYGDQVYKPEEHSVTLHKNDAEDQTRWDEQGESVNFNQYDHSYGSSEP VVSRNVSENNDKSILETDTTKEVDVFAHYGNNQTKEKLPEKDVENDLDDLVLGESDPT KGVQEHTTDYSNNMYNNSYEPHTQQDYSVAYSPYDHTSTISGDAENTPSYQNSYEPQT YQDNSTEYDARDITRTSGTTKKESSNEAHTKQDYSGQYDSLNTTSANHENSYEPYGEQ NYSAQYDGYNPSTEDAENYDQNGSYEPQNNQDYSAQYDPNTNTTEQEPSYGYQSHQDH STQYDSKNSSSTEKQSSYESQTHQDHSRQYGAYNAAPTDRVVPYGTSSPYEPPTTQDL STNYNESDKNQSQLNITQHEKTSYEFSPHSKAEPSIPAIHRGSFPGTTQGYPVPFEGY NHSPMERSSTVPPPPISRMLTPRPDIPQPCPHPACEGENKARAKFCCECGRPLAGISR STTPAAHSTQSFLSYTPINADLRSYAPTAMESSPPEAQRYTPNHLHPNTQDNQETAVR AFIPEHHSPYENAYTEVSAVDNGYGEELDSTVKSMSSFVGPVLFDAKLSAKAKKKEVL AYMDKRLADYDALLSDASNNGHALDDIENKTLLWKLVKVLIEQEGSLGDNDKMDAAVR GLLWPVTATTAEEDNANFSVPAYGQANPALTEGEDADYSEVVLNKLQKFLENGDREGA VDYAVQEDMWAHALVISSCVNKELWKKVTSEFIERELCATVESKQTRVYHNVMGDKQA LRVLYALFAGSGAGSISEFVKTSDKHVTSHYGATPTTPSPVSVDQLRQWRETLLLILA NRTPRDVEAITALGDILKAQGWIEAAHFCYVVSPQSSLHSGIDAPHVRFTILGADGSN ISKDLDSIHLSELYEYALSTKQATISSLPFLQAYKLVYAWQLADYGFTSLAQRYCEAI AGAIKANTKRTPWMHRQFVERLEEFAEICEISSGNSLSGDAGSWLKTKLAKNSLDSLW GSLEGRFNKFVSGDDAPAAEPVGRKSTEIMADGLPARSVSAFDFRNGGRTSVGPEHMR RSATPTTTVTAEGVALERQMTSSPYSRAQSSFEVSRNATQSPAIGGQDKLNQGYGGYG GYGGYGTPGYSYGQEPVRPPTATYSPFQQGSAPPQAPGQAQNEYGYSPQTAPYGNNQS GGWWGADPGAEQPVESNIQQPDYQQQQQQQQQQQQAPSINTTSSYQPSNYAPSSTPAT STWAGGDDDDLGFGNSSLKKDKPAPSGTSDGPNNESTQNEPEKEEKPQETKQEKHGWG IFSLFSRSSAPAAKEDKKAIKANLGEQNNFYYDEKEKRWVNKLAENNTPAPAALPPPP KSSSVNPTRVSSPAGPPPPSQNGRASLAPPPPSGPTRMSSAPVPGSGPPLGGSSGISS PDFGTPPPLAGKRAMTTGGRKPMRSRYVDVFNQPPSS PHYBLDRAFT_110012 MVAQDIQAEAGPTPNASLSTRRGVSADRHKEQENSPEYYVKKLS EIGSKGYSSKVISHLAVGLRTMPLSWVRQFIEMSGLLIITDTLSALNRLKHKREIEVQ TEGEILKCFKALLNNRIGAKEAIKNPQCIQEIVYCIVSPAVNTRRLVCEVLVFLCYFS VPVGQELVLRAMDNLRDARKGYGRFDAWLKDLLYTLGGRGRMGSMVGASEDFKRLATH GAPDNQLSEFALYNMILVNAIVNVVEDPEIRIHLRNQMNVSGLERIMDRMLDLCCDQV DRQVQEFRTLAENDHDEIMELYHERVLRDLNDPRDVFECVLASVEGTRGYDFFLSSLQ HLLLIHEEGNLKARYFQIIDNLITQVVLDHKGLVDDFSSSYGTSVRHLVDKFADQDQL QATIEEIRQLQTMYDELQVEHNAAQQQLIERGDGLAITQLKEKTTSLEDLLRMSRHTI STLQGKLRDLQRDYEKNLEAMEKQLDTFYKA PHYBLDRAFT_132146 MSQQVNLNDLDFQSLQQVKNQLEEELSHLTQSYSKLKGAQSRFT DCADSVNALQGERREEKTILVPLTSSLYVPGKLSNVEKVIVDVGTGYYIEKSVGDASK FYTDKVNYVKQNLEKLQEAVTGKQNSLRAIVSVMQERAQQQHQQQQQQQQK PHYBLDRAFT_16001 MLRTATTAQRAARVIGRRNASTNVSVQNLETRWKTLSTAEQNTV AKQLEEAQKADWKSLSVDDKKAAFYIAFGAHGPREPLTTPNHGLKVLGGVIGVLVASG ALFYAMRLGGENPPTMTKEWQEATNEYLKSQNSNPITGISSEGYKGKGYVVEE PHYBLDRAFT_143068 MRIVFLVIKFNALHINSVRMCKCLILSQQSDLKMPCDKSVPQVP QSPQSPVSSPSLSVPKA PHYBLDRAFT_123388 MSEKVQQPDKNGPLFVDLNDNSKPAEIESMCMRCHENGTTRMLF TKIPHFKEIIIMAFECPHCGFRNNELQSAGSFSEKGHTVTLKVNGKGDLDRQLVKSDY ASVKFEELDLEIPANNRRGLLTTVEGLLGNAIDDLAQGQAVRKHTNEELYNQIEAIVK KLEGYKDGQEPFTLIIDDPSGNSYIENKCLPAQDPQLKVKTYTRSAEQTEFLGLQPEQ PTNPAAAAAAAAEEEDEEVPEVMTFPSNCSHCNMPSETNMHMIDIPHFKEVVIMATNC EHCGYKSNEVKAGGAIAAKGTKITLNITETEDLSRDILKSETCGLSIPEINLELTPGT LGGRFTTVEGLLRQVYEELRDRASFLRGDSTTGESKERWTKFLDSLQEVADGKRMPVT LIVDDPLSNSYLQNLYAPDPDPEMKIETYERDWEVNESLGLNDMKTENYAETTAEEK PHYBLDRAFT_110386 AKNGQRIGVRYIGKLTNGKVFDKNTSGKPFNFLLGRGEVIKGWD AGCVGMKLGGERKLTIPAELAYGKRGAPPDIPRNATLIFEVKLVSMK PHYBLDRAFT_85836 MASDIGNPLKKFKLVFLGEQSVGKTSLITRFMYDTFDNTYQATI GIDFLSKTMYLDDRTIRLQLWDTAGQERFRSLIPSYIRDSSVCVVVYDITNRNSFLNT TKWIDDVRAERGTDVIIVLVGNKTDLNDKRQVTVEDGERKAKEYNIMFIETSAKAGYN VKALFRKIGHALPGMENTLEDSHRDQLTKVDLNESSAYKEDSSSCAC PHYBLDRAFT_28028 MDVGFLADALAPKPSLCDRKFQLSIDDLTFVGHPVSLTTNPNNK HKNVDLDGEASSLDDDDHGFLPHDIPAPISDDKLNHSLTHMTLFHVVFVLSPPDLELN SQVEAIYDHVVLRYTSALRYEQLRCGYVQEEIDKVLSLKEDALNKGTPYDQVTQDILR VSSLAQDMRQMYLAISTNTAAHLIINDFIDLSLQIPLLGANVVGAGGSGGHASWLMDI YGVGGYVYDTYPVLCPYHTLLLLEDPEEVLKNMPLDASPTLVQLVQILTPTQSLQELH LLLDCSLAQMYRLAAHLIYWRKAKLIHTIHVRNHYVVSPLAKLDDIPALDRDFRLHIP NLDFPTLLSQLSIAKPLYKIAPSKEFRNQYLEAITYLVRKDLVVQLHMFLVLVLPFGL RPSLGDSENEDESKKQLSSANASAATVSASAADMAASPGELEWKALKRLAHEKAPKEI ADLFERLVPYMDGKHPIEEIMYREGVSRRQLSLVLKYYRDNIVTIHHY PHYBLDRAFT_166063 MATKTNYFIPKVRPSRLNYLGLLFSLIGFVLVILCLSGGHSKAG QSLHFLKITDNPPSQLAVTYGWRGYCINDKTWQCKTDDSMMVVPFDVAVSDMLNGTYP ELFENAIPQDPDLNPLAGPNPPHDPKIFAAAVLCLLCGGSGLTLGLLRTMGRGFEDKH YGRGFLCCAAAVLALLLVAECSVMYANAATQLTREYPQLIATQGPGLPMMGVAFGSFV LAGFCFLQGCFSKEDSYRPI PHYBLDRAFT_186124 MTTVKLMMDRPTHESLEHHDHPNYLPTPVTPLDDYRETKTEGGL YGTFAVQTDSRNTTDKQFSCHECNQTFSRPHNLKSHLTTHSSERPFQCDVCKHLFRRH HDLKRHQKLHTGERPHVCKACFRSFARLDALNRHRRAEGGTACSAVHQQRPSESSDDN NSSRSATPSSPPRGPQPSQHTTNVNNNIFPNVSDPPSLRAPSPPSLLPSPSALSSLPV SESADLMPIYNHPSPPSPTPHPPALPFQSSSWFGNTAASPNESRVLPPLQSTDPIWTR LYQENISLKATLSSLRLSEADKLKSRLHDLEVENKVLRSLISENATDSPLKKPRNH PHYBLDRAFT_143077 MTPSSLSPHYAAQSPSGRKPDLVAKEVWEWCSSVAACERTRETY HKVAGSSVFDHQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_59624 MSLEKVNRNKRLGFGRVSVLTFERHKDHDERIEDIHNSISCEYD RQLNIDCVNVAPDFEGILVSWLRKKDNYKRWKKDDPQDKTKDALCTEIKELMEECAIL LCQKQAIYAKIQHIQQNFLESQILHRLQFRRIEEGMKRHGVPNELVENTIMELMMKVF PFYYDLVPVMGVNLQTDPVLISSSTVKTYPWGPPIPWKKAGLRNIDNSERTNVVEYIK ESDMLYQKKAEGEGKPKLLNVDSSEADRPRKGVRDRDIMMDISGLVRDFDQEEMSLMY ISILVNYPTLFINKSTLGLNKLEVYLDSVAYEY PHYBLDRAFT_166066 MYQSMGQATPYSSLSDIYPNQYNSPVMSPSSAPITGSPLGCEPY STHQSSLGSPSPTHTPTQINAQTTRPNSTSTSSPISTSTSSPSPSHNSHQPTNNTTTT TNTHYSTPTSTSTGTSTATATSTPTPTHTSTYTPASYLSSHWSSPGLSWSASPPLPVT SYQPNRPYYSNLNSSCFSSTTSLTGLPIQRPKLTTTVWEDESTVCYQVDTQNVCVARR QDNDMINGTKLLNVVGMSRGKRDGILKNEKGRVVVKVGAMHLKGVCLIIIQNKKEKHP NKSNRITFSRARYLAMKFKIYDLLFPLFTDDPSAYLLVSLDHPANKNLHTAKGTKTTC ESICAPSWDTSHTTGLPRLSQSEGKHSLLYIYLCVLSLPHPFTYSPVPGLLSSHFLQD TPMYMQCERTLQRTSFSESEDYKYKYSVGGSQNESSLYHLPKSLHTLQLTDLPSTFGM EQTLGKHKQKDLESAFQIHLPEKKVKKYIKKN PHYBLDRAFT_186125 MFRRRVKKAEKPSFPADIDGFGYVIKSDGSIRSKEEDTPYNFEV IPKDRPYNEARYDAFIALIGDEVEKRLQQEPLCFQKTLIPKGAKESDPHTYIYMTPNA LTTTDKLLFLIPGNNTRIGQWSRRVMCDESIMSGSMIEVATMAKDRGYETIIFNPNGI YWYNNKAWEVPPLKNIDFITVPENDSPEAHCHYVFENFVRNTKATRIAAITLGWGGHC FTEILNKNFDFLKDRMQAVAMADSVHSRDLVDGSDKRAWMLSHAVNWAVSQQARGEII ADTRFGCEVLSSNCEIADFTLPSSIKDIVKFIDIKMGDLKLEIEGNGDQEEEEESELT KEEEAELKEHLSILSVG PHYBLDRAFT_75963 MTVTLPETSNQNLSLLTAIPTQSQSTTSQPSGTGTQTNPERDEI WSTILKGTASSKMVPSKNVLILGDPGSGKSTLIHYLKHDPGPQAVKLDNDEVSANDSF GVNATNNYTPMPLDMLDKDDATATLALSYTFIEVHDEENEAIARLGLYQLGLSAPEYL PLLKFALSSHTLADSTVLIVLDWTRPWKFLETLQRWINVLQHAIDEICKEGSAGESWS RGKAIVDELREKVEHYLQTYSEPSPLTTGFAVVPSTSTSSVPSTPSGFVTAPLVTTTT AADQVTLPLTQGCLTTNLGIPIVVVCCKSDTLNKLEQTQDYKEEQFDFIQQTLRCVCM KYGASLFYTSTLQPYTFHNLRQYLLHRLLATTTKTFPFTTKAQVVERDAVLVPSGWDS WGKLRVLRDGFDCESVHQGWDSDMEAIVDRQQPGSHGARGIYEDAIPDPETEEQPLNI PPTTACEDEQVFFERHFETLQRASEGTSTRQGTGSAAIARPSVVGPLGASPVTADMMR VGAEREENGRRHKDSPLDKPGLKGVTASPNPVDRIQTSLGNNVPAAAGSPGSPLSSGA GGPGGPSHEVLANFFQSLLSKKATSGGSPASPTAASPVSLLNTAASNGRGEDGTGRRS TISRKDVHKELDRMRQYVNKP PHYBLDRAFT_186127 MVILRTAWRSFAANKSVTETFCQKAGHCNSIHVSPTNTQWFQSA VKANGSVFGAIPSICGQKRGFHASVPSRSSKKDPYEQLGVGKTASQSDIKKAYYAASI IEIHLNALCISILYNLSLELAKKYHPDTNKDKDAREKFVQIQEAYEILSDEQKRQQYD QFGHGFEGAGGSGGFHGGGGGFPGGFDPNDIFSQFFGGGRGGGGRGGNDPFRNAPGDD IQVPLTLSFMEAVKGTTKFVNVDKVVNCSTCKGSGMKAGKQKSTCQSCHGSGVQTIMM GGFHMQTTCQTCGGVGTSIPHGAGCSPCDGVGKVRDRKTVQVNVPPGVDQNSRIRVSG EGDAPIKGQGSNGDLFVTLNVIPSKIFHRKDYDLFVEAKLPFYKAMLGGQIRIPTVDG DVDLKIPSGSQPGDNVSLRGRGVQRLRSNIRGDQIVTLKVELPRSLKGKQRELIEQYA SLVDEDYRPKESVTPTSPDTPPPSPSPSSSSSSFENDNDDDDIKESTKETEKHKGFLK NAFGKLKDKIYHEDKDKKDKDNNTSS PHYBLDRAFT_143082 MDNILPLCEAYPPAPNWYTSYAATTVEPHFYIYAARNSIVVLDM RTMQFRRTFLASLDKIQAVAAYEQFCFTGGVDPTIRAWNILDGNYITKYNSHNVEVTA LLCIRNGTILISGDKSGCIVAQEAFGSIVVKKKQVKAEVRALAHTSFHDEDYIAVGYS NGMIRIEKLDAQLKLTTVYEMVDQINDVHSLAWQSLPSQTTESNPQWPLLASSFRHQK HVDLWNVPTESHCSKINLPSPGGQWSRNQKDTSWTELSWSPNDKDLLYMTSQSGAIIC FNTKEPRKPVEVPKGGFGDKHTRVVFSMLWAYGKLSLNHFQIIKWNVKTHESVNCIKG HNGYPFSISISPLTPYQAVIGLGDSNIKIWTFSGEGKSMKKRKKHDFYESKIIWKGLQ GQIEHVLWHPKRPDLLAYSTQYGRVGVYDANANKNTVFKTYHKQEYGPNISWGSLSSG TGSEYVLVSCGAEHIIAYDPMEPEKQPVFLAPLLENANPAWTQSINAKANAKRSCMAI DSTGKLIAFGYTDGVVDVYRLDTFKLVFVANCHRHCVLAIAWQSPTKFATGCRHGTVA IHTIPSTGLDNLPDIPLADSSASYVLSGHKKNITALAWSTHKDTPLLASVSVDMIACV WNEQSQKPIAWFREHRGRIFSVCWNILEPNEVFTCGDDRFIFKWKYTDHPFSPKLETE QSYYDKEISKLKTPKRNKTQEPTENGNSKNTASAANAAVIANSNATAFIATANTTAKT ESVEGEPKPKKRKTKGSSLAYLGGKLENINHSTLQRYCLTLASKIYGGDPAETVKNVK SQLPSDLLSDQVDSYAKWVPFDDNTENSKAFLLFGGKNDVRLLLEEEDKQAYIKDSEN PSTNDTRLWLGTTRGCAQSFGDIGNDFTDNSIADWIALALSPMNGKDAWINLMEKKAK KLAETDHHILAATCYIACSRICEAVEVCRKGSKFREAITIAKARMPQNENVLSTLFSE WGGALQKSDEEILAALCFLQSKRQGSVVNAIQLLARRNTDECLFWSACLAWLTKDSTV EECIKKWVSLVKEKDEQKDKVASKKKAEEADVPKIPENIKDSTSTACSSEEPINIENV VDIVIAESHVHMTAAGAEVDEEAATKTSEKPS PHYBLDRAFT_132158 MAFTLKPTLEEVQAIIASGQGNTIPIYAELEADFLTPVSAYLKV ADKCEYSFLLESVEGGENIGRYSFIGADPYKLIKVGPNEAVKGDPLLAIEKELEPIRY VKVPGLPGFTGGAVGYIGFECFEYFEPSVKGELKDPLGIPDAVFMMCDTLVVFDRVRH IVNVVSHYRSDATDPELVRREYIKASEEIECTLTLLNQEHIPPVPQGPVVLGNTATSN VGKEGYMDFVSTLKHHIKEGDIFQTVPSQRLARPTSLHPFNVYRHLRSLNPSPYMFYV TLKDFTLVGASPEMLTKVQDRVAYTHPIAGTRKRGKSGEEDKALADDLLKDPKERAEH VMLVDLGRNDINRVCQPASVKVDKLMEIEYYSHVMHIVSNVSGVLREDKTPFDAFRAI FPAGTVSGAPKIKAVELIRKLEKEKRGIYAGSVGHFDYSGDLDTCIAIRTMVFKNDVA YLQAGGGIVCDSVEEDEYQETMNKMGSNLATIEQCEQKIYKIQQASKK PHYBLDRAFT_180518 MGATDSKLAFRKGVFRLFEERSIPVDADDYWTLFWTLPESTDDV FSLVSQSDIRRARDTAKENLETLIDKIICQMDEIIHAPVFPSTQHSTLRLLNCCRVLT RIMPYVFESPECGEWETSFFWTPRSVKRLVPNTSDDQDKQEDKVRQDIEPPRGEVMIT LAIRCLFLAGFSLPPSMATLESHVNYVIWETGVGSSTPIGSFRDNDTNRNEALRLLTV LLSKSMYISPGQITTKEDPWLRFIVTKMERKVVLALLCSLLNTSCKYNPLGWGVPYNH VMFTDTREQLVSMCLRTLLVILDYRSPRSVQSLRLEQQFGSSSKQTESGVKQSPIEEK EENNEREDNLDTSDNLFRHYLSKLHRAQDFQFLIDGIYRILSYPMQRSIQATSSYFPS PNKHIKSQVGFVRMSAFILQTLSSDPIFGSKLDKPFENPSSLPVSIRIPYFNGTYADF LLISIFTLIATSRGSLSTLYPTLVFTITNISPYVKNLSTASSSKLVALFGSISAPGFL LADESNHRLVGYLLKAFNNIIQYRFSDNPSFIYAIVRNHKKFERLRDMTMENALEDIE NSRLLKEGAQRSQHIPASPSHSIPPLSPSKEVSGSPFTESGGDVSESEISATTRVSGE LGLDQVSDKGRSSSVVSTASLMPGAKHGFTPTEEWVQKWHDQLPLKTILATLDYLVPQ IEAMCTTQSLTSDQQILDFLRHQSLSGILPQPQPLSMHRFQWNESLVIWFRSMLWGQT YVSSIANHGPWNGTSVKLFQIKQQETPQNEPPPSPTTQ PHYBLDRAFT_143085 MDSVTNANNITLAEKLSTIAKRRPRTTPEQSRILNTHFARNPVP SKNEIKLIAREVKIKPRSTHFWYQNKRASVKREGRKSKEKTQEEAMPKTKKTRRDPNH LPQVSGGYQQNRLDEFNVADQRSSEDKEPKKRVTFYSPAVAQYQQRAFKLPEMTPTTP VSVQQCAQYSAQPRLPPLASLLAHPSHLPLPNHSPNSVMGVPDFNNQLPRVNIQQQHV DPYASTNIPFFFYHVEFGFEASVSQLHQQRNQ PHYBLDRAFT_158216 MLQSKSPLLEHFSGMYTYGQPKIGDAKFSRIFSTQLTNKIFHHA YNNDIVTRTPSWFHYDTPPGTLVFIDSAYNITLYPPNPYTNEPVPVRPISFVHLSGIL NHYVIKRLSGETWIRIIFRFAFPFCLNDHFPSEYCEGLRHGTVNWVIMAAGGIQGGYR DDKRTVNRPRKSFSAVNVQNSASNINMSPREPAL PHYBLDRAFT_143087 MSDSDDSEEYDEEVSYVIMDVGSDCKADVIASMAKANGGARITD LEADTVYFQAGPYFFVGALDETVGLFPLLNSMKYNNEENQKLAHIKTTFKCKTTRVLM MDRIEIANQETQNGEKSIEHMDIDQQNEGPQFDGLGNALLTEDN PHYBLDRAFT_35771 MGSCLSINNNDSTEERKRQREINKELENERIKQNTQTKILLLGD GESGKSTILKQMKIINQNGYSKQELFGWRVIVFRNIIESAQALVQATREFGYLFTDNK TLEASLHRYAFPGIAQPSLDRAFVRSAARLWNNPVILEMIEERGTEFYLMDSAPYFFE ALDRIGQPGYIPTEQDVLRARHRSTGITEICFKMESLTIRMLDVGGQRSERRKWIHCF EAVTSVIFCVAMSEYDQVLLEETKQNRMLESLALFESIINSRWFLTSSIVLFLNKQDL FESKIQKIPLSICFPDYEGGNDPKKAAKYILWRFLQTNRARLTIYPHLTQATDTTNIR FVFAAVKETIVQNALRESGMV PHYBLDRAFT_35772 MPSAAANGLTRTIYNTIFKKNSVFVTSIFVGAIGFEMAFDTVSD KVWDNVNKGKQWKDIKDKYEQ PHYBLDRAFT_123400 MLARRFLSTSRTLFHENPLGLHRPAVKQPPTIPRAQRGLPKKMP IAGVKHVIAVSSGKGGVGKSTTAVNIALAAAGMKQKVGILDADIFGPSIPSLMNLSGE PNLTEKGDRLIPLQNYGVKCMSMGFLVDKEAPIVWRGLMVMKALQQLLHEVDWGRLDL LVIDMPPGTGDVQLTISQQVVVDGAVVISTPQDIALIDAVKGVNMFKKVNVPILGMVQ NMSLFICPNCNHESHIFGHDGAARMAEKMEIPFLGEVPLHADICKLSDSGTPIVISQP DGQFAAHYKEIASRLLDQLSSNDPKLK PHYBLDRAFT_186133 MPSYITDANLNSYELAFCGGLAGVVSRFFIAPLDVIKIRMQLQT HRTEFGLQGSKILESNVKYTSMTQTMKKIAKEEGIRGLYKGNVPAEYLYLSYSAVEFW AYKELEMALETMDHKKQIPHVAKTFLCGMAAGSVATMATYPFDLLRTRFAMEDSRKHI SLVSAIKKIYNTEGKRGFYRGVGPTLVQIMPYMGLVFSSYDALAAGFKKMREQGLIDP DYKPLQDMLSGALSSVIGKTAVYPMDVVRKRMQAQTFPSVGVSWWTCLQQIVQKEGYS SLYKGLTPSLVKVAPAVSLTFLVFEESKKGMLWLKDHE PHYBLDRAFT_158219 MTLQTQPQMLLGAFILVLDLFSLYGTVRSSSSISTKLFWFMAIT LFPISGLFFCLPLLMVGLIFVNGSFANTASCSATPLSVFFSSCS PHYBLDRAFT_186134 MVTPASMEQLSFGDSEATHCTSWAMLSTAEPQGKNNIKEHYNCI RPTNDCFDFEYFDTMPMNYLDTEPDNDSQVFRFPKLNSSQSTPTRPSSAMSGRSDTSL SEQDAEGQSRFLNEIGKAREQLMRFRNEMDGLAKQMDGIEIDLRDSKNRVLEIEEDLT TTQEVNVNLQVILERAVSRQKETDVSATRTMKHIHADLASVVQQNSQLQGRLATIASY QREYQGNATDVVERMREYAEMLEQAQGTIQMLQFPRIRSGMPEDMIIELAVQNTLGTR RLSETSDTSTLNNELESDRMIKDNHSHHHPLNLGLDLDLDLDHSLNHNHNVDLNLNLN LNHNLNHNLNLNLNHSRQTVVSPDTTELYRHRIIRKRTSLPGRSLGGPSLSLALPPQP IPLSKPMSLPSRLLPQQGLKLLLNKSQGGLGGLGGLT PHYBLDRAFT_166082 MGVPITYYCVSEPEPVQTLAEMLARVAVKDLFPSDEQLETFIKK KYIGIPIENQKKIVSIILENLKADKELTDEQSKEIIALAEKPSELAALGNIILRSSKF GAPIGLALYKCSMTQGDDRGAFSYANMLYRGYNGTPKDEMKAIEIMSKLAQKGHPYAQ MNLAAILMRTQPDKVESAIKLYSLAAKGGLDSAYMELGRMYRLGYGVHQDHNTAIDYF KKGAQAGNPQCNFMLGVYYSSGLGVESKEPDQAKAFKCFQKAALKGLPEAQYNVGLRF LKGHGVESNPFNAAEFFRMAAMQGFQLAQINLAGMYIEGCGVKKDLDEARALLEKAIV KGGAIGKDAQKRLDELDNVKKDSSKCTVM PHYBLDRAFT_110529 IVETTNAPAAIGPYAQAIKANNMVYTSGQIGFIPATGEIVEGGV VAQTEQTLKNLSAVLEAAGSSLEKVVKTTVFMRDMNDFVAMNEVYAKYFAKHQPARSA VQVARLPKDAAVEIEAVAMLE PHYBLDRAFT_59642 MSKLWARPSRVHLIQLIHGFNSLISIVWAGILIGAATKINLFTT TAAALSGLGNYSGFAYPATFVYMLVPAVCSVVLSLILAFDPSPGYTFWKPSKTLTVTI GCFGIASLMSALLPLVPGADVITTPDSALDCTWTNYMTWKVIFGNIGAFPWVSAMDTA CSCFHAADIFAWIIGFVWLGLTGLYCYRIRTAINYVSNSGKTEAPFDSKSTAWPMATL TSPTNDSTKYEVPDKY PHYBLDRAFT_95552 YTIWVGGLNYAATADDLRSHFAECGSIVDVRLRMDNDTGKSRGF AHIDFNDKAAHQAALNMNESEHMGRSLRVD PHYBLDRAFT_74346 MPPNAEPRNERLDNLKYITAQELQDRIKQGDALFIYDAKVYKVN RFMANHPGGDLAIRHSLGRDVTDEIRSLHPPAVYERMIHHFYAGEYVPDLEVSKKAVK ETMTTSWEGGISVRAFDDEIQQLHDHHAEDLSKDQISQKNLDMLTIRKHFRHLEQEIK DRGLFECNYWKYGKEVCRYLLFLYISLWFTLTGSETWHYMVGACFMAFFWHQLVFTAH DAGHNEITGRLEIDHLIGVLIANFIGGLSLGWWKDSHNVHHIVTNHPEHDPDIQHMPF MAITTKLFNNLYSTYYGRVMKFDAPSRFFIKYQHYLYYLILSFGRFNLHVLSFTYLFS SKNVRNRKLELTGIAFFFVWYGALLSTLPSWGVVFAYIMVSYMLTFPLHVQITLSHFG MSTYDGGPNESFAAKMLRTTMDVDCPEWLDWFHGGLQYQAVHHLFPRIPRHNLRLCVP LVKEFCKETGLHYYMYNFSTGNGVILGALKSVADQVVLMNDVAKYNAGVWNSEKSVN PHYBLDRAFT_59646 MSKSNKRIKTTPSRRELSDFERGGIVWLSKADHTPTDIANRMNI PRTIVFDTIKRWETTGTAKTNTRPGRPKKLSVTNVTSLCLSVRRNPFESYGYHQANLG AAGVIVCRQTVIQYLRAKGFGSYTPVSKQKARASFSIEDWSRVVWSDESRFMVKGNDS DPSAGGIGPLSFIEGSVDQDAYVNCLSKDFNPWYKQLNEEHDTMFTFQEDGASCHTGS YTTWWKNRWEIKRFDYWPSQSPDLNPIEHVWIQNKKMYVWCHTLLRTTKVLS PHYBLDRAFT_59647 MVTLSTILTGHTMDNKLTFGAQDQIYEKFSDSSTEKKGYQCTTC HKHFTRPSALRTHMYTHTGEKPFACSHLRCGRRFAVISNLRRHLKVHKKPVSPHRLSS EERIRRVQSLIKRTSGNESSDSCHNMVEARRIFSIAPRPLQPCPPRDISSPSERAYIP RKVSTSSEGSVLDDSMSSWSSLPTCAGLETYTHGSINQSAALYPTETQVYYEYKQPDD INYNLMQWVTPSPVNTQLVNTYTDLGRMDRLSIADPISLPFSHQTVTHPNNHLSYFSD CSASIDNLNIYQNSLLQYINEFDFPPL PHYBLDRAFT_166088 MRHYTFFSSRAYKASLIIGPISPSTTSRKFRQCNQLPNIERILE ELNELSAFGTTAINVVDKYICVFNKKCFFLGSYLPRLLARLSSSWVFFIPRKYITILN ANKKSELSLNFSRHIECQKQK PHYBLDRAFT_166089 MQEIVKLEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRARTRDGKP AATQKTKNNKKQNKSKKEPLDLIDATKNKIKQIKKEPLDPVDATKSKTKKIKQEPLDP VDATKEIGFKRPATAQEDYQYAYRTSVGKRVKFQPGFPVSHEIVDDVKGGFNLTADGW CGFRVLAHLIYKDQEKFPLVKRDMLATLPKYSSIYASTFGTDVKQLEDIIKHGSDLCI TNSNSNSNSNPNSNFIPACLDASMWFSTPDCAQLAADTYKRPVCVYSDNPNTPSVSSL PFTLPKNISKHQQPLIFNHVNNNHWTTVHLSRNVSRKCPTIPELFFLGCVRNQIPDNF DTYWNKFKEFNKYDRRNAMFSFLSDQEEHVDFTIT PHYBLDRAFT_88121 KLLYITLYAVLGSAIPYLPLFYDESLRLSSNQIGVVLAIAPFVQ SVACPVWTILADKKPSWHGPLMAILIAIGVTKTDESSTGLIFAAVLALIFAFFGQPVS ALVDSAVLKILGEHKVLYGSQRLWGSISNGANILLVGLLISTMGINVAFYVFGVSVVC FIIIALFTRFGGGNDSTLDSTLSQINEESPLLKDQPRTTNYAIPPEQVEQNHTPVQNS FFSALSQVPTDHSRPEQHPSNYAFGPNLSRHDSVGSHTNTIYLEDGDRNMDQMMRVTT SMAAQDVQTEANELLLHTDGMPSLGKSTLTSPRVWTFLVTTLLFGIAYAMIAQFLFLY LRNNLGMESALIGWTGPIGGVAEVSTFYISKQLFDNFSVTSLISFAHIVLILRNFVYT WLSPNQFSSTVIALSMQLVSGLAYAMIWSTSVSEVDTFFPADQRAMAQGILAALFSGL GYGLGCIIGGMVYDQYGVTALFEVSAAIAAFSLGVFLLGRWSHT PHYBLDRAFT_16163 MPGYQSFPVLNQQFIVDRKYQFIREMGQGAYGVVCAAKDTSNDE QVAIKKVCRIFEKNILAKRALREVKLLKHFNGHENITSIIDMDIVNLQDFNELYLVQE LMEADLHQIIRSGQPLTDAHFQYFVYQICRGLKYIHSANVLHRDLKPGNLLVNADCEL KICDFGLARGYSDNPESSAGFMTEYVATRWYRAPEIMLSFQNYTKAIDMWSVGCIFAE MLGGKPLFKGRDYVDQLNQILGILGTPDEETLRRVGSDRAQVYIRSLPRMPRIPFQNL YPRANALAIDLLNKLLEFDPSRRITVEEALAHPYLSAYHDEDDEPSHNHTFDFSFEVV DSIVDMRRMIAQEVMSFKASHQTTLQPPVNTGAGLRRKERLVDQLIG PHYBLDRAFT_177191 MDELNVCTQGENYSAQQGSFEGPEKLLEIWFSPASESLRDHQNL KKASSSSSLSSSSSSSSSSSSSPSPSSSTQDLSESHPPSPKDSLTGLRTVSRAVWDDM LAIVKCTVLNVITSTDVDAYLLSESSMFVYPHKIIIKTCGTTTLLLALDRLLEIAHET CGFEKVWRVFYSRKAFMFPERQSGPHRSWDNEVDFLDRYFDNGSAYKIGKIESDSWFL YITKPADDVLHHPQPRTHPHAHLQAAAHEQDYMSGASTPCASDSEDLSPFGNQGHPSY PDQTVEILMTRLGKEKMKKFYHDSEKTEPGTVGGRWVDAETGIGALYPDALLDSFLFE PCGYSSNGLAGTRYFTIHVTPEDNCSYASFETNIPVENSHSERGKQAGVTPIEALVSQ VVKVFDPQSFTVTFFTSHHKEHHSHSHMVRSMVHVKGYKRTDRTLYEFDGYDLVYGHY TKQEFDLL PHYBLDRAFT_59653 MRPGIAQTCSKMGKYFLIVYALASVIYTAHYFYTTYDRTSTSEK PLSAFAHVEPQNRHVQQEHLRGFSSNVNWASGQDVVQSVSEDFILSKVFSDAMGPSNV MSYYYKASSSFEQEDITMSTLVTRNRFMVLSRLASHYKGPISAAIHVNDDETKELVLQ ELEEMVATNPDVRKFVDIHLILDNFDRQFNMWRNVAKLFARTDYVMMLDVDFHLCTDF RQRIRTNPEIMDKLRSGRAAFVVPAFEFTVQDDGLNSTTFPASKEALIKEVDSGKLDM FHKSWLRGHGSTNYARWYPSQEIYQVDTYDFSYEPYIIYKKNGTPWCDERFIGYGANK AACLYEIYLSGVDYWVLPEDFLIHQTHKYLEEARRKERQYNRKLYSNFREEICLRYSR MFYSSGEWDTDRASNLKGECEKIRGFRSTMHASTL PHYBLDRAFT_59654 METLGLKNHNPSPNPSSNPNPDPNPNPDPNLLLMESSNSIPQTR TIKTLATTSHSLPSLILSRSSQENLIKYHQNLLKSAKTYQEWFETANALDCTQGLEEW QNLHQSSDYDWELIQTRLSQLQATRKSNPSISQTIFQLQTNMTRNLGDMSSPKLYSKF LTGTKSLINSYLDEVVMQLNWICDHPIDSDQESELTFEAKHTFFMNTRQVFGRTAIML SGGGLCHLGVLHCLFKEKLLPRIISGTSAGSVVAAVVCTRTDEDLAISFDHISDYNFE MFEEIEHPDTPLRRLQRLLSKGGLFDPEVLKKSIIDNVGNVTFQEAFNRSHRILNIAV STNTLHDMPQLLNYLTAPDVLVWSAVQVHILSSCAVPFIYAPAQLMARDKNGNTVPWD PSNAKGYMDGTVDNDLPMEKLSELFHINHFIVCQVNPHVWPFMSNSHTTQASQMKEIG VMPLLFHKLNAVFDQKYTGDITIVPELGKMDFIRVLSNPTTWDLESFIMCGERATWPK MEIIKNSLRVELAIDAILYRLRLSQFSGIESHQLSLPLLDHHEPCTSDIEGVVSDDCR AQKPTRARPGLAKHHSKSAHIIRQYSESASFEQESGGSQTNPKEQRRKDRSKDRKLKR KLYMTVNNT PHYBLDRAFT_143107 MPAPPNLDHESDSMTLGYRTSRFPTVPSNHRHKTDYNTERGLAT IDIISREPENGRPCQLSWTTLFVQPSQLHRLRPLKDVNAAIHLIRASYQR PHYBLDRAFT_186141 MFTEVALSASSTDSTIYVWDIRSGSTLFSFKQSMSPKAGLARVP RPGATLQTGAILTAQTDRAVLNVYGWQRDQILHKMTTPEKIITVTASHQGAYVAGATA TGRVYLWHIATGHLLRVFEAHYRAITRLAFSDDDTTLLTASEDASVNVWVLANLLDTT QSDDQHDAMERPSPLYSWSDHTLPITDMHVGCGTMAGARVYTASQDHTVKLWDLATGK HLTTFLFPKPVSVVVVNPSESMLFAACEDKIYSVELYRRRQDKTYGTHTVESVGGMGK VESVGIKTVDPISSKIQASSEPSLGTVFSGHTGPITGLSLSFDDSLLVSSSEDGNCIV WDVASRQPLRKFESHKGHVTFVSCFLRPAELLTGASSQKITPMAWKPFKRAMVSQEEE RRNGSEQIVMDTHMDLKQHQQLVEAGPLYSSLPTPTESIQKAKIMLKEMKTEDSSTSL QSQVTALQSELIRLNEHHGKVKALHDELYNTMVDEFVSKRRKHTA PHYBLDRAFT_109828 MTSRSRNKIKESKTTSSNDKATPLKSDHNREKPRPRRVIGNFTL INTLGSGSMGKVRLAVHNITGDKLAVKIVSRVIPSERQSSSESSKKKRENREIRTIRE ASIMLLLHHPHIVSLKEMVVLDPYYYLFMEYVNGGQLLDYIISHRKLKEKQARSFARQ IASALDYCHRNSIVHRDLKIENILISQSGQIKIIDFGLSNLFSPRSHLSTFCGSLYFA APELLNARSYTGPEVDVWSFGVVLYVLVCGKVPFDDQNMPILHEKIKRGVVDYPSHLS SECKNVLTRMLVTNPAHRATMSEIIVHPWMNKGYDGPVDNHLPERVPLTLPVDPEVIR GMTGFEFGTETEIKEQLEAIITSEEYQKAAKILAERCAEANRIHYHSRFAHPTLGSRK SFSLSNNDPQSVPSAYHPLVSIYYLVKERIEREKRVAEAPDEKSSVGSTLSSDDVPLG SRLPDISVPESSYIAKNSLEPSIFVDPHENPLELRDTHHGGASTGVAYNHRGKANIRV DDEGHIVETDRPNLLNHSLTRFFSRSSTRNSEHTARTNEDDDESKRSSAVRDASVFRR LSQVLSRVPQHETTPKPREDARRKSSRQRNQSADYSTDSAPIPIPSKSDNRWGSADEN IRPVFIKGLFSVTTTSTKHPSVIRADLIRVLERIGVKWREGRGRFECVHIPSIDMKRV VKPEDEEDDLDPNNNNSYDSEDLSSASSSSSPSEGASSASVPDLVVRFEIYIVKVPWL LGMHGLQFRRVSGDPWQYKNMCSRILAELKL PHYBLDRAFT_166098 MINTSIDQNSLNIIRQSYPLTLYKTSKARKIVSLNGFISNIYTC VCFNTYLCAVFLAQYLAVISNIVILTAFEPTINFKAHTHIAENLGDIKETLRLRNDIV SLNALL PHYBLDRAFT_143110 MTNAAITTQAPVKEVLDLKKLAAADNYYLVTPTTTYPELEPFKH VDVGHRADPKKASLYDNAEKIFDLTPNVGTEIHGIQLSKLTNQQKDDLALLIAERGVV FFKKQDINIVQGEDLGRHYGPLHIHNIGGHPPNHPYVLPIYSVDVEKSAYYPKIVRAS EGWHTDVSYELQPPGFTFLKIDTLPSTGGDTLWSSSYAAYDKLSPALQKFFEGLEVVH SGKEQAEGAVNAGIPQRRQNVLHTHPLVRTHPVTGLKALYIQPGFARSIVGLSKRESD TILNFLYEHLAGGYDFQVRFKWEEDTVAVWDNRVTSHCAIFDYLGSGKRHGWRITTQA ERPYFDPNSKSRAEVLLAQARSKK PHYBLDRAFT_75979 MITVVDVPLIHYIKDFCCSFSLTSTPFRDQELYPQVALYQDIED AVYNDDFLYNKLEDLLESFDDLSEYIESGGETRTFPPQEFELMSFASYKSDPRHKDLT FPPLDVNQHPKAFLIVYIPNQGAHDLSLEINQLYKSLLPKPEDITKRQNIVQRIENII GGAWNQNYKVKVYGSSANGFGFRDSDMDLAIVAPEYDYPWINKRKESRKQHSIMNMHR LAFILRNSGMINVMPVPNANVPICKFDVLPHRIHCDISVNNLMSSTNTRLLKAYSELD PRVRPFIYAIKEFVKRRNINDASNGSLSSYSYTLMGLWYLMTCDPPVIPNLQNPKDFF EGQCNTEDCNSHTKNMVETEYKHSYIHCNISFHDCFKRTSLKRNGFKEIRYTRNGTFW ATRNVAKLDQLLIDFFYYYGTRHNFNRAISIRLNRSMFKRNQWDNQPIAIEDPFITRR NVGTSCHKKELALIKRLFSATAHTLATKRFSFASVCSMLYSDLVKAGSLKMAKMTTLG RCQADRLPIEKAVIISDVPYYYERDMVTDMVMSELSVYGEIESIKRWKLYRKEGSKNY IVNISNFDPFVLLPVTIPSMDQENVSFDVTEYYEIFPNYYN PHYBLDRAFT_59661 MLRHSVSWVRFVQPKSCYTRPTGVCNIRVSSYSTASDYTVTDKF VKVNGRTFERDEWTNVTPNVLSKMNRKLHLQPTNPISIIRQLIESHFNNYKPFHSFSP IVSTQQNFDDLLIPKDHPSRTKSDNYYFDKNTLLRAHTSAHQLQGLSSGEKKFLISGD VYRRDEIDASHYPIFHQIEGFAYFDNTDPVSMRAQIEAGMNNDTRANNIDLLDDTVIK PSNPIQGCHSPENVQLVAADLKHSINSMIYSLFADEPDLKVRWIDAYFPFTSPSWEVE IFYKGAWLEVLGCGVVQQPLMNKSGLDNKIGWAFGMGLERLAMVLFDIPDIRLFWSED KRFTEQFTPGKIQKFKPFSKYPPCIKDISFWVPKGEWHENNFCEVVRGAAGDIVENVQ LIDEFTHPKTDKRSLCYRILYRSMDRNVTNEEINAIQEKVRDVVQDEFKVELR PHYBLDRAFT_143113 MLPSYTGSMSIDSNDASDSLSIGDAGSSQDIGVQLASLTGLSTI TVGEILEKYQNNVDLLKHILIAKTQEDKRRTAEEIRLAEEARLQNKYLDFELNHHRRS RSEPSFSQDLHHEPSADPLMLPNTSDIDTSWLSSLQAGSDISAMSPFATGSSLHDFNS PPSPSQSIILPSPYMSFDVPFSNLSLSVASSPEPIELAQSLTMSPKASESQASSPGSS SLSKTRYKRRSFTETLRPEGRNKLSGKSIRKSRKSITPPLEEEEENKAKDKQDNEEVS PQSLDHNTVMEALRAKLRRSSQNQKSSKERTPPASSTSVLFLDLHSRRKTFPGRRNQS PHYBLDRAFT_158225 MEKIRSLASSNPGQYTTMSISTEFKISPEAVKRILKSRFTPSDE EAKRQENNRYKAMGERRKQLKDIYGEPDPRERQERIAKSRQTQENETFGGYDINGRPV QAKRFTNSNYSRESSLGQLKKTDWSRDRLPRQDNEDRGGRGGGERYGQMSRERSGSAF EGRRDFGDRKPARGGSYENSSRSAMNWKNEKFGQTNNDREGRSREGYNTRPSSFGDRS GKTSWGNDRFGQRSEEGNGQFSAKPRFDKDRPRYESKPRFEDKPGYEDKPRFEGKSRF NEDRPRYEDKPRFEGKPRFNEAKPHFKKGGGYKENPRFARKRGSKSDE PHYBLDRAFT_110191 MAKESTKVSKRNAKVADEGKKRRTKKDPSAPKRGLSAYMFFSQD QRQQVKEENPEATFGSIGKLLGEKWKSMTDDDKKPYIAKAEADKKRYESEKAAAADKQ SDEE PHYBLDRAFT_16235 MANPEPKSYLAVADGEKQLNMAKVYAGHYKGVPCVTVIKCGTEE EAAGPKPGNRGKRDSQLILMSYFQRVLFNDRLSELDYEMFWKMTWLMKGVTPDKFEVV LMVDADTKVLPDALTYMVAAMANDITIMGLCGETRIANKRTSWVTAIQVFEYYISHHY AKAFESLFGIVTCLPGCFSMYRIKSPKNGSWVPILANPDIVMEYNQNIVTTLHEKNLL LLGEDRFLSTLMLRTFPKRQMMFVPQARCKTVVPDEFSVLLSQRRRWINSTVHNLMEL VLVSDLCGIACLSMQFSVFIDLIGTLVLPAAICMSIYLIIDSAISVNPQWQSLGLLLA VLFLPAVLIAVSTLKFIYVCWMIVYICALPIWNFVLPVYSFWHFDDFSWGATRVVVGE KKGASHGDAEGTFDSSRLTMRKWEDWEAERTGQNFSKGIKVLQTPGGTYSYEGARQSP SPSPFTTIFNDRKMFTSPAQTNNSAISQTSTLL PHYBLDRAFT_59666 MTSLASKTPLSTHLTKHQDFISSQTVGHLIEDVKPESQRQLVDL PLTSTMEEAFDLFLAEDILSAPVYTIENSQKRYLAIVSVLDLLKLLGSHISLETLKDN QVILQRPLKDAIGLTEESAKLVTVKHTDPLAYLIRLFSTHGVHRVLVQGQGSPVLLSQ MDVLRYLQNNNHRLGSILDISTATLVKLSLELRQISENNVISTDCRVTALKAFLEIAN NPHISALAVVENDKTLVAEISPQDLRGLNKDRFDALAKPVLMYIKASRGELYPPFTCH DRFTLSHIMAAVVLRKAPRLWWCDDEGHLKGLITLTDILGVFLKDV PHYBLDRAFT_59667 MLGLQYGFEYCLYPLTFSLSNFFKINPNQTAIIKRRKRNGPQKV LQIHIKNLIVEKRFIQESMTRAETARTFGVLWALTNNISDKFDIDGTVEPRKRGRSRE ENQHITDEHSKFISDVLKECCTLTLGQMRKELSRNFLVLQEFTLKRTKPVEKKGNDPK TIELRKAYIDSMHTNCVSYKMNRFFVDKADFNASLIRVQGWSKKGEASIVKTKIKRGL NIWILAGISYQVVKSL PHYBLDRAFT_15959 MTETPSLHLALLVCDTPHPRVHEKYGDYKIMFPHVFSLAGGDEQ KLTWEPFDVREMSYPSLDDLSNGKYDGIVMTGSAASAYEDEPWILKLVEFVGLMRTEP YLSKVRMVGICFGHQIMARACGGVCERNEKGWEFGLYAVKLTSEGKEVFHTDKEVINI SQVHRDHVSKLPSGFKTLATTAPHTPIHSMLSDDKQCISIQGHPEFNRDTVRILIEAR IESGVLTKEVGEKFLENLSNAGSEVEDIWLTKQFIQFLQGKLV PHYBLDRAFT_154899 MTGLGLRSMSPGTPGSLPSSEDTNRENEEQELYPIAVLVDELKN EDVQLRLNAIRNLGTIAMALGPQRTRDELIPFLNDSIDDEDEVLLAVADELSKFSEYV GGPEYAHFMLGPLENLSAVEEVLVRDKAVESLCKIVALLNSSQIEHYFMPLLKRLTSG EWFTSRISATGLYAAGYSKCTPEQRSELKVLFAQLIQDDTPMVRRAAAKSLKDLIKEL SKDVIISNILPLFIKLSSDDQDTVRLLTVEDLVQMARILSPEESKQHLLPILKQLGQD KSWRVRYMVATHFTEICEVLGETITREELVTLFVSLIKDSEGEVKMTTIGQAPAFAKK VDETTVVNKILPCIKDLVIDTNQHVRAVVAINISGLAPIVGKDLTIEYLLPLFLQLLK DDFPEVRLNIISKLEHVNQVIGVERLSQSLLPAIVELAEDKQWRVRLAIIEYIPLLAK QLGVGFFDEKLLSLCMSWLCDTVFSIREAATTNLKQLVDIFGCEWATETVLPQVMDMA TNTNFLFRMTSLFALGTMATSLSPEIIQDKVLPTVLELKTDPIPNIRFNVAKSLEVLT PILRQSPATADLVNTHVAPALQDLSNDNDVDVRWFAERAILTATKLTVY PHYBLDRAFT_74350 MDNLKRRNIPQVAIPVEARNIDDYVPLDSQDVDSQSYSEKDKTS KPPPRIVYSSNKYIAFAQEHYKALTLAGLTLLSFWTRFRLIGWSKVVVWDEAHFGKFG SHYLEHDFYFDVHPPLGKMLVGLSGWLAGYDGSFSFDSGQEYPENVNYTVMRLFNAVW GALMVPLAYATAEEMGMSYKACILAGTMILLDTAYLCISRFILLDSMLLFFTCTSLYC LSVFHNLREQPFSESWWLWLSLTGVSLGCVSSVKWVGLFAVALVGVYTIQELWDMLGD VQMPKAKYVGHWIARIICLICLPVAIYLFTFALHFALLYKSGPGDAQMSSLFQANLAG NNFEQNPLEIAFGSKLTLKNYGYGGGLLHSHVQTFPEGSKQQQVTCYHYKDDNNHWIL KHPRGIDIDDEAPVEFVRNGDTIRLVHEPTERNLHSHPINAPITTNQWEVSCYGNETV GDNQDNWVVEIVDDMIHKDLDRIRSLTTRMRLRHAQLGCYLAANNVILPQWGFKQVEV VCDKRNRPEDPHTWWNVEEHWNDRLPAAPKNAYKSKFLQDFWHLNVAMWTSNNALVPD PDKEDILSSEPTEWPLVSVGLRMCGWGDDQIKYFLLGSPAVWWTSFASLIVFIGTFLF YIIRMQRKINDLSYDQWNQFTYVGQTLFLGWFFHYIPFFIMGRVTYLHHYFPALYFSV LMVPFLLDHFTAKLSQRNQWIVFGVFYLFVIVVFIYLAPIAFGMEGPIGDYEDLEWFD SWNMLEEDD PHYBLDRAFT_166110 MPRHTVTPEERAERRRRYMREYQRNRRQSLQVETSETETQQRNS MSQAEYMREYRRNRLRTAEMEVIATVEAENINTSRAEYMREYRRRRQQITEIEVVETV EAENISNSRAEYMREYRHRCRLTAEIEVVQAEVVRTQVIQAEVVGTEVVETEAAETEE ENHNSRAEYMREYRRSRQSRAIEENERVLISHNVQSLRAHINQITSDQVYLTSDIILC NETWTLPNNEEYDIPNFTMISRIDSHSTNARVSGSCCYIRSSLLPSTNNSNDDSLVYT TSSRMFIDEAGGSTSISLFILSSSLYCSIYVSPLCQLNTLTEALEFVVSHTYMHITIA GDFNVAFTKESIKKTTLLQFMNNRNMTTTLPNTIQSTTSQNTLIDNIFSTMPVLDSGR YISLTSYHSPLWAKFM PHYBLDRAFT_143124 MLTYFALSNDNPDSVAKHESEDFVYKPSPNVVSDTKERRYYSSS SQTYILPCDEEEQYRLNMQHILFEKLFDGHVLSSVKNNLQPGSTVVDFGCGTGAWVID MAKSYPDSTFIGVDIANVFPNDNLPPNVSFSIMDISKPLDFKDNSLDFVNSRLLLGGL QKEKWATMLTEWYRIVKPGGIVQLMEVDFMAADIVPGAERFIKGLHDLMEERGLDFFV AVKLPALLRCNGFNVVERNARKMEFYGTDLSKMVADNWDRLNLGFKGGLVKYICPEDP DSYEVLLEGGIKACIEQRWFKRAVGVVGRKPVV PHYBLDRAFT_110598 MQDILTLITKNVSFTPYDVAWIPASTRVCAIGTTERATGKIAIY TIQDNMLFLANETETDTAIRCGTLGAADAHTRQLATGDFEGQLQLWDTQRMEIPVASV KAHDTLINAIDGAGGVISPGGARELVTGGRDGFVKVWDTREPTKPVFTVRPHQETSPQ DVWCVAFGNIDNGTQRLVAVGYANGDIKLFDLTASKYIWETHVNEGVCSIEFSTTPWD QLTVSTLGGLYVLRLSTGKSTKLNTPVDVTFWSACHCPQVPDLLAVAGGDGELRLWKG GENSPAATTSVSKHPIVSLDWNRDKKGLFVCSSFDQTLRLGLVRGI PHYBLDRAFT_59675 MRYQDYSSEDEYKETHLSRDSKIPWSPQDSVSKRREEECVVENK PTRTSSFLARFGSIPIIKDSLNTTLGVVNKYSLGRMALIQAEKTVSTMCSRADWPLNS TWEHINEFGHSLLDDIEQAFPLVNAPTQDLVESFESLEIKERVLDTFDTIQTLLIPQQ QLDSLLGTVETTIDHYLPEKEPNHQASDEPRLVRIQHMVTTILPRLEQRVCEPGLTLF LPLLSVKNGLYNYASDIFKAPYMQVVLTTVQGESTALRQEIERSDVSLLEKGRNLVLL TQTRIMIPLLNQSADYLQERVELYRLAVQHRKREMWIELLNRMGHFEPTCTPVQ PHYBLDRAFT_123428 MPLSTTNFRAIDQGNCNPRFMRSTLREIPVSYDLWNDSGLPLGL IVQPLADLHQADVQVPLASTSAEGPVRCQRCKGYINPWCRFVDGGRKFMCNLCGFDNE VPSDYFCHLDMTGWRTDIEFRPELQLGSVEFDVPEEYWTRKPAPVSLVFAIDVSWSAV KSGMVESVCSAIQGILYEGSGLDKETKVAILTFDSSVCFYNIKASRAELEQAQMMVVS DVNDIFLPLSEGFLVDPVASKRVIEDLLRLLPSIHTNNRATVATMGSAVQGSLLALKE TGGKVHIFQSLLPTLGPGALKGREDQKLYGTDKEKQLFLPQNPFYTTIGKECVQSGVC VDLWLFPQNTIMDLSTLGVLPALTGGDTHFYPNFDSSQHGALVHSLERSLKREQGYNA ALRVRCSNGISIEDQYGNFDMQNATDIELAGIDADSSIGFSLKYDARLKDTEELYFQC ALLYTTTSGLRRVRVHTLCLPVTGSMHSIFKHADVEVSLSLISKKIISQATKRSLNEI NLDLDVQCVKILTAYRKYCAASSSPGQLVLPDSFKVLPIYTLCLKKSALLRRDPNVTS DVRVYTMRAIKSASIAETIKWIYPRMIKVHEMLVQDNVNVTPMERLSYSRLSSSGIYL IESHIYTFLWIGQHATPELLQNVFGVTQSDHIDSCMTQIPALDNTLSRQLCECLDNDY STSPEKARLYIIRQGLDSEQEFVKTMVEDETYGQMSYVDYLCLIHRQVLSELEKDKQE AIVSSASYWAYRY PHYBLDRAFT_177195 MSDTQRFYMRDIKEGLKTVDTNNTTSESSDEEDEEVCFICTEPI MTYAVSECDHRTCHLCALRLRSLYKTRNCAYCKTEQRTVIFTHDPEKPFSSYTPEDTP FVDKNYDILFEDETMYKDTMVLLDYNCPEPTCSQKFNDYPQLKQHVQSAHGRMLCDLC VEHKKIFPHEHVLYTSAQLGHHYRYGDESSQDAGFKGHPECQFCSIHFYGDDELFAHC RDKHEQCHICVKRGRRHEYYADYDGLEMHFKDEHYLCLQRSCLEKKFVVFDTALDLKA HDVEEHSGSRKVDIIQFDYHSNNNRHHNRNGQQGSHQSPRQQNRQHHNNHTNNTARNS DNSNSNNSNNNSRPNVQENQQQKNQEHVKKETKKPSSTTSSASSSTTTANVLPPGANF KTKQKDKALMNKPANFGSLTEQTAAASSASSSTADPATVKRHTEFLERIKTMLKSAEK VNQFRKLTTAYRSSSMDVDLYVSNLSDLCGRNPENLSHILLGVEDLMDSKEKKREIAH AWRKTSNFPTLPTASNRQDSASQFPMLPMASSRPQPQSKSPAPRVLVIKSKGKARAKQ PANSPKAAWENIAPAAAKEKSNRSPGISENRPPSIPHSEPKPMDTYRPARVTAAPLVS PENTSRPSKKVGRNGHASDAFPSLPVAAPKHPVLVQMRRNNSNPSTPWGSDRESENEA PVEKTSNKKGKKGKQVLFRVGL PHYBLDRAFT_96168 PWKIYCYLVTCFCPPFILRLLGKKDASSQLAFREKIGLVSIILC IMGFVGFLTFGFTQVVCPRPPMSYRIDSISQGYVVIHGWAYFLASWNDHPPIPDLGTD PMNPIYPPVNANGMDASFLFQMQNNNKFCANIITAKSNMVVGQDSSVPTYFPCRLFDP HSLEVPDSNTYSNHSGCHLSPNARSLFRDMRTYGVPSNNGKFDKAGRVYYDWKEVNTT THLSVYNSYVLNLHLLTALPQSLFNVPAGGLIETILGNTALYGGQDITHLVASQRTPG IDWKAEANCLLDIIKVGEIDTQSVGCIASDVVLYTSLIVILGVIIVKFVLAVVFGWFL SWKLGNFKEGRSYSERMKRELEIENWTSGIHRPAEAIRPHSPYGAGSLRPKNKWMPST SRFTKPETGSMHFNSTDRPGNASWKQVSSQSGSLHRQSPTLPSSRSSLVSSNVTNSTC TMACPFPISSHAIPQPKRDFMPFGFVLAHTMCLVTCYSEGVDGLRTTLDSIATTDFPN SHKLIVVIADGIIKGHGNALSTPDICIGMMEDFVIAPEDVEPHAYVAIADGTKRYNQA KVYSGYYSYDDSTVNPEHQQRVPMITIVKCGGPSEAGENKPGNRGKRDSQVILMSFLQ KVMFDERMTMLEYEIFKMIWRATGVSPDKYEIVLMVDADTKVYPDALSRLVSCMVNDP EIMGLCGETKIGNKSDSWVSMIQVFEYYISHHQSKAFESIFGNVTCLPGCFCMYRIKA PKGNNGHWVPILANPDIIEHYSENVVDTLHKKNLLLLGEDRYLSTLMLRTFPRRKMLF VPQAVCKTVVPDSFRVLLSQRRRWINSTIHNLMELVFVRNLCGTFCFSMQFVVFMELV GTLALPAAISFTLYLIVLAIIGQPAVLSLILLALILGLPAVLIMMTSRKMVYVGWMMI YLVSLPIWNFVLPTYAYWHFDDFSWGETRKVEGEGKENHGDKQGEFDSSKIVMKKWHM YARE PHYBLDRAFT_143131 MTTHNIQYRKSQPVYSHPDLTPRINLLSTKTPRSDADRRQREPP SRQNARSSLSRATNLPLSQQQQQQQQQQQQQQQQKHEYQPRLNDINIIPTSPIKPSLP SSPTLGNIYQSIYNEQEPQKRDLFKVGSRLIYWESPIHSLIVLAGLLLLVCWSPQHLI LGSLCWIISLDLAIVQAARILHNIVFRLPYDTVPHPFKTLLRVSDQTSLSRPSSSTWA GMASTEMYRVILVQDKRKSLMWLAGTMSYLAISAWVSTRTLLILACIGLFSVPKVMLD LQNTS PHYBLDRAFT_166120 MTTLSASTTPETPHSAINSMPSQHIPQRKKKATRACIHCQKAHL TCDDSRPCQRCIKRDLASTCADGARKKAKYLQDAEVEVEVVVVVVVAVVEHNNTGS PHYBLDRAFT_143133 MHNFLTLSSLGEQKWLESSKNILLGFHMNWVLFRYRKATGQTIS VYPFEHGTGGLNVLKEKIAVNEIQFAMLLYERHLITLVYVPRHVSDTQKAQAAVHGHT LSQIPAAKGLLLTINQTNELSEPKLRLYIKRNSPSELMSPSSSISSVSLPRTPPLSPH LNATVKSHSFNNLQDFQKRPLSKRAVGNSHFSASLLNPKKEVGIMGRKDLKQVSSKVQ TKPLSNSRMAPKPKTHQIQQKEKTKPQPEPEPIEIEPTPEVEEPVKQEESEIEKVAFS GELTVLTEDSRLWRRRYFEITNKRFLLFPEWQGTTPCSVFDLDRITQCTTDFEERLMP YSFEVCADNQVLQVYADNNEFAVKIMAALIKTSRNNSGLA PHYBLDRAFT_166122 MEDEIEELKSYYFQYKNSISIEFLFLFTHYATITVINLKTQYRS ISGTLSDPRKFQLLLEFSCIQYLAYANRQQPERASKSVVASSHLLSNQNFLRDESGMV VAALDLFDDLEAQDVNERLGNIQHVLEGFVHLSTRCELVRCIARNDPLNFTEAIDHLL QSAHCLSTRYSIQLSEMIYTMVEEKPRYAATVRFKLVEMQLLPDLVTRLTVYCEDEHL VSDALVVYRAVSKQQTSFCNHQKPGVRQDSKIIKGDVQVNQVELAMAIRVVAGLVGFF GLQLSEAEVDMCFYLLRVTEMERLVKLLLSLILISSVAILKRQRDLVAVLTQLLQSGV SEMPMLLMVYFKTDQISQVEDMIRSILEMQVPIPKLGLFEMQKLCGHIYNTAFSAIAH A PHYBLDRAFT_186151 MAETEQVQVVDEWSTTKDNYPIFTKTWKPAGKPVAQILMIHGFG EHIARYDEMFTFYAENGIESFGFDQRGWGETAAKSKEYGNNNGYMTALGDINAKIHKL KRPGVPLFLMGHSMGGGLVLNLLVKRDIFDGVDLLQGVISSAPLVTLTTPVHPIKYIP LSMLSKVFPSFVIRAGLDPNGISQDKEQVKKYIEDPLIHDYATLDTLRGFLEAGESLL VEGNKIDVPILFSHGTADPVNDYASTKKVYELASSKDKEMKSWEGLYHELHNELVLER KNVIEYYLHWIKARIPTQ PHYBLDRAFT_143136 MLLTQAFWILLIATVHTAILAHASSSSLSNVIYNQQDAGMLRPL LDSDANYLHVNVSHQKNPSRIPRRAIDYMYDVPEQYQQKDTMILIYGGWEPTYHNKLI GVIFILVGLYLSALGFRYRRPTVCVFAWSTFMNVELPDSYIDSESDTLIVTSLFGFIG MGVFSIFHDKAKYIICAMGGFVFALWALSSTKSGVVSQSIVRVISISLCSIIAGVFTL RVERYTIMASTSFIGSFLFFVGVDLFIHTGYLAGIKSVLDWNPWHQGFYVITLATYII LAGTLAYACATFCWQHAINECREFDGTWSLQKPVEISESDDENKDDNTKSIVSSESLT EKTPEYASSTGNKIALITNGIRPSHKSNTPKSTATHTGIPRLTCATKAIKPAENNLGA GTLRNTNYQLLATSSTEDNKTIVVRPDNIHAYTSSSRRITAGGSTGETSSNTSVRSKG KEVDRTSTATWHFDEIPEDPPSPPHVRRQPSPRYVNQVGESSTSAASSLNNSSGYSDD NYSTSRIR PHYBLDRAFT_166125 MSLEVYKVKKGDLLDSNNAMIYHKFILEIYGDSQVTKLSSSSVS DKDYSEHFTWGSLNFQFKKHRKISRIVSLHYNSRKQDIFSRQFGLISCNVTVKDHVRL HITLENDTLTRYPITASILQQDTSKTRNKINCLCKAHNSKICQIMFLEEGKTSHTNQK YLKKQR PHYBLDRAFT_59688 MDSNSGPSHPSSPIAPFETPPNTTNESMDTSVDNSPNNEADQVA QNEENAKTAKENLEKNEDYRAVVKTLDVLRHQLKQALQDIESLHKLKKEALDDPFEFD SRRRIPQLQKIVCVPDIDWRQYKYLPDSRLVQQAAALNALSQHSIGLHKPSVFRNILE IPYHPPPPSHPTSSAVRSMQRELNKASQILTQMPSRANSVSDFSDKESDDESMVQPSR YGKGMSKRRASVHQPKVEEPTAPLYRNIMAEDYNDKCQPSDYPTYSRANTPESTRQTL RYIEPRMSEELSLDSDDRSKLPTHNQPWSDEEQQRLEQLLEIYPDEPVQAQRFNKISS ALGTRTARQVASRVQKYFIKLAKLGLPVPGRITIPPSCLPKPSRGSINGRGRGIGRIM KPKRQNSSNKPRSVARQPVRTSGSGYNSMVSGGITTTRISGAHYLTAQAPPTVFMSDD DDDDTTVKNMMLKVTNQKIRNGDSSIKEENTNEAVHEGYACDNCGIEPIVGVLYKCTV CDVSEEIDLCGQCMSIGTFSNDHHTPDHPFEAIRTPNALPYYADNDYASPEYLGEYSY LGY PHYBLDRAFT_93096 YINASMITDPFDPSRHYIATQGPLRQTIADFWQMVLDQNTRIIV CLTREMDSIQEKCARYWPLQEEVLLAQSGKDPNETMLNGDGRVIIQVRNIEPEVTVKV RKVSHILYTAWPDHGVPTETGNIISLANFAESFQTPNAGPMVVHCSAGCGRTGAFCVI SSVINATRQGLFTESLKEATFDPVFQLVDSFRRQRTTMVQTFVQFVFCYRAAFD PHYBLDRAFT_166128 MTPCKSTFIKLKELQYLARIYGTSPLSLQNVVLFMITVGGGLCL TFLSVRFVKATLGVFGFITVYFLSLYSWLNIQPEILSSFHISALIIISAFLCFLGALF YVLCPTISKILSGATGGIALTAFICGLNSDHVIPQVYITGLHIARAIVSASLFILFAG ITIIYEKIGLILSSTITGSYLVVSGIDIAVRTGYLASPKFFLHPDPFTDKDYIITSDV AIMIVITIVIVLIVFLVSIYSILPPVTDANNKPNESTQYSSNNEAISNEETESKSYQE SSYQEYPDDISDYHKRQRPSHFFDEEGNESVYPYLSYKNLQTVPMSTVSWASEEIPDH KIRASKNTLSNSTTSSGHNSIEIFKSSSSPSSETRVNPRPERKLTTLPQTSSSDEESQ IIEVATIWDTISLSDPPPSPPKPQPKPYSLYQFEFEGERKKWGGYWF PHYBLDRAFT_89463 IHILMSWVRSLLWGCNATKPPKSSAFAHYNAMSKDQLVKRVLAL ESQMTQPLPDTHYPYKQKNKPGRPFDMNKYGQRRVAFKVAYLGWNYLGFASQNDPITT PTVEDELFKAFKAACLMHPTPEKAQFSRCGRTDRGVSGLGQVISLNVRSTMANGKEIP YLETINRLLPDDIRVLAWAPVSDTFNARFDCRSRTYKYFFPKHTLNIERMKETAYHFI GSHDFRNFCKLDPSKNITNYHRTILSLDIQPVLGLDTSGVGLNTEFYEVKLKGTAFLW HQVRCMMSILFLVGQGLESPEIVKKLMDVETIQARPDYPMASDLPLLLYDCEFENIDW PHYBLDRAFT_110373 MTARIARNVIKSVLSRPQMDGDGAKVYRSIGTPALRNLDPFLML DEFDVRAPGGFPDHPHRGFETVTYMLDGEFLHEDFKGHKGHLKGGDLQWMTAGRGILH AEIPANKNPSHGLQLWVNLAAKDKMIEPSYQELPSHDVAQVSPEEGVDIRVIAGESYG VHSPVLTRTPTMYIDVKLKKGKAIEQVIPDNFTGFIYTISGEAKFGGSNHISEAHHTL VLSNNGGTFVPVESLSDDCHFVIIGGKPIGEPIVQHGPFVMNTTKEIYQAFEDYQKGR NGFEGASKWLSSIAHKWSH PHYBLDRAFT_132201 MNQHSDQPRHPYYDEKVAERENRVKNLFDSLDTNNTGYLDADAI IRGFLKLTHLPTHTSYATDLLAKCNTSRDGLIDYEEFRAYVLEKERELWALFSEINKS NDHRLRAGDLKSALKAAGVCVTEDDIEQFIQAIDTEGNGYIDFQDWRDFLLLLPQDTT IIEIYKYYQTSTQLTPDAEVVFPHTDEAVGNAYKYLAAGGIAGAVSRTCTAPLDRLKV YLITQTSYQAQAKAPMSITAALKHIYSQGGLRAFFVGNGLNVVKIVPESAIKFYVFET AKSIMAELTNSEDKNGIPVSARFIAGGMAGLCSQFCIYPVETLKTRIMANHGGSPVAI PNPHMPCTSGKPQSYIFLTAKEMYKTKGIRAFWPGLTLGLLGVFPYQALDMGIYETLK VTYLQHMNKQAAEHRQTGEAPQPNVLVLWTCGMVSGSIGATSVYPLNMIRTRLQAQGT AGHPHRYNSAFDAVKKTFQADGIKGFYKGLGPTLFKVVPSVSISYAVYEFSKRSLGIS PHYBLDRAFT_132203 MLALAHERAVAIQAVLQASKVCQSVFQHLVANETLTKNDKSPVT VADFSAQAIVNTYLEKAFPNDAIIGEEDSQDLRGDTGKVLREKVHSLTNGVLSASQQL SEDQILKAIDRGNYAGGATGRHWALDPIDGTKGFLRGGQYAVCLALIIDGVVELGVMG TPNLPIDASKPDGERGSLFVAVRGQGAFQRPFSSEKEVPIHFADVSSTKDATFCESVE AGHSSHSDAAQIASELEITRPAVRMDSQAKYCSISRGDADIYLRLPVSDTYVEKIWDH ASGNVLVTEAGGKVTDIHGNLLDFSIGRTLAKNKGVIASSSRLHDSVLKAVQKVLKL PHYBLDRAFT_166134 MENEGAYVGLFESVFNRYMSIRGRFSDGRQTAISDKKVPETLNL GHDTRNYPSTTSQSNLSNIPPTTITQTDSPEFQPETVYISSDDEDDMPLFHDAVLNID PVTEFQGSSMMNPISLDSETEEEDEDIDPRTEEELLAERRLVAERFNKNLVILKEAGM DVTISDPHEYTYTSSNQSETEVSVPSIPSLSLLSSPNNQLSSTKTSFQTDDSSSDLII GGMFMNLDSDIECINIDDDDDGNADSTSIDDDGDEALPANNADSHNANINIMEVESSI ETEENQSSSDESGEWEYGERTPDPIPINTDSSNMDFTYQYDSDLTPNTTEEPDWSYVK SLNDNTKEWVRPSRDILPCRPRTRFPPRTSSFEMLEMINVYIESLGPLDSSTSQTGTR AASKATRSTRRQTTLKPVSRQSSLSSIQRVKPYVPYYAWYNSNWEDWAQFEHGDIIHW PFSEYERDIVRSMIEPGERFRRRLSTVDWVTMSVNLPGRTSADCQRYWIDHQEEIHGF HPHPIMITRKKARDLKKPTYRLLKERELSTTFLPVPLEVAGWCNLQTSRTFGDGSGDV FSLGILQNPRSHVLTVVAGSTCDSQAEYNRPGNLRLWRESSEEVQHLPCHNTPITLPD RTTQDLWASVSDVKISVDQTLIFSAAFDNRAMVWSSDTGAFLSKLGNSYICARTLIEY HTQRINQISVKRDIKENVLATGSEDGDAVIWSVDKTGTKGTGSSCILDYPNLVKPSIE CLEFGHFASDNILFTGVRNDHLLLPGLVQSFDVNTADILELYTFGESYKGGAISALAI CPDAETTLGKSFATGNYGAAEGTLGDGNLYIHDCRITTPVIVAPTAHIDVNVVAYSPC LNYVASGNATNEIAIIDVRSPEKPLYRLSHAPSTRSRGVYEADIGISGVHWLSNGRTL ITSGGDGMVRLWDILANGTLLKTYQAKNDITSLAVNEEAMVIVAGVSGSGGVVHVWGR PHYBLDRAFT_59697 MAQNALLSTRGYLQRKWAPALTQLTCAAYGTSATQWFRRFLSPK EEKDLYLGLTATFRDKLTEKTTYSAKSYSNIIEQAILNGRNHPNLPPRGKLSHERMQE IPHAIDLAGKIQDTTQLEAIQREMEEAEISTVTLYNRLIRGFIQSNAIELADNVFERL QSNHLLPTTRTMTYLIRAHVKKNNLEKARLYVEKMQHLSLNKLRTAFDYSVMLEYSII NGDSHAVDFLWRDILKYAEIVKPGMGLYTQHLDYLTFRPELHSNLAEVAEEMLRRGVA SPTSFQWNPHAGQILSKTVFTLAQNPEYATTSSQLLIFLIKASPHPWQPLSPLDQSIN LIINAFLKRGQDLKALAFYYRLKRHNAPDNAFSAETTRSISDVLSKAEHRQHMDASQE NKAILAEFSLLGQIP PHYBLDRAFT_75991 MPLGSDLNVLLSNSAKVGAVKLACGSTSTNSDTGDHSRIQKRLL VRNAESNERKLFAASFGDSAPPLRIRATLLQNQQDNLENEEITQMLSTAYNMCDICNI PEMRSIVDELKSRHEDTQLSIEYLEKKSQQNEKEIASLSALPPLPTDDLKEQDDPKEP IRLKLEVNVRDLKEDISQLEKSAKEKRKMIYDLIAKIDYAKANQEPLKINISKQPGED SILEQIKLQENTINKLQKDIEKEQNLLKDYGNTTIDSKFEAIAASKENPNLKGKQPAQ HNLQKSQVELGAESRELFTILCAKADKIINGANELNQPVVDKAQQQEFIEAMNEIKYS LEQVIGDIQKARNISISISHLKKIDQNINTFALDGYKHAEPDTAQDVYVPSPTIRLIA KILCILEEPKVREAGIPMSVLKKELYKFAGTIGQDEGTAAQAIYRLTAMGLASIDRSS PDLIIQLT PHYBLDRAFT_132206 MATTEFNSPLRKYKLVFLGEQSVGKTSLITRFMYDTFDNTYQAT IGIDFLSKTMYLEDKTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDITSRESFTN TTKWIDDVRAERGAEVIIVLVGNKSDLSEKREVSVEDGEKRAKELGVLFIETSAKVGH NVKTLFKKIAQSLPGINGDNPNDENKDTLQKVNLNNSTDAEASGCAC PHYBLDRAFT_55614 MSTTNKSFRVLITNDDGPPNEEESPFILSFIEYLESFGWDVKVC LPNSQKSWISKSFMIKDSIEVSYYNRKTRQINHHQSSPSDFVLLSGTPATCVNIALYH IFKDESFDLVLAGPNFGRNSSTIYTLASGTIGAAMEAVLCDQKAIALSYSFYSRDFSK SKIDHACEMAFKVINHLCILDEWPKNGLFNVNVPLVEEECPVHLTTFAQASYGSLFKP IGLPAANVVEGSENVEQQVRMEGEGESGRQVFRFLPDYKTLSISKTLEPGTDAWALEN RFISVTPMLASFELAKQPNVNYRFESLNKL PHYBLDRAFT_132208 MGNPAEWLETVRKCEYLPEQDIKKLCEMVKELLMEESNIQPVQS PVTVCGDIHGQFYDLLELFRVGGDIENTNYIFMGDFVDRGYFSLETFTLLMTLKAKYP NKITLLRGNHESRQITQVYGFYDECQAKYGNANVWKYCCSVFDYLTLAAIIDGSILCV HGGLSPDIKALDQIRTIHRLQEIPHEGSFCDLMWSDPEEIDTWAVSPRGAGWLFGGKV TSEFNYINGLNLVARAHQLVQEGYKYHFPDDELVTVWSAPNYCYRCGNVASIMEVRDV NDVTERDFKIFDAVPDQDRFTPYNARSGLGLTSRHGGSGGQYFL PHYBLDRAFT_91026 INDYTVLTTLGAGAFGIVRHAHHNDRPDEELVIKFVTKSNILVD SWVRDRSLGVIPVEIHVLNTLRKNPHPNCASMLDFFEDDDYCYIVMPKYGDGMDLFDS IEFRLEVQNSARHIFKQIALAVQHLHHHKIVHRDIKDENIILDRKNQVWLIDFGSAAY VKSNRSFETFVGTFDYVPPEILRGESYEGPPQDIWALGILLYTIIYKENPFYCMEEIM GHELRVAPDACPIMVDLIEKMLRREVAERINIDQVLEHAWL PHYBLDRAFT_59703 MKQPHHHINSVIFKGDRPLPGSHPFLNFARKIAQATSMRRPVDI QLGLARGSTVVAVREKQQHALQKIKWLLPNLLSVNRREAALWRQPFTALMSYLQPPNR KGITNDEKLCQDKLEQLIKDVLSHIDAKQDSSDLNELRPRLIAFLDAKEREEGDEKEE EEEELEPL PHYBLDRAFT_123446 MSTHTYNFGAGPAKIPQSVLKRAQEELLNYDNTGMSVMELSHRS KPFGEILNRTKSNLTKLMNIPDNYEILFMQGGATTQFAAVFYNLIAAKQKELSKTKDN SPIVVDYIVTGAWSSKAADEAQRLAESVKGNPIVVNRVVDTKKAAGAYDRITPESTWS LTDPAKNHVAYVYYCDNETVHGVEFQSVPKVNPSVPLVTDVSSNFLSRPIDVNKYGLI YGGAQKNLGPAGVSLVIVRKDLIVDLSVGPLRPLMLDFDTLAKNESMYNTPPTFAIYM VGLMLEWLLEHGGLTEIAKKNEKKANKLYDALDSSKLFSAPVQKEARSKMNVVFKLPK ELEAEFFKGAEERRMVQLKGHRSVGGARASIYNAMPEEEVDVLVAYLEQFEKEHPTI PHYBLDRAFT_9422 DQCHRQFKRLEHLKRHMRIHTCERPFACSVPTCHKTFSRSDNLS QHMKTHERHAERR PHYBLDRAFT_123454 MAKDQKTTKRSTLADVVTREYTIHMHKHVFGRSLRKRTPHAVKA IKAFAEKAMGTKDVRIDPALNKALWARGVKHIEHRIRLRIARKRNDEEDAKDKLYSYV TYVPVASFKGLETTVVDDE PHYBLDRAFT_143155 MRDKSFSFETPLPSVDTGIYSRKALTSLYGVDFIAATVSSAAVA PFIAVVDRSIIENANGKQTLNTGLRKGFRNLLANPLRFLATPQFGYVYGTYFSTYIAA NTIETTCENYSVDLNRTAFLKFIGTSIVNMSLCIIKDRAFTRMFGVSAAKPLPLLTYL LFAARDSMTIAASFTAPSYISATLQQHKLVSNSDQGNTAAQLVCPAAVQFLSTPLHLY ALDLYNRPTVSLWQRARLVKVEYLKSTLARIGRIGPAFGLGGIETLFCRPFVVVIPKN HLATNDSYFPTIITVF PHYBLDRAFT_59708 MSCINKIGITQILVLSDYDKELFLFTLSASHLIKPHIEYRNFQN LTCTQESGDTLLSIKVKGRNRGGFLSRKASPRITSKITLKIALYPYRVYSYYVLVAIK FYNVNHLKEVSNRPFSSLIKKYTGRLKSGICLCDTVLVIIMVEYHSDAIEATQLNSTD YFTIQFKSTFLRAIHIQGFQDPWLIAQKKLIRRIQR PHYBLDRAFT_143156 MSTNTFHGWACPGKDQPLEWTELPLKEFDDETVEMNVTHCGICG SDLHTLDSGWGPTDYPCVVGHEITGVCTRVGKNVKHIKAGDRIGVGAQSGSCLECDNC KNGDENICQRKSVGTYNSRWYNGDKSFGGYADKWRGHERFVFKIPDNMSNEIAATFFC AGVTTYSPLKRFGVKPGDKVGIIGIGGLGHFGVQWAKAMGASVVALSHSDRKRADAKE LGCDDYIITTDKEAMGAQNGTFTHILCTNFSDTFDWALYLSLIKANGYFIMVALPEKP LTNIPAMWLVSRQVSLVGSSIGSPSMIEDMLKFAATHDVKPWINKYPMKDANEAVKAM REGKARYRFVLEN PHYBLDRAFT_59710 MSTDTFHGWACPGKDQPLEWTELPLKEFDDETVEMNVTHCGICG SDIHTLDSGWRPTDYPCVVGHEITGVCTRVGKNVKHIKAGDRIGVGAQSGSCLECEYC KSGNENICHRQFISTYNGRWYNGDKTFGGYADKWRGHGRFVFKIPNNMSNEIAATFLC AGVTSYSPLKRFGVKPGDKVGIIGIGGLGHFGVQWAKAMGASVVALSHSDRKRADAKE LGCDDYVVTSDKETMDTHNYTFTHILSTSFSNDFDWPRYINLLKPNGHFIIVGVPETP LTNIPALLLAYRQASIVGSAIGSPSMIEDMLKFAATHDVKPWISKYPMKDANEAVKDM REGKARYRFVLEN PHYBLDRAFT_132223 MGIATTPELKKYMDKHLSLKLNGNRRVTGILRGYDPFMNIVLDE AVEEISETEKNQIGMIVIRGNSIALLEALERI PHYBLDRAFT_143160 MNNDNIVEDLDLEVMMENLPSGSEMSDQEDYESNSSLSSEEDSD SDSNSNEILSPKSTNIDEHSDREDALMTENPQDHAKQDEEIDSDEEFMTEIIIKKNPI KDNKNKDISNVDHAQKVLENKVLIENKNEVSSETTTPIPENKNKPSSEDIDMEEAPEI TTPTLENMDGTNLTNASKSETQSAEALSEDKHKDTLKDVEITEAPEENTGIPVVNDQS NSNNIQTIEPSASFHPMSENILRKEETVVEGTDETRHQPENIDKLRADNDRQTPSTLP DFPLSIGKMYKAPYMDKFYYTQEAYEAISLNASPNETIQKMKETTSFASFLNLKKQFG QEKTIERNDKGTRPAPDTVSKETHIPVPVRHNMNEGLRMGSLTLPSHSTSPKALHIAE NSINSTKPAKPEFSLDALLESITDRPADPIPPIEKPPVLAMKRKSRPDKKYPKNNKPE RNTLSDIEVAAMAAGL PHYBLDRAFT_123461 MVVMWVLMQVFFGNRNSSTPEPAVPSTAQNPEAAYTTPANTDYF PILPPGVYHNPYPVDIPTNTLPSAVVPLWKEGTPMKLSVYVNESPYFIEYSAQPDWVS NEIHFGEAFEDSEYHMDIPATQFLQNNGTLYAHVFLVREGSPINPADASFIPDSVVYV RHTLTKYYPKKAVLKQKNLLQRTEDEEKEEKEEKEEKEEVNQESQEGSTLGGFGDSFL GNWTRRAPLVAYWHENVTLSLVNDPKSTIPKNGLQPATVKYIPFDTGLTRDSSGKVGF YRPIVFPNDFWVLRQNAYPINETISTLPLNIRFEPIAMWKFNIYAVFDDSMKQQSNNP LGGMSSSETDEMKRMFMETNPVLLGTTLIVSLLHSLFEFLAFKNDIAFWNKKNNSAGV SVRSIIVNIFFQIVIFLYLLDNNQETSWMVLIGQGVGLLIEVWKVFKALKYELVWVPG SLLPSLGTQNIESTETEDDTAKYDAIAFKYLIWLSYPLLGGYAIYSLMYDEHKSWYSF VLKTLVEFVYMFGFITMVPQLYINYRLKSVAHMPWRTLLYKSLNTFIDDLFAFVIKMP TLHRLACLRDDVVFFVYLYQRYAYKEDHTRANEFGQVGTEVSATITEGDSKDGETKKT K PHYBLDRAFT_123462 MSNTDFLAKAIEIVKKATDEDHKGNYKEAYGLYQNALEYFMTAI KYEKNDRFREPIRKRFVEYLDRAEMLKELLNENDRKKQQESVGANGGSKKKKAETGSD DGEEDADMKKMRSNLTGAILTEKPNVSWDDVAGLEGAKEAIKEAVILPIKFPHFFTGK RKPWRGILLYGPPGTGKSFLAKAVATESNSTFFSVSSSDLVSKWLGESERLVKQLFHM ARESKPAIVFIDEVDSLCGTRGEGESEASRRIKTEFLVQMNGVGNDMDGVLVLGATNI PWALDNAIRRRFEKRIYIPLPDAPARARMFQLNVGSTPCSLTPADYKRLAEMTEGYSG SDISIIVRDALMQPIRKVQCATHFKWVNAPSRHNPDEITPHLTPCSPGDPAAEEKSWM DVDTDQLLEPELTVQDFLKAAQTTRPTVNHADIQQHIQFTNDFGQEG PHYBLDRAFT_143164 MSNKFDITVFGATGLTGKRIVNHIYELSTTQPTNFPDNFQWAVG GRDFQRLEEVVDDISQKYPNSSLPRPSVIVADVVRRESVDEMTRQSKVVLNAVGPFRY MGEYVVRSCVDQGCDYIDVSGEPEFIERMQRTYHDVAVKKNVTIVHSCGFDSVPADLG VLKLKQLYTVNKWTPIHIEGFMKIHAGSSGLRMGYATYESAVNGVGSVELLREIRKVS ELPQLPRQSGPRLKFRKGLRKDKDYGYHVPFLFADPSIVRLSQQLFLAGHAAPPTLPE DAAMPPTVQFAEYLLLPSFWICVLYYYYTTIFGLLASSPWGRNVLLRNPERFTHGLAS KKDPTQEQLDETSFELILRSKGYSSQTLPSSDEPLDQSITLVVSGPEPGYISTPRMAL QCAFTLLRGKPTGQVPLGVLTPSTAFWNTDIIDRLSSVGISFEQVSPFDSNTQ PHYBLDRAFT_132231 MNAPDRFELFVIPEGRKKVEMEVDTRIPNAATFRIEREDHTLAN MLRAQLLKDPRVLFAGYKVPHPLEHNFLIKVQTVPGTAPGEALKDATSELISEINALK NKFDMDVIRHRTFEDAKQSQAGGVGRGYEADQMSGMDQHRAAIDVDF PHYBLDRAFT_123469 MLPLSLLHTAAGHPMLVELKNGETFNGHLVSCDNFMNLTLREVI QTSPDGDRFWRLPECYIRGNTIKYLNVPEEIVDMVKEEEGRQRQMNQRGRGRGGRENG FNGRGGRGGRGGGEGSRGQSRGGGRGGLGGPRP PHYBLDRAFT_143167 MQDDEDVVMQMQGSFRKHYTMPNVMIDQQQSLDRSDKSQRRVTF SRVVILNHSSVFVIVQNDDLPQRGLVRRISERSKELKL PHYBLDRAFT_87121 IAASNTYLGLKIGWTFGASLFGAIFSFAIIKPLSRILPPKWGGG YFGAKENCTAQSAATTSGGLSAGFVSGIPAMYKLGLMTTPREDAAALVLFTISAAFYG LFFAVPLRQQFIVKQNLTFPTPRASAITIISLHDTVEGERDAMRKAKWMGIWFFTTFF WNVIAYFVPFFEVIHVLYWIGHAANYAPLMSADVAWRWNFHFDFPFFGAGLMTPGSTV VTFFVTSVVVFGVIGPCMVANGSFVQPYGFTAAGETTQSFFLWPGIALMVISSFAELF IHYDALWRGVKSGGASIRDAAIHAYHLIKIHIFRKTVHEDDRIKTELDPDEIFKASEL VPTWWWASGTFISIIFTCAIMGEYFGMPVYQSIIAVILGFLFAFVGLQATGETDINPV GSIGKMSQLVFARMPADDLKHLQKNNLMAGNISASAASQAVDMVGDLKTGQLVGASPR SQFLSQFVASFFAIGIAVGLFILFADAYPCIIDPDMNAKCEFALVAVSAWYNVTKLLT GDGDPLSRSCIIVTVVCAVVGGAGPVLRNIFVPKRFLPYFPSISAIGIAMINTTPEVP LSMFIGWCGSRIWQRYFPKKHEDFMYSCAGGMIAGQGISAIVQAVFTIAGVEGHVF PHYBLDRAFT_166157 MSNAPIALYWKAHNTWFCYFYVRIRNRHRKIPYPIFLMELYIID KPFLDSDFQDLGCTGDLGSLGSIYYIKGNKFQKIQANNMSLKGVPIDTELMHPGLPVT IECKTRYRHPMWFSYDPDFHL PHYBLDRAFT_180556 MSIWAISKRWLHSGDGISFWERNEWHRRHGYHLPVDFYLLSQWI CSFLLDIGFFYFLNFFTADLSASELVIARKLTTDWDLDDQSNPTEWSQWPCAAWSWQI MIFFSISVKALSIITSFIHTEDPVVTSQRDHVPRSKTYMRRFGVSVIDSRTGVCNICR VRVSHTTHHCKLCNKCVSGMDHHCKWLNCCIGDQNYKYFLSLVVLVFSALLWYTCIAL HVVSMSIQTKPHFVGHVINMLDLNLSPLEAEATQTTLVQWVYFSMVMATVIGILSLIG LIGMARLLLFHMKLSYLGMTTIEFISLPSNTPLYDDDDDDYEDDFYLEDAEQGNPWRK PWASGRSTKGVGRTIQRRARRWLRPWRNLIRRVWPSQGYLFGRPYRRIQICVADKMPC IGDVHEKRHTEEYDRLPEAYTDVQLEDMLATQTIRPAIDSRDSDLDEELDVSVLVEKD TGTERGPSKLAWLLDISEEEALRYQAHTRPGLRQEIRKNVEDHEK PHYBLDRAFT_166160 MSLSIHLNTPWVLFDRKSSAHLGGFVKLSQASYLPETVRLQFIG TEYAGNECKQVCEHTLDIEGSNKWIIAKDEKDPRLMFTFDLPNHLPGSFQASAYDGGL EYNLVASTQSAKGEIHAVQPIVITRSPVLQPPRLCWGTSSSPLRRWHYEVEAPQVFCL HQTPSLKVRVRSALHRPHFQATLETCLIGIQLFESVRIGKEAVPKSEPLITLTQLLVA PSLSWNSPCEIAIPAEFERLPSADLSTPLQSIQHRLRITLAFCNAQGESDHVNQEFPI TVISGEKSKESIRHHREEFGSDSETSSLDSALGVRTFCYSSSSSM PHYBLDRAFT_16378 MPKYPDPMSNISNFKIIESTLREGEQFANAFFDTAKKVEIAKAL DAIGVDYLELTSPAASEQSYNDCKTISSLGLKAKILTHVRCHMDDAKLAIDTGVDGLD VVIGTSSYLREFSHGKDMEYITNKAIEVINYIKSKGLEVRFSTEDSFRSDLVDLLSIY KAVDKIGVTRVGIADTVGCANPRQVYELVKTLRSVVSCDIECHFHNDTGCAIANAYAA LEAGATHIDTSVLGIGERNGITPLGGLLARMYAGDKEYVKNKYDLTMIREVENIVAEA VEVTVPFNNYITGYCAFTHKAGIHAKAILNNPSTYEILNPQDFGMTRYVSIGHRLTGW NAVKNRVEQLDLDLTDEDAKRVTAKIKELADVRPLSLDDVDTLLRTYHHAKGTGGHTS VFDKIDTPDKIADISVPKQTK PHYBLDRAFT_166162 MSMRAILTTKLYLMQIFFCQFFSDCFLLSALRIYILSVLALIAI KCTNLNCLENMDRSEVMHRLWNRDVASVLNFRHILNNLRYAGTIPVRFTRVIRIGRIR RQAEEDLQEGRRLRQRLTRIQRR PHYBLDRAFT_132244 MKVIDKIKQAEKEGRHYWSFEYFPPKTPQGVQNLYDRMERMQRF GPEFIDITWGAGGSSADLTLEMVATAQSVYGLETMMHLTCTNMPLSMLDHALKTAKEC GCQNILALRGDPPKGQTTWESCADGFEHASDLVKYIRKHYGDYFCIAVAGHPEGHLDN PDKHDDLLQLKAKVDAGADLVVTQLFFDVDLFLEFVKKCRQIGITCPILPGLFPIQNY NGLKRVISFNNNHVPQHIWDALEPIKDDDAAVKEYGINLTIDFIRKMWAAGINGFHIY TFNLERSTRLVLERLELVPDMESVKPLPWSPSLTSKRAKENVRPIFWKNRTKSYIQRT ETWDEFPNGRWGDSSSPAFGELDGYGVSLKYPVKECLDMWGTPTSMDDISVLFAKYCM NKVPAIPWSAQPLDSETETIRQRLAAINLLGYLTINSQPAVNGAKSSHKVYGWGPKNG YVYQKAYLEFFVSPTDLDGLLAKIAKDPQVTYFAVNNQGDLRTNTLNDHPNAVTWGVF PGQEIIQPTIVESAAFMAWKDEAFGLWREWSSIYEPQSPSAKLLSNITDTWYLVNIVQ NDFQDEGGIWRLFDLEIDGEISRKIRRSSIVLEE PHYBLDRAFT_59725 MSRLYIILVLLAFVAAVCGQGMLTIQQPLTNEQWTSNSRQRIEY TIVGNQTLTTPLTLQYPSSFDIVFQWTARSDPTKTYQLDALSGLSAVPYPGGTQNKVY SATWKLPGCRFFSRYTTQDYTFNIVFVPKYPSTTKATVQKQSAVTVALDIQVNNATFP RC PHYBLDRAFT_180560 MSPSPPSDHSPEFERLTKIFKEKSAQPHFMLPTNYTSRSGRPVS ETLRPTNYGSMESDLLGNWYEELQAYERSLDEMAATNLDQTFKEEMQHVDQWYRYLSE AERTAAIYTLLQHSSQVQIRFFINLLQQLMKRGSLHGLLHNGNPEQADIQSQLAKAEL DASQRLLSVLPYKTGQVRSRPPTVNRARAVDRHSFALGDTEEYNQLFGRSDFAVRKTN SLVVDDAVNNRRLSRPQSMVVGGSTVNIPTPTVINTPTINAPSNNGSINVSTAPGSSE SSLFGRPRSVVEGDPSIFSNWLSSPRRPVSGVGNIGDPKTPNQRPKSADISMWSPAPT PDRPFHSPWAITPMQFGPQPSVSSSSDIDFSQWSLDKTTSTPRRRIPRSSIPGTVQET DEKNLESAMQHISIQENKPKDTEKTVIEEHDESDHSEVSCLSHRRPSTTPSTKKEDVL DMELLNDVPAWFRSLRLHKYNSIFESMHWQDIIRLSDTDLQDKGVAALGARRKMLKVF ETIRAQCDANHIDYEPTRNL PHYBLDRAFT_74360 MASQIQKKTIRFTVISADGLLKKDLFFKLPDPFAVITVDKEQTH TTTVMKKTLNPYWNEFFDLRVTNKSVIEIKVFDQKKYKKKDQGFLGVIAIPVGSLLDV DTRTDAQIFTLDLKKSHPTDTVHGKIAIGISTNASVPVQNNGSIGTSSQPSTSNHQQI TAEQSVSATSATNAPSANAAGSSQANDNQNLPTGWERRLDHLGRHYYVDHNTRTTTWK RPSHGSVQEQQNLTEMERRAHTARGLPEDRQPPSAQFDASLPATNTTTAAPITATPIT TTTTTTATATGATAGTGPLPAGWEMRTTPEGRPYFVDHNTRTTTWVDPRRQQYISTIG PGSNLQVQVQPVSQLGPLPSGWEMRLTSTGRVYFVDHNTKTTTWDDPRLPSSLDQNVP QYKRDFRRKLIYFRSQPALRPVPGQCHIKIRRETIFEDAYAEVMRQSPSDLKKRLMIK FDSEDGLDYGGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGINPEHLNYF RFIGRVVGLSIFHRRFLDSFFIVSFYKMILSKRVAVADMESVDAEYHRSLMWMLNNDI TDVLDLTFSTEDDRFGETVTVDLKPDGQNIPVTEENKKEYVNLVTEWRISRRVEEQFK AFKEGFNQLIPHDLVNVFDERELELLIGGISEIDVEDWKKHTDYRGYTEQDDVIQWFW KCIKTWDSEKKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKSGEVTQLPKAHTC FNRIDMPPYKSYEALVAKLTMAVEETVGFGQE PHYBLDRAFT_97664 IQEIWATFKSADVFQRSIILQGLLSTCSTSQLSYLSETIQPLLR VDFTKILPSEIIFQILGHLDAQSLCNAAQVNSRWKTFANDDSLWHRMCVQHIDRKCTK CGWGLPLLRKQTRAANKRSREEEPSPSSKRIDRKAWKEVYSERLVVERHWRKNQKTHR IIKGGHGKGITCLQFCESLNLVMTGSHDKTAVVWDLETGEKLRTLNGHVRSVSSLQFD TSKLVTGSMDHTLRVWNYRTGQCIRVLQGHTDGVVHINFDSRILASGSADATIKIWNF QTGECYTLSGHTQRVNHVQIQHNSTMLVSSSDDSTIRIWDLAKRECTRVLEGHRGSVQ IAISNCDSASSSQIVISGSLDNTLKVWSVDSGECYRTLFGHFQGIKSLDYDKLHLVSG SQDGSIKIWDIESGFPMHSIHKHSASVTAVSLSDTKVVSASDDGEIHIW PHYBLDRAFT_59729 MSTVFLFEYGHVNVADENSSPELMEYIIDRNDFFVETIAIHTDY LENDKVRFFDLRIEKCMSVSAAAKQLGIHIRTAQRWVRQYNVCPEYFLRDFYSIEKSS SEKVVERYN PHYBLDRAFT_59730 MDKDPHMKGHYIVMDNTPIHTNRNLKKYIEYRSYKCVYPPTYSS ELNLIENFCVVAKKTSKTLESEPTTSSHMPPEFIIDLCCLTEHGRCIVKCFDVSEGFY QFQLLARKMTSIPKNLHMESHVYHVLSLSFTFLAIPGNFHNDLNKFIPNDKLVKIVND LNT PHYBLDRAFT_59731 MNEATPFQVLTVKTSIKATGWNPELLRPLQVLVAKVHTIATHTF ALMRYKFLIKLSHDPFFDLDKYIMRDIFADVSLLLFDHSTAFFFFVLQYCPIYNRNDK SALLIIS PHYBLDRAFT_143181 MSAIYYMVARWQFIDDCCQAGDLNPTIFYSVGTNSRNSRDSDPI RNTPFLFPLQHLNQRFVQPTLSFPALLL PHYBLDRAFT_109892 MYFRDQYGFVKCTQWLSQEDYDAFEKSYKPVMDRRLQKWRQMLS DNHGQWPQKSSKFKRYIRKGIPPELRGQAWFHYSGAKEKMNQNPGRYASLVEQAKAAG SENEHLEIIERDLHRTFPDNIKFKVTADSVDPTDVPIIQALRRLLSAFSVYSPSIGYC QSLNYIAGLLLLFMQEEEAFWTFVAAVEDILPPNIYDVTMEGANIDQTVLMMLLSERC PQIWHRVGDGKSFWECEEAEVGMPTTSLVTSHWFLTLFINILPIESVLRVWDCFFYEG QRALFRVALGIFKVNEQAILNVHDSLEVFQVVQVRQKRIKSQNTKLTRV PHYBLDRAFT_132256 MSDWDSTTVIRKRADKVKVARTEAEINAARRVGAAISTEKKTST NAGHANTDHQRIAKLDRDNDVAPPPKVDVSVGKAIQKGRQEKKWTQKDLAQQINEKPQ VVNEYESGKAIPNQQVLGKMERALGIKLRGKNIGDPLTFGKK PHYBLDRAFT_59734 MSSDWTSMPMRYGLFTPQSSQEMPDSTEHPRDNDSKPYDVMWPD TDESEDEDFLPQNQHIRFPSPTRSPFCDTEDTVDRKRGRMNSVGLAYDTRELLRRRIE VDCCITETPGERARRVIDKAVDNGCETADFSHLNLTEIPDEIAELRYITVFRKDTMIV KPASLEVFLYTNALTCLSPSLFKLTNLTVLSLRNNQLTNIPPDIALLVNLEELSIGNN HLSFIPAELLSIPKLSNLSLCPNPYFSPKSDEESSRRRRVVEHRVPSLLEITTRQWLS KDCHESTPWIPCAIESRLLSLSPTNRCYTCHSTFDLPSIIDIFWYTVFGVSTIPVQHR FCSLKCSKSFNPPQ PHYBLDRAFT_123492 MANLTIQKRLASAVLKCGQRKIWLDPNEINEISNANSRANVRKL VKDGLIIRKPEVSHSRFRVRVHAAAKRLGRHMGYGKRKGTADARMSTQVIWMRRMRVL RRLLAKYREAGKIDKHLYHALYLKSKGNGFKNKRVLMEYIHKAKAEKLRAKTLNEQSE ALRAKNKALRERRALKLAEKRAQLQQE PHYBLDRAFT_158249 MERFDNVDDPCFYEYEKADPVKILQESYSEALYEEQTEGIVGST TACIAILRHDELRIANLGDCGISVIRNNSYLFRSEEQQHSFNFPYQLGTGSPDKPTDA QVFNVKVERGDIIILGSDGLYDNLFDRDILALVRTHIAAYIMPGSAHRPPRLLNFDPQ HLAAALADRAKCVSEDRRNVDSPFQTRAIHEGFYYQGGKTDDITVLVAVVRDSEDSPD RRL PHYBLDRAFT_180566 MQRLLSLPRSTVLSYRTTRFLSVYRYIHRDTSTQPTHGTNEQVT PSAVLDYWSVIRTYVKEPGFFSFSVNGSKHTVPDATLMRTLLHKATTRGQETQRGTGD LLPSVVTAKCCEAYGRLDQDGKSDFFHILAEELGVDRESAAIAAKAYITACQQGSGSM IASTENILRRTLEPLHNVFFDQVSRLPGGLKFLTDMRADLHNVMSQVDRSSNSYQVLG ELEVSLKQKLKNGMIGFLKLERITWQTSAEILEKISRYEAVHAVADLSDMKRRLGPDR RLYSFFHSNIPMEPLVFVQVALVPSMACSIQAILQEDLPDVCDPNNFKCAICYSITTQ RGLGGVDLGNFLIKRVVRELKSEYPQIETFATLSPLPRFRHWLMNQGSQQPDIEEQIR TKIGSDWRERLEKFDGNNDSLKDVLMRLCARYVLVEKRGELAQDPVANFHLRNGACAH QLHWKADTSDKGIDESFGIMINYNYLPEHIEFNNKQYLADGSISVSEPRNDSYLSEWI GKGVQRIQIQN PHYBLDRAFT_59739 MHGPMSGRKIAGETDTLKVIKVAAKDGKTGQDLQLHYSNCKVAG NGSFGVVYQAKLLRTGEEVAIKKVLQDKRFKNRELQLMRGLNHPNVVELKAFFYTQID KKDEVYLNLVLEYVPETVYRAIRHYAKTKQTMPMLYVKVYTYQLLRSLAYIHSLGICH RDIKPQNLLLDPSTGVLKLCDFGSAKVLVAGEPNVAYICSRYYRAPELIFGAVNYTVN IDIWSVGCVMAELILSQPLFPGESGLDQLVEIIKVLGTPNKEQLLAMNPNYTEHRFPQ IKPHSLSKVFKPRTPADAIEFLSELLQYEPRKRLEAIDALAHPFFDELRDPNTRLAQG MPIPPLFNFTYYELSIKPELLYKLVPRHCEDELLSRGIDIHNFEPLSEEEMKNSIPKH PHYBLDRAFT_76016 MSQGNDDDFTNRIAKLVGSNDTKPLPSDAELANRFSKVFSSQPV AKQAKFDYHIPNNLANDDEDVEKLLSELDLLNDSDNDGLLDDDLLASLTSPEDQKAQK EKLNQLEAAFLGTHSAETGLEDESHTLIRRIQEESALDEKYATFAKKRDEDLKKRYEA LQQGCNFSPANSGEVSSASNFKKQENSGKPRGSVPAALTMDEIYNETDDWCCMCNDDA SLICKGCDNDKYCKSCFYEGHRGEMADYEATLHVAKEWSKTK PHYBLDRAFT_59742 MVSQRGLQSITLESPMRRCASATNWTFYDPSENPTAPINLGVAH NDLLQDKLLEKISSSLTITRKDLDYNCAYGSIILRDRVASFVTRQFKTAEPLVADHVT AFTGAGAAVYYLSVALADPGESIMVIAPYYGMFDGDICGGTGISLFPVYLPTLEDNFV HENVLEEAFERAKKENVKVKALLVTNPDNPIGRCYSREGLETFARFASKHSIHLILDE VYALSTFSHLDTSLSADTVISAKEESDDPFTSILSLENLSDLIDPSLVHVIYGMSKDF ALNGLRIGFILDQNNETLRSVLHASAVMSYISSITDRTISNFLSDSVWIDSYISTNRR LLADSYAKISIFFKDHGVRHLPVQAGHFVFIDLRPFLIQKNGGRPPTLKDEQELWQTL LDNGVYVAPGEAFRTKEHGFFRITFPLRSDLLELGLDRLYKTTVGFGENRVIKESQAL KASK PHYBLDRAFT_132260 MLFAQNLPETETSGITSVLVREDLVSDVTLTVISHPYLDDLLEK IRGEAVPWSDYKGAGLITEDEVAMIAHVENKSSEEISSAMSEHGIHYAAMYLSLIHKL ARVDAIQKVLILIHDMIHDHDERIQLFHEASKGKEGFPFTPFHRALHINDEFITIQSS KILTMLICSSPKQDPNINEFFRNITFQLQSRQAHTVELNIQILNALFHIPPYRMIFWD TLHAMNSLVNVLKRGSSNPQKVYETLFAIWLLTFEKQISTHLNAKYDVIPTLIDIAKS AVKEKIIRIVVAIFKNFIQLAPKSNLSAMLVAKLLPFVEHLGTRKWSDQDMKDDIGFI QTELQGSFQSMTTFEVYASELETGKLEWSPPHKSENFWRENASRLDEEGHKLLKILAR LLSSSSPLVLAIACHDLGQYVKYRPTEGKQLLQSIGAKQRIMKLMTHEDPDVRYYALS ATQKYFAMV PHYBLDRAFT_180569 MSTSESNPVKNTEIKPDTEHPITQEVSEVPEAVEQSTSTDAPPA AEPTVEEPVTEPVTEPAVELVSEPIAEPASEPGSPPKNNTKRRTLFNPFGKVKKEENI KKEEHAKKEEPTKEEEHTKEVHTEEIVIVEEKVPEKKKSMFSFFGRSKSTARLEDLPM PPSNELATEQVSTGTDAVVIEATTPATPVEEGTTPVTSATDAPDTTLPIEVTEATTTD DAAVHADPAEAHTLDVEPNVKRQSFISKLFFKKKETKEDKHVTKEPTEQAVESESDHE LHEEHAHNADVATASATIAPVDIVTEELVVPEDKVTARPSSPLGRLTGFLSKIPAKKE KKAKADVPATSTDEDTVPEDNAKVHEDDESVHEQPKEIHAPVSTAVAAA PHYBLDRAFT_166182 MPSMADSTSSPSYGCSSQPLSPEMQNNRKRKQLQYPTSVDNSPY MNIPYPPAQWSTDPYATHSSIGYTTEKPDMMSWPSTQFDPRSTSTSTPESRVRQPSST SSATVDIDDLFDQIDPTLIPGTSSNPARSFYVYDQDQPPTDQQSASIISSQPQACQSF DFITSSYAYSGQSLQDVPLDIHSFPLRESPQVPSQLGSEPNQNTRYRQSDLQLHDLHT VHRRNQQQLIQSWVGATYSAPQQVSPNHSPAGSNPAFSPVTPAFFSPSFLDSLQGDGH SSPRQLTLDQSYSQPVSTGLDTRPLDWNSDYNSTQADQRLNMVAQTRDMLSPPASPPH SSNSSTNSSPPITPMYGRLSNLSIRSTPVSVSVSAPGQIPFNGHHYYDNSATTTIPTN MTGGHPMLVVATAAHPPTIPEAASEEDETRLTSGPISSPHRNHPNVIIDRRSVKTNRQ MIQAFQAATFRPHIQKYLRSPDPMSVGERTVVILTSKVAQKSYGTEKRFLCPPPTAIL VGTTWWTPKKDATLDDGHVSDDAQSQPPDPFLIDNERVLAPPRLTVCISGEASSQAGH IEWYTVSGVTVGQTGHVKAAQPSSSSSSSSPSGNGTTSGTSAQQEGLHPNRFRSAESR NNNFDWYHNHHQEPLAAGKNVSKHLFINDADEKRKRVECLVKIQLANGLMLGTLASKG IKVISKPSKKRQSVKNMELCIHHGTTVSLFNRIRSQTVSTKYLGVSSSSAQGSPYAFP GHAQPKTQASDVNDGTCFVARTTCWDPFVVWVVDTTRTPGDGKESDTPEDYIGRNVYT PSVPYPPPPAIALKNKTNQLVPILYNQHIVLQCLTTGLVSPVMIIRKVDKASTVVGGA RSVDDPHISGGGEYGDEVFGDPVSQLHKIALQIVQDPSNAVHAHHAAMRQQTQSQNGD YDMNYSNSGVFSPTHLPTPAPAPAPVPQLQEKMMPRSNQPVTYLACLNDMVGMHKTVD PRRPLATISQQTAAEAAATGAYFLKEETMNNYNTIDSSVPSQQEGGKVVRKRRVSTDV LERHLNSGLNNYNLNMSMSMNMNMNMNGIPPSKLVSSMSLTNLKERNQGEILDPSLRR RVNSMNDDIEAYYGSRANGGSAASHILMNRGRSGSTHSLENSKRKPSMSASSSSSSTS TGSRRMSAVSVNAGSPNHGLGSYWSEDVSDAAVWTIVGTDCAVYTFWTPPVDDTRPQL SVNTTMFPTLLNYTLNMSPSVAYQTQQSTGLDRRLQSTGEEYPDSNEQLMSLHGESFS RDLQVWFGDIKALDSDYRSRELFVCRLPTRQNLLEGVGLETVGFDNTTHAPIYSLPIL LVRGDGTVYKTNKTYKFQEKKWGGKKKEEPYSE PHYBLDRAFT_166183 MNPTDCSVIVLYQSPAQPYAIDGSTNSESTENNQWPTYMVSLQA VFGQVILQSNPAEALERARECNQVSPTLLLIDLDALLGTECPEEEYDYDDTDSLYTLS CSSSCSTNSTNSSGTMADPRMAWVKKISREMSTVPIVVCSTNDSPSFMLDCIHAGASD YLLKPLPLYTIKTLFLKLHRCRADSKMHDSSVQGSSFPSSPVSCPDHFLSNSPPITTT SYPPNSLNNRFKEIFNRDMQLTKAIMDIYVPLPQHAKYMTITSQHKDTLKKAIFSWDY CPFDYDSTDLIHCVYLIFEQILVSPELSYLGIGQGQLYDFIIDLSSAYHDENPYHNFA HAVDVLQCLFHFLCQLGLLPYNTVDTGRSSYQLPQDILRPLDVFGLLLAAIGHDAAHP GVNNMFLINSATPLALLYNDHSILESLHSMTLFQLIKKHGFDQFAGGCGSSSYQEFRK TVITSILATDMSLHSDYVTKIKEQADRMKTIDLNSLDSVALQNERLLLCSGLIKCADI SNVTRPFMRAEKWAELLVEEFVLQGDLERELGMPVLPMNDRTKVILEDSQIGFICFVA LDLFKSVQKVLPEMSFAVNHMESNLKRWEHRKNSNHNCASTDTPLVVPAASAAAGAAA GAATGASAGVKEETESSWEAPIEQQTTIHVETVTKKRTSGTMDCNTQVVEIHKRVSLD SGRDTLSNSSTRFKKMPSMPAMAMENYVESKMTTVAASIDTKPEHPNEARGEWGTHDS SNPSYCQYTVLSTISTPH PHYBLDRAFT_28117 MNSWKLPGVKKNKESATPQPPVRPPPSHAPAASSVSSQPAEPKS GVLLIRVCEAQGISLPSGVQLPPIPHQPQTSRNRDSLSRRQNWWLPYVVLEFDKNEVL IDALGGDTAHPVYQYRAHFDVSRSSEVSISIYLRHPPTAQGVSTHPTNDYFLGAVKMT PNFNSTKFDDQVLTIVGGTGAMHVQLCYTPQQQNQPIAFDAFDLLRVIGRGSFGKVYV VRKKDTNRIYAMKVLRKSRIISRSEVTHTMAEKTVLAKIRNPFIVPLKFAFQSPDKLY LVLAFINGGELFHHLQVEGKFDEERSRFYTAELLCALECLHDYNVIYRDLKPENILID YNGHIALCDFGLCKLNMKENDRTNTFCGTPEYLAPELLLGQGYTKTVDWWTLGVLLYE MMTGLPPFYDENTNEMYRKILQDELRFPDEMSEDSKGLLRGLLTRDPNERLGNNGPED IKNHPFFARIDWQKLMQKKVQPPFKPSVDGSNDTSNFDEEFTSEAPQESVVDESQISK TMQEQFAGFTYNPANDGVMSESYTQAAGSHHHASGPRW PHYBLDRAFT_143196 MNENRYSELDRVPDIDDIDRSEDGDYGYERRYEASEDGYYSDDA EAEWEESKEQINALFSLVVFPFVGKWLGKKFSFWAWSRWLSYTPPVGSTSFLAVEWID ALKRLSS PHYBLDRAFT_180572 MASATEQISTENAPEHCPGPESQAAGQADACAGCPNQNICATAP KGPDPDIAFITQRMASVKHKILVLSGKGGVGKSSFTSQLAFALSHDEEVQVGVMDIDI CGPSIPTIMGLENEQIHQSNSGWQPVFVQENLAVMSIGFMLPDKDDAVIWRGPKKNGL IKQFLRDVDWGELDYLLVDTPPGTSDEHLSVTTFLKESGIDGAVIITTPQEVALQDVR KEIDFCRKAKIPILGMVENMAGFVCPSCHGESIIFPPSTGGAAALAKELNIDLLGSIP LDPRVAKSCDMGVSFMDEHPDSPACTAYEAIIESHRLGSALAPNTIEIYLDYVCPFSA KIYKKLREQFWQLTEEKYPDKFQVIFRQQVQPWHASSTLVHEAALAVERINSQKFFPF SDALFAHQAEYFDEAVEEKTRRQLIDQLSTLASSVGVSGEEVKGLLSNGTGEPKNAGN KLSNDLKLAIRLGRQNGIHVSPTVLFNGIRDDSVSSSWEIKQWDDYLKSKL PHYBLDRAFT_74362 MPNPRVFFDISIGGKKEGRIVFELFKDVTPKTAENFRALCTGEK GKGKSGVPLHFKGSGFHRIIKNFMCQGGDFTAGNGTGGESIYGEKFEDENFDLKHDKP FLLSMANAGPATNGSQFFITTVPTPHLDGKHVVFGKVLKGKGIVREMENLKSKNDKPL EDVVIEDCGEIPEGADDGIPTPADGDKYEEFPDDYEGPKEPIDLIEIAGVLKNIGNDY FKKSEYNLAMKKYQKAIRYLNEKPVFDEEDPEEVRVKYAAIKVPCYLNKAMCAIKLNE NREAVDAATHVLEYEQKYLKASDITKALFRRATAKIALKDEQGAIGDLEEAAKKDPND AAIKRELANAKQRLVQRKQKEKAAFSKMFG PHYBLDRAFT_177210 MRMVEKLTVLLVGAGGREHAIAWKLAQSEKVSHIYVAPGNGGTS LGSKVTNVAIGVCDFAKLTEFAVKNKVDLVIPGPEQPLVEGIHTAFKKVGIPCFGPSA KAALMEGSKTFSKDFMKKHNIPTAAYENFTDFEKAAAYVKSVKHNVVLKASGLAGGKG VLIPANKEEALAGLKSIMVDKEFGAAGNEVVVEECLEGEELSVLAFSDGYTVIALPPA QDHKRALDGDKGPNTGGMGCYAPTPIGTKSLIDQIKRTILQPTVDGMRRDGFPFVGML FTGIMLTASGPKVLEYNVRFGDPETEVVLPLLSDDTDLAEVMMACVEGRLDAVSITIK HAFAATVIIAAGGYPGSYPKGQEINIGTLPKDVTVFHAGTESKNNKLFTAGGRVLAVS AVSSSLSEAVDLAYAGVNSIKFDKMHYRKDIAHRAFGYADKLAKEVGLSYAAAGVDID AGNLLVQKIKPLVKSTKRVGADSDIGGFGGLFDLKAAGFHDPILVSATDGIGTKLKVA QICNIHNTVGIDLVAMNVNDLIVQGAEPFFFLDYFACGKLEVDVATDFVAGVVEGCLQ SGAALVGGETAEMPGLYAVGDYDGAGFTVGAVERSKILPRMDTIRAGDVVLGLASSGV HSNGFSLVRKIVDSQPGLTYHSTCPWDKAKTLGEALLTPTRIYVKQLLPVVRNELVKA MAHITGGGFLDNIPRVMPEDLAVVVDAASYELPPIFKWLKQAGNLSPYEMSRTFNCGI GMVIVADRASVPEITRLLEESNEKVVELGRVVTKAELGGKEVEVRNTEGW PHYBLDRAFT_143200 MLKMMTQRLNIVHKISSNLRLYTTKPTFQQLGISNTLCSRLNSE FHIESPTKAQSLFIPPILKGQDLFIRDRTGTGKTFGIALALSSFDKPTPPRKSIQSLY IVPNQELAVQIGSWLQRLLPDAPTSSPVESNVFQTSKTMVGTPGHLLDLIQQGRLNID ELNSIILDEADQALRLPKRFASLRDQQRRAQHPKPAQILIESIFDNLPRHSEKPQLVV SSATLNRPIRHWLKQRQWMYNPLFVDITEGTQLDDDADSPNVNHHCLLLSDDTIRNIK PYEEDSEPVEVERSVVDFEDTDDRVLENLAILKQVENVRHGILFVGAFTSTADIKRRL EVHNVESKDIRDYVPGQPISPNTLWIANEFSARGMDIPDVSHVFILGRPSSVAAYLHM AGRTGRLTPKGFGQGKVFSIVRDSGRTESSMQTMYNLMNVPVKQYEHIE PHYBLDRAFT_76024 MSAAPAFSHTAESKPTMDETVFQQLFESFATPQDMAMSDNTVFD EWLVHPSLPSPNTSEHSSPEIATPLDAFLRSPPFDNKDNICLENAGFGLDLDMSDILN SPLFDVASPDLSFQTSPIVDPVVVHHQQSQQSAPAPVSISTSTAQQVSHLFSDLGGLP AALAALAAANPPPGVPQTPVVVATSAVSPSPSPVFKSQTKRARDSIDEDLGQFSPCEA ALKRQKNTDAARRSRLKKVMKMESLEIRVSDLEKINTSLLLRVAVLDSEKSNLQIKES SYESRIKVLEAQLAEAHKALAGRTI PHYBLDRAFT_76025 MCQFCGVDPVFAASFETEDNNSNDKTPTQETTQQHTNAPSFFRL KKSNKRYTGLTTQQLTTFASSLSPGAQVVMAHHGEPYQKATKRWTKAAEKQPLAVVMV ANAEDVSKTIIMAKSHSVPFTVKCGGHSPGGQSSVDNGIVIDLGLMKQVTVNPDNKTI IAGGGCLSGDIVKAAAEYGLASVVGSSSHVGIGGFLLHGGYGQLAGEYGLGVDNIVGT EVVTASGEIVWASEDSNSDLFWAIRGAGNRFGVVTKFVLKVHKISDTVWSGVLSYTGD KLEALVKAINTWYDKKDPKAAFIMVLSKGADGKPGVVILPFYNGTQEQGEASFADFLA IEPAVRDTSSMPYWKSCTLGDEPGTYTTDFIRFESANTKPHIDYKHFKHLLDLLEQLY KTVPTSDKSNIVFAAFQPDAVEKYKRTDMAFCWRDTNFDVGIGARWQDPNQAEEMRKW GAKLQQAVLENGNSERLYSNHADFEGPSSKEFGINYLKLCELKQKWDPEGVFKSIVN PHYBLDRAFT_109910 MADSRIPVTVLTGFLGSGKTTLLNYILKNSQHGKRVAVIENEFG EVSVDDSLVVDAGQEIFETQNGCLCCKVRGDLIRILHQLIDERKGQFDMVLIETTGMA DPGPVAQSFFADERIQDAFRIDAIVTMVDAKHIALSLGDTEEGATVEKREEAYQQIAF ADVVLLNKTDLITQTELETLEKRIKRINDVPIIPSVYGKVDLNNILAIGGFDLDRVLE REPAFLNTKSDDHSHKPHVGIHQGEITSVGICEVGEVDYDLLNRWIQSVLASMGKDIY RMKGILNVHGEEDRYVFQGVHMMIDGQQDRAWRADEDRTNKLVFIGKNLNRTALISSF QKCLVKDTSITL PHYBLDRAFT_102790 LVGLGNYTHPNTRHNVGMMVLDQIANKLDLTWTQNRTLKATISQ TSLDIENKDKSRTRIDVTLLKPRLLMNVSGPSVSKAVREFSIDHSNIYVLHDDLQRPL GKVSMKSGGSANGHNGIKSVIQHLCSENFKRVRIGIGRPPDD PHYBLDRAFT_123512 MQQAPVLVMNTNMERESGRKAQLSNITAAKTVADVIRTCLGPRS MLKMLLDPMGGILLTNDGNAILREIEVDHPAAKSMIELSRTQDEEVGDGTTSVIILAG EVLAQALPHIKNNIHPIVIISAYKKALEDALKIVEEISKPVDVTNNAEMLALIKSSIG TKFVNTWSDLMCKLALDAVRTVAREEEGTGRTEVDIKRYARVEKIPGGEIEQSEVLDG IILNKDVTHPKMRRKIENPRIILLDCPLEYKKGESQTNIEISKEADWNRILQIEEEQI KAMCDKIIELKPDIVFTEKGVSDLAQHYFVKNNITAIRRVRKTDNNRIARAVGATIVN RVDDLRDTDVGTHCGLFYIDKIGDEYFTFLTKCKDPKACSIILRGPSKDIINEVERNL QDAMCVARNVFFNAKLAPGGGATEMAVSVRLEEKAKTLEGVEQWPYRSAAEAMEVIPR TLIQNCGANAIKVLTQLRAKHANGEHSFGVDGESGKVVDMKDYGIWEPSAVKIQTIKT AIESACLLLRVDDIVSGISKNKSQGAGPGGMSHDAAEEMIGDRE PHYBLDRAFT_132281 MALNISDPELNQVYEDVRDDKTETNWSFFTFADGKPDRLIVAGF GSGGLEEFTKELKPEIAGWGYLRINLSNDEYSQRIKFVLVPWCGESVSVMRKAKLGIQ MSDVKNIIRNFHIEVPASHVHDLDEKEVLTRLRRAGGANYDRQSSNY PHYBLDRAFT_4394 LQEYAISVSVGTPPKEFLLVLDTGSSDTWVPSSICTPKAGCPSD RRFNPSESSTYVHTRLDYQAGYLTAQVNGSYFNDTITVGNFTLDNQFLFLVNDQTGSL ADQTSDILLDGVFGAGLPGSSTLSNNRNETFLTIPMELYARGLIPEPLFSVFLGQAKE SAWSGEIIFGAVDQERAASRITYIDVAQFQAPNGYVYFNNWFSLASQIEVGSNYIEAE EDTSLPFLFDTGTDALVLPTDLADKVVQSLAPDAIKNDTVYNVDCSYLGNTRPFRIIF PGSQFTTVKQSKNLNVTLEVPVNKMVTRLGSTCQLIIETNDDSYPIIGNLFLRHFITV FNFEDYTIGLAP PHYBLDRAFT_76030 MSYLVAKRLVSRQRIDLSQTHRYLNTQATRPTKPSIKWSNMIPR PSVWRATVYEHYTKGPPAKSWPLSYHLLIATIRDFLDRGCLSTVEQAQYLTNSIKNPI PSGFKETPAIIPSSYRTQAGDNLSQLLSLRDQRKIGWDWQSDRQNASSLEGEWMEKDG QDDQNSPVVYYLHGGAYYLCSFGMYRRFISDILKGSNARSFAIDYRLAPQHPFPTAVE DALAGYLYLINPPPDAGFSPVDPKKIVIAGDSAGGGLTLALLMAIRDARLPPPAGAMP LSPWTDLTHSLPSILSNAATDYLPKLGFKHAESPALDYSVLPKLKHNEDDHEEISIGP FGKKIDLSEVTKEDMDRIQFYTNNASIKLSLASPAFDKKDLHGLPKLLIQVGLAERLR DESIYVALKASGKYPGASDYKSKLPSTPVTLEIYEDQPHVFQILIPTKASKCANSRLA KFVIEATQPDQKEQESKDESLVAIQITPEGEHKDVTQDLLERMTLGKWDEWEARLARP SLLERLKEMREKLS PHYBLDRAFT_166199 MSEIAQEFDPENYSFVIGIDFGTTFSGCSFVFTGDGTEEVVDIT DWPNHGSQYSKVPTALLYQDSGHNLIAWGDDAINDPDQSDNPESFLVERFKLFLDKSV ESMPELPFDLTAIQVITDYLTAFYEYTKTVFEESFPDLYDPSKCRYCLTVPTIWNDMA KAIMRKAAIQSGIVDPYDHPNRLMVTSESESAALYCEKSFPGFNLSHGDQWMLCDAGG GTVDLVVYAVDESSGERQLCEVTMASGKSCGSTFLDANMEILIKEKIGHLVELNGSMM LQLMHQFIEDMKPQFDNEEDMFMPLPSSILEVIEDLDSESEIVDGQLVFTANELREQV FEPVVLQVIELIEEQFQQSSSEIKALFLVGGFGQSKYLFQRIEEVFGDRLEFIHVPPR GDLAVARGAVIYGLNQQKVTKRILRRTYGIWSAMMFDPVLDSPEDQIVDGDGMSLCNN RFFAFAKMGDAVGIDECVCSEFKWYRSESQSLRIYTYGNYGDAPRYIDDPDIKLMCAF DIDFPLDIERNHEEGIPALVGIYFGSTEMRVEITIHDSMFTYTSAYDCLDNSYEVGYS EVPLKRSSMSLLVNKYKMSTTYIENFARLRSSVVHNSKKPVANI PHYBLDRAFT_143210 MAIHKTAQESDAIIKELRLKLGPKSKTMKDEYLIQFLVARSWSV SGAKNQIEKTLEWREKHKVNEHPVATGENKLPLLYPIRGYNSIPDGNLEAAPGLSESV LRINKYMGGSCLHKTDKNGCPIYIERLGYHAAKEIAKYTTVEEVSNYHIGCNEFLNRV IMEDCSRVANKTINHETVIFDCTGMGWHQLHMPALHYLRAISDADQNYYPETLSRLFL VNAPSSFVFVWKIVKAWLDPGTIDKIKILGSDYKEELLQYIPAENLPSFLGGECTCAH MPGGCVPSQMLKNIPSLVPQSHNEKVSTPYNTDIMQKGTSYED PHYBLDRAFT_132288 MSAELAVVYAALILQDDNVEITSDKLQTLVKAAGIEVEPVWFSL YAKALAGQDLKALLLNVGAPGAGGAAAATGAAGAAASTEAAVEEAVEEKEESDDDMGF GLFD PHYBLDRAFT_166202 MQRIAIFYSSYPEDYKELLSLECDEVLLGILLFIGLLTRIVPFS FFHLLAFPEFDSTNCTKKTFIRILCILGDQTKLFRTVLTLHEDIIRIKQGETINLFTT KRKEAQYFDHEKTSLSMIEVNAFENQKKSAITRLKQDWEETRGAHGVGLRSEKRY PHYBLDRAFT_166203 MSLYVQDGPSNEALKRNSAALDITSMLNPELAINIDHLNKKPCL DPGLTRPKSDTAGNSKRLPLRKRLSKESQNCPVLKWQPVETPNRTPTTTTAAGAGGGG IGVGLGGTMTMNTPTTVDQRLSRPSSRPSETWETIEESPEPVIDRHTPDTTTTTTTKT VVTSTTSAAATMKKPLKKVQHWITKGKTSSPEIPQDTTALVWKYENGVDRETRTAPAE PLLKEEPKVEQTNKPVSKSLPDQTLSRSGPAADDTLYCFCRTPYDAPRFMIACDLCDQ WFHGECIGISEKQSEFIGHYFCHSCAKREGKKTSWKAHCANPVCQRAARMGTKGQMSK YCSDACGMQVARTRIACAESKRRSSVTSSSSSSSSSLADRHSYLTKSRLSSFADRDDG HRLLRVRDEKKRARRMIGIVERKTAFLATLSTQPTADEDVCGYDCRLSWPESKWEAEE LPSLDPEMACRQSRRCVKHNGWQKLKTLELEQERTEQFTVLAMLERERQQIKARMKRR REDVDLEEMLANGTICHQRQTTVK PHYBLDRAFT_166204 MTHLSDFSFLQRVVSISYLTLWYIYRVCQLDRFQCFSPSRIRKG ELKSIVSLLILIMLPFQLTYAFVLDVASSTVKYEEGYIQHNGQYVTKPEMLWSQANKD YVVPTDYSLCIGFSLQTSTLLLLQCFWNYLANLVAGAKFMSSKEFKCYIVCVDGLTGK QMLNGNKLTADFLISNINVASIVVWVIVILIFHPAPENPLLNNSPRITT PHYBLDRAFT_123524 MAKDEGQSTSSVRTGHELNQAVLEAYLIKNVPGFIGPLQISQFK LGQSNPTYLLKDGNKQQYVLRKKPPGALLSSTAHAIEREYRVIHAVGTSSDVPVPKVY CLCEDVSVIGTPFYVMEFLAGRIYSDVRMLSLPYEERRACWISAVETLAKLHKLDHKA IGLDGYGKDSGFYARQIRSLAKVSAAQAEVEDKETGEKVGPIPRLNDMFTWFKKNQVP DKATIVHGDFKIDNMIFHPTEPRVIGVLDWELSTIGHPLSDLANLLQPFYVYPSDKMT GFKGLKELPIPGADELMKAYSSILGQPYPIDRWMFAVAFSFFRLSVITQGIAARVARK QASSAQAMYYAVMFKPVARMALEIVDEGDLVQNPVSKL PHYBLDRAFT_180585 MESYKLELHPVRGQAHVCLFRNVTNAPELRQRLINQDATMACAL VNAELVINPFHVMLAANRAAHDEKHNQLKTHNINSEIVFDFSSTIHISQSLKRFGIED TTTDIIAVKLGGTSEEAIAFMKDNIKGDVVALDQLDQIRDLKRIQKYYQTGDVKEDPV KLMQLVAGAMALKGL PHYBLDRAFT_59769 MDIIELLHPSESNSTRESKRYPCRWKDCPKAFSRPSDTARHYRI HVDDRPFQCHIPLCEKRFIQKSALTVHLRTHSGERPHVCENINCKKSFGDISSLARHR RIHTGSRPYKCHLEHCHKSFTKKALLVRHRKKCHDQKTSEGFHQFSMLVI PHYBLDRAFT_59770 MMGSSNAKVIAPFDYRLPITDFFSLLLLFYLAYFVPSPTIFHFK LDKKATFYYGDGDGILTDENGNKIIEYQMEIDDDQYPLTSITTFTPYQSQIFREITKN YLANCVFTDESVFNINLKRSMPWETVEEAPIVEVPKTRAESHTIVGAISHLSVISVDL RTQRVHCFIKKMARNYIEAHGYSCVYLQPYSPELNPIEKFWLVYKSKVKREALLEEGK NCLQKSEKLATKCLLWTYKAFAYLHNKIRRLFKQKTALMNANARFFYQVCE PHYBLDRAFT_166209 MYPEGQLSPSVPNSPRYTLPPITSPTSRQNMHPPQPQFNQNNIH HRPMDREPDQPNLYKQHTPQFAASSSVSSTFVQMLAYNNTHPTYTSTVQNTYSYHPYH EYPSYGAPHETSHQVGENEKAHVAAPWPAQYRTNSSSNNVVYGQPSSVSISTRSPIQI ATPEEIHQYTYTNLPKENNSRNSSNTRWQEGEIVQVTENARTKKEREYNERVQEIERE FMENKRMKRWKKSRKNSMRCTMARDYALFDERLAALEDNRVLMIKNAQLMMDYQVKCV DKQHDTDWRTLEKESMAEKRELQRAMYVMLEEKRKKLKEDKDGELGSSKELAYSTHHT RNRKRMMRKRGNPLLKLDSIPPTKTGNYTSKRRYAAEHILFNIVITANSSAPDLKKER KT PHYBLDRAFT_166210 MCQSEFEKCGNLNLRSVPFSANEQIIHEKTKVIFIPPIDDKDRF AKLHCIAESSDKIIEINGKLIEYTDPEKNDCMIPDAFYELDLGGDSKQTILVRRNVGN DAAGFYTHPNEDCLNLGLTCEFETHYFTPDQSARNHAQEYIPQVLADLSEEDKQEMVL CVGELVITPRSI PHYBLDRAFT_143218 MSRRQCNKPCPEERHKIWKEGFRQNAIDRSKMARQDLVHRRRED QASGTTKWEDFKNSHQDALKRVGMRDYAEIEDENEPHYQGQKEKERKEEEQQQEKTKP DVSEICADRPDSTTCIRCHCSVLRYSQGYSTPTLECPSCGFCATLQMIQSIQEHNTLC IGSIIYTYQPTSSGVIGLCSLCGTCASF PHYBLDRAFT_143219 MLGMPFIWLEFFGTVPPPSALSSAFTSFPFPPMLDPSIPAASVF GLKILEICDHHWALHFNSAPFLPSLVLSIARKSISRLCPQLELNFADFPPHKNTLTF PHYBLDRAFT_143220 MADEIQPLGNSTPSSLTSKQVHYARLNVQLSELEKNIKKLQENL QVTAEQIPSFRKLGTLHSSILMSSSRVLSENDTTETNRDS PHYBLDRAFT_95644 PVYHDPWAKREAWRKHPIFSKTAGLRTLFPGLGIATVAFAAYCG YEAVFLKDKKH PHYBLDRAFT_186199 MAESLDNKTPETETPRLVLRTYRATDFPHVDHMFVSNYFALVPE GVRRKLWSPATWVVWFAVYSYLLMIVPVLLGGMDFPSWADTVLKLFFTFSWGAIGFAA LFIITDQFDTQDRVEYARQNDMKDPEVYYLNWNKKEVEVEDAPKGSSEKKQVTFDKDA KPATEIIRERKPESEQTPSHFWVLSMDGEPCGMVGLAINKDTVFDQRPAQLAPWQKIG AGLFRRYNFSVPKFLDTPPKVEPKVFSKAHGPRTATLTRLTVKYDYQNCGLSTLLVNR ALSWANENGIDRVYAETNEMMMAAEQILEKRHGFKRINKKSTGWFGQYEAEWECNVKE WVAKHEDQTKEAFKKT PHYBLDRAFT_143223 MKTKEVDRPIATMEGWEDGSKYKRELFNLDRWELQSADQLTIHI GPHDIVLVQDPHSSHLGGYIWLTAIVFCAYLESLCEKKERHGWISLDRTKRWVELGSG VGLIGLMLRKMGIEDVMITDISELVPVMERNVEANGFTVKSVSGRRKNEASKTDEVLV EPLLWGDGDAIEHVKSSGVIDYLVACDCIYSEASALDLVDTMDRLADETTTIICISEV RNQAAQDAFMAEAKSRFHMELLPPSQWQGKVKGVAFAETLNLYRLRKSVAPTKHKKRI KNKSS PHYBLDRAFT_143224 MKWLPLPKIAHAIAIHPFTPSTLPQNSTASQSGRPYTTYSTLHS PLLSPQTDTASIHTIGSLSPSFSTTDHSSLNPTDYAHLAALEVGDELFIFEQQGQWYR GYVLSTLEAGRKPNTAPIGCFPRSHVQIKEYLDTAAGDPSTLCRTDSILDDRPLSPTA PWLSPDLPSLTRSFSDSFIKKAPECEPARPNSYVDFHFDLDDTARYSFVSDMSKPSPP SLPLVRFDQSTLTGSSEPLVDEIAACVSEWNTLLYIYLDQNQYTQFNAVRDHINYLFQ ARRQLLDQALSREELSRLRKEIIHRMITMNLALDRDMIIRHPERGFLLDSNNTSLATL YRMHWKYTIADPLPLTSFSTVTPPTPHSFSLPKDTTDLPALEPQPSVSQQNNKGAKFN HLLFELKACVAHICQPGEFTELYFSLYNKADSTFVTEQFVVVLTYNGMPKDESQIGKL QTLFTDLSAHDLTTNLYLICRIVRLGGMKFTDKEKDYHLGTIGSHASMIFSNDNSRMM NYFDQGNGRYQSKSVLSPTASTANLCRRPFGCAVLHVGGLLQKTERIGFDTITNNGSS SNNNGNNTPAFFLPGNTTANPASNRITLSEHDMRIFTATSESTFSTLHEDIINNSIKD ISKHARAETLRVDLKMFYGQLDQVLKTNTALLQDMTRTSRLGFPDVVFPSDERNELYI TLISGDFAQFGRSRNIQVTLCVRDNFTGDVVENALSSGANSPFVTYWDSMVFYHDQRP KWGEILKLKIQPYVLWARSHVFITIRHRSSHHMTTPLPQSIPVGVATNPQANANEKII AMGFLPLTLPSAHRDFVADGSHTLYLYKYDRTLANQGAYIDNVPWCYRSSTPSNMQAQ EYTNARYKEQKFKVGHRYSSSGGSLKSLHGTFTTPSVFSSTTGSSINVATADLPSPGK LTTLRDTLTISTFLCSTRFTQNKTLVKLLNWSDILEQGPEGGEELLSILDKFSFVGEM EVVKFLGDIFDVLLAILVCKHKHMHAREDIVDQALAAIIWVLGIVQDRRFSNFRPVLD VYIDQRFVPDENVPADAKARLLQNSNDEMTYDQILKGMLRLCTNPSDPKKAKLLRSSM KVWDYLFRFMVRSRDMQKRKEDNGERSLRDIMFKDELQQLLDLIIQMMVPEQPNVMIG SQTLALQHFADVLGELRGVLSPKQLVDTTTNFVDTCDHFTGKLVGYKLCMILAIVKGP VFNDYTCRSGLAKHVLRWIQMWMNSYMAVAKDVIFARHDQQEGDHQQIRLPKAQWLEN LRLSLTIVSEVLDKARKSCGMASSGLSSASVSSPSISTFSRPISTATSGDEEIIDESH VELMSITEVVLQLVPQLLNAYKELQRLTQQAIQALEMTGNSIPSNDNSASAAVAASSR RNSRHSLGMLRERNNSVSNKNNPGTSSDILESNSKFSVVLQALATSPSVPFPSTYPFQ TTPTKSSTLANGSNVIAMVTTGLLDITVVLLELFHLTPQRQWVAFLHDFQVREGPAAT AVFLRKVCHTCMAILFGDDLQILEESKGTVEGRERCEEDETRESRKIPSNWLNMDVIA HQIILCNILAPAISVLESEAFLPVTMADHGDTEVDIEEGPELILWRTMFGSLLQTMSS SRLETEKFLPQVQRAVWKLSGNSKGAVGAKTLLQLWRLAGPPQRPKAEESNKADVMSY FSSTIEEEPLSLESEKEDTEEQTAEVSIELPKKEPKGRSIASFLEGDPEMYGVKIMIE KINDEDAEDKKEELRRIKERRSTCSAYTDSGKLPAISVLQIDLMSIVLRPLCAVALTL HEQARSAALDVIADIITIELHTFGELTHTRHLLIATLDRLVMSENKGNEIIRIDMMEE LSHMLEIKLLSQDASELIQPGKEAIESLSRFMEILLQIRSLPLDDDEFMDEQINATLK LMKFIQVIEREEIYIKYVHQLVQLHVGSHNYIEAALTLRFHANLLEWDPYEDLAPIPD LGFVSQTSFSRKEKLYWTMISYLEQGSAWEISIELCKELAHEYENTVFDYFKLSEVLQ RQAKLTQDIVKKERYFPEYFRVGFYGRGFPDSVRSHQYIYRGLEWEKMSSFVERMQNR HPNAQLLSGKDAAVAVMHEDQLKVLESALDGQYLQITALVPEPEGEAKQRLKNPLVPE YIKKYSMANNVSTFSFSRPVNKVQSSGEDHKPETDFLNLWTEKTVLTCEDAFPNISRR SRIIHVQVKDVSPIENAVVAVENKNQELLELYKKYSVYVNQKRPPSGSNTVNISPFSM SLNGAVDAPVNGGIPLYKKAFFSKTYWVNNVETRPWIERLRKAIDVQVKTVEQCLEIH DKLVSPEMRPFHITLVGFYKKNFAEEIARINQPDSGIDEATNMSSPVELNEQRPIILR QNSVAQSFTPLSTFPPPLPISPVSRAFSIRSPMTEQALMRAALENTSISRAESISRSL KMTLRKKSRKKSQA PHYBLDRAFT_143225 MRLFTVFLVLLIGVYLPYIQASSIIPGAYIVEYNDVHEYHGHNA LMASLDNVRDLFRVRHTYSSSLFRGMSFRINDEKPRAHSANPASLLISPRHPVLAQML GSPEVKRIYPVYRIPRPQWTSKSDGHPYDNGLSQVNDVHKKLNLTGKGITIGILDSGV DYNHPALGGGFGQGYKFKYGYNLVDPAEDDTSAGRHLPEDDPFDPCSGGETGHGTHVS GIIGGFAPELVRIGKEALVVLFGQFAYISLQNFSGIAPDATFGMWRIFGCYSGASEDT VIKALEMAYEAGCDIINLSLGVENAWPEDAMAVVADRLTEKGVIVVGVAGNQGNQGVF MQNTPGSGKNSISVASVDNAFSTGKVLTVDALHNRSYPYQLSVSTRTFPSGVLTTIID GEEALTGCELSKLSNKKVKGKILLVVRGECTFEKKVEVARSAGAIGVLFYDTESDIPV SSQTSKGSLPCAGISSSLGSELVDLFIKDSNTSSVVVSFPDQPKDLRKDTAGQVSAFS SVGPTYELDLKPGVSGIGGDVYSTLPLNQNGGWGVRSGTSMAAPHVAGVTALLLQAYR TEHTNTSPIYILEQLQNHARLATFQGAPDHPLRQGAGLVQPYTSLKNPIHISPAQISF NDTASLTEYKSYTLSVTNHGKRPLCLTIENIPSKSIKPYGSGFGYVPVEPIQRGDIEV TLVFSPSHDITVSPGQTVSIETSVELPNPEEYHYPIYGGFISLVDSTTKEALGTVPYF GVIGKMAELPIFDEGYPFLIATDNASTIYSSSDTFILNTQSDSFPMVVCRLLTASPRV DIEIVNEKGISLGTIEEGPNVYWERNTLGTDNRVRTVTWNGKVVASKIKPGDTIYPFT VSKGTYFLRIRALKLLGDPTSDYDWERWFSGPIRVES PHYBLDRAFT_143226 MQLTGVKNNSKPWKLALIALSLLVTITAALEDVPTLPQDILRDP KFRAATTGNTIAGRYIVEFDEKYSGTSSDFLERLTQDINRDDPNIGNKLSMTISHEYD STPSIFHGVSLSIQPKKRKAVLGKVQTASEENVVFTASQNRVVNKLVKSNYVKKVYPV TIIPRPEFTRTSLAYDEALLPIINSAALEVSLPFSHEMTQVNRVHKELGLTGKGVVVG IIDSGIDYTHPSLGGGFGPGFKVQFGADLSGDFFDVNNPSKSKKGKTPLDTCSEKFHQ GGHGTHVAGIIAAQDTRYNFTGVAPDVTLGMWRVFGCQGSTSSDLVINALILAYEAGC DVINLSLGGATGWGEDPTAVVANRIAKSGVVVVAAAGNAGSEGPFMVASPSVADNVIS VASVDNDYVLLPNVILENGDKFDYSLSTSTKTFPNGTIVAYADDEPEVLACGDSKPSR SFTGQIVLVKRGNCTFDEKTANAYKAGATAVLVYDNELNTSSHPVTAQNARIPVASVS NAAGVTLMGLLGNETSGVGVVFEVELSGSPSLSARKISSFSSVGTSFELDLKPNLAGV GGSIFSLLPVTRGSYGVLSGTSMASPYVAGAIALYLQAHPAHNSSFIGQKFQNFALPS FVDNPAKQGAGLIQVYDAITQLSYVSPGQLSFNDTAHREEKTLAITNQEKEPMTYTIR HFSNRAIAPFNTSQHGYTPIQPAIYTKANVTADLGLSLKNVTVQPGETVEFTVNVDSV SESLDNEPFPMYGGFITIDPVTDYYGEAKSMKIPYVGISGNVADIPIFPKGFPMIVDS SDFLGSHEPGKPYVLKRIPHSRPSLYAVFRLLMGTAHLTTEILDENQEFVGNAFSTSY MPRNTLNPGNFLVVNSWNGSIIDEQSETLGETIPLKNGTYYMRWKALRLLADPELEDS WLTSISDPIVVS PHYBLDRAFT_99837 DEINLHTEKKYQKDLKVGEGTYAVVYRGNDSLSTGRKVAIKKIK MGQFKDGLDLTAIREVRYLQELRHSNVIELVDVFSHKTNLNLVLEYLDSDLEQVIKDK SILFMPADIKSWLLMILRGLDHCHRHFILHRDMKPNNLLLTHDGILKIADFGLAREWG DPGRQMTSQVVTRWYRSPELLFGAKEYSYSVDIWAVGCIFAELMLRTPYVAGDSDMDQ LTKIFHALGTPTETDWPGMSTLTDYVQFKVFPKVPLRQYFTAAGSDALDLLDKMLVFD PNKRWTTEECLQHSYFRNSPLPTTPEKLPRKAPDVEQ PHYBLDRAFT_166218 MDFSYCLTRNVYLPVSIKISSLEGRRETSNADFPIKHSDYYVTV QLYGDNKPLTVPVQTSYKSFKNHWSWNEWLTLPIKYCDLPASAQYAITVWDTVGPRKA VPVGGTTLRLFGKHLTLRKGKHKLHIWPGREADGQSKTTTPSKVKTDNDMDKLEKLVK RYDCGDIRPVEWLDNMAFRQIEKIHKNASLQSTGQALYIELPKFDFTVVFGEIEYTLP DPTAPLLGQQPPQIANTVTANAANQANYTDIGDDGRNINAHPTETVALYSLILDPDIV RDNPVEAKHRRLVRSHRNGPLDRDLKPNPKIRDDLNSIMSYPPTQMLTAEEKDLVWKF RFYLTRDKRALTKFLKCVVWTDSTEVRQAVDLLPLWVDIDVDDALELLGKEFENRAVR SYAVNQLRKADDDDLLLYLLQLVQALKFEHMNDKTPNSYESSLAQFLIDRATRNQTLG NYFHWYLMVECEDKVMGKMYAKVAYHYIKAIMAVTNGCQRRDTLLRQGELIQSLSKMS KDVRSMKDVRSKKEDKLRAMILDPKGHFGSFPPCPLPLDPSKEVCGIVADKSRIFNSN LQPLRLTFACTDTTTYPVIFKTGDDLRQDQLVIQIITLMDKLLRKENLDLKLTPYKVL ATGPEHGLMQFVPSKSLAAVLNEHQNNIQSFLREHHPDPGPDGIYGIDAQVMETYLRS CAGYCVITYLLGVGDRHLDNLLLSPEGHLFHVDFGFILGRDPKPFPPPMKLCKEMIEA MGGASSPHYNKFRQFCYTAFTTLRRNANLILNLFALMVDANIPDIKIEPDKAVIKVQE KFRLDLSEEAAIAYFQGLISESVNALFPQIMETVHKWAQYWRRFFFLYEFCVGLCMQD VNVWRTNGLNIDISCDAGRAYTTLDSIYILHRAVKSSHEEARKYNMVLVTKVTKMLGI RHPIIQGGMQYVGTAEMASAVSNAGALGMITALTQPTPQALREEIRRCRTMTKNPFGV NLTFLPAIVPPPYEEYTQTIIDEGIKVVETAGNNPGKYIKMFKDAGVIVIHKCTAIRH AKSAQRLGVDILSIDGFECAGHPGEDDITALILLAKSASVLNIPYVASGGFGDGRGLA AALALGAQGVNMGTRFMCTQEAPIHNNIKEAIVKADERSTTLVFRPFRNTSRIFKNSV AVEVNSRERKPDVQFEDVRELVAGARGRQVYTTGDPEFGVWTAGQVVGIINDIPTCDV LVSRMVADAEKTINNLHSLIAPQSKL PHYBLDRAFT_180588 MSPIASGKNKSESLSARIAGSASSGILELIIFHPVDTVAKRLMS NQAKILLPGMTFGEKKAALDLVIFKDAAQKSFLKKYASLFPGLGFAAGYKVAQRVYKF GGQPFVKDYLNTNHQATFTNAFGEKTGKTVMHATAGSLVGIGEIALLPLDVLKIKRQT NPEAFRGRGFLKIVQDEGMGLYRGAGWTAARNAPGSFALFGASAFVKEYMFHLEDYSK ATFFQNFCASIAGAVASITVAAPLDVVKTRIQNRNFDNPESGVNIVKDLIKKEGFAGF FKGLTPKILVVGPKLIFSFTIAQQLIPVFDRAFNGSK PHYBLDRAFT_180589 MGKSEKISKSEIPLTAVRSEETEKHHKKSKKEKKDKKDKDSSSK RKRSTDEESEEEKVVVETKKAKKEKKEKKEKKEKKSKKDKESETSDSDDTKKDRAHAC ATSTPKVSKVEEEKIEEPAATTGWNDWSKASFGGNQEQKSKFMRLLGANKAGNKEAAP APKKKGGLFGSLKSAIDEDENQRIANDLEKQFQGGLQMRKQQYTGQRGGLGFSR PHYBLDRAFT_132299 MAFRLLTKGAAVSAVRPTLMATRQTIGATAMRSYTTENKVEEPK KASILDALPGNSLVSKAGFLTAGGGISTFLISKEIYILNEETLVLVASLGLLGVLLKY VREPFTTMANDHIARIKNILVQAREDHKSAVQERIEEVGQMKDLVDVTKSLFAISRET AELEAEAFVLKQQVAVAHELKTTLDSWVRHEASVREREQKQLAAYLIEKIHKDLQDPK IQQQILDQAVLDIQAVASKSA PHYBLDRAFT_109742 MFWRVLRWVGTTLTYTFILLTVMSLVMENSGLLKTTSVTQPEYE VSADQAVRFDDVQGCDEAKQDLEEIVQFLKNPHKFTELGGKLPKGVLLTGPPGTGKTL LARAVAGEAGVPFFFMSGSEFDEMYVGVGARRIRELFAAARQKAPSIVFIDELDAIGS KRNPKDSNYTKQTLNQLLVDLDGFSQTEGVIFIAATNFPESLDKALVRPGRFDRMVNV PLPDVRGRVEILKLHLKNVTIDPKIDISVIARGTPGFSGAELANLVNIAAIQASRDGV REVKIKHLEFAKDRIIMGAERKSAVLTEESRRLTAYHEGGHALVAYYTPGAMPLHKAT IMPRGSALGMTVQLPEMDKNSFTRGEFLAQLDICLGGRIAEELIYGAESVTSGAHSDI VKATEIAKRMVRYYGMSEKVGPVQYTDEDMILLSSTTKMVIEREMKQLLLSAEERARE LLVVHMEELHRLSKALLEKETLDQQEMIDIIKGNSYDKNNKSA PHYBLDRAFT_59786 MPLPCTAGCGFYGNEIYNNMCSKCFKANKTNETKSSHDETSEKL SSASNPIRTSLSPTPSVMRGSIPSPSSEPTMSRKHSRPSSPGIPETPEHTNPLPLPSA VLNNDNDNNTDNNSSIKDNNNNTITTTTTTTTTTTTTTVTSTSKPLTPNVPLGKQAAN KCRCDYVFCDSHRYPDRHDCEIDFAQLDKNILAKNNPKLHERPKGGRSFQRIDSL PHYBLDRAFT_166225 MITILPTKVKLLHFPRSHLPHATHAIVKQLFFKQVNDPDHFFSF TENAYEISIVADKATIDNDFMPVLLETQCQDMGTSPDTFRVLQVDGEGGQDSSGRRIS DLSGPLAQGKFSIFYMSTYQTDFVLIKERRLRRAVSLLQEHGFDIDDENIENSDTTTQ HTQEPQLKSLRSHNGSDAAVSISVDPRISNIYPRDLFESCVLEDELRCVGLNPHYRSQ YINTVLKIICYPEMILKNYNNEETRFFSYTASSDGISLVADRRILELFSDDQIFQDED TGSSFRVIQVNLAGSNLDRCGIVRSISHPLVTEAQINLLYLSTFKSANILVSADELEK AEKILASDFEKVRDMLAEFVLESPQ PHYBLDRAFT_180592 MELPTPSEHPNTRKEKLLQRIQASRSWRAAHAAAAMAIPSLHSR DSDNDIETENSDNLIDPNRLVLRVMVSKAVNINPYGDDTILASPYAIVSCAGQRHQTH VVQKSAEPDWSCTFDLPLVDKSFGQKQQHLLWSNGLVVTVCDKVRFRSTYLGQVQLPV DHLFEPKDVLDFEDSNNEIRWYPLHSTPLRHHRFSVRLHKGLLDIQERQGTEAWIGLK IGLVVLDQPASEPLDPLVLQETWELFLALNPLSPNQPKAESPEAPKKSVKDELESLAI SYKEKPIVKSIKERLQKATRNKVADFDKSTIGVVFMEIMHANDLPPISNKAHTRFDMD PFVITSYGTSTFRTQVVQHDLNPVWNEKLFFHVRDNESTFRLKFSIYDEDKFTTNDLV ATQEIPISEIIKLCSSDVIQTNPEKIMDTDMQQHTVNLKLADNSDKWSHLSPSLTIRV KFLTYEAIRKTFWTTLAKTYDADGNTTLSRMEVTTMLESLGSTLSESTLDAFWEQHSK DLEEDLTVDELVESLEEFVLLTDKASSGSNDGNLSDSIGHGLEDGYEYPDHHVHTTPE TDVLHEATGVQYVAASESSLLNDDLRAEEEEQDTSVVDERVIHISKCPICHRPNMSHH DQMDILTHVATCSANDWTSVDRFLMGDFVTEAYAQRKWFVKLATKVGYGTYSPGASNA NIIVQDRASGQLVEERMSVYVRVGMRLMYKGMKTSVQSKTAQRIMHTMSIKQGERYDA PQSASEIESFVKFHQLDLGETKESLSSFKTFNQFFYRELKPGARPCDSPDDSSVVVSA ADCRMMAFATINDATRLWIKGIEFGVAKLFNDGKRAKVYDGGALAIFRLAPQDYHRYH SPVDGVITDITYIEGQYYTVNPMAIRTTLDVYGENVRCVVHMESEEFGNVAIVCIGAM MVGSIVLTAKVGDKLSRTDPLGYFAFGGSTIVVLWEKDRIVFDDDLLENSAKALETLV RVGNHIGHR PHYBLDRAFT_76042 MSSQPFLSETAQSQLGAAATAIGSDPRFSFLTKLREGRLANLRP LGDFFDKNRISFTSSFQTISQRWNYNLQYFSANYFVIILGLAIYAIITNWLLLFTIGF IFGGFYLISRMNGPVTIAGNTISASSLYAFYAGASFLLLLFSGATGAIFWIIGAAAMI VLGHAAILEPGIEGDFADGQV PHYBLDRAFT_143238 MEQQLKNYYRKENLKKGSIQTLLLSLSLETIGGAGAAGGGSAGG GGGGGGDDDGIGIGASLAV PHYBLDRAFT_110360 MDRIDWHYLFKQRYQLEQRWRKGSVLTHYLIGHKDSVYCLQFDK HKIVTGSRDKTIKFWDMATYSHLQTLEGHEASVLCLQYNEHIMVSGSSDTTIIVWDMY TCQPRMRLRGHKAGVLDVSFDDRYIVSCSKDTSIRIWDINTGALLRTIMAHQGPVNSV QLQNNNIVSASGDALIKMWDVTTGACIRQFVGHTRGLACVQYKGNRIVSGSNDKTIRV WDADTGKCLMVCKGHTDLVRTLDFDDERIVSASYDQSIRVWDIKTGDSLLNFQSGHSS WVLDVHFDKNRVVSASQDKRILIMDFSAGLDTHLIT PHYBLDRAFT_180594 MYNLSATTPPNFLDLLSNDDGSSINNLSQPIHFFDSEQNQDPIH HDPHPAQYTTQCSAPPKIDGQHMLIETPIHPLQPSTDPPPSFDLDFNSPDEQRNDLTH VIGTFTSFEQEFVGFNLMEKEGNSGLSAVGGNSQFMNYSPIESNNSAMDFSRRHSVAV SDYGTLRSAETLSQNYLDKSLGQSTKPFVGNPSHRFPIDHTSTLQAIAENPMLFHHRA SLPNVSSPSLGYKRLNHSHHLSILTDGSSGTRIIDQGVGPQLTWKQSGMPKKEGVRSY SVAGEKQQPIPLPASALASAPPPPQPQPQPQPPPPPPPLLLSSLSSSLSSSLSSSSAS ATPLSSSSLSSLPAHHRISLGGSLSTPTLSSTNIITPHAQRKRFFDPRASHPPFPVSS DSAQMPQVAWNSWFDEEAHRKRTKSLDLGQTAEDVVADDSGESTDGAFNLNVDNDYPN ITEADIEAAKKDSSAIPRRQKLRYEGDNYTPKWVRYTGQPKEGYCDSCRPGKWLQLKN SAYWYHKQFFHGISSVSGKAFVLPLEQRDGEHDVIEGLCHQCHQYVPICNAKRKNSVL WYRHAHKCHIYDKPKSKAVKRNSIAKKPSW PHYBLDRAFT_132307 MGNTSAEIVKDDSKAKSILEEDGSLRVWWYDVYERREKGDIYLF GKVYEKTSRSYISCCVNVKNIERNVFVLPREHRFDDQAQPTDTPVTMDDVYNEFSDIC EHMKISKWGSKECKRKYAFEIPGVPAEAEYLKVVYGYDQPALPTNLSGKTFSRIFGAN TGPLEQFIMKRDIMGPCWLEIKEAKLSDTSESWCKVEIVVDNPKTCNPLRDAQGNEPT HIPPLVVMSLSLRTLINPQKNVNEIIAASAHVCTKVKIDEPTPVDSQERMRFTVVRQL GNKPYPAGFNDMVTKEKTRNGFSVQVERTEFSLLNYLIAKIHVCDPDVIVGHNFAGFD LDVLLHRMKALNTQNWHRLGRLKRRHWPKLQSGPGGSGESTYQERLIMSGRLVCDTYL AAKDLIRSKSYRMTDLAQSQLKIEREDIKFDKMEGYYEQSASLIHFLKHCTFDAYLAM ALMFKLQILPLTRQLTNLAGNLWSRTMTGARAERNEFLLMHEFHRQKFICPDKTFNVK SQAAIVEALEHNQDGDNEVVHAKKSNTKRKPAYSGGLVLEPKKGFYDKFVLLLDFNSL YPSIIQEYNVCFTTVKRHDVAVVEGDQVPEVPDTSMPTGVLPRLIKTLVDRRGQVKRL MKAPNLSEAERMQYDIRQKALKLTANSMYGCLGFSHSRFYAKPLAMLITHKGREILQN TVDLATSLDLNVIYGDTDSIMVYTNQSDIAQVKEIGNLLKQRVNNLYKLLEIDIDGMF KHMLLLKKKKYAALLVEEKPNGELVETIETKGLDLVRRDWCDLSHDVSAHVLNSILSD KDREDVIEEIHAYLRDIGERIRRGEMTITKYIINKQLTKSPEEYADAKSQPHVQVAMR MRKAGQSVRAGDTVPYVICQVSDVPNGTKAGFAERAYHPDDVISGSMQLDIEWYLNQQ VFPPIVRLCSPIEGTAPGLLAECLGLDPTKYNIGSRMNSNDRDKDFETLESQISDEER FKDSEKLTLKCVHCQETTVCPTVITQFTEDVVTWGLECQGCQQEFSKNIILNQMVLAI RSYIRKYYQGWLICDDDTCGNRTRMMSVFGRRCLNAGCRGLMMREYSDKSLYTQLLYF TYIFDPVKIIHKAADTPLATLTESIVKGHYNTLIELKTEAERYLNQSSYRHVDLSKLM AGITL PHYBLDRAFT_180597 MSSSRPTISNTTKLILVGGMLASGVCNTILNKYQDMQCVANCED PDPTKREFFEQPIWQTLNMFVGETGVWIVYFYQMWEHRQKLASSSGIPPSAVNQLDAA AIVDDVDTQIKPNTPELKGIKSLLFWIPTLCDLTATTVMNVGLLLTSASVYQMLRGAV VIFTGLFSYLFLNRRLRAYEWFSLVLVVTGVGIVGLSSVLYPQKRPSATSLNNNGLIG SDEDFKALLGVGLVLGAQLFTATQFVIEEKIMMHYKVTPLKAVGLEGSFGLLSVLMAM PILDFLLGSQHPFFNISKGFHDFFDNPVVWQTGLAISFSIAFFNWFGLSITSSVSATS RSTIDACRTLFIWMVSLYLGWEQFSGIQVVGFVVMVIGTFYFNGVLRWPLVKSDEDDT LDETAPLLSTEES PHYBLDRAFT_186211 MIIKTVCEKRQPKKNTKKRRDEVEVEVEEMKSQLLSQNNITSSI QSINTETKPKQNLKFVFSPSNNQPSPQAPSTNKIILDYLLYLSIQSRLKQAHVELLEL SLPLPDNDLQSRKQRWKDTASKAEQDKNAVESIVAGILSSHQNKKPSFKIDANFEQRL HLCQLTNLVFGRFDATSTAGCHVIAHNSARRKRHETQMASMIEDKEDETCDIKRRQQL FARPVIPTFCRRHRRQDCPGCQSPFSTTKASSSTISSSSSSSLLSLSSPPISASVEAP PPPPKTTTTQESASVSASASVSASASASEPLFNTETTPSGSTIIPPPGLMEAIPSFLK TSADMLRHTLETGEEGDPTPLTFAGQKVMGGGMPPRWYDLFLELLTQAAIESYLCDAQ VGLEPIFEIFSYGDVEDEEEEEDEDDEEEEEEEDVWGVRAADHHLLFPKTRTMYLFKT QVREREKEFLIVQAGTDLRQHFEKLAQRYPLETFEKSMGEFIQMTLSTMETPALDKCE EEEEIQTPENEAPAANRLPSLYKYPGDGALLMPEIPDVEEHDIEPRGIKRSNTTTATT DSHDPKRVR PHYBLDRAFT_158268 MKACICVDYLSHDWRPTELIRAHREARELTVKNGAKLYIQTNLD PKQQRRLQIEHNKLIRYQNALWRQMAKRCTDQLGQSNQLVSPSAVNWYSLYSAK PHYBLDRAFT_16454 MAIESHTFQKQQQQTRPRDARIIALILQSLGVEDYDPKVVQQLL EFAHRYTTDVFQDALVYAEHAAKSDLDLDDIQLAIQGRVNHSFTTPPPKEFLLELAQE KNKAPLPLIPEKYGIRLPAEKHCLSGLNFSIIPDVCYLLIC PHYBLDRAFT_76050 MPIIPQSVQQWYNKVPLITKAIITISAAVIVAPALGIVDSSTFV LNWNAIFKKGQVWRLITTFFSNRLSFGSLMGTYYLYCHSIELETDAFQAKKAEYAYYL FVTSIFQLAAASILGLSVMTNGFSMSIAYLWGRHYQGREVSFMLGLRFKALYLPWVIL ASDFITSGRLSMASLIGIGASRAYCYLKDEYPRQGGRQLVAVPEFWVRFFNRGFSAGG GSAGSGLPNPLNLRLTGNSQWSGSGFRLGTR PHYBLDRAFT_16568 MADEGLHPPEHPWYHNGPLNTYDHAAIRRGYQVYKEVCSACHSL DRIAWRNLIDVSHTEDEVKAMSEQEEYQDGPDDNGDMFQRPGKPSDYMPRPYPNDEAA RAANAGALPPDLSLMTKARHGGADYVFALLTGYVDAPGGVEVREGLNYNPYFPGGAIA MARVLFDGVVEYEDGTPATTSQMARDVSTFLAWAAEPEHDERKKMGMKATVILTGLTI LSIYLKRFKWAPIKSRKIVYK PHYBLDRAFT_59800 MDGGQKFYHYHYIERVFFLLRALFSDISNAAYKNKLRARYSDNK QARAQIFQKIITILRLSLYRISLYQALTTLEALKILDTLRTIKIIRAITVMRVMRGMS SVRGITVIRDVVFQLHIALTQFTWQYNIIILTIFKILSTILVVFQKYFETVEVFGIVY GLVSQ PHYBLDRAFT_154941 MEPYGGVWMNPDMEFVDQSNMPDYEKVIEASGNGRYSKLNTVLG KGAYKVVYKAIDREEAINDNEITNVKVTRQEFKDLGHEIDILKSVRHPNIITFHDAWY NETEFVFITELMTSGTLREYIRKLTPLPNIKIVKRWCRQILKGLAYLHGHEPPIIHRD IKCDNIFINGAHGEIKIGDMGTAEMKNGKKYTVIGTPEFMAPEMYEEQGYNEKVDIYA FGMCLLEMATGEYPYGECTNAVQVFKKVTQTIKPECLSRVQDPEVLTLVNICLTPEDE RMTAQEILEHRFLAVEPEVVLVSKDMTMKLLTLQVVFKGMDKLSVKFEFNADTDTAAD VVAEMIEEQVLQNCYQQLITCEINRILRDIARNQGPPDKGEDEKIVWRRENDIRSELE RAKKDLALAVERVFEAEKKCELLEQHNIIAEERCKETIFALEQAKFQIPDLLQPQPQP QPQPQPQPQPQPQFQLQPQLQYLSPQSTTSPGPTSDDNSTNSTMLSSLESELSKLCVS GDEQVETTTHSALMENVLAGKAKYYEYSNDTSIDKFVMDTAGATNRSKDKQKQWAAKL QDQDIMTVGDLRDLHDEDWSGIGLTVFALRALKNMLAGKKAAVTQRGLQGTRSGASTP VEEQEQELM PHYBLDRAFT_166240 MKEYYTNEDTPTEEDQRISGLLPFKKIKYQSLINHLQGDKGLVK NIWRKFSNDAVLILGNWSAGQSKYHGPIRGIEMSRMLAKECFQIYLIDGFKNSSLCPA CQNGELETFKKTQKPRPFQRRKYPTVDWHNHLSHICMYMNTLIIYTISTQVQKQQFLK PVAESTRDTTQSSLYRLWNCDMAATLNFRHIIFNLHKNRRRPKRFCRLSARSFTTLKR KEALFSSSRTVKKANYSV PHYBLDRAFT_143251 MSNTTENTFRNSLRNFNVARSSPISLPAANQANQAANESSPFAS IRNKASNMFSNVTSTVQDYVPIGLNSEEEEEPWYQMSRVEASKASMGNKNCMLLFVLA IFPGKFAATFTLGSILILVSIAMLRGPWSHLCHMISVERLPFTCSYLGTMGLTLYFSI GARKTIPTIIFAVCQLIALVWYFGSYIPGGISTLRYGTSYIGRRATSLLPI PHYBLDRAFT_59804 MASCDPITKRPENLVGAFMSGMKWSEELWSTVERKGKSLPTTQK EKQRIFIMGGKNNINKIRGPTNEKTKRIARGIAKSKSKYAPAITCVNVRSKKKQRQFE KAVSNEKKLLAKMGVIEYVPEEEMNDVVKVSPEMQRISTEIAPELLAAAAAGHGTTLG GPQ PHYBLDRAFT_166243 MTLSLASTMPTKNSTRSQVPTNTLILQSRNNTFQTKMLELREKV HLKIGRQISSKTPPGPLNGYFDSKVLSRAHAELWSDKGKVYIKDVKSSNGTFLNSLRL SPENEESVPIELQTGDELEFGIDITNDDGSVLYHKVACDVHLFSTPLSQVDTAITQEL NMPMSNGYSQGYSDIPKLQRKSSSCSVSSVSTISSANDINVSAAVPPTTGKRSRHWEL LLTKLQGELQRAKEVGNELASMKESVLDVDKIFNEDKLKKSDEHNILLQQQLAKSAAQ LASYAEKCRQQERALLSANQELHNRQQTIRAMEKEGYRNDSENNRSETKQNRWQSEEA QLEFEKEKIRLNKALVAKEVECIDLKSMCIELEKQNRRLEKIVNGQSSSKDLAGALQL PGVLGLIGLMIGIIAFLVYRIISTPQYTTP PHYBLDRAFT_158271 MSLNKQENLSVILNKPLDIVLENRPIPTLGKGDVLVNVKATGIC GSDVHYWQHGAIGSFKVEKPMVLGHESAGIIAAVGEGVKNVKVGDRVTLEPGAGCRMC DYCKTGRYNLCPDMVFAATPPYDGTLCNYYRHPADFCYKLPENVSIEEGALIEPLSVG IHAARRGNIQSGHRVFIFGAGPVGLLCAAAAKAAGAGHVTIADLVPSRLAFAKTYYTD SQVLLERSTPGEPNIEFSRRMAQAILKTEEPADVVIDCSGAETCVQMSILLAKNGGCV ILVGMGASVQSIPISEVSSREVDIRGIFRYSNTYPTAVRMISSGIVNVKPLITHSYPL KDAVKAFQHVKEGRDGAIKVQIIG PHYBLDRAFT_59807 MVAIKFDQKNKIKERILDFVYYISLNNAVTLGDLFTRSDKSVNP ANIVLETSGNFDNNLEKSSMVLFKIAIRITTSIFFIMLEASFNPIKTVLFNLRYRIAL CYNLHIIIIVLRGSGSGSGSCSGSVKIWYYEVLLSNIIDPLFLFGLYSILFYSSQFPV TAYSFQFPVSSLK PHYBLDRAFT_132318 MENGTKLVGLDIFSGCGGLTCGMDMTGVVDTKYAIEFSQSASLS FEKNFSGSIAYNQCANVLLERAISLHSRKETPGDLKDHLGRPLRAMPAPGDVDFIYCG PPCQGFSGINRFKKADDVKNTLVCTALSYVDFYKPKYFLLENVRGLVSYKLGGEQNGQ NRIKGGIEMGVLKYILRCLTSMGYQTRFSIQQAGHHGVAQSRRRLFIWGAKIGHKLPE FPQPITCFPKPASLSITLPDGTSFSYMHRTGGQAPLGAVTVGDSISELPDSKGSVGQL IQPYSRPPLTDFQKWIRLGADQLANHFTRTFTDINIERIYNIEMTPGADHSSLPHILK PWCLSHEDSAAQRHNGWKGLYGRLNFDGQFSTTLTDMSPMGKQGTIIHPNQRRVLSVR EYARAQGFPDRFVFYSTSRDCSAASVKDMYRQIGNAVPVPLAFALGKELRAAIQEDWL KSQS PHYBLDRAFT_59810 MDDFDDPSDFSCYDLQELDKHMRCPICKELVQTPMILASCSHTF CAGCIRRSMVRELCCPLCREPTNENISVVTCPVCSQTMLMSELNSHIDQCATSDAHAF HPFMPRVTHPQIKCAGNPPKKPTKFVYGMQSDKDMRNILKDLGLDTHGDKRRMIWRHK EYSLLYSINEDSRNPLDARELRQKLKTTESEYFSTQKKIPIATIDPEEYNILLTKKKS PHYBLDRAFT_59811 MCKLSASPKKKDNEHVDPRDLIVAIPYFFIGEFGTSKQDHNTNP YRHSHTSDVACHGLLVILQPDIYQSKLLDESALYRLKQYNDTKQNQDIQASASISWDF QTIYGGTTRQLVKITF PHYBLDRAFT_154943 MTLTQETFKSATLALNETPEFTDLTQWRLRVDEGAQTWHYLTTD EEKANWPQTNWDKYHLGLPVDAVKLPPAKSPLAAAHNGFEFYRLLQTEDGHWGGEYGG PMFLIPGLVIVHYITGVPVHEPTRLELIRYLLNRANTDDGGWGIHIEGISTVFGTALN YVALRILGLGPDHTAMVKARTKLHELGGATGAPAWGKFWLAALGVYEWEGMNPVPPEL WALPNFLPLNPGNWWVHTRLVYLPMGYIYALRLNAPLTEFTKSLRDELYVCPYEEIDW NRQRNNVCTADLYTPHTKLMDVLNEVVTYYEYIPLRLNWLRQYALKVTIDQIRMEDEN SFFLDIGPVNKVMNWLVVYYHYGKDSREFREHVKRNADFLWMGPEGMMMNGTNGSQLW DASFLAQACVEARLAENFSFRKNMNKTLEFIDISQIRHNPADYMASYRQITKGAWPFS TRDQGYTVSDCTAEGIKATIMLQQTPGLEQLIDSERLRDAVDVLLTMQNKDNGFASYE PIRGPHWLESLNPAEVFGNIMTEYSYPECTTAVLIALSTFRKTDPDYRKEEIEEVSRR ALLYIKDVQNEDGSWYGAWAICFTYAAMFALQSLASIGEFYHNSNYSKKGCDFLISKQ ETDGGWGESYKSCEDHVYTHNTKSQVVQTAWAVMALMEAKYPDEEPIRRGIKLIMKRQ QSNGEWLQESIEGVFNKNCMISYPNYKFSFSIWALGKYAKIYGDKTLVE PHYBLDRAFT_186217 MVDCSESPENRDPTKDCGPKMTPSSPMPMPIYNLLSSYAPCDKT DGSLQSYYTAIAGTSMAPWITNSSSNVHPQTSTDTPFSDGSAAQPSYFYPHSSNSNFL VRSSSIDDSKSDWEVVLDDGTLQRRTVSLSTLPGPALPAEQYRAKIKCYDDQVRRSLQ NEFGKKGCTANEYDEANDFNQVEDYVTRNTFVDERTGLLHHNNYTAYALQSSSSESDE EHLPKKRQTWLGRVWKLRKKLIPSYEQKMVLKCSFAFFLGSLFTFVPILNHLVGGQLA ATHVIATVTTFFSPAKTVGNMIQAVSFGFLYTLLALGVSLLSMLTAVYLREQDQLIIS HLVTLGIWFAGSTFILSFIKAKFSNPSVGIAVSLAFMVICPVLVREGSTDTAEFNAAR IQDTVRVVIVGTMISVFVCFFVWPMTATSKLRSDIDSSLQSTRILLKLLTKTFLLDSD LPEFTANPQLEGAIVSHRKSFTNLQLSLNDAKHEFYDIDMLLHADGYHKVVESLQRLA QHIGGLRSSCGIQFEMMRLNGKQPDDIAKASYGATNNTFLSKRHRNPVPETSDSNSEW HIKPDHQRKKMEHELKREMSSKSIHAMDIPGGHSYVDKSYFSPVYSYSYQPSCDLMTV PEEEEEEEEGEQTEQEEPDRELNDSQYEPQETREVSYGPLSEFIYTIRPPMKSLAFTC KQTIIHLQAHFNDKTTSTTPSFVVLKQNLTKAMALFEESQHAALSRMFLRKMDAQAHA QAQAQVYAQSQAYMPSELHFHLIKQFPVEDVYLVYFFVFCLLEFAKELKVLVESVESV FDIPCQDDSTIQWLKRVVISSFCSNNAHEKIFTKAPNNHNTLNTLHTPTPKTYWRRFF LKMWSFFSWFRNHEARYAAKSTFTALAIAVLAFIPYTRPYFQSLRMEWTLITVLAAMT PTVGGTNLVAVLRVLATMVGSIIALGAYLMFPANGPVLLFITWAFSLGCFWVTMHHRH GRFGLFSLLAYNLVVLYKFNQRHDHEIDVIELTWMRCFTVSLGVLISLVITAYVWPYE ARKELRRGLSDFLLRLSWLYKQLVSEYSETPQAEKDADALLLDRLLDNPLQQALTDKE LTALALHKKRRSIALQRVELELQVNLVNLQDLLNHAPNEPRLKGPFPVKTYEAMLSSC QDILDKFLSIRIVVLKDVWTTQVRGKFILPANKELMEMAGNVLLYFYLLASALQLKTP LPPYLPPAEKARTRLVSKLQTLPHNKSALSLNHLSANDECFMVYYAYVMMMENVIVEL DHLGKHMKELFGSLIPDDQWARSFGQSDIERQRDGSGRVY PHYBLDRAFT_59814 MQSPPTHIEITLPEPFYLSPLALSCLYTAVIGLDYIVLLNEKKL PLTSTQLRLVITAIHIALPLIFITHSVKYNLFFAGLPWAVASLTACLPLDEITLSDWV LAQSSVLLDPSVSVPSNPTIKEIYNTATSQTLATRIHGIAKIGRGMAKLVIMKYILDP FLPADPSSVLLFPWFSKTSLVLTILFGMKAYLTIGAVDIGLGFMQFVLGIPLVDIFNS PILAHSPKDFWGRRWNMIVRNHLHRVVFLSKKGKKIHEKKQDDPPVGDNGLPIPAQPP SVLARNLRGLMVFFVSGAFHELLIMSACRRMTLENLLFFCIQGVFTLIEVTIRKGSLK KDPTGWRRAGFILLHIVLMSIMGRLFLGPFLRYECLYNLLLDI PHYBLDRAFT_123554 MDSSRNTRYSYPYAHGNTGAPDNVPTLSKEIYQYDAPWHTYALD WCKSPIDRKSFRLAVGSFIEDNNNKLQIIARTDMLSGGYEAGQKDFTPVAEAESYYPI TKVLWEPHRGDSRNQDLLATTGDILRIWELVDNPRYGTNSNTINSSSGRASPAYYQQQ LVKKADLVNMKQTDFCAPLTSFDWNETDPSLVVTSSIDTTCTVWNVETSQAKTQLIAH DSDVYDVAFMHGSADIFASVGADGSVRLFDLRSLEHSTILYEAPPAANPSSNGAGAGN GGHGSSLHSGTVPLLRLEFNSLNQNHLATFHMDSSAVQILDIRHPSAPIAELVKGHNG SINCLSWSSTQPGHLCTGGDDSQVLVWDINQPNGIDPSRPAYHQSTQTRFNQQAPRSI QDPVLAYGAESEINALTWSKRNPDWIGVGFGKTIQALRV PHYBLDRAFT_180607 MPTPHGLPAYRHRSLSLTALNVPRRFHLPIILLRVLCVLPSSIG ILRNLARAWIQPPFDDTGLFDNKSTTLIYSLAIVWCALAGYWSWILTTSMLRRWLYHY ELSSAIIRLITLTFINWSCSAFISSHYGADEPIRKWMTICLILLVSNVLKLRFASNAK YHPKSNDTQQEPRITHRSTAVKVLILPFAFVVFITMFASLHQINTIRTHSADLVHYKL ETPVATRHTELAESKVRVMVFVISAWTPKSLEKRQIFRETSLQLKPADDDQVSYFYRF ILGEPPSEEVRLKMGPKIEAENAANKDMLILPCSDLYNDLSKKVYQTMVWSDQWNFDY LIKTDDDIFVRWDTITKEFITMGRQQRYWRGLSYWNMPPIRNNDNKNAELDYTLPIFP PYTAGALYILSRDVVHLIAGVGGPRMFVRNEDQNLGIWLFPYNIKPILDRRIQQIDVC EEDMIAKHFGDFGEPDAIGGTMHQMLDNLRNGRKMCTGFRSSVCGLCYPCYGKGNHWR DWNFDCDSVKGVTLLKQPQWSVVD PHYBLDRAFT_95289 DNGKYKCSYCAKRFMRPSSLRTHVYSHTGEKPYICTSKGCGRRF SVQSNMRRHLRVH PHYBLDRAFT_154944 MFSSAFSDFSEFVSNTTECTELQLEPFKPEFTYPIFGEQETVFG YKGLQIKINYASGSLKTYLNISYKEKFNGQGTNDTGVLEAADIKGTLSEYLPSDVLSN YDEFIRIVQEDAKTFKPLGEKMSEYKVETEDGDEEQYEIYRTTFSNTKFRSYHDRMQL FALLFIEGSSYIDNEDEKWEIYTVFEKQGQNESAVYHFVGYCTAYPFFCWPENIRMRI SQFLILPPYQKQGHGNSLYQTLYCIFTTRNEVCELTVEDPNEEFSNLRDKNDMRILRD QHAFQGLQAPVPEEKIKELQKRFKLTERQIRRCVEMYLLSKLNKLDSAAYKAYRLQVK QRLYLFNIDALKDMDADEMKEKLQATYDGVEEEYHDLLEQV PHYBLDRAFT_132323 MPVTRLQRQKMADKHEEPVEKVALQTKAKKPISAIQAKKLRAAE NTKTAAENTKAAEAAANKETTADAVKATEAVERKEKKIKKVIFADEKSDEEPEESASE ESASEESASEESGSEESEDEDLDVLLNKAKAVLEAQKAQEENEESEETRIRRLNLSKL DAGVSVKQSLYITQDNKRAYLDKASVSLVDASDTDKDDKKKEALVILKANKSEEAQLT KKERQLLRAKSTGKGWFDMERPEITDEIKRDLQIIKMRHVLDRKRHYKKMGKNYNPKF FQVGTIIESPTEFFSSRIAKKDRKQTLVDELLADEQSKQYFKRKFDETQERTTNGGKK HFKKLKQKRKWTK PHYBLDRAFT_59820 MVETYQNNSWDLDRICIDNVCHCDWRITITGCAEERQLRIINTI CIVLSAVAQKLKFARFVALILILHRTLVRGHRIFDIDIRKGCFRPKPIDSMLVMLFIL NLLRMIYCIILATDVSKSLIFRSFMFDFPLQWGYAGAALYLTGILQTLADSHKTISSG WLPSPRAADIVSAVLLFLPFILNNIFSITAGALAYNNPHGAEIMTRLLYTVWFFHCIF LCTAVTFAGTRLTRILNEHLENFSSFGERYNAVKAGILKIHAVMILLALYMIFLAVAV VIYGFFRNAVILSLPATLIMASSWNFVSPIATFFIEVSMVIRPSMVEKGSSVQKSNDG TSDVYDLQTQPPSFTKSPMHRSNIQGTLSHNAFDGLKSNQIFLPQSYYQTDSDVFPIE RRDIATMRIAGSGLPVADSQLTNVQWPSTAVLNQKRASQVQLL PHYBLDRAFT_166257 MADRDSRSRRDYSSERMAVDDDRSAEIKQKGRLFSSNDERRALR GDRMEGDGSLEPERSIEGWIIIVRGVHEEADEEGLTERFSDYGVIKNLHLNLDRRTGY GYALIEYESFKEAEAAVADANDTTFLGQNIKVSFAFVKGPEKVESRRFNDTNRSNQRQ RSISPNSGRR PHYBLDRAFT_97609 VPHVKASFFTYMLVMCVCVGGFLFGYDTGVISGALQPLQEKFGL STVQKELVVGATTLGAIFGGFFAGLLSDKMGRKILVIISSVIFIAGALLLALAPSYGV LLLGRLVVGVAVGVASMIVPVYVSELAPKHIRGRLTTLNTLVITFGQVIAYVMNIAFA SVDNGWRYMFGIAGIPALFQFIIMPFLPESPRRLVITNKLEQAKSTLRKIYGPEVTEA FIENQVRLMLDDVNSSSSSSFKDLIHRDTLRPLIIACLLQAAQQLSGFNAAMYYAATI LQMAGFRSNQNSTSVAIIVSVTNMVFTAVAVSVIDWAGRRRMVVITMLMMIAGLISLG ATFAAQQGFIPKQDSCSGYVTHCARNDKAITGILLTSLIVYVASYALGLGYAPWLIQS EMFSNSVRGKANGVSTAVNWICNLIISTTFLSMTDTLTSAGTFWFYAGISFILWIGIY FLLPEVNTINITKI PHYBLDRAFT_132328 MAKEKKEKKVKQEKMDVDSEVETVRYVSPIAHPLAEKKFTKKIF KTIKKAGKAKHVRRGVKEVGKALRKGEKGFVIIAGDISPIDVVAHMPVLCEDSNVPYV FVSSKEELGEASLTKRPTSVAMVVFGGKSKDAKDAEAAADYKEMYDECYAQAKELDEK LVY PHYBLDRAFT_166260 MNDDLNDSFYDLLGDLDPFGNSTSNDTSQNTPSNFDPLAGRGNK RSSGDGDEDEEAPIRAKRQYKKLDADLMLSDKGLPRIRHEAPWLHFKGRGYEDLDLAR LMEFYHIWADNLFPRLPFSDFSHAVLKATANGRCQTALATWQDEFEAKRNAVQHKDDG PTDIGELSGMTVEDEENERQTLSSTTTTTTATAAATAPRNLSSPQRETSTASSSSSSL QPVNTSTSSRSHIINAFVTTSIDQDDSSDDEEPLFQFPKASPNIIAAAVSKKKQETPR VPNPAKANRAAALAKLAARKREQEKQRMESMRNNDSEDEDEEDPGAEYINDM PHYBLDRAFT_98602 IVKHLDEYVIGQARAKKILAVAVYNHYNRVRANLSLEPPHEDTH GVSADQEDRNQRRSWINPPSEAPHKPNTDKPLATPAIPEEKTVYEKSNVLLIGPTGSG KTLLAKTLAEILKVPFSMSDATPFTQAGYVGEDVELVIQRLLQSCDYNVKKAEMGIVF IDEIDKIARRSDVHSASRDVSGEGVQQSLLRMLEGTTIHITDKSSGGQGHGRRNTSSG SMYGQGPPGKGETYTVDTSNILFILSGAFIGLEKTVQDRMAKGSIGFGASLRTIGKDS SHALQPNQPEPLSLVEPEDLVKYGLIPEFVGRLPVVASVNSLNVDDLVKVLTEPKNSL VAQYKGLFELSQIGLRFSKTALKRVAEHALEKKTGARGLRRIMESILLEPMYDAPGSY IRQVVVDSKVV PHYBLDRAFT_166262 MPVYSPSLASFWDDMRAIEPPSIRLERRARERSSVWRHSFHPLE MPPAETTANNPDYSPISSTDSEPIAIFEADSSDDSQTTTPGRPEDLETVVRALHRFHL FRRLLPATERPSALGLSLPSTAVLSLLCDEDNKQEWLTQYLTPDGDFDPGLAVLAAAV YEQSRTEGPVCCRDILTAGEELYGEDWPSRLHPKGRSHVLDKLSTVAHIQQKLKDSGR LETVDHAIR PHYBLDRAFT_166264 MNQFTGPNSQNNAYLQNAFVNGGQGFMTGIPSDLQSVVSGFSPQ PLPQQKMYNPAQFNATLAAGANTGLDAAASPINMTIMQNAAQRPNASQMMQNKQHQQA QLQQQAQLQQQAHIQQQKQAHQRRQFLMIQQQHMQKAMNQQNIVGFTGPQMGINNISL DPQSFGINMAIEKAALEPKLTMMDINSKRVETILAMNQELIRLCIDHQQRDTAPDIDL SEYQKRLQSNLTYLATMADISMIKPGERRPEITKFPL PHYBLDRAFT_166265 MNMGMNGIGLANDVMLNNGFNNLAQSGSPISQSLDATMSPSMTS TSMSANAMNPADLITNGIINPAMMLNNAPGLNMMGMYGGNNPGNEDAGNLQNVMMMMQ RLQQQQQQQQQQQKEFEEAFTSRS PHYBLDRAFT_59829 MKISLAITAAAIAFGYVDAKTFLLPIPQSVEWTGNSASLSKDFK ITGAKNPHVKDAAKRYNSLIHQEKWVPVQVPYTKQPALGSAGTLKSLEISVKDNYAKL DIGIDESYTLDVPSKGGKATLIAATWVGAIRGLETFSQLVESGKHDGLIAHTAHIIDH PTYGHRGILLDTARNFYPVKDILRTIDAMAFNKMNVLHWHVTDSQSWPLYFKSHPELS EKGAYSSKEVYSPKDVETIIRYGESRGVRLIVELDMPAHTATIAESHPDYMACIGEWW GDFAAEPPAGQLNPINEGAWKLVEDLIKEATHIFPDTLYHAGGDELNTACWPKEKTIV AYAEKHNMTYNEIWFEWENKLLDFVKTQKKRSIIWEDPIKDGGSMPVDTIVQTWLAPP STYTAKGHDVIVSNYAYFYLDCGHGGWVGDDERYISPTQQETPEDTFNYGGVGGSWCS PFKTWQRIYSYDMSFEVKDSHPGKVLGGEAALWAEQSDYHVVDGRLWPRASAAAEIYW SGSYDNKNQRRTVEEFQPRFLDWVYRLQSRGINAEPVQPKWCAKHPQACDLNNPKKVA A PHYBLDRAFT_143275 MKRSLLTLTLLSVAFGQPVFLWPKPQSIQWGDVQLNLGRSFNIR GPDIAVLEDAMRRYTHLIARERWDPVQVSDADNVNPVHASKHLNSLDIEIIDKEAALT FGTDESYTLHISPENTIGTLKAQTVWGALRGLETFSQLVQAKYNDSEGEDEDEDEYAY EDDNDLGFQGLFIPQTPIIINDEPRFSHRGLMLDTSRNYYPVKDLLRTLDAMAYNKMN VFHWHITDSQSFPLVLDSVPELAKKGAYRLHGKKLVYTKQDVRVIVKHAHSRGIRVIP EIDMPGHTGSWAKAFKQFISGIYPLFSTCSDRFFLDPSNSWEKRYAAEPGSGQLNPIL KGTYTLVKQVIDEVTALFPDEWYHGGGDEPVYRCWEDAKSVRDYMARNNVTGLDLLNI FLEKELEIINSVTENHLAIPKDVVIQVWKNPVKKAVKAGYKVIASSSNFWYLDCGHGQ WSGNDTAVNEQSPPVIKKPLQKALEKYEGSDNYNPSNWGGSGGDWCSPFKSWQRMYIY DITYGLSKEETKYVLGGECALWSEQSDETTLDARLWPRAAATAEVLWSGNYDEDGNKR SVDDAMPRMFDWRYRLLKRGIRAEPLQPLWCGSHPHMCDAAFPAGYL PHYBLDRAFT_154948 MGSDTQEDRMPMLIPMAHMSDGESSVFGVTAEQLVEMVDRKDLD FLDQLGGVDGLARALQSHPIRGLSSTDCGMIGPVNTADPNKEDRGCERIEAFGINVLP TVEQASLWQLMWIAFQDKTLILLVIAAAVSLSVGLYQDLTTVEYDSSGNQVPGVKWVE GVAILLAVVLVVVVGSLNDHNKERQFRKLNAKKDDRLVNGIRDGVSCVLSIATVQVGD ILRLEPGDILPTDGVFVQGHHLKCDESGATGESDAIHKTSHRASLGWSTQPFSMSCSS SSSDTQTLVCSTEEKEVWLDDSASVASIASPHPDPFLISGSKVLEGMCTYMVTAVGPH SFHGRTLLAMQVKSETTPLQEKLDGLAGQIATLGSLAGGLLCVVLLARCIAGYWLSDE PLDPTQVVSQVMRILVTAVTVVVVAVPEGLPLAVTLALAYATRRMLKDNNLVRVLAAC ETMGNATTICTDKTGTLTQNIMTVVAGSFGQFGFAVNPEPASDLCDPSNIGRRLPLSL RQSIQQAVAINSTAFRTTTTDGQTILVGSRTETALLSFATECLDSPPFEILRSDWPVE HVYPFSSASKLMASLIRVNSSSPMYRLHIKGAPELLLPHCSHSVDLPMTPELLAKFHA TQHAYASRSLRTLAIATCDIDGWPGNRLLSDILEENKLHLLGIVGIQDPLRVGVKDAV RACQRAGVCVRMVTGDNIETAKSIAKECGISSMESLVMEGAQYRQQSDSQRQALLPRL HILARASPEDKRQLVLDLKATGETVAVTGDGTNDGPALRSADVGFSMGLTGTEVAKEA SSIILMDDNFASIVKAISWGRCVNDAVKKFLQFQITVNITAVMLTIISAVLSDEQASV LTAVQLLWVNLIMDTFAALALATDPPTPDLLERLPTSRSSHLIDRRMWKMIIGQAVYQ IIVMLSLLYTNVLGLAHVPATLQTMVFNTFVFCQIFNQVNCRRIDNKFNVVKNIPNNP FFIFIFIISVVGQAMIVQWGGPAFQTIPLGVSQWCLSVLIGSFSLIIGAVLRLVPDHL IQIPGRSFYQPISQEHS PHYBLDRAFT_123569 MSLQLTEFVKRPSVGRTGKPVRVRTNFFEVTSFPTQNIHHYDVT IDPAGTPPAVYRKVWKCFEDLDGQGILKGIKTIYDGRKNVFSPKALNLGEEGALAFEV DLQEGDAASKRSGGMFKIRIKKAGEVNMEELRRFLAGQSPCTSNCLTAIMVLDVLIRH MPSMLYSTVGRSFFTPNDSRPLPNGAEVWQGFYQSARPTACKMMINIDVSATAFYESG PLPQIVAKILNRRSVDELRQGIPDRERNKLEKVLKALKIQVVHRGDIKRKYKVTGVTP SAVERTMFKDEAGNEQSVASYFQKQYNKRLAYPFLPCIVVKRDIFLPMEVCEVVPGQR HLKKLNEKQTAEMIKFTCQKPNIRANKINQGLQLLQYKNNPYLQQFGMAVKPEMAMIN ARVLPTPQISYHASSQEATFAPQGGAWNLRGKKVAQGAVLGSWSVVNFASQVPMPALQ RFVRELCQTFIDTGLNVVNRSPPITQADPQGNIDRTLKEAWLKAGNAAKASPQLIFCV LPNTGVPLYAEIKRISDTVIGIPTQCVQSKHIADAKKQYCANVCLKVNMKLGGMNLFL SPAQIPFIAQRPTIIFGADVTHPAPGDMNRPSVAALTGSMDARASRYASAIRVQANRT EIIADLANMVKELLKNFYQSCGQKPERILFYRDGVSEGQFKQVLDGEVAAIRAACLSL DKTYNPTITFVVVQKRHHARFFPIDQRDADRTGNCMPGTVVDTDIVHPFEFDFYLQSH AGLQGTSRPTHYHVLHDDNKFTPDALQELTYRLCYIYGRATRAVSLVPAAYYADLVAA RARFHRRGENWSDTDATSESMDSEAQMASFAVVKSDLQKVMYFM PHYBLDRAFT_143278 MGKSAKFYRRPTKKEKEGLALKKVADPAASVAKRTTPTKKKTLV EAAMDIDVPVKKTTTNPTKPEEKEKPDYVDLLSGKKTYKKKAKQSKMK PHYBLDRAFT_123571 MSFTLETKLAFKVLDGYFENYSYVKGNEASAADKEVFEALPEGP SPSAFPHLARWYNHIAIVKGYTIKAAVEGSVAAHAKEVVAAEEDEDDIDLFGSDDEEV DEEAEKVKAQRLAEYQAKKANKPKTIAKTTITLDVKPWDDETDMEALTKAVKEIAMDG LLWGGSQLVAIGFGIKKLQINCVVEDEKVSMDDLSDKITDLEDFVQSVDVAAMQKI PHYBLDRAFT_59834 MAQKVFSTKQRYMWVDEVLSASNYYDMLDIPSNATPEDIRQAYI KKSRVCHPDRFVPPYPPATESFQALSLAYNTLRVPNSRWKYDMKRQCSYTYHNTLDTD DPFEHLYNEILQGQFHTLRTVLMVNTCCTIARFEILQIYELQSKQRELSYFDIRLRLQ HSISISKILISLPLRASTFASNPTQKQTPPADNGLLGSKVEQFLKNVLRVLEIGEAYI PHYBLDRAFT_166272 MPVLPTTTSAPIPWLSTKPVILWFHDPGVVFTQDAVINPDHFPN IRDGQLLRIHFPRSGSHPPVTLPGPTSPQQSGNSLSQPFSLAQFERDPLIVKAACVDR ELLVRQQHLQISVSRDIAERFNIRFRPEVYVELIDSSSVTLSHVELWFKEQYLGRSDM WRLQQSLKGACVYVEKKLVFAGVIKATVKKLYNDGQEVSSGYISDSTRMIFRSGSAKY FVYIQMSREMWEFDEDGELYFEKVVNNFLPQLFQRWKDMGTNHVVSIVLFTRVFYDAD DPLDNMVNQAEDGRFYKDFYKVLADWETTDDWMSIIGPLKKEQLKFQQQVLTRTDDGK TIVCGRMGMAFEGNLLEAVNLALNPFEKHYVDRDLMRTGLSIILITPGAGKFSVNKKL LRLTNERMTDNGIAMDLVCLSPLPLHITPLLFYISSALPDDIESCATPRYSKNTMGNS PDKNSSGKKVAAQTDPLYRDDTSTTTQTYCSIPHWIDCSFYHHETGRFLKQDKFKTRC KMYELQMMGIMEHDIATISVPSLAEQFPKSSSASIIKQQRSSSSLNDKLTLRSEDHAA FSGAPVEGYLSRSANFPSNPRSSYITTSDDIPSFKAILPSSTDYSTYDSMVFKDSLEP RFNRRIPPVKLHSAPKQTSSGTHRSSFDGPDRQETDQWQGNNTRTCAVKSSTTWHHGD QRRLTSIMSQSQQANGKITFNSPVRRDDQVTKGSDPFKGSPTLAREDVYTRFRSARRG TMNSNDTKFPNTTDPGQQIDRLLVPEDDDSVLVSSSTVDPVPINNKGFGRMDSRKTAG GHSPRQPIISGSMPSLHKQSANSDIRTSIGGVGPNRSSPHNTNRPTNSRILLINPCNP ADQAMAFTSYLRRWQHALPKAEKHDGPVVYWKSLSNPACLPLTTDYFPSPEERATFYE LFMYTVSASEDVNLYQAGDHTLSERKRTENLLTEMLSQRLAQGFQIIVDSTGSETYSK KSTMENQTKDKEAGMTAGSNGHTNTKTAMGSLQDEPDRSKWNQRMYWLSMGHQVHQVT FDSSGQNVEVRRYVRIISFDLKKIIYTCAIWPKHLPGYRPKNVAFNYPSISYGWNYLD HLVAGYQENLTDNLRFWRARFIIIPREQLPPNVMVPGMSHDHLDDEEKRLALFDTWIQ NIRKVRWWTPKEKEEMQTKRKKELCISDFGPKLTTMDSSAYLTSEVANSVGNSIGESL VSQHNSLLSSIGSLGQPLGLSKESKSKDIVIAMRDFKEGLKIKDRRWHFRMFRNAFVG SECVDWIVSQFDDISTREEAVAFGNSLMARKPPLFVSSTDRHGFLDGHYFYRLHDEFT PGQQKAWFLNNSTQSSRRSTTTSLATHHSGGKDSKESSNLNEKTGARPSVNRDVEEPV IFEMSRSMIIDVDPYKKSDRRETAILHYDTLHNPKNCYHLQINWLGCTAQLIQELLQN WSRQAERLGLKVVEGSVEQAYEESENNNPFQCPVPISFAVAPPSVSEINPKYEVPDQF YAIALVRHMGFVLDVEADSRFDKAKAEGIKLKYSYVKEPYKYDQYVHRSGVAFVQIRP DNQGFFWVNNRLYTNHTPALVARRRAETSTLMHPDVLRTTFQARCNDRAWLTEFFETT RAQLVHPTEHEDSLGSWVLENVRAIDDLATEDTEHDLVLDPISPTIAVSSGASTMPFV TASQSSTTNTSTTTTTTAAATATAAATATYTVTATATASNVTGGTNAANVTIGPSSAP TTAPLSTGIASGPNTTSIADESHGGPSSLGVLDVTHFSTPPTSI PHYBLDRAFT_166273 MPTEPPMLVASSSSTTTTSTMSSSSVDYLNETIAKASSLPESFY PEFLQYSKETYEQSTGGGQSMQRKKQRKAQSTGDSKEECMEDSENEEDEEDGGDGSQK GPSPIEIRRQIHIQSEQKRRAQIKDGFEDLRNELPACLNKKMSKVALLHRTVQHIQHL KDNQMSILSDLERLVHENEQLRKFQENILQKQAMDNMYSMSQM PHYBLDRAFT_110160 EAVSFCWKKAVLENAMRPDEGICLDVIRVAGLSGDVKLATEVLQ HLGENGYTYKEYYFAPLMEAFMNKGDLKSAFSVLDIMRASGITPSAQATLPIRNHLRN QIDKIDEAYFILEQLKKEDKSVDVTAFNVVLEACADAKDVERTVATYREAASLGVTPN VDTYNAVLIACINTRMRDMGKLVIVEMKKAGITPNTDTYTHMISLECTSREYENAFNY LEEMKSYGAIPPQMAYVALLRRLAQEADPRYLVAAEEMETFGYKVPYAIQQNWLKAKE Q PHYBLDRAFT_143284 MEDNRSSIGSSISVRERAAAINIALTEEQRNKNHQRKARSTASR APWRGVGASGTTSRLNNSTLNSNNERNTLYRTSQATRPSASHIREARHGSSAIRIDTR THRAEYFPYQKPTLASANHVNTIDRWANHSGKSSRFSIARNSDTAFQTSRQALSSSSL ATKPFELSSGSDAYKMRRMRDSDDQDTLSSRESSVQGRHDCHPTLENQRKRKLGTEED DRDIIKRYDNKRPKSSTRYSQPSNTSTVSAHIRRSDQESTLRNSNEVSRNSDIASLYA RCLQYQYILIGNQKAIEAQKQAAESELWQGYKAVEKIQRLTENNKRRNSVMPSIHGSK AVHDSQKKLFEEIDTRLEAFASIYDKFEIVLSQGESKNSPEIGKSFEEERQNYTEYVE DLGGILRDIIQCVESMQGPEYEPSDTMLGYVKLLIECKQIMDQLVQVEVEHRSLSALL SRNPSC PHYBLDRAFT_59839 MKGSLEGISGSGNLLRATKLQIKLLRSLEFNPVYSNLEKVTYLD FKYYRCQAAFAAYNLFQENDHDYMGLWMLYTLDVQLFSFFFSILMTMMVGHVYRRLHV VEFKLNFGISG PHYBLDRAFT_143285 MPYKVATNRSFQSEFGIQSLGSDDERNPSHAQNQDSDRWSNQSP RSQQTQWDYHHQSEDSNEDFIIPRLSLPHHDSRANLGYLKIIICGDSGVGKSALIQAL LSSPEVLLVCDSSVKSSMSLSSTLGAEDGEKYVSEYVASTMQCSEWKRTSARDRHRAH DDKIYHKNLCLVDTPGYGSSIHANDVIKPVVNYIEKQFLRTRSLLNPTLTDVDDICHL VNNPNGAHSHIDCCLYLTLGHLKNVDIEYIRALQPFCNIVPVIVKSDLLQPEDEKKLR AGVLQTLKHHNINFFDFGYSFEDLLQNVFKSDFSTPPFTLSNLTRLNWAATENTSVIS SRDGVNSDEDSRISADVRQLNHLKDCLFYNKTNALRFDTASKFATWNQKQVPHIDQYD FPPSPRASNRVKEFYAMQSKDLKSIELHIAHYVSERRQDMEREMLGREQILRRDLKLA NDAKRAEIVMRELGGLLHINPGSLSYSPSKRTWLSKYSFWAVLMGAISIIAVMVLNSI FKGCLSR PHYBLDRAFT_59841 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAIKEFSQVSSEEPAHLTTAWKNLPATV ARDASL PHYBLDRAFT_166279 MNFELLLASLKEDERNLIDNECDLEELAIMAKHYYSDLDYRSHL FRDLATEKAPVVNYSINGNKYNMGYYLTDGIYPTYGAFVKSLNDPRTPKEKNFAKAQE GVRKDVERAFGVLQARFAIVSGPSRMWDRDTLHDIMTACIILHNMIVEEKRKSMEIGH ADNSERIADERQCIDIDPVSTLPPVVSETSGNPKPRPALPIETGFD PHYBLDRAFT_166280 MPTKMAILLKSRCLPFKYPKLELKAKAMYKAEMKLNFTAYHCYR YLSRYPKWQETAEKSLEKQKNKGKGGMKKSMSVAVEGQQQDDDGTSDMSGTRPAGRKQ MKARESGVRRFEEMMEEMHAMKASSALELKERSARILKSIEDQAEEQMRAAKARQLLD EEEMASFKRRRLLEEEEIASAKIRRQINEEELASAKTRRDMDEANTRLIKINTLIAER KLLSFDASSISNPAARKKFLDLQPQVMEDNTDSK PHYBLDRAFT_166281 MSLISQIPVLIVVKTLKNSFFNLGDNREKIFITSKSLAFHPRSL EGWCSLNPRVTFPTPMCTPYEMFACFPIYTPISTGPTRSFFSVPEDVTVYIGKQLNVS FQLPIKINEFSIFDFLISECKTLQTNPFQMFIDQTIRNFFKFQVKISRENTRLLYKPL LDIENSAIFYV PHYBLDRAFT_89425 ADKVVKVPQMAESISEGTLKQWVKKVGDFVKQDEEVATIETDKI DVTVNSPASGTIVEVFAQEEDTVSVGGDFFKLELGDAPKEGSVQTKKEEPKSETKAEP KAEVKVESKKEEPKEVKATPTPTPTPAAAPKAAAPVAEDSKKVFGNRTENRVKMNRMR LRIAERLKQSQDTAASLTTFNEIDMTNLMNLRSQYKDAVVKKHDVKLGFMSAFVKASA AALEAIPAVNASIEGNEIVYHDYVDVSVAVSTPKGLVTPVLRNVEDMSFIDIEKTIAA LGKKARDNKITIEDMAGGTFTISNGGVFGSLMGTPIINMPQAAILGMHSIKERPVVVD GKIEIRPMMYVALTYDHRIIDGREAVTFLVKIKEIVEDPRRLLL PHYBLDRAFT_76065 MLHELLFVLSGYPGDVFIPHPPQNPSTFVIPPEFPLLHPTERES LNRLAQLGFVFAQLTQFVASVKDLKQPNTHQKPCGAYLQAVVTTLEGILNNYRQDIIA MEKRILNKDDEAGDNIVPIALLTSNLGRWELLLPALSKFVLILKTDPTRYHGCRLFDL LMDQARTGMPELREAMEKMIMRLHDVLYRQLTAWMVYGQWADPDEEFFIIRTPDIMNE RENTGSHATGWQRHYSLAIDRIPAHLSQSLAESILFVGKAIATVNSMGGGQLESRSAS SLLLNKRQKIPIPEEMKKRHIRLLLSLHSSNANKKLRSSPWIIFPQQLQKVVQQIRKS TAEWLFSQVLVGEHGLQRYLESFRQIFLLGYGDLGMNLVDECSLWRQRSISSFSTSVL NRSQPFAKHARDEEDERPIDPKPLSKTAIIFRYQELNALLAKATVGTEAEDNLKGYSL EWVEKTKDIKNPSYQFADLLLVDGRCVLVYEMEWPIDLFLSQADLAHYSELWCFLIGL KNVQMTLGSLWKTLRSGKVDNKSKNPLLLSEESSTDVEGEYRERVVWRLRFSMLFWVD TLWNHVQSNVINARYQRLVTTIAPSFQAKNDDPEHPNQAIFGIPNALDFEEIQAAHEE YLQDIMRGSLLLSTTCADTMHDILKLCLDFCELVERISEDGVWQSTKRRRTTKTVAEI VDEWTKNSGKPMPRYAWMDQIDAIETNFSSLTKRFFGIVSNQQQDIKASGHLDLLLMQ LDYGKYYSNSGK PHYBLDRAFT_166284 MSMRAILTTKLYLMHIFFCQFFSDCFLLSTLLIQTSPFRCTNLN CLENLDGSEVMHRLWNRDLAAVLNFRHILNNLRYDGTIPVRFTRVIRIGRIRRQAEED LQEGRRLRQRLTRIQIR PHYBLDRAFT_132343 MSFLDEIAKYPSESSTIPQKEYAKSSSDATQNSQISITEPASAP TPVSDKQVPTDGWMSWGNSLWTQASAAVKTTTEQINQTIANESAAKLLEDRMKHLQGL VNKENIEKLGTGLRNLTVQSMNTFLETVAPPISEHELVEVWLSHDMNGYEGMESLVYR SFARVMENTETGQVVVRKGGNKGESNKSTGNVANDLNMCEGALEGTKLAKANIDNLIK LHYTAPEEKTDYIPQQGAVPVINCPVFMAIQPVKHSVPLFDEDDTEKQQLVYVLLLVD PTHNLKFKTYSQSIPLSWLEIAYEENEWVEEKLTEVVQAAVITLAQDYVWTRMTGGKS SATGASDQTEEKAKVEHTLDV PHYBLDRAFT_59849 MAPIITKKETVIIDTDPGIDDALAIIMSLLSPELDVRAITLTHG NASLDMIKRNAVTILNVVAEQRAFLGLPAQVPILAIGCASPITVGQPCVTATHFHGRD GLGEIYENNLYQAPPDWESQLLHAAKEVTKESFHAAQSAFQTTPRDAADEILFHLKNA PPLTITICAVGPLSNIALAYQRDPVTFGRAKRIMVMGGAINVPGNVTPSAEFNFGADP QAADIIMGTTKGFQHSPEGYSSRLALINDGKVAPSHVVVVPLDAADDGTVSKQDYEKY IVPLAKTTPVATFCNSFLIWTFDISSKLYGIDTLSVFDAYTILGTMDMMFDKGDKQGH EHYDAFWKYEYIDLRVESTGLYTQGMSCYDRRPHPSMSWPGIPNNVQVMLRGNGRRFN HFFLNRVFDAGIII PHYBLDRAFT_109796 MTAYTPPSPGSKIIIVGGGCFGLSTAHALSLKKKYDIWVFDRLP IPATDAASTDINKIVRMDYADDTLYLQLMLEGMPIWRQWNKERAAEGLGPVYNETGVL FFGSNGKFSDYEKKSIKSITEAGYGHVIEHLPTPKSITDKYPQFKEAVENGYDIAYLN KDGGWCNSAEAVKHIYNKCIKNGVQFVLGPDKGTFEKFQEKPGSSGVKEVVGIVTKDK KVHYADRVIMATGSWTPGLVDISTQCIATGQVVAQFQPPQSLITSLKNQPVWCTDVSR TGYYGFPVNEGGRMKVARHATGYIAPRLQDNVSVPRTQVTHSGDTIPVGALKHMRTFL NGFLPQTSSLDVVYSRICWYSDSIDGGFVISPHPEYKNLIVASGDSGHAMK PHYBLDRAFT_15901 MTRRLVPESIIKPPYAEQGISSVWNPEVPLNSPQDIVGLRKAGS LAKSILDLGQKMCLPGTTTEEIDKALHAIIIAKGAYPSPLNYSGFPKSVCTSVNNVIA HGIPDERPLQDGDIINLDITVYLDGYHGDTSATFLVGEVDEKGKALVECTRETLEKSI NICGPGVPFKEIGRVICEHADKYGFSVSEELSGHGIGREFHCHPLIYHHLNDEEGHME TGMSFTIEPILCQGSPMGIMWPDQWTISTVDGGRSAQFEHTLLVTENGFEILTK PHYBLDRAFT_158281 MDRCSSHSNEESPWSKVFKNKPNVSSNPMSEVMRPSNYTLGDVS EQAKRKIDAIINKAIVSCRRDSDWNKIYRAVSTKRTNENQEELIALSQGFDSMKLDTV DPSFGRFLKLNLRWNEVLNMLKQFYPMTAGEINIQSTRHLLLFIPNAVMGYFAHFEYR SDNKSISVYVRSKETRAVEGELDDIEKPFVCSLATTLSYYIWKNTH PHYBLDRAFT_186233 MADPRRVERPLAFPQDPIPPSHLLARIHPSIIDFPTQRYYAVSA FILLQALKAYSAWKDAHYFEHDPSYNTAWMWCYADIFYMTMLWYIRIPWLQFTKFKTI FILLVLFSLNFLMFVIPVTAVSIALFKNTFGDLFTRRIGASRAKKVNVNGIINDPSHI LGRHTLHILPHGSAELNPFNEFYCLPARSKGKKEIFIPISMNNTIPYSLTMEHFDFDT KLNTTKGLSRNDLKRTIELNSKDDGAEIYYVRIKAPGLYTLKDVKSRNNIDVRTKHKA VYVFTCPTAEFESSATTDLCTGDDGPVGIKVSGVPPFSLGYIQRVGDWEFPSKISQIR PQDVNVLPAEKIHDMDNVDPEFFRPNPTQFYSWAATHHQTVYLKPTLEHPTLYEYQLL NVTDGFGNTVFLDQSSVINFRVHEHPSAKFACNDVDPPKLLVNEDVAHIPFELQGSGP WKVGYRHIQESNDKTTEFNVSLSVPMASLQVRSPGIYELLEVSDSYCKGNILTPSTCR VVQPPLPSIEIRETPIPSECSGTDFIGMKFFTELKGTPPFNLKYNVYKKTGRSKTIVG SWNETIDRSRYIISYMPTTSGDYIYEFESLSDKNYRDQDISKYAFSHTVYPQPDASFD ESLTTLKTIRTCVGESISLDVKLTGARPLTLFWSISGQLYSEEIQDDKYRLKIPNLEK PGPHVVSLVMIKDANGCSIDLEARDVVIDVRRERPTAGFYTNNQFNGSVEITQGSTAT LPLRLAGKGPWMVGYKNTEKENAETNMVVTEDPNATLETKEPGTYEVVSVQDEFCRGN VIPSPYTVRWIDKPVMTIDDETAILKQEDAYEKPGVCQGASASVGIKFQGHGSFYCSY DKYQVGSWKLNPVHLGTEEINSVYPNAQVNLDTNTSGKFRYLFNKIADQRYSDPFVTF HRQTVEYTVHPLPTVAFSGRTKKQHSLCVGDSLLSNDMPPIWLDLTGQAPFVVEIRVK HQTANHLQTHHLSVPTHKYKLELFDVLETSGLYNIELVSVVDNNGCHSTTPASNPVLT VEAMEVATISSSDTCADHCVGDDLDYTLYGVSPFAVSYQFNGRNKKITASSSTLSILA DSPGNLTIISVGDKRNKCTTYINHMTSIIHQTPSSFISGGREVFENIHEGDTAQAVID LVGTPPFEFKWQRSELVWNKDKNHHYKGNVLETHLVQDVQDNRYYINTAVEGIIEVTY IKDRYCQYPRL PHYBLDRAFT_154953 MLWLLDPIAPKDKGRKCLVLDLDETLVHSAFKVVPQPDFVVPVE IDHQVHDVYVLKRPGVDAFMKRMGEIYEIVVFTASLSKYADPVLDIFDRHNVVQHRLF RESCFNYKGNYVKDLSQLGRDLSKVIILDNSPASYIFHTANAVPVSSWFNDPHDSELL DLIPFLEDLATVDDVTDILDNTIPGPFSHL PHYBLDRAFT_35933 MGLLTILKKNRQKEKEMRILMLGLDNAGKTTILKRINGEPIDTI SPTLGFNIKTLEHEAYKLNIWDVGGQKSIRSYWRNYFEQTDALVWVVDSADRLRMQDC KQELSLLLQEERLAGATLLVFANKQDLAGALSEQDIRMALGLDDIKSHHWAIMACSAV TGNNLLKGMDWIVSDVASRIYMLD PHYBLDRAFT_186235 MSRSKAAEEAFLKQQASGSHGPVRQSRSSAISKQVLKNNPIPQT VHSSPNLLLPNTARVKANQPLRSTKVSQKLVLFPQASTEAESTMQATLPIDGDYHFVP DHEQQGDHIPFEREVWREQPQGGVPLPVEWTFGSRTAAERMTKDERDLAILPRVAAYC TGDGYDLDRLRPFLREHHHVTPRLYDECLYAAYHFPLRTLRPGKDNFYNIRVRSSAPV PAQTPVEEQEMNYDEDHYQQDENVPEHGRSGHSYPENYDRRPSVSSAVSMPERQLSSI EQNSEPVHAEHMDVIKEREEDGQSYNPDKFENYGNGDGDQTPQVKDEYLNEQNDYFSS QQKSVSAPVSPLANMTDTPGLSEHPPQVQVAPNGPPKKPFTGGEIFIFDYGVVVFWNF RRAEELLTLEDLAPFSVRPFRDNPDEDMQIEEMHFQYDTSQLKPRIFNDMITLKTGNH MIKLTLSHGLSQSAVLARYEDIMDKTIEDTKHLPKEMAQTGRLDKNRTEITKINGQLF NLRMNVNLVSNVLDTPEIFWSEPALQPMYNAIRDYLEVPQRAQILNDRLRVISDLLSM LRDHLTNFGVEYQTLIIIYLIIVAVIVACFEIAVKIMQSIEVV PHYBLDRAFT_154955 MVQKKNSSSGIPVAPPPAPDLPKAWGPKDAIPITPREIEAILQN LGRKFGFQNDSVRNIFDHLMTMLDSRASRVKPQIAIDTLHADYIGGEGANYRKWYFAA QMDILDDEANNEKRIVEEDLDEAQLLEKAEERWKLRMRALTNDEKIRDLGLYLLIWGE AAAVRFTPETLCFLYKLASDYYCHGECPDIPEGTYVDDIATPLYRFFRDQTYELINGR YAKREKDHDEIIGYDDVNQFFWHPTCLSRILLTDEKTQLGDLPIHERWLHLKEANWKL AFRKTYKEKRTWMHVSINFTRVWIIHIVSFWYYVSANAPSLYLDPDPEIAKLESSVQW SIVALGGMIAVMLMLIGSFSEYSYLPMSWANTKMISRRIMILFVLLLINAGPSVYCVF LDRQSGISKLVALAQLLISIATTLFLAITPASRLFVRSTKKNVRSTLANRQFTANFPH LKKIDRIMSISLWILVFSCKLLESYFFLALSFKDPLKVMSKMSIENCQDKIMGTLLCT YMPNITLALMFMMDLVLYFLDTYLWYIIWNTVFSVARSFYLGISIWSPWRNIFSRLPK RIFVKLLASSDIQIQYKPKILCSQIWNAIVITMYREHLITVEHVQRMLYQQEINPVNG QRTLKPPSFFVSQEDTAFKTEYFPQHGEAERRIHFFAQSLTTPMPQPRPVECMPTFTV LVPHYGEKTLLTLREIIREEDQNTRVTLLEYLKQLHPVEWENFVMDTKIMVDEANCID SVESGGSIIGMNEKDTVKTKIDDLPFYCIGFKSSKPEYTLRTRIWASLRSQTLYRTIS GFMNYRKAIKLLYRVENPDTIHRYQDNQEKLESDLDRLSRRKFKLLIAMQRYAKFQKQ EAEDAEFLFRSYPDLQVAYVEEVPPEKEGDEITYYSVLIDGRSKILENGKREPRLRVR LPGNPILGDGKSDNQNHAIIFYRGEFLQLIDANQDNYLEECLKIRNVLGEFENLEPTE ISPYSPAWQNVETSPVAIVGAREYIFSENVGVLGDVAAGKEQTFGTLTQRIMAKIGGK LHYGHPDFLNAIFMTTRGGVSKAQKGLHLNEDIYAGMNAFTRGGRIKHTEYFQCGKGR DLGFGSILNFTTKIGTGMGEQMLSREYYYIGTQLPLDRFLTFYYAHPGFHINNIFIIL SVQMFMLALLFIGAMGSTLLVCEYNADAPPDAPLIPAGCYNMVPIFEWVKRCILSIFV VFFVSFLPLFLQELTERGFWRGLTRLGRHFVSLSPLFEIFVTQIYTNSVLENLVYGGA QYIGTGRGFATSRIPFSVLYSRFSGASVYLGARNLLIMLFISLAVWIPHLIYFWFTVI ALIISPFVFNPNQFALIDFLVDYREFIRWLSRGNSKSHKSSWINETRSLRTRITGYKR RLTENAIVTSDTPRARIGSVFFSEIVIPLCNAILCVVPYVFVKSFDPDDSALPSTGPS GLIRIGVLAFAPILLNAGALAIFFFVSISLGSILSLCCVKFGSVMAALAHGWSVLNLI IIFEGLMLLEDWKLLNIVLGLISMVALQRFVLKVFTVMFLTREFKHDESNRGWWTGKW YGRGLGWHAISQPLREYLCKIVEMSEFAVDFVLGHFILFFLSVFCLIPYINTAHSLML FWLRPSKQIRPPIWTAKQVRTRKKIAITYGILFLGLFLSFTGLLVAPLILGKGLKLVN TRKLPI PHYBLDRAFT_98765 LNNPMWDKLLPDPLPEPYRRPYTLVINLDETLIYSTWDKEHGWR HAKRPGVDYFLSYLSQFYEIVIFTSQSSMNAIPILEKLDPYQYSMYRLYRESTRYVDG QHIKDLSHLNRDLSKVIIMDSNPSAFSLQPENGIPLKPWKGQPGDKGLLEFIPFLEAI ALTNPDDVRPILKSFEGKQIPIEWAKREKEMEIMHRKQWEEEQASKKTKRNLGSFLGT GGGVAQATEAPPPSYLDQMRKNIRETFAAEHEQMKQQQDEIMKKDIEAQKEQM PHYBLDRAFT_59860 MVFVTDSHRPPKTVAISVLVCLSNKLLHKRPNHVLKANNNDKNK ANISLSIKVFLKLLISDFIGSYLYLNLDTTLDNNGKVNCHEDKEEEEEGRKKPSSQVA GMHNIKTRTTPDDRISKHKSAHNPRMRDTDGIDLQDIKKKYKNKNNICTCQFCALATA GLHLTLTIIIKQQ PHYBLDRAFT_95047 KPPYSYATLIKYAIENSSDRKLTLSDIYQWVIDHYPYYNSAGSG WKNSIRHNLSLNKSFVRVPRPINEPGKGSYWTVD PHYBLDRAFT_166299 MYLEFVEYTIAFFGFKSLPEPTLRRFTISGLAKSGYYNCEKLLG LSSESTSTQSVNTNTEEANFKRGNEFEEALLNTLDKSTTTDYTDTPTAQSKHVLQTVK PGQTLYQLSFEMPDSFYEDEIMNTNVYRLRRFIPDFIQVKEDPITKERVLFIIDAKAS KSVHSSHQFQVATYAYLLSYIVQDIRNLKVDYLGGVWLPSDWKEPVAFRVDLMIPKMK HFYTTELIATLQSKDPEWIYNAKCKTCTYASLCERESVGTPGEIPYMTPHMIEAAKSL IPENKNDSLEELADNLKNMSIQKSNKSIPRFDDWQRIKKSPLFEPFYKSYSEKKPIFI GNPSTIISSGIEQEIYIVFVIDPTHGRMCSYSIKFNGLDFENTLKDYCTGSVDPSAKN KSDIEAYVILAANFSTNLFNVLLKMDQIKAKFSIFFYGNETKVAIQDCLCDLLSSAPK FIKNTKYCEEIVSNAKECLLNLFVGVNLLKLPDALFPDDTHLDRVSSNRMVVLEDLLR ENIALGIPGFYKLEDIAEWMCEDTKSVSKHFLQSLEKDGLYNTWVLGETKPKNSSLER EKRYHIQVYGEILEKYRYLAQEYQQQQHQHQHQQDRTSSVCIFPLVCEPFSWTRSGAI YSNRLTRLIFFKYLELLASYEKLRTERLADLCSIAKKGLSGPTNGLLLEFVEYAQSIS EARQVKSLVGHFRVVEQDLDGATKIKIDSLKSNTFQEYILVSANSQGILEIISYPDLM YRAKLRNLNITTMNVKAIIENGNVVVLSGYFKPLNLVKGTRYLLYRRHIDFNTDKVIA VLKDMGKSENADSVFSQLVEDPNGWSKQPVRRDLPVDIKATALRLRDDFAMSPSQKII SASTLQNRLQIVWGPPGSGKTEFLALFVNWYIAHIFGSCPETRPLVIGVTAFTRHAIS NLLSRIASVQSRQGILAKFQIVSLGTVMGENMIHTKADRLPALIRSLHSKNMMAATAT ATAVVVGGTVWDWAKVKKNWPEWAGCDMMIIDESSQLLVSDSALVINCLNESNGRLII AGDHMQLGPILQNEYPQMALSEPCLFGSIQQCLMRTVDNQAIPTQNFRLQKGVSNDFG PNTIQLKDNWRMASILLCFSLFCFDLLYSFIKNEELNEFFKQIYGNDFTARYPELTLR HDWSKFITPTIGRSQELKAILDPKHALTMTRLLFNHTNTSAANIEIETEAEVVAQIVK THLMTRVEASRSQTPISKTKHSPLHVTTTPAPAVLVMVVTPHHRQRVAIQRALGDTQG TVVVDTVEKMQGQECELVIACFTYLTITKSKLDFLLDFKRWNVAVSRARCKIIIVTTD NVLQLPTHKDNSGLDVFKSHTSAEGWGFVCLLRDWAEKEESVVRWHV PHYBLDRAFT_110226 MPAENLRSLENLEKSIGDKLNWFTIRTIITSGAGFFTDSYDVFI INLVSPMLGFLYYSSNNNKMPANIEGILKGMSSVGTLLGQLFFGFMGDILGRKIYGFE LIIIIIGTINCAMSSSTLRGVSAIGFLGFWRLILGFGIGGDYPMSATITSEWSTKGRR GMMMALIFSMQGIGNLAAAMVTLVLLAIFKNAINADVNNLDYVWRLCIGLGAVPAAAT IYLRFTMPESPRYALNLKKAEENAMAKAEYERVTPAEDREPERKTGHWVEFGRYFRQW RHLRVLLGTTLSWFLLDIAFYGTGLNNAYILEAIGYSSKPTPFETLWSNTVGQLIITC LGSVPGYYLTVIFIERWGRRPIQIMGFAACTVLFAIMGGAYYQLRDNAIPAFITIFTL AQLFQNFGANTTTFIIPGEVFPTKVRASAHGISAASGKAGAILASFAFNVLVDSGGPP GAHVFLPQTLGIFAAIMFLGLIVTLLWIPESKGKDLDEFEEDYIHPDQRPQLPVNYSV EPYLANNQSGFKSNGPSEYVDVPVGNR PHYBLDRAFT_177231 MSAPIKSAAQKSGVEEQVEAKIHRIRITLTSRNVKNLEKVSADL IQRAKDKQLKVKGPVRLPTKVLRITTRKSPCGNGSETFDRFEMRIHKRLIDLHSPSEI VKQITSISIEPGVEVEVTIA PHYBLDRAFT_158284 MSSVLESTQNKRIALPVRIEPKVFFANERTFLAWLNFTVVLGGL AMGLLNFGDRIGRLSAVLFTIIAMGVMLYALYTFHWRATKIRNRESAPYDDRVGPTVL CIFLLLAIMINFYLRVGEKGKF PHYBLDRAFT_186239 MGNSSSRHTASNQTTFELGSTIPNNIYPHSKQDYDNNILKQLIL NRRLGPFYKGQVEPQMSPRGSVECPICFLYYPSDINYTRCCDQPICTECFLHIKPTPD TSQASCPYCVQDTFGIIYNSWHINKNTEIQHTTFGQSPTRKRRCAIEPASPSVVLVGH LRLPKRQFNTNEIRQRSYSDRDTVDLESWMVRQAVRQSMNEYRRSNRIKLEESPGVLV PHYBLDRAFT_11687 SDEDSNLSEEEEEDEKDYKRGGYHPVLIGDRLSDGRYLIVRKLG WGHFSTVWLAKDMKLDRHVALKIVKSASHYTESALEEIKILERVKAADLDAPGREYIA QLLDHFWLVGPNGKHMCMAFEVLGQSLLSVIKRYRYKGIPAHIVRRIAKQVLQGLDYL HRECGIIHTDLKPENVLVCIPDVEQLIREDDQVMALERKVEDEIKQKQLKEEEEQKEK ATKHDGEEKEKENEKEEAPKTPETPETPAAKDTFDSKDSGETATENIETMSKSRKKRV LEAETETLRLECPVIPTAALDFSKENSPDGESTQAFDQITVKIADFGNACWTKGDYTH IIQTRQYRSPEVIVGAKWTERADMWSMACLVFELLTGDYLFDPRSGKKYDKDDDHLGQ MMELMRVVPKSITTEGEYSSEFFTRKGELRRIKKLRYRRLRDVLHDSFQVEPLEADRI SDFLSPMLEVDKNKRADAQKMLKSPWL PHYBLDRAFT_76077 MYSSTELHYFKRELVDGQLRSEVASLRRHPSMDGLLSKESGTYP FLNFLFQRCIVQFPLLKRTDGNAFWNKTSVFLDELAKLNLNTYSPKHTGASQRRIMAY KLQKMLTITLCAAIKSIQGNEDNLKPSLLEDKEQQETEQEKQLSKDMAHQLNFLENEE NYLAWIGASELDIDVITVRQASEKRTLREVIHAEFVVQTTVLDRPDLLVVARRHGQFR QLYDDLKANFPMEDVPYVPSKARDPSYTSHSSSTSDNHLYREKDRILLRGFLRRVASN HKLANSSFVADFLQKDPIKLNADETSDVEKRMRMDKARAEEERRFREQVDQKVNDLND LLEMLKKQIVQPGGLLQVFEIIKKTETIDKLPEPLLKAFEWGRINFAFVLHTQFVTSD KAIENISNLKRTHGLMPYRTMAKLLKHSNPFTIVKGILDLFLAQPFGGRSLFQRMVAS NMNEDAKEAQKDATELEKAISHPDLCKKLKAAVNTPLPDNVVIDESSYVVSTLKILQE PSIEPVLPASILDRLVSQQDKPEVRLEIKQLHSLWVIYAHQHEQELLTNLVFQGATGE LVRELFAIFYQPLAQVYKSANIGESIKHLSAFIDDLLQVVDGLNVEDVTNSTQLFVQL VQRHEQHFYAFVHNVHAQDTSNLFDELLAYVDQLFGFLSRGIPGKIDLLETIRKANLS TSEEAALKSEMEALCDYHRRRKEIHLQRTRQKLLESSDEAMLDFLPNHSEMAGVLNDF AEMEYEEDDEEEEEEEEEEGKEGGNKNKVVHEMTLEMPKLTIIPRITPVFLEEVVGLM QSSNVQ PHYBLDRAFT_166307 MNKPQERPSNTGILPVADERNYDVDMGKCLNASSAVRQLGIHIR AAQRWVKRYYEDSESIFENKRKNQGDVVFLGMSISAISATGLINVSLRVPERIKKRKL RCETDVISKASFLILLNALISVLTKKDYKNGHSNLNVKKYTKEGTEIIIKFSCPGCRD VFSIVSELAHHVDNNHVKRAPTLENLTIEDQRWVLNGHDISSQFQEYRQSCITASRTT EFAVESHFNELLAISGIPVLQRRGNYEDLPTDIFPPSSLTTVRTEILAEYKRNTFQLH IREAVQSIIQQFVDENITEIRAKIELLSLCEPVVTPSPQDSIEPTEYECAVIMAIVAL LSYLYDCDIYAHLFMHDLLSAKKPSKVAHCSNIVPEEFDDAVNRPDYKIDVYAYSGYR FSYTNAYGDVKKSRNVSVTLLAKDFYRLCIFSKEAIDRYNLINVLSFQVTANSVTFFA MQLKSPFLYTVTELVRFCILAKKCDLLDLMGKMDNLLFVASLYRDHCVVSENNLTPWR CDTLSSAKWQDLYLRPRNKLRVRNSCYLKVRI PHYBLDRAFT_180630 MDGSEVMHRLWNRDLAAVLNFRHILNNLRYDGTIPVSFTRVIRI GRIRRQAEEDLQEGRRPTQATAEWLRNSPVLRSRDLCRNQRFKFDPNVCLSTMGGAQS SENGHHGFHVLKVKKDSPAFQAGIEQFFDYIISIQGTSLEDGNSKELVRILQENENKP VVMGIYSSKNQAVRDITLTPTKDWPSEDPNEKSLIGCSIRYCSYERAGENVWHVLDIA PNSPAEMAGIIAHSDYIIGSPHMALKNEEDFYQLVEEFVNKPLRLYLYNTEWDSCREV IIVPSHEWGGAGSLGCDVGYGLLHRIPRRHSELTANGTEDGQQTAERYSREGVTNYSN AIFSSPDDELESPVLVHHHNHNHDHDHDHDHSHDHNHKHNHHNQESTSVILKAPPITT TDPIVITSDPDSTQLSKHLNDAVSSMTSSSIEPPSANTESKNDSKE PHYBLDRAFT_132365 MSVTETKNFVADFTKSESRKAPFPKDQMPPLFDTPTTIHNWYKR VRWVYAIILSVFPFISIYGALTTELHTKTLILGVVTYFLTALGVTAGYHRMWAHRAYQ ATPLLKLIYAVCGGASSQGSIYWWVRDHRSHHRWTDTDKDPYSAQRGFFYSHIGWLYV DRGKGKTGFADIADLKSDKLIMFQNKYYALFAIVFGFVVPTLIAGYGWNDFRGGFFYA SVARTTLLHHATFCVNSLAHYLGDDTFDDHHSPRDSWITALVTMGEGYHNFHHQFPQD YRNAIVFYQYDPTKWLIKFLEFIGMAYDLKTFPTNEIAKGRFQMQEKRIEELRRDIKF PRPIKHLPVYTWKEFQSKVQDDKEAWILIEGVLYDIKGFAHPGGEKYIQASVGKDVTS SFNGGVYSHSNGARNVLSMMRVGVLLNGMEVMSNIEAEVDELVLGKSNKKDL PHYBLDRAFT_180632 MTETYVYAYTKSESRKEQTSLEKLPPLFDTPTTWKNWYKRVRWV YSIILSTLPIIAIYGMFTTELQAKTAILSVVFYFFTGLGITAGYHRLWAHRAYQATPL LKFLFAIGGGAACQGSIYWWARDHRSHHRWTDTDKDPYSAQRGFFYSHIGWLYVDRGK GKTGFADIADFKADKLIMLQNRFYPLVAVLTGFVLPTMIAAYGWNDVWGGFYYASVIR VTFVHHVTFCVNSLAHFLGEDTFDDFHSPRDHWITAIVTLGEGYHNFHHQFPQDYRNA IVFYQYDPTKWLIKLLEFLGMAYDLKTFPTNEISKGRIQMQEQKIQRVKRDLKFGTPI HELPVYTWEEYQDLVHTQDKRWVLIEGVLYDLEGFDHPGGSKYIEAALGKDMTGAFNG GVYNHSNGARNLLTMMRVGVLLHGMEVMTQAQAELDVKELALDNTFTTKTTTTTTTTT TNSNNTLKKSQ PHYBLDRAFT_166312 MRSILTAILCIGLTGVVKATDGRWGQGCTYITLTKQIYCFGGEP FSDSKKQIPVYSLNVTDNSVVDISNPVWNTIEGVPNNISPSAASRFIFAAVPGTDLVY LKGGIVCTACTYNSGYFYNVSNNQWTKTNTETPVYAAAFTLVNNNLYYFGGKTVPATG FDTSVTILYNNVYSTSMKTGIGGPTIFPNGGLPLPQATWAASMVYSTTYSVLLVVGGE QGSSVSGPVAMDDIIAVNLKTSVYSKWNDTISTTGSLPPTRWAHSLIMDPTNTNAIMF GGCDNNGGAMNDLWLYNVVKRTWSPQKTTGTPPTPRCRHSAVVVGKYMFILFGGNNDV FNADINVALDMNTWEWTTAPVIGTPPVSSSTPSKPSLTPSDSISKPTSFEVLSSNKED SSGISGGVIAGISVGATAGVGIIGALFFFFVFKKRNRYSRANNHVEYFTKDDNFISKQ NAPIPSHLSEGYREISPGKDIRPNQLLPGPALPSGRIMLAPVKQS PHYBLDRAFT_76081 MRSILTAILCIGLTGVVKATDGRWGQGCTYITLTKQIYCFGGEP FSDSNKQIPVYSLNVTDNSVVDISNPVWNTIEGVPNNISPSAASRFIFAAVPDTDLVY LKGGIVCTACTYNSGYFYNVTSNQWKKTNTETPVYAAAFTPVNNNLYYFGGKTVTATG FDTPKTILYNYVSSTVMKTGVGGPNISPLNGSAPIQDTWAASMVYSTTYSLLIIIGGE QGSEVSGPVAMDDIIAVNIKTNLYSKWNDTISTTGSLPPTRWAHSLIMDPTNTNAIMF GGCDNNGGAMNDLWLYNVVKRTWSPQKTTGTPPTPRCRHSAVVVGKYMFILFGGNNDV FNADINVALDMNTWEWTTAPVIGTPSISSSTSGSNSNPTSPGVISSNKDDTSGISGGA IAGIVVGAIAGVGIIGALFFFVFKRRNRYSNANNHSEDFSKEENLINKQNNVIPSQSV DKRAYASPETMVKPDQSVPGSALPAGRIILEPVKPNGIN PHYBLDRAFT_158287 MNITICSGARISDMNNVDEMQIRKFGCWKNAIMYGAYLTSLSRK EDIPSRFWFDASLPPYSSTLEVRHFR PHYBLDRAFT_143320 MTMGLAGEGDEWFVSFVYFSRQIGERFVDMCRFGNSLKINGIKS CFSRHATVYKELDDLVLEIFNAEGLGSVRVEMMKELLTVISFETLSAGSNFTEERVMV LHFTHNESLFVFLFCDVCEICFHLLPSGAVKAEVPPGYYVFGWPIIYPA PHYBLDRAFT_59880 MYKNVGSDLQTRTVGTREDDLAMMRFRAAAPVLYQTFENLQAQI RSESNNMKDLLNQAITNLADISANRAPITINISALSFTMSSGTESGGSGNIQTTQFAN TPSQPQEQQGQSTALPPLKYRMNRGVKSVPELWREWHFGLNGGKSVVEMERLQPGWHN QDNTFFARRRRVVTTIKKYARENGLSEEAAMHLAEGRRVLGRKTIDFLGKIKTAYLKT NKSDNKYVSFDEYMSLNSSVLAAPYYFIYTIL PHYBLDRAFT_166315 MSDVHGVKRVRTTEDVIKARREREAGKITEYNALVRQCHEKLEA KEFSPEALTVTTQILYTNPDYYTIWNIRRLILINGILDSTKNPTQTILEKNRTIYMNE LGLFMQLIRINPKSYWLWNHRRWCLETMPKPDWNGELKLVVKMLTMDARNFHGWDYRR YVVRQLRALAQAKQDYEEEKQIVRQEYEFTTQKINQSFSNYSAWHQRSKLLPEIVAEM SPEEKNTVARNGARFPFVDILTYYIELELVKAAFYTDPDDQSAWLYYWWLVGRALEMV SFLGVFRLKGSPLLVAGFNDPITLLKEPAVLTKDNKKVSGSWLPLGKSLQSSGSIWIF SPLPNSGEPEKVSLETDSVLPSSSEKSVPPGAWSRKVETVIGCPETLSRVSTFQAKFG STEKAWKPATTKHYKDSSANNQANWYTLDRVEILKEEIEAVRELIDLEPESKWALQTL AHFLQQLKLRSGSTCADALDDESVDIFNKLSELDTYRRCRYEESRNRILFNRATNSLL HTSSNNEALLKNERIDTLDLRHISLDTIPSLAPLLLVRNVLTESISALDQLPFFERV PHYBLDRAFT_28210 MLRSLTSSSLKANTVRQVRLFSAASASLQKFRAERDTFGDLQVP ADRYWGAQTQRSLQNFDIGGPRERMPEPLIKAFGVLKKAAATVNMTYGLDPKVGEAIQ RAADEVIDGTLLEHFPLVVWQTGSGTQTNMNVNEVISNRAIEMLGGELGSKSPVHPND HVNMSQSSNDTFPTAMHVAAAVEINHRLIPALTQLRDALHAKEKEFNHIIKIGRTHLQ DATPLTLGQEFSGYVQQLTFGIKRVTSSLEHLSNLAQGGTAVGTGLNTRPGFDSKVAE AISQITGLPFKTAPNKFEALAAHDAIVEAHGALNTVAVSLMKIANDIRFLGSGPRCGL GELSLPENEPGSSIMPGKVNPTQCEAMTMVCSQVMGNNTTVSIAGSNGHFELNVFKPV MIKNLIQSIRLLSDASVSFTNNCVVGIQANEKKINSIMNESLMLVTALNPYIGYDNAA KCAKKAHKEGTTLKEAAISLGVLTEEQFKEWVRPEEMLGPK PHYBLDRAFT_166317 MSYFTNKVLEIRNRKRNGSESSANGSVASVPNSSKISVNSKENK SALYDEPSQNEGHNSQLYLEQLQQMANQPAFTNTPYMIDEEQYSSSTYSTSTPILNHT ISNTQGSSNGHHHSYIPSYTPLFSTSEEKKTHQANGDVQVDSFGQWNDIMEDTSSTGD DNYDLSYSASHSGISTRQNIDQASQPGTVSTDRDFILTKVDLLEVQVSELSKAMEKLR VENLKLVKEKNSTSKDLEQQLIVLKKQLAKKQDDEQLLQTDIYSNVFFIFYFIFYCMK HCFINGQSTIMMYLEGSKSNEGEKKKSDLKA PHYBLDRAFT_166318 MTQIASQTLIQPSPNRTKRRRLPLRTAVEADFVSSASKLQEAKE FLMYTDTPEAKIVAGNLKELWSPTDDGTSRKHSPVMVGTPTTNREFTQSQQSNIRRPQ NLMERLNAIEADELVDITMHSIVPRNIDDMFKESSKDQFAKRVLGLKGSDEDSDDELT ELEIVSHEIEPMENVNPKTNVEEELLLKEKEEINTQSTQKSVQDMNEVGEEAPKLAEI EDKIGAEAVLEDKNIYSNNDHNNKRVDLEQPLQEDIPTQTLKSHLTKTYVGYRLFVCT TKQAPKTVESFGLIPLSSRIQNILEKRKNRNYKRRLTTLEILRKSGALNWITNARDSD PRKKGLGDARMKRLRRKAGFEWCININIHIQVRKLVQAVPKDHTKSSLTINDNYIFE PHYBLDRAFT_76085 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTDTKAIHQLL GDKPVYVSKRHLETKKLANEYH PHYBLDRAFT_59886 MTTLIDRLHEWKTSPSKLLCLDLRPRDEYQKKRIVPSTNIPYDE LRARGNELPPKQTPFAVIEPSSSPECSQWLVDNGWKCSWIFCEAEDALWETVLGDGYG TTESPSGIWPLFRPSPLLSNHIQDIEASIVGKLQCLDVGCGAGRDIVWLLARNNRWKV SAIDSLPACVEKTKAMGRAWNVSDRLTVTCAKVMANGRWQSRTDNTLQNDDMLMDRLE RKKARLAPGIPVDSFFAILPDPRPPFDLILTIRFLARSMLRQLPGLLKVGGYLAISQF VDHPDYDYKQPSKEHRLSVNEISDLYMSIGCMEIIVDIIDEIEDGRPVNSVLLKRIK PHYBLDRAFT_109716 MTLRLGSIAPDFVAQTTKGELRFHEYIDQHWAIMFSHPADFTPV CTTELGLVAALQGEFDARQVKIIGLSANGLEEHTKWIADINELSNVQLEFPIIADADR KISVLYDMLDKQDATNVDNGGLPFTIRSVFIIDPKKTIRLIMAYPASTGRNFDEILRV IDSLQLGDKHRITTPGNWKRGDDVIVHPSVPTEEAKKIFPKGVRVLKPYLRMTPSPF PHYBLDRAFT_59888 MKLNYHGVIMFANRSLAFKTSPFRCTNLNCLENMDGSEVMHRLW NRDLAAVLNFRYILNNLRYDGTIPVRFTRVIRIGRIRRQAEEDLQEGRRLRQRLTRIQ IR PHYBLDRAFT_166323 MAQLKYKKFKLYKPLSFTLFLTYSPISIGTFESTEKTSIVYLIL CELEYKERNYSVPGPYYQAVPISSHIETKVFFAEIFLLLIDLNNTFYHVAGRIKRDML QAPPPSVPFIQILEIGSVTNLYSENFAPAPHNRYHGLIRGLGIIYPLSKYKPFILSAI MSNVQASPQPIPVLLHSSTKFCGIEIFEMSWTSDTSLTNQDNTGEIISMANEEGEYKF SNLGIARHPPRYPLGRCFVSIVKKRCQWKKVLVSKKKLKCDPTKLPIIVFSTGMKSKD AVEFKENCVGIVGFFYGALKNRQKGGDLLVVDVNEFQTFQHISSETQTDVIAQMDSHT DKYGTPKDQKTNNNKQ PHYBLDRAFT_59890 MSLRMCCVYSPLVCLGNINFAQSMDRWLLSTLLPTPLIFSSYYF FHFPFGASQFIEYIRLNIRVKKKGFGYKGIEVLQTTATCTSKCIPKWRAIGLDKMIEP NTR PHYBLDRAFT_103690 KTYFANERTFLHWLQFTVLLGALALGLLNFSDHVGRISATLFTL ISVGVMIYALYNYHARTTSVEKREVGDYSEKNAPAILTGLLIAAVLTNLYLRIS PHYBLDRAFT_123612 MKFGLTLRTSLNPEWTANYVAYDDLKHFLKNEAANREWTEDNES KFIERLERELEKVYAFQRTKLDEIQGRITAETQEVEALCQNSEAEEHEFTESEIELGH IIADVHDLAKFTRLNYTGFLKIIKKHDKMTGWSLKPMFGVRLNAKPFYAENYDALIVR ISSLYDRVRTRGKERGGDASAGGKQAAFVRNTTKYWVHPDNITELKLIILKHLPVLVF NPNKEFSQEDSAITSIYYDNDEFDLYTGRLEKTEGAEAIRMRWYGGMSSNTIFVERKT HREDWTGEKSVKARFPIKEKYLNAFLSGNYTTDELFAKAKEQGRKSEKEIEELEQLAQ EVQYRVLTKRLHPMMRTFYNRTAFQLPGDARVRISLDTELSLIREDNDGQVRSGDNWR RNDIGVDWPFKQLPDKDICRFPYAVLEVKLQTHVGQEPPAWVVELVNSHLVESVPKFS KFIHGCATLLEDKIYQLPFWLPQMDIDIRKPPNPSFGLFRPEAIESSSISSG PHYBLDRAFT_186250 MYQLLSRNGLASVCPARLNTITFLRSPATYRFNHTHSHTDLTSH IHAHQPYKKTFDTLLVANRGEIACRIIKTARNMGIKTVAVYSDLDANARHVQLADEAF HLGPAASSESYLSIPRMLEAIKNTGAQAVHPGYGFLSENPGFVLALEQQGVTFVGPTA MAIGAMGDKIQSKLLAKASGVNCIPGYDGEVFSVQEATSVAHTIGYPVMVKASAGGGG KGMRIAWNDIELADGFKLAKQEAQSSFGDARLLIEKYIDQPRHIEVQVLGDNFGNVIY LPERECSIQRRNQKVIEESPSAFVDEVTRHKMGAQAVALAKHVNYNSAGTVEFLLDSQ KNFYFLEMNTRLQVEHPITEYVTGLDLVEQMLYSAAGHPLAISQKDVQMQGWAVESRV YAEDPINYLPSVGRLLTYQEPTITPHVRCDSGFIEGSEVHVEYDPLLCKLATHAPTRQ GAIETMIDALDNYVIRGVTHNIPLLRGVMAHPRFQDGQSITTQFLADEYPGGYSRPPM TEQDQHGLFALSAAVWAKKEYSRWGKKGGPSSWDVWVQVTQDQTNTTTEARLEIKRGP DNAFEITSPLHDTWTLSTRWPLDGLLAHATVQETGHKMVLQYLDRLDSGFRIQYQGSK YNVNVLTERQRQLSKLMKKKEREPTSKVVNSPMPGKIISLAVKEGDEVIEGTELAVVE AMKMQNILRTPRTGTIKKIHVHPGTSVKTGQLLIEFQDAVIDC PHYBLDRAFT_186251 MPQKHLLDSVLVNINSNDGNELIIRVSPVHDNILSIKNLLRRTL PNITHKNIRLIHNGRLLDDNYTLADYGLGTISTVYFLCSLSEFSESSTQFKDSDEESE GQEERGLDRLRKSGYNAEEIRSIRMEFHRTHRTEYNGETTEHQRQLEDAWMESTGETL PGGTVYQILCGLILGFFLGLLCLFWLREPVFTRKHRMGKGIFKSFPKTNRLLIF PHYBLDRAFT_132383 MSITLQDFIEGACSPARYEADLSINLEICEMINKKQGNTPREAA MCIVRLVNSKNVNQAILALTLLDNCVKNCGYPFHLQIATKEFLNELVRRFPERPAPFP SPVVQRILYLIKEWKVALTDMSRHKDDLVHIKDMYRLLRYKGYRFPELRESSIAALAP SQSLKSAQELEEEDRVAQSAKLQELIRRGRPQDLVEANHLMKIMSGYDQRQKPNYKLK FEEELHRIQGQAILLYEMLENARPEDKLDRDQTVVVSK PHYBLDRAFT_180641 MNDSSVTDWTLERHCIDGVCHCDWRLTVQDCVESHALYVVHVIN IALSGLVSILAIGILYDRLVLKGHRLFDGNIAKGCLRPKPIDSMMFLLTFFNILRLIS SVIIVADIAPGNMIVRSFMFEIPWQFGYGGFSLYLVGIAQTLADSHRAIATGWLPSPR TVDVIGLSFFLAPFILNNICSLVAGSLAKILFAGVRLVGILNRHIAKFQTSGPRFTTV KTGIFKIKSVMSILSICLMLFAIFLLLYGALRNSITVNPVGSVFLAVIWSYLAGISTL SLEISILFNPTINENTDIGLKSSSGGDKSNNLFETQNSGLPSVMHDKSFQGTLSHNAF DDLKQQQLQYQQATQKHAKGVGAANNVNTTILTSRAGIPLEDLSYSDSKDNYKSPHWA DPKQDTEACNHIDEALFSSQVDLMDAK PHYBLDRAFT_59897 MTLHLFIYIYGEVPVTMVYKSDTCMFKILHDSGVDRVFFCPFNH PSFVSPQYLYFVFFVSVAFSRSLDILLDQKHNRANDQRHTIIEKKNRSPGSLGVLQMC SNMSFHDKGQLPRFLWKSSMNHKVLKYPRIMRLISQYDIHIIYYTALYVDWDLQAYKF VQIL PHYBLDRAFT_59898 VAISYISTYDWYKPDILIIGSKQPITRQSIDASVVPDMICDFGD RLMNAFDEPWINRFCKNTRISIKRMEFFFAGTSTFNSLHINKINIPTMQLTSLQQQLL SKYQRQQTKTSVKQATIKTRAGKSNRNSITSSLGNCVRSLASVSKAFNRNKPKNSVVP SAVSSSNISSQMCYINEYSRSTSTPLSAKSLALESLIFDHPSVTIHIQPISCRAF PHYBLDRAFT_59899 MVRLKKQSTPEEDRLVSKFLKEDHAAPCKALSKGRCSWRDSTVP ACVTIKPLLATLRKVKQVFDVYIESEHAPFKLSMEEGDQIETVRKENVFGEEVTASEM DIIQQRVALQQRQFAEYERRETNRKSKKIKEMYDYLTPEEIEEMLIDCGHDEDEVIVR LTQIGYLLGIRRVIATKHAPEVENNMMSEEQQAAYQQLLKKRSETLKKTTNDTAKKQY RMGGRLGLDEALKQIHENQVDPEKAFEGWSQARIRAYQMIDQNPNSYYYRFNAPGEVQ RKGQWAEDERLVFFERLEELGANGQWGIFAMKVPGRVGYQCSNFYRLLVETGEVNDPN YVLDAKGKAHYLFDKKNADGQVEKTFRTHSKHGTGRVASPAGSRSRAAPVVEKKIKKR KRRTRGWDSDEDDDEHDFEDHNDDSGTFTLSTRSTRRTRARVDDSSGNNEDTNQEEDE LDSDDMQLDNPLPGFVDPITLDEVVKPAISKYGHVMGYDSWVRCLSNWEGKKNICPLT KNPLTKRDLVVLTHENIEEYRSKIIM PHYBLDRAFT_143337 MSTKSVCDFFLPSINITCDPINQDQEMRLKDVRSHPDKRDSVAL AKSTDYNAAEA PHYBLDRAFT_143338 MRTRSVVVEMRMHLHMVYNYHIQSFLLDFGLMREIDVSLLETEA EVFTPQLVSTKPTRGYGPLSVQWELLSRGANEIPPPIWPTPCIGSGWTWLGSNAVHRR RTSPKPSIEAFSSLACEAMECSSATLASILDLRLGDERRIDKFGERSSKSYGVCCCDI LFQATQPVRETYVWGGPNLTIKVGPEFGSRPS PHYBLDRAFT_16437 EVRRVSLEVGEEGKLGGQAHVKDVGGAWKDLTDNVNTMAANLTT QVRSIAEVTMAVAKGDLSKKIEVETRGEILDLKNTVNNMVDQLRVFSTEVTRVAKEVG TEGKLGGQAVVPNVDGTWKDLTENVNTMATNLTTQVRSIAVVTKAVATGDLSKKIMVD VSGEISDLKDTVNNMVDQLRVFASEVTRVAREVGTEGKLGGEAIVPSVSGTWKDLTDN VNTMAANLTTQVRSIAEVTKAVAKGDLSKKIDVETRGEILDLKNTVNNMVDQLNVFAA EVTRVAKEEVGTEGKLGGQAMVEGVAGTWLDLTDNVNQMAANLTNQVRSIAEVTKAVA LGDLSKKIEVESGGEILDLKNIVNNMVDQLRIFASEVTRVSKEVGTEGKLGGQAMVEG VAGTWLNLTDNVNQMAANLTSQVRSIAEVTKAVALGDLSKKIEVESGGEILDLKNIVN NMVDQLRIFASEVTRVSKEVGTEGKLGGQAMVEGVAGTWLDLTDNVNQMAANLTSQVR SIAEVTKAVALGDLSKKIEVESGGEILDLKNIVNNMVDQLRIFASEVTRVSKEVGTEG KLGGQAMVEGVAVAGTWMDLTDNVNTMAANLTTQVRSIAQVTKAVANGDLSKKIEVET RGEILDLKNTVNNMVDQLRVFAAEVTRVSKEVGTEGKLGGQAMVDGVAGTWMDLTDNV NTMAANLTTQVRSIALVTKAVALGDLSKKIEVETRGEILDLKNTVNNMVDQLRIFASE VTRVSKEVGTEGKLGGQAMVEGVAGTWLDLTDNVNIMAANLTNQVRSIAEVTKAVALG DLSKKIGVESGGEILDLKNIVNNMVDQLRIFASEVTRVSKEVGTEGKLGGQAMVEGVA GTWLDLTDNVNQMAANLTTQVRSIAEVTKAVANGDLSKKIDVETRGEIQDLKVTVNSM VDQLRVFASEVTRVAREVGTDGKLGGQAIVNGVAGTWMDLTDNVNTMAANLTTQVRSI AQVTKAVANGDLSKKIDVETRGEILDLKNTNTINTMVDQLSSFASEVTRVAREVGTEG KLGVQAQVMDVEGAWREITSNVNTMASNLTTQVRAFAQISAAATENDFSRLITVEASG EMDSLKTKINQMVGSLRDAIQKNQLARDAAELANRSKSEFLANMSHEIRTPMNGIIGM TTLTLETELTRQQRENLMIVSSLANNLLTIIDDILDISKIEAGRMMIEAIPFSLRASV FSVLKTLAVKANQKKLDLIYNMESTIPDQLIGDPLRLRQVITNLIGNAVKFTTQGEVV LRTRVTKTQGDHVILQLCVSDTGIGIQEDKLNVIFDTFCQADGSTTREYGGTGLGLSI SRHLVQLMGGDLWVESKYGRGSEFYFTMNLKQVAMREDEVVKKMARFQNRHILFLDSM KDKTGMIDKITELGLKPFQVSSIEEATAVANANANRNKNAPFFDTVIVDKMSHAEKIR EIVHLRYTPLVLIAPEIHLLNMKLCIDLGITAYINSPKNVPDLADALLPALESLAALP NDASKTVPLEILLAEDNVVNQKLAVRILEKFGHNVKIVPNGKLAVEAFESQKFDLILM DVQMPIMGGFEATQTIRRIERTSGPNRHIPIIALTAHAMIGDREKCLQAGMDEYVTKP LRFPDLISAIKKFAPQSAHMMLEKVQK PHYBLDRAFT_143341 MSKCFVFTRSVFQKQRETDQVSSISHIFDLMFDHHHDSNPTSDI SHVYTDNAYVSILVNLRRQKDKNIFLILFQLFQTYLGIDAIVRQNTIQLMAHTVFQFI CLLFSLLQFVETRKWKSEVSSIDDTIDQSGFTQIIYYEIGQIVYMAIFTLLLVFLVKR LLGQSTIVLASFVLRNFGKGLKPHIKRLSDKDHSYMMNRRALANETYASSDSWVIDQD SIDSRQTKAPPSISFH PHYBLDRAFT_186254 MRIPSGCSKLERAIIIDRVKGMIFGAILGDSLGLATEGMSREQV QKAYSNGPIRFGMDDDQESAGVNFIRDTFRSLFDENDFGDDAEQQLLILFSIQENRGT FNYKDYATRLYNYSNRGMRGLDKQPLGIKATTKSVLSRPHFLESPHPTAIDVWRKEID TRDDSGSLVRAAILGVPKFWDGTTVIQNSAECCRITHPDPRSVISSVIVSTIVARMLR GQDLEMEILDSSQPSPLPSPLLSKPNQLPLSSTKLPPTPTSTPPTPTSATTPTTKWTD SLETDRVLMSLVRAVIDANKRILTAPNTDPLFQTPETDANLMQEYFEQLIKTCYQEPL QLSPQLLHESHVFSCLGGASYVFTRVIPKGSETEYFKRLLMDIVMQGGHADANGSVAG ALLGLRIGYGCLPSEWVVGLKRWEWLEDRVEEFCEML PHYBLDRAFT_166339 MRDFIGLGYSELTKDFRTCEGQQPSTLHRIRPIPTKIFVKTVII PTHFQITVILSSSIVFFSEEFLIFSICCCFFTTSVPIVLNAVKRKYNININTSTNPNT SSNKRIVIFDYYADFNNCDKNNE PHYBLDRAFT_166340 MVIMWIVQREHNLWSKSLIDFVLVLVLVLVLVLVLVPVFVFVLV FRGDERGRKDSISYHTMFSLIGLACFAVVWCGLVRLGCGSLWLVNLWQLSISISISAS ISTRISSSISINIGVSTGVNINKIFSLVSV PHYBLDRAFT_166341 MYLPTLWNEQDIGLSSDTSPSSTATIPLSPTMTAPMDSQPFTHQ PVYSDPSLNLLYSHYLSMDTPACSVSWTPQPTFTSMPSVHITPAPFSVPYFANDYQHQ DLEYSYPYNNQPQHQPQPQPQHLHQHQYTQPPSPTPYYPDVSSSLSSFYYTETSQGPS PIMTRSLDIPVRTPHPPKSGSSTLASRSTKNGKQKCNSRIGGHKRTKTADSLPTMRST EVRCAHAKYTSSSSVEGRSSSASASMPASASGSPSGRAELKNKSVDGLETELGFLRDE TVSIVIVLDSLRSAFLASTSEDPMVEPNRPLAILTGISPETPVAVPRPMIDPGTARGP VTESFLGRSNYTPDMDKEVQTAYDELMSQVKQLEKKVVKLEEQVKAVHVVPSRSKRTR REIDEDNDYAEGSNSATRYAQTASSSPAASASSVSASESTSASVAAVGGAVSTSESVS ASPSMSMSSNLGTCSPIGPSNSSKRHKPPFGKSGLGSSQHRRF PHYBLDRAFT_180643 MLKLLKSASPAVSRASRTTTGRLAVRGLHVAKPAMQVMSTNPLR ATEASGSIASKYPIIDHEYDAVVVGAGGAGLRAAFGLAEAGLNTACITKLFPTRSHTV AAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIHYMCREAPHTVVELEHYGVP FSRTPEGKIYQRAFGGQSLKYGKGGQAYRCAAVADRTGHAILHTLYGQSLRHNTNYFI EYFALDLIMEDGECKGVIALNMEDGTLHRFRSHKTVLATGGYGRAYFSCTSAHTCTGD GNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILINSAGERFMERYAPT AKDLASRDVVSRAMTVEIKEGRGVGPEKDHCYLQLHHLPPAVLHERLPGISETAAIFA GVDVTKEPIPVLPTVHYNMGGIPTRYTGEVIKVDAEGKDHVVPGLYAAGEAACVSVHG ANRLGANSLLDIVVFGRAVAHHIAETLEPNTPLKPFAADAGSETIANLDKLRHADGDK STAQIRLHMQKVMQSDAAVFRTQETLDEGVVKIDKVFQEFKDVKVTDRGMIWNTDLVE TLELQNLLTCAAQTMHSAAVRTESRGAHARDDCQDRDDEKWMKHTLSWQDQETGEVKL SYRSVTATTLDEAECKPVPPFARVY PHYBLDRAFT_132390 MLIKVKTLTGKEIEIDIEPNDKISRIKERVEEKEGIPPQQQRLI YTGKQMADDVTAKEYQIVGGSVLHLVLALRGGY PHYBLDRAFT_87796 VRVYELNVSNSRIDPDCYQRSTALLVNGQLPGPTIRVNRGDRVL ITVRNLMPDFVGNGKSHGGNPNDLSIHFHGIRQYGSGHADGVPYVTQHPIPPGYEYTH DFRVVNQAGTYFYHAHVGLEEETAMPPGSGSSSLSTPVRLQAGPFTYDDERIITMSEW WHRDRYTLENYMMGPEFVHLPDAESVLINGKTIYKPSKVPDRCEGYSVIPVEAGKTYR LRIIGATTFRTLGFAIAHHNLTIIEVDGEVVKPFETTYIEVAAGQRISALLHTDQIPQ DYTIGTIRRWAEDADPHSNGLAILRYTYARDDSSENKIVPSDHPEFPSRLIAPWIWPQ LEPYHGVDPVVYRPASRTIVLRSTDSKNPDGSTRWYINGVSYMDPAFPILDGLQTSQR RLPNYRQLKGSKTGYDATLGTYPIGHYELIDIVIQSTHKKHSYCRSHPWHTHGHSHWL LATGSGEYDPEYDQDTRNMPTPLYKDVTMVYPSLDHELEASSNDNDTSIGCGWSKIRI LADNPGVWAVHCHNTLHMMMGMMVVFE PHYBLDRAFT_9801 RKAQNRAAQRAFRERKERHTKDLESTVHQIREHRDRLRIDNERL KTESEIIKSENWYLK PHYBLDRAFT_76095 MSFAGDPINSNEHRKWTPLSIRLIIKTISSRNPLGFFQKRSWWQ LLGLFIGFLGIVEIILVITGLTMLQKTQPDVRVALRQYKPISYKHLSTRLPPNLKPGT TVYHVTKEFGPATMGGMGQVLTAMASAQQRTGRLDVSVIMPMYSFVRSRNRVRRLVDL RVDITDTRGQTKPVEFSVYLMKYPVVPNATLESEVINVYLIGPGKLSPLNRAFRAKAP VEIYSSPKELPQEWKDQYFLKAVGQLISHLTTAYDEQPLFAPFGKAPQVDVVHLHGAT NAYLTQYLQTLAENDHLGSLRPAVVYTMHDYLDELQYTNKVSNVQRFGQVDPAYVYGD QMFMASLAIEKADVVTFVSRSMVADMLEGRLDFYLKELVMDSLLRKAMQDRFFGISNG VDFTRLNPFDHPQLVREQLAFPPYARLLLKNAQPESSPLPWMLSNSPEDNASLAKDKV KQFLIEKGQLSPEDFTRPLVLFVGRFQYNKGLEIFEEAVRLFVEHDMKFAIIGQPNNY PLSWIKDLAKKNPEHVVLMSSASAQSKWLTYYRAAADFVFVPSLTESFGLVAVEGLSF GAAVISTGAGGLKEFLVDRPRQPGKSILVGQTSNAYLFEPSTLSDAIQDASVAYKEWQ ESQALREVHVLRMMQSALLLGWEQGDLKGPVYDYMRAYAIALAVRHTSLL PHYBLDRAFT_123625 MALPRTHQAAFTPQEVEFLSGNEILTIIPTQRINEIDLIQKSVG PFRPPLQSKVPLWLAILMKKQHKCTIVCPDWLTVEFLKDRLKEEENDADFSKLPFHYM EMAQLLLENARDDVPNSEQVRTLLKDLRETRQAKSRVGLAELDDNWLGMNNLSLMEIN EIRPFFTRAYDQIRRLNPDEPDRKARSAN PHYBLDRAFT_143351 MTTPKATQIAKDIDSCRCRGNWEAIPELARRYRKHNPEGAVLEK TILAEASLANLVGNAHDSASFFKDSPTHITLPPRLTTNQVRPIQNQLESVLQISTGSD NHTTKELAKVILARTYHESGEFEKALEYVDQLKSLKPDVKTGYNFILFLQARVIKAIC LELTGNIEDALVSYEDIASFIDSHEGQKEPALVEWAEDALYRGTLLGLREMSQSTPNI LSILRAYQDITTTQNFNWRMHKRMVISRHSLRFLSDVYRQGNYSPPKNQPIETTGLNG AQLERQLFAIEVSSIHIVYEKMLYTGMEFPRSGEVNKPVLDYVDQLAEDFKLIGGSSI EFRAFIEALDRAAQHTFNSPRITRHLFNTLVLLGEYEEAEHALHSYMYLVGLTSQAWE EDRSKGVALATDAQGHSIPIPNFSATDDEEDQEMASHRSIEHESIDNVLGVLLKAVKI YCNELNLGVRAVEMAEMALRKLREINQELVGDEEWTDLGGKVYRSVGVAYSLLATQTS DPALRPTYHEKAVHYLTKSIDIDPNAWESHYQLALEQAYMRDVLQAIQSITKSIQANP GHLPSWQLLTLACSCPVRNDISYAIKVCDMGLQESQIDASDDNQTNASGYSGGTKNTL AGYAISEQHISLQITQSLLLNATLGAEAALQSQENIFTSYSKIAIPDYSMSSTSLSHD PSAAAYNNHKIVVSGSFGNLSEIQLAAEQKMRRGRSSSNVSSIGSMVDQPRMTPDGSS PSYPGGGFLGSRARSTSSVNGRQDRSLGVSPVESENEHKTHHGLHLFGSRSSGRRARK EIPEGLWNEKNATMSKQSVADSRNLYPMGGSSQSFNSLAPSLTSTRSLFQPSSLPTRP TTRARLRIQRSHRVLSNLWLLTASYFLQLGKLEEASKAIEEAENVEWTTSPHVWCMLG RLRLAQGHSELATEAFQKGLVADSNDVDCKVWLAKTYIENNDLEIAEGLLDSVTKSNG WDSAEAWFYLGEVYRQTNRLEQTKDCLFYALDLENTRPIQPFSILPRHV PHYBLDRAFT_166348 MILQRFFSTSLRSSFPRGVNSTTHLTTKNSKSFKLVSFPEPPEL LPKDKSFGNRTFARPVQFIKSVSSLEQAPARDLPEVAFVGRSNVGKSTLINYLTNNHN LVKTSSKPGHTRLLNFFNVSDKCTLVDMPGYGFRSREEWGDLIIDYLTNRKQLKRLFI LVDPTAGLKDTDKQLMEMLDKQAVSYQIILTKKDRLNKEAFETSKSTIERYLVDNAIC CYPELLSAGKARRSKNNTDQTAEDITRVRWAVLSAAGVNA PHYBLDRAFT_123629 MQEPISTQFEIADPPRDGISSLVFNPLDSNQLLVSSWDSTVRLY DIEQNRQLLRFDHEGAVLDVCFGDGQVAYSGGIAKKLTMLDLATNTTKLLGSHEEAIR SICWNTETQNVYTGSWDKTLKVWDPRLEEKKAEIKKVILPQKVFSMDTKNNILVVAMA NRQVHVYDVRNMDAPLYTRETSLKYMLKSIRCMPNGEGYACASIEGRVALEFFDTSEE SQAKKYAFKSHRQTINDTEVVYPVNTLAFHPTHGTFASGGSDCVVSIWDGVHRKRIRQ FPRFPDEISSLAFSPDGKTLAIASSYTYDEGERDHSPDAIYIRTLSETDCRPRAAVNS SN PHYBLDRAFT_143354 MSLNTSRIDFFPVDPQKKVGILLNLLDYLQPALVGLSSLLQLVA HIVFGSRLLTCITYIVLFPLFLVAALVSTILNFLLNHMTRPREFTPSHQHHPTPYRAT DADLQRHEQEGKQQMDYWLERCSICFDARLELCLDHCRDQYCLDCFRRYITEVVMSSW GLTVTKIRCPVCQTQIPQSEWSQFVPAHVVEHYNRFNRPYRPYSRCCPQCETEAFPCE YKDKNAVFSEQQQQTQTQTQAQAQKQNQTQVQVHTMIQDLLDTLPFYCQGPEMDQLLQ IYDHQTWHNATLPEIYRRTMLLLFRTVVDSTAYHSNLLAISHRILLLDMKPDTWKQLQ FAHISFFPVHECRACNTPFCLQCGQNAHPKMTCEAAMRHILESEAPSESSETVNRQCP SCSILIHRDEGCNKVDCSLCGFCFCWVCRLPWSERCGFYQCALTGPEEDVLFPDTVDI KTERGVPNVSVIQARLSSSNL PHYBLDRAFT_110474 MTHLNEILERFVFTRQLSHDTRTKLLYVLGKVDNQDCILTFEKA HFPEIAIPTLASSTHQLVDPVENNIYSWAVASVTTREPNTHVKAIFPATELHIKKYEA QARFLVSETPALYRNVTLPFIESIPASRTKWVQNILDGTSEADVVLYRSNQGDQLSDT GFVVLPDMKWNGTKESLYWVAIANRSDILSLRSINPDHMELLLKIRKTAHKLAKDKFD LEPHQLRLFVHYQPSYYHFHVHITAMSFADAPGVTAGQAHLLDTIIDMLRVCPDYYQR ATLPFVVGERHPLYLASQAWTQQTPEE PHYBLDRAFT_180649 MQHKHGFQPKDPRVIDEYAQDFDDDDDNLFEGVSLSGSSGTPKR CTGTQALVEFLNTTSPEEFQKIAPKRSTTMFFRRRKNKASGLSSSVSASSSPSSSSSP SSLLLTPPPPPPLSTHSSPTTSTRSSLFSKRTPASKKKKSSTRLLEDSFLANTLFVPK RREKVENESDRKVICHRSLPAYEPRNRRDGEDEDEEEEEEEEEDDDGDNVNNNKDGYS ENNNSKQQGRDKNVALADSAERETEAVLGSFPQAATEASIVEAGLRQRLERLKVSNQD KPSNVLAGVLAKEHVRALHALNQSQRQEEEQEQRLISNNNNNNNYNNNTPKNKRVRHV QVQTMIAAAVGNGGGGNNSNSNTSSKKSKGDRGSGPASDAPLLASHLGNLERMLGQER QERKKAQAALEETMDHYEALSGLAYKKIRELWEEKERWEHLYNELRDRVNGEADGKTE AIAKGSKDKDKDHNLKQYSLQLRKE PHYBLDRAFT_59918 MSQQSMSIHQMIQQAPLESQSPENLTCPTQTFTGKVKIFYSVKG QDTNCLCSFDIPIDSKLQNDSWINVPLKKCLISVCSSCPDQLMHSSHDTAIYSANFED LLPSHDPKDSKTLIWEGHGLLSNLLSNNNNTQVTGKLKKTDAAPYTHTIQVLIQLHPV HHRPHADKNINGPDSNSYSQTLPSMKLLLSEPDPRVGPNSDHYDDQHQPQSHAHIHAH NPNYTHSQNHLENFHGPHKLTTPNTTPNAFVPPLHLQDYSTTPNYTNFDPVNNNSNNN NISNRALGQSFVPLVKRPRLEDSPTSVSSSSSLPWTRPLSDVTNETDRIQPSTKRKQH SPARDEIMTIASSSSSSITAIPYSSEAEMQHSDTHSSAQTIATNTIPNNNNITNTGTG TGTGIGNIAGGNIGTVVTTAGVSATAKPSSHGKPYPSGNIKTLVDAYYHQSRRKKRDT KPPNTNDVRSYVEIDKNTLGMYILPAEVDSWTVLDLGKVVWDRASFHNQRYIYPVGYR VKKWYRSMVDSHSDTQYTCQILDGGDEPIFQLDADDNPGEVWRGPTPTTVWTIAVRRA FAIRNMDYGHNPVGPDFFGLRKNTIAKMIQDLPNADKCQNYIWQNFEATSGSRGKAMR RTSARVGSASSISSAGLSEPVSYVTSPPSRFSHNSPSTPSKAEPLSPNSETSSSEKSS PHYBLDRAFT_186260 MSTESRLSSWILKNNKDLLSSKSTRQSLLITQCHYAANSTKSSN ILVLLLTDGQYWIPALMDTKKIKEVLEISQALENHLAKLVGMVINISKTMFAVYRRGD ALSPHLIVQEYELAELKQSGQQTTPKEIHEHPDIKLWLDELQTAMPDLEQESINELYP SKDRKEIDGFLNQYESVVSGFEYLMLHYYNEIIKTNLKRSNNIDHVKEWRQRMKTNAK KRKVSTWNIIDSGRDLWKDKIVDMMSLIYLDYKSDVSSEKDLIFDSYPTEHQEAKDDN GNDNEEEVSGQIKIENESQTAIDHKSHDSNTKKSTEDQDTQFSFDSIKNNTNNTNNNN ATTSSWSKVGQNSSSSSIDSTEFLSPVELPGLNTDHDISTNSILGQTEGVGSYDFENV PHYBLDRAFT_109842 MPDYSYRSSASSRRQSVASPVSILPSNKSSKSIRDRRRSSGTLS THSVSQKARKTIGDYYVGKTLGKGASGRVKLGIHRQTGEQVAIKIISKAHLAANPAIE KAVRREIAIMKLIRHPNVMSLVDVIDDAALPDLHLILEYVEGGELFEYLVSKGRLGEP EARHHFQQIILGLDFCHHHLICHRDLKPENLLLDAQNNIKIADFGMASLQPAGSMLET SCGSPHYASPEIVAGMPYNGSASDIWSCGVILYALLTGHLPFDDENIRQLLKKVKSGK YTMPDDISRNAQDLIRRILVVDPTKRLTMEQIMAHPWFRESELMNLSALPVPPTENEI GRPVNSPSDIDDRLLETIKFLWGETDDEVVIEALVSKE PHYBLDRAFT_186261 MKRKATGKDIAQPTELKKSFLQTRLFFAPLPAEPPREKRKAQAT PNRPPKKQNTIQMTTEKVHPTPCPVDQCDASVPRLSCSAVNSTTNQPCTLSFCEHCVE TLYGETRESIKSKDRIYVTSKGSIIRHQHKPPLHWACPVCRDICVCKFCNDKTSDLPS SLSVSLSKISLTPDISRPNRLTFGQKSTPINPPELVPVTLLHSEEEIWVRLQIREFIY RFDEICAIEPRILATLQNVQCDWRVKRLAATLVWHCLLIISTSYYETGATSNKTIPKN IGLDHLAGGSHYAPHMAARIIEVWIEEEGLDDPMIHREERDAAFKMILQREGMSAKRW QDVGEMLAAAGFGDLPVPTFRDSKASTEDEWQEVSKVIQRFRRLKRQASPLTSLDELG MVQRLLEMLLFDVKVREQLNDSSKGLKEKELVLKNERKTYQQEDSRNKVKKNGLLSRV SQLRAVGKDTMRLEAEGELEQLEVTIRDSRADMEAKELAFMIAKQRAEKRLQCAGTDN TGNTYWLFSDLLAPPMERVGHSYGNSEAWWAHGVVVVGPGFTGGTEIAWWRLEGIENM VQLEKYLQQEMDGAHRKGEYAVEVSNLTKRLYNRTQYLRTMEWCVFGEGYFR PHYBLDRAFT_186262 MILTIIAASFIFFPVSYAMSLFDTLINSSPLVNAHYFHDGSSHA LTEQLASQDRTQHPLVFKQAFEKLTETRYELTYSVKALRDSVRLRHLEFIMDLPIKGH TMMAEGFQSWSLSKEMDSNSRLSSIPTLVAWKTKFDLQSDSPFYNYSGKPGVIHSNGY TYFRDPEEENILFLGSVSENTGYTYFQADMNKGHFSIYKDIDGKNLAKGETLAIKIYL DYREDMSAIWKDYAAYYPKQTKAPRRPLTGWTSWYNTYEKITESDVLDNLNAIKEHKY PIDLFQIDDGYEIAIGDWLDVDSEKFPRGMQILATEIKEAGYLPGLWLAPFAVGVDSN IVKEHKSWIVTDSQGNLLTAGPNWGGFYSIDIYNPEVRGYLQQVFDVVIEEWGYGMLK LDFLFAAAMIPRNGKSRGEIMWDAIELIQELTNDRAILLGSGVPLPSTWGRLDYCRIS NDASPWWDNSVLRLANVRERVSTSNALVSTLHRWPMQSMFGTDPDVFFIRSENNKLTE DERHTLFAINVILGQMALMSDNVDTYSDSEHKLYASLFPKAETEVDAVRQISPDVYQI SYHSHRHNYSTYINLSPVPHSLKLSDRYYFEQDNVLLSGQVVWHKPSDTIELRPHQTR TLLHVENGFAGSTGHIIPGWEIENWEGSEEGLKIEIRGSLKNENFKIFIHNGEGMLPE TVYINGEEADVEHISVEGDLHIGQVVV PHYBLDRAFT_110261 MSRLFLAPVRSLKLSPVLSLGRKAFSSASVRLQTVEPKETKETK ETKTTHFGFRDVAENEKESLVHNVFANVAGSYDIMNDAMSMGIHRIWKDEFIRNMAPG PGTKLLDVAGDIALRFLDFCKNIHRDSTASVTMVDINPHMLEEGKKRFQKTQYAHTNQ ANFLVQNAENLEAIPDASVDVYTIAFGIRNCTHVDRVVKEAYRVLKPGGRFMCLEFSK VDNPVIGKFYDIYSFDIIPTLGQVIANDRDSYQYLVESIRQFYSQDEFAKIIRDAGFS TVGKGYENLTFGVAAIHSGFKM PHYBLDRAFT_59924 MPTCNSAAAAAAVSVSNDSACTKYPGGVCSEYIDYPVYLGSKSF ADIEHQLAILPNFTLWYSKIVPGCTDAFNRYHCSLAYPKCETDSSNTPTIYLACKSTC EKTVDSCTNVLMTSGAMHLLPNCTSEMPVPGTPLQPDETCNIIEPLVSGAAAGFNISA IPLDFLSVECPAPFTLDLAPEKHRGIEYINYCQYGCCIPCPSQDLFYKKNWTRTAFLA TDIMRFISAIASFVILISYLVLPDKRRHPSLLILNLSLAIFLFSMTVFFSIGDQHGLQ CADDITPSDQKNNYKCAAQGAILIFSSFATTCWAAALIVNLHLHTVWNSSFFTNRYIL LNVICWGIPTVIMCTALGIKSVKFEFANLCLVSIEHIFALFFYPMAVIICPSFLLHIA TFFYIAKIAIREGLESDMSQSLSNGDPTGMRPAKAKRHKHVITAVKIQWRALILAIAA CGTVVFYWLFYMTQISNLLNFSKNVTVASKWLTCMLESAGDHQNECTSIVSPYLPTFG LMVTAESLVSLVGIWLFIIFGKRSLWREWNDMIFELRIRFSSRGRSEKNGEQFFAL PHYBLDRAFT_186263 MKVYFPHSLQRYCIQLLLSNGLILISKIESYAESESLPYILIRI GEMYRMLKNTMANASSLLLFLLLLLSSLYSLGFTMFGCSNVYSWYLGFIYQELNGKMF VKPFSVNWKPKKEKETRPLVKSSIVLLLGKISSLLQSIGELLAKAG PHYBLDRAFT_109737 MADAQNKKTSSSAIDVADATDSAAKFGSRLLQDEEAVFSQNAWD HVEWDEEQDRYAQERIQKHLSSPVPEEEQEQYYAQPAHYWNNFYQKNENRFFKDRNWL RLEFPELFLTADADAGKKTVFEVGCGAGNTMFPLLQESKNPNLFVYAADFSSTAVQVV QNNPSYDTSRSLAFVWDLASPDIPEHIQPESLDIIVLIFVLSALTPEQWEQAITNMYK MLKPGGLVLFRDYGRHDLAQLRFKEKRLLKENFYIRGDGTRVYFFTPEEVAGLFTSKA SEETGPRFEVEQNAVDRRMIVNRSRKLKMYRVWLQGKFRKL PHYBLDRAFT_166362 MYRSVECKSILFHFRYSHWMGSMTLQTQNTHRFAFLEVPVVFVQ RERQCPVDKIYESINIRKEISIKKPISNNVKVFLRLIEECHVEKDHDIFRAWCQFSIL KYYTLSPYSSGISGLFLTQFRTVSREKSTSTLSIDSRAIFFCVWVDHENAEATMQMQY FKTFLITSNLDLKTTAINSFLTTSAIMLVIPFLDRFFFLGAVKQALN PHYBLDRAFT_143365 MKRVENEYHSYWPKLLVLMKCWPIQRPIVIREGYVSTLFYLHSL EGFRGIKLSISISRSPGKSGDEANAVIFWSILGSSTPPAPVGSQTRKTY PHYBLDRAFT_123632 MTATLAPPFASDNSSVDDGCGYNANVFAGKSEQMVQVCAHLNET GFLPKELVENEVSWFYNNLGIDDFYFALEPVETIANHIMALYGAKILSYTKHENSLEI NLEKESEDSSVYIHSSQPGVSQLQGPQCERTIDEKYLNTSVSTQSYRVESYRSSATVS SDLTSQIRSYFVTKCQFVNPEPTTEQETDIEQVADQAFLKKATEHTKKVYEGVMRNVL QRTGPVIEMCEVQGSRERRLIIGYRQRSTQGFFSAMSDLYHYYNLYSVRKYVEQFSNG VTIMGIYLNPLPNSKAAPIEHSIHQVMKETSLLYCLPKTPFQQFFKTNQLSVQETVYG YVGWIFSQHFLNRLGKEFMSLNTILDRSNPVHEEVLGKMKKRLRQDTFTREYILDIIQ LYPELIKLLYANFAKVHYVNQREASLQPTMSYQRLSTVENLTEEQLVKKIKSVTSNAH EQLVFEAFLTFNKHVLKTNFYQSTKVALSFRLDPSFLPEIEYPSKLYGMFLVIGSEFR GFHLRFQDVARGGIRIIRSRNREAYSINLRTLFDENYALAATQHRKNKDIPEGGSKGT ILLNIDSQDVPLVAFEKYVDSVLDLLIIGHTPGIKEPLVDRLGKNEILFFGPDEGTAE YMDWASQHARKRTASFWKAFTTGKSQSLGGIPHDVYGMTTRSVHQYVLGIYRSFGLEE ENCTKLQTGGPDGDLGSNEILISKDKTMAVVDGSGVLYDPHGINREELTRLAEARKMI NNFDISLLSSEGFRVLVDETNVTLPNGDIVENGLLFRNTFHVNPLATATIFVPCGGRP ESIDLNNVKHLVADDGTLRFKYIVEGANLFFTQEARLRLEKAGVVIFKDASANKGGVT SSSLEVLAALAFNDAEFAEHMCVKDGVAPPFYEEYVKEVQNIIERNAAAEFEALWREH KKSKVPISILSDDLSVAIVQLNDQLQTTSLWHNASLRETVLNRAFPKLLLDTLGLDTL LERVPENYVKAIFGAHLASMFIYKYGPHPDNFAFFEFMREGYYADE PHYBLDRAFT_109964 MAITKKEENRQAFLDVFKVLKADILNELRKNNMPEDAYKWTEEN LEYNVPGGKLNRGLSVVDTLRILKGDSITEEEIFNASILGWLVELLQAFFLVSDDMMD ASITRRGQPCWYRKNGVGLIAINDSFILEGAIYVLLKKYFKKFDYYVDLLELFHEVSF QTELGQLCDLITAPEDNVDLSKFSIAKHKFIVIYKTAFYSFYLPVALAMHMAGVKNEE AYNKSRDILIPLGEYFQIQDDYLDCYGAPEVIGKIGTDIMDNKCSWLINQALFKANPE QRAVLEANYGQKNSESEAKVKKVFLDLGIEKIYKDYEEKSHKELSDLISQLDESVGVK KAVFIEFMDKIYKRTK PHYBLDRAFT_132406 MASRFTRLTTVVPQLARGYAAPAKTVKVPVTLFGLNGRYATALY SAAARQNALDAVEKDLKALDALVVKDKGVQAFLENPTINRKVKLDGINALLSKAGKPS EVTKNLFETLSENGRLDQTTKVISSFAELMSAHRNELSLVITSTKELDKATLNKIAES LQKSGLSEGKKLLVSNKVKADILGGLLVEIGDKSIDLTVSTRLAKLNKLVTDSI PHYBLDRAFT_132408 MAPQQKSGLAVGLNKGHIVTRRELKITPSYTKGAASKRTTFVRS IVREVVGFAPYERRVMELIKNSKDKRAKKLTKKRLGTFLRAKKKIEELSAVIAESRRH PHYBLDRAFT_98615 RRKTLPSPSVGDPASAISIFRKNVGKDLSQVAMPVSMNEPISIL QKACEDLEYSELLDKASSMDDPMDRLMYVAVFAISSYASSQYRTGRKPFNPMLTETYE NIRPDKGFRFIAEKVSHHPLVIAAHADSKNYKYWQASKVKSKFWGKSMEFMTEGTFHV TFTGHDDHYTYFKPSSWMRNMIAGEKYLEHVGEMKVQDHTSGAYASVTFKEGTGGGLF GAPTKRDEVVATLYDSQGKKCRRVVGKWSELMAEEVDMNGSTLSILWHANPPHVKDYQ KYYGFTRFCVELNEITAIEDHKLPKTDSRLRPDQSLYEQGHVEEADKEKQRIEQLQRE RRKEYEQKGIECKPRWFKLENDPFEEFQLAPVEGQESKGLSWHYNGNYWQTRESGQWP DDLP PHYBLDRAFT_35995 MANPNYNPQYNYNRGSYDYSNNPGGYDRPVPATPRQGGSINRGQ QYQNHQNPQNPQSPTYGQRPVSQPPRPAPDNHLIDWFRAVDTDGSGELTVEELQRALV NGDWSPFNIETVRMMVNMFDTDNTGTISFEEFKGLWKYIEDWKRCFQTFDMDGSGSID RAEMRNALQTFGYNLTDTFVGTLIQKFDRHGLGTVTFDNFVQACVSVKTLTDSFRRFD TDNDGWIYISYEQFLELVISQRS PHYBLDRAFT_35997 MTGASRTYSKTYKVPKRAFESARLDQELKLVGEYGLKNKKEVWR VGLTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRIGVLDDSRMKLDYVLGLKIE DFMERRLQTQVFKHGLAKSIHHARVLIRQRHIRVGKQIVNVPSFIVRLDSQKHIDFAL TSPYGGGRAGRVKRKRAAAAGGAEEDDEE PHYBLDRAFT_158305 MPHSFGLRARTRHMFSRKFRDHGAIPLSTYLTIYKTGDIVDIKA NAAVQKGMPHKFYHGRTGVVYNVTKSAVGVIINKRVGNRYIEKRVNIRVEHIKHSKCR QEFLDRVKENAQKKQAAKDAGVIVSMKRAPVQPRTARYVSTVKNVPQTITAIPYETLL PHYBLDRAFT_5947 SSIPMPVAMWDFKHCDPKRCSGVKLSRCNMLKTLKTSQRFRGIV ASPVGEKAVSPADRRIVELYGAGVVDCSWARIDEVPFSKIRGPTDRLLPYLVATNPVN YGKPWKLNCAEALAAIFYITGYPEHGEALLAKFKWGHAFKKVNGGLLSRYAKCKDSAE VVQVQNDYLKQLEEDSERKEENT PHYBLDRAFT_180661 MSYYHQQQLQQQQQQLLLLQQQQQQQFEYQRYSYGQNSSHSRHT SNTSSHHRNLPSLSSSVSSCSSSSTCGSPVNRQQTSPYYYYSSPPPSPSVRTPSPSRT SEAQWQAYSATIRAKRATAPMPLRSVSADSLTYENIVDEKPSRHSYEKTGRNSYQSYQ SRYSIEYAYPVYSQRANPLDRDFVSCEPHSPTESRKSRRSSNASARRVSFNDRVVVIS PLPVHPPEERLVTLTAIDDDSVSWNISRPSLKKQSSASTVVEPSCSENEKYDAIVKQC TNRSASQIWWDESQLAVELAEDDLLQEPKRNNSMNQLKKLSVSAFKTSSKKEPSCSQP KGSRLGRTLKKWKKLLF PHYBLDRAFT_143376 MAKGKQDASKKKGGSDTTAKKGVEKLKPATAVKVRHILCEKHGR VMEAMAKIKEENMRFDKVAELYSEDKAKAGGALGWLIRGAMVGDFQDAAFALQPSTCD KPVFTDPPVKTKFGYHLIMVPHGSYCDSCTLWNSLPSMSAT PHYBLDRAFT_88105 VIVSVEETVPEVSAKKRFDSIEAINPVTQRTLSKLFKYEEMSPV QEAVLSKLPNTNDMFVKAKTGTGKTLAFLIAAIETAMAGKTPKDLQYFEGTSIMIISP TRELANQIADEAAKLCSHYPFKVHCMVGGDSKRRQIMGLERRRCDIVVATPGRLNDML SSVPNFKKMCENLKVLVLDEADQLLDMGFKAELQRIFANIPEERQTMLYSATISQDIR RNLGDFALSPKYDLIDTVGKDDNTHVHVKQSALVAPYGEQLGLVRNLLTNYESANTGK VIVFLPTTKSTILYSHLFKMLMPNRTIYEIHSKKTQEQRTRISDRFRKTSGGILFTSD ISARGVDYPGVSLVLQVGVPSTREQYIHRLGRTGRAGREGEGVILLAPFEKDFLKREI GDLPVQNLIAPTIADEDIEATERLTKAALNSIDEDMVREVYTGFLGYYAGRVATLGLP RASVVEHANDFLRGFGITDIPHLSPRFLAQLGL PHYBLDRAFT_143379 MPAVEPDQDGFVVVEKAFKYKATDRKKKGRGKRNEKNKHVFKDY DDWTIDDIKSTLTQRKETLIENIFDEHLVPTGPHDIICYGIGSMQKSKNAQYQFELAL LIRELLKIPGKMYIYDPVMTDLDKKVCNEQEIEIIEENEEGKRVVTKPTLFYMPHCGR GLYSNTLSVNWNQENLQNITLIGNRFDMYVGSQLDRDLKRECPYLIPAVEIIETISFP KEFDNNQIFNDLSIQKFINTKMSAKDKKFWDPIPISTPKSDSDTIQE PHYBLDRAFT_59941 MNSATIYFFISTPANLISDSQLRTELSQKRPHKNNLIKCSLRAP FNGLTNLLKVRPRVFHNDITLIYFKLRLLIFGGLTKTSQALFSLNNFSFKFKSWFIYL FIHNTSVAIFTEKLHTEIKINLKVKKYLVKQLTKLI PHYBLDRAFT_143380 MANAVSPLLIPEIAGLICDVADSRDLLSLVLTCRVFYDAASARL WRTLHPRSLISLLKVKSTLEGKHRVKSLSCDYHQLIQTFRWSSRDDPTCRKFELEFFE YFGFPNLVQLEFSYMAAQSQTLYKIIAASPHLRYIDLSHCYCLSTQAILPLFFMPHHH LQTLILYGCGQIDPDTLATLIMHHHQSLQCIRLTDINDRVLESIQQCVGLKDLGLEHC AEAKLSAGALSRFSRPTKTYSGLGLERLRLRDIASLSSDHLRGIVDGSRYSLLHLDIS ECNRLSSEGFVYLSSQCVLLETLLLAYQAGVEDEAIQLLVLHCNRLKHLDVSGCRSLT DEAFRAFVNESDITPIALETLDVSGLESEISRSILGSLLMKLPYLREMSLGAAYDRED ANKILEKVNRVHPSFHMDIDRTISRE PHYBLDRAFT_158308 MQEEQTHKPTEQKWLPLEANPDVWNKIIHRLKVNSQWNFVDVYG FEPELLAMIPRPVTAIIFLFPLTENYEKFKEEEEAHLARHEQNISPDLIFFKQTIANA CGMIAILHAIANNDNEIVGPGLLSNLLEKAAPMSPDERAELLENCTELSSVHQEAANQ GQTQTPDLGDEVNLHFSCFVKVDDHLYELDGRKPFPINHGKCTDLVASAAKIIQQHMA RDPDETEFSAIALTKQPQSQQ PHYBLDRAFT_158309 MGQERIDKIIAIPSSSSVSECTKIALDKFHLAYDRTEGDKGNRY RMTLVLSGKEKVLPDTMSLGDVIRDHASLLTPGQFILRQFGASAPVVPKRKTTPVPIL DPDTARMLQKLDSALMAFDESSDVGPSRPWMEVARYGDNDIDIILPHGVLRSTNLPNQ QMQYALMAPKGANDFSTILKKVVQPSQPPSRALDTISDIELAALVKYGRAYLDTHERG PVLQQDGANLSSLEDLQNELQRIMAAHAHVNPI PHYBLDRAFT_166378 MSNAVDDIERSDKYNAFIQDLMQFHSRKSTRLQTEPVLGGKKID LLKLYESVLEAGGFDQVTKNRSWKKVGEIFQFPSTCTNSAYILKGLYIRNLLGWEEEK IWRKTWVPPKELFGPEAHKASTLAGKSYKKNSSPTQKPSSHKFTRAYQPIQPDLTYQK VSANHHHHHYQPASQPKPQPQPQQPIDVFALPPGFPALALEGCPSFEQISITDEHSQC SISELHHQKPPPEHIHSTDGMSSGCSAAQQFDNDTRQRILHGLEYGTSVDIEWALNSI VTLSFECPEQLRLDKIPTLLDLLLHCAEPCLVENTFPGTEPNAMDLMSDSLDRCHVDP HKAKSEMARRRILTVVHILRNFSFIENNARILGSSQRLRQMLVRGLVLSSGSYYTHCI DVMENMAPYMLLAGHADEVISCLASLIYGTNRHLLIGALRTLTLLATLPENQLYLIPG STHIAERVTHLLVVNDEELTGTVLEYLLQYTRMSNIFRLQLLTMHSGADIGIFVSLLM LKSKFFDPITIKDDSSSGSNSPTQSIMSGSPQIETHAHGPCLPPLDEYQQLDEPYRCL GWLKDKFEVADPMTVLSLDDMYLLYEMRFDHEKALKIKDFYTVLKIAFPVASPTISPM ANGSGPVLEGTYVRGIQIKMNILQDGPTMMCQWTDCSQSFRNPSLLQSHVIKDHVGSS SKDEEMFGCMWTDCVDSFEDKRDMACHLQEHVSQPTYIDNSDVQGIALVAAHLLRLLS RDNHSHIYFMPYEKELSVAACQRPKLAKFVQTIFSNFSHSIHSSVYMS PHYBLDRAFT_143383 MAREQVANKFGKDLQNALFNPAAIDPVVTKWIHEQLNYYFPYYN NLNRQADYENNCKKYTQGSEPQVRKVQRHQEVRSRSPIKVLLKTIALFFNDRSISSTL RSYGKQLYEVYDSLSAEEQSICGFYLNGILDLGNQKECIQKKLFAKDQWDYLIKHLPN QSDAETWCVAIQETPVDKINCVNATKKKVEKVIKEIKSGQQEEAYYIGVETIRVAQSV DNIDAYKEHTYIFANPTNKITELDFLMKLWGEVLELLVGDGSELYANWGETSADTTAA VKRINNDNEPHTIGCKVDGRIVCKVSKVVATCHPETARASYSFEKIYSDKFKLAAESK CTVNDLVMLGKPKKQKTIILQNMQMFGIQVDLCALKFIDRSLYVNSVGFDLSLYSSLN LFVDKLIMWIRQWKSLKKTCLNIATLSNEAFIQPASFSEIFDCDDSDDDNINYCSLEW VTGIFLPLTTKPALLTEQLLTSPTTLS PHYBLDRAFT_95340 RPPFSYSSLIAQAILESKEERMALRDIYNWITEKYPALYNAQDT GWQARNFLLHNLSLNKCFRKIPKSENETVGRGKGGYWTIDPNHMAKFKNGSFARGS PHYBLDRAFT_166382 MKKVHETLIPLEDYKLPPLGHAISGAVGSSIANLFVYPLDIATT RIQLNSKQAEKAKRQGLLETIATIYKTEGGIKGLYAGLGSDTVASVLSSFIYFYCYTA LRNVQEKINTKMGKATQLNVAQELFLGAEAALISRLFTTPVSNVTTRLQTAGHHNKKG FVDMMKDIYEEKGITGFWTGYRASIMLVSNPSITYFVFEKVKDLYLRNSKNTLSSFQI FLLSAVSKSIATAITYPFIFVRTKMVADVKGKSAIENQKSILAIFKDTFEKEGASGIY KGIRAQIVKGFFNQGIMYMIKDYVATYLTLVFYASLKLKFRRQQASLL PHYBLDRAFT_166383 MQDANSSENTHGSYEKADPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVPFITEEQLHVEYMP PHYBLDRAFT_154978 MSTDTFHGWACPNKDKPLEWTEMPLKAFDDYAVEMDITHCGICG SDIHTIDSGWGPTDYPCVVGHEITGVCTRVGKKVKKVKVGDRIGVGAQSGSCLECENC KNGDENLCSKGMIPTYNGRWPNGDKSFGGYADKWRGHEHFVFKVPENMSNEIAATFFC AGVTTYSPLKRFGVKPGDKVGVIGIGGLGHYGLQWAKAMGASVVALSHSERKRADAKE LGCDDYILTTDKKSMNEHSGTFTHILSTSFGSNFDWESYLGLLKTNGNFIIVGIPETP LTGIPAMMLAMHQISIVGSVIGSPAVIEDMLNFAAAHNVKPWISKYPMKEANEAVKAM REGKARYRFVLEN PHYBLDRAFT_74384 MWNIKKATHLGRVISHSTRRCAYSTQTKPANRIWPAVISAAAAG GVGYWAASSSPSSSSIAQSTQPTASLPVARPKPTKKKIQEAFHALQAVLPHEHVSVDE DILQTHGYSDNSYHNEGAPNIVVFPSSTEDVVAIVKIANELDMPIIPFSGGTSLEGHF TAPMGGICISFSEHMDNIVAFHPEDMDIVVQPGVSWETLNSTLKKNNLFFPLDPGPGA CIGGMVGTACSGTNAVRWGTMREWVLNLTVVLPDGKVMKTRQRPRKSSAGYDLTRLYI GSEGTLGVVTEATLKLAVIPEESSVAVCDFPTIRDAAAVVPDLVRAGVQIGAVELLDD LMMKAVCLANPSLGHAEKPTLFFKFSGSKPQIEHEIKVVSEIVKKHKGGTFKYAKTEK EKEDLWEGRKICLWSATLLKENASVWTTDVVVPVSRLPELIDETKKDLSTSFLPAPVV GHVGDGNFHVFILFDKSKPEEYEEAKRINKALLERAIRMEGSVTGEHGVGTGKKAYLR KELGDNTIDLMWTVKNAIDPKGIMNPGKVLPEN PHYBLDRAFT_143391 MSIIYPKESQTIQYPKRTQEPTKLSRRQSLGRPTIATSAKTSIR NGLPSPSISTQSIADRSLPTKNVDLANSEKEPLPSPPNTPKVNTLSHPPKSTPFRSRA GSFVRSHPLICVDEKTTSHALPGSIKTCTSWVPLERPRASRIMPSLNTIKPFKHMTSP QLPCPERIAPLRQLHQPNTDSVYLYTPRQSRSIDDEAACSKGFSRPSISPSVVTRSKR SASMSLPDNGRQPTCVACNRHARPSIIPSHIPSSSPFTLIDETSSDDQSSFSSVPPSP SSSRDGNKEASKTCYSNGLPYHRLKKTCGTQPTITSLPPHHCITSSLVIAKSDIAPEP YTLSSEPENLEEGSGLDELVESCRRHFRMLEQLSKDILTSEERVKKFFSIQQSIEASL ETREREYYNQRTACELMLKQQQELMIDTKAMIQKANIDRPYSTEFRSILSDPPLDTVS ESSSLARANRESGQVELSLPDHLSGYDMWVLQCRWQVSQWVGGALGRGSLTKESMGLG SQRITSKKSPKLTIIGTGCTREPDILLTLTKLLQILELSKTVKLVSIGLIADIIAGGV GMCFAMPIARISYLCLIQMNRVRGHEFVICASTNWWESHLYTLPLHKLFESILQCHL PHYBLDRAFT_143392 MSLDKPIYASVKLNPTMHMWQTPDLISVGHNTGHSAVPLQSARR LTKNRVPLPGVSRLVNSVRRLRTRNSFTPTHFSWTDLPRATFLFRLKRSTSNFPSDQE PSAGSKSVRKARLHFKKPIDRRAMRKPNNLDISRTNRPGLNRLRSRTKKRRPSVLKSW AKIDRQQIHDQMQRFRVPLRWTDSVASFSINTDLKEISPEICLHNLTKSIKRLQYLIE KEVEAIQFNQQEVIDYSAKLKQLDIDSQRLDSLVEYGRVEVMSGFRTTLKAIEQETSW LSHAQRKQQKTTARIESYGQRVNHNIRLATLKLNIQSAQSIEEMRLYIKDWKFRSVFL FMSFAFCILAISTLPTRQALLLLP PHYBLDRAFT_154980 MEKPQPPQYTYPPPQHPYTPPSSYPTNNYTPPPPQNNYGQSSNP YQAPENQMEEGYESKGKIRPPSGWNDLWAAALWIANMGAFIALSVIGLRTYNGKKGSY NGTPSNTQYSGLTFDTGTFKIFGFSAIVGFGLSFLYLILANMFPRPLIIITFVCSIIV YFGVTIYYFTQHYYSAAIVFLIFSCLYLLAFWWWKSRIPFATVLLERITSITREYPST IAIGVLSLIIQTAFSFWFMLNVIGVYETYYSNTANNARLNLAMVFLVFSFYWTSQVIT YVTHVTLAGVFATVYFLNNSVSHPALGSAKRALTTSFGSICFGSLLIALVNLIRYFIQ IARANTDNAILGFVLCIVDCIVGCFQGLFEWFTYYAFSGVAIYGKPFIPSARDTWTMV KDRGIEAMINDNLIGNVLFMGGLLVGVLCSLLGFIYLQVSQPAYNQSGSITPVVVMMC FLVGASMFSSVATVISSGVATTFVCLADDPDALKR PHYBLDRAFT_132436 MSVLDQIKKFTTIVADSGDFETIAQYKPQDATTNPSLILAASQK EQYAKLVDEAIEFAKAKGGSAQEVLDWATDKLLVNFGTEILKIVPGRVSTEVDARLSF DKDATVAKALRLIKLYADANVHKDRVLIKIASTWEGIQAANILEKEHKIHCNLTLLFG FPQAVACAEAGVTLISPFVGRIMDWYKKSTGKTYSSTEDPGVLSVSKIYNYYKQYNYN TIVMGASFRNTGEIEELAGCDFLTISPALLGELQKDNKTLERRLSPESAKAQSLPKVS FFNNEKAFRWEMNEDAMATEKLSEGIRNFAADGIKLENALKKKLNL PHYBLDRAFT_59955 MKQLVNKITIQLFSFQFHLSKLHITLMQPQQPQQSQQSQHPFHS CPLRSSSLLVVSKPKQTIVTATDDVFTLLGYTPSQLIGHHIAVLGLKKQSQQHHYLAR HESQSQVQLEICIHYDPLNTATELEYWLIRPIHTPLPSAIRGQTSDCSLTVLRLSPYG TIEHAMASECLHQSAHELIGKPIMSFVHAADVHALCEGLSQTTRQIYHTFRIRWLTSN KSKDTQHEWMTLTVMTMRRRLSCTSLDDPLTRPICILRPTRFPDQPIPSSHTSNISPS MSSAFDALQLALECLLEMPSYLRFAVEGALGQGRSYIVDYASHVVESIMDMMADCCSH TTSRIPEADLGSIHPSTTTAAKRRTGAVQVVRDGYKIEIVRLAHTLENTERGCRSRRV AFVQGLRHYANKSLVVQKSLRVLEFTGLVDHRARLLDFLEEAFAGSSNRSIVLCFYIF ILVLRIAPLHELRIFGTVKSSTFDHNTKVNQTQNN PHYBLDRAFT_76118 MSKAVRLWRKTQSVLRRNKILRLSDHKRDTKKETSRHSKIKGQV LSAFALLFTFLLFHFSLLWSMFEKAPQTLKSSLHTVVDNAKEYLADVCFRFAHGDIWA HRAILLARVPLKVRQQLMPTLDHNVNETCLMINLSKEISPLLFQSLLRFWYTSELYSP SSFEYNSSTTSISSTPATSATTSTASSLSILSSLEDIPGIITNESEMLKERKVLEERL AVGLLPVHDDKETDYDQLVKDLTNMSKTELGSDVTLNLFPASVYALHSPGDRKGILRD TSEGGLGSSLAAHRFILAARSSYFYAMFCTDFRESSSSTIHLTDDIFSPWILHVLVHY FYTDSIVVPPLPSTALLASPVQQRLSHKKHSLRVLQKTFYAADYLGHASCAGQAVLHE MSVICHDFKCACADCSAILPSMLLFAHKNKASVPIMLPGLMSLYTDPVRLLAPLWAAK PFSILVGSMAPTAVDLVEPESTIFSKAPATDDQPTFIKDMSELTIANITKHNSIHVLH SLHLCLSLIRGHDPLSHWSAPAYDLLQPVVRHTVAMISQNFDYYCVEYPILLSCVDGI GFGFSVDFLEFLLTRVLEDGIQDANAGIVYQGIIRDLVGRQEVVKNVAVDDVLISARQ RCAAYIARRWTSIKAQRGFRKLDKETLQKMSADIGVPYRALSRPFDSDFSAIFSFKPK AAKAALKSKMSEVDNSSTLFKTLSHTPGSNRRLSLGNLRPQRSNGALNAKASLDASRM PTRPRSHSTESVKINSINGHYVNQTSMDAMSSQPLIHLLSLETKARHQAQEGSFESPT QHRRNSVRSLADELLPMDVVPIVVSPPGPSAASVPRVTRLTFEIPTTPLRAKSPVTSY LSTPQGSRRRARSPRRHRWNIGSESEDEDLRVTPVIGAKVELLRRPLPTLGKIKYIGP VSFSKGTWVGVELESRLGNMDGSVDGIRYFQTDAQRGVFVKPDDYKILSMPV PHYBLDRAFT_132442 MATVFKAAEKAIAKSDGSSKTKDKRANKQRVMLLSSRGVNFRHR HLLTDLEGFLPHSKKDAKLDTKNNLYVLNELAELNNCNNAIFFEVRKRQDLYLWMSKT PNGPSVKFHVQNLHTMDELKMTGNCLKGSRHVLSFDKTFDSAPHWSLLKELLAQVFNV PKGSRRSKPFIDHVLSFSIVDNRIWFRNYQIVEKNQLNTPGCTTLDKGDISLIEIGPR FCLTTIRIFEGSFGGPTVFENPEFVHPNFIRASVRKEKLKKYQDRQKSIETRKVRVKE AEGQESELSDKRVFE PHYBLDRAFT_109741 LIHDLHISPEISQHTETSTAIYCGVDPTAKSLHLGNLVTLMGLL HFQIRGHQTIALVGGATGSIGDPSGRSSERIPLSEDILRVNVAGIEEQIHRFFSNGNK YANRRGFSGTGVTPKVLNNYEWFSSMNALEFLGNVGRYARVNTMLAKESVKSRIETTQ GISFTEFSYQLLQAYDFWYLHKYHGCRIQIGGSDQWGNITAGIDMINRKKDQGESEEI EKAYGITIPLLLTSSGDKFGKSAGNAVWLNESMTSIFDFYQFFMKTADADVGKYLSMF TLLNQQEIDDIIKSHQANPEKRIAQEKLAMETTELVHGREGVQKAKTASQVLFGGDLS TLTGREILDAFKDDSSRLAKFERSKIESCGLDVLATLANATQSKSEAQKKIKAGGMYI NNVRVIDPRYKVTEADWIDNEVCVLRVGKSSYHLIQAV PHYBLDRAFT_177254 MLDHFSILTKGGFVLWQKSYAPLSGAPVDALIKNVLIEERAGTV SYSKDNYALRWTFANEVDLVFVVAYQKILQLAYIDELLETVKRLFLDMYSSVIGDNQG ISADYNEFEPVFSKVLKQLEEKYANRPRAPRKFEETKKFENTLKGSKVATSNTQLLAT ASRTVDEDEITKNIKALKLQSGGRGGIKNRKGGRVSAKTSPAPSPDMNDDNTGKKKKS QKQARVWEGQISRGEMETLDYSKEKTEDDDSLDADTVAAQFMDQSKLGSKNKDGIYEV QDVLESEDEEEEEEEGKASSSSGGIFSFLKNITGQRELSAETLDPVLATMKEHLINKN VASEIAEHLCQSVRSSLMGKKLGGFERVSTAVKNSMETALKRILTPKTSLDILRDIEQ TRAEGRPYVISFIGVNGVGKSTNLSKVCFWLLQNNCKVLIAACDTFRSGAVEQLRVHA RNLRALQTSGGGVVELFERGYGKDSAGIAKDAISYATANRFDVVLIDTAGRMQDNEPL MRALAKLVSVNNPDKIIFVGEALVGNEAVDQLTKFNQALKDFSGLQNPRHIDGMILTK FDTIDDKVGAALSMTYITGQPIYFVGTGQTYTDLKNLRVSHVVHSLLQN PHYBLDRAFT_109757 MEAGFLENERNHQQAAAVKYDRANAVFVVLARNRELNGIRKSMR QMEDRFNRKFNYPYVFLNDEEFSEEFIALTSSLTKGQTFYGKIDESMWGYPSHINQTY AAECRKNMQDNKVIYGGSESYRHMCRFQSGFFFRHPLLETFEYYWRLEPDIEYYCDVN YDVFKVMKDNDFKYGWTISLTEYMATIPTLWETTKKFIAAHPEYMETGPESLREWITD DNFKTYNGCHFWSNFEVGSLNFLRSERYIKFFEHLDKVGGFFYERWGDAPVHSLAVAL MLKKSEVHFFNDIGYKHNPLMHCPIEGYLQKSCHCNKQENFDWNNWSCATRYKKIHPE FIWNEHTFKNKTSPFIPTPGTII PHYBLDRAFT_154986 MIEQSRRRYICGILILLVVVLIWVSSSFTMNSIFGDQKYNKPFL VTYINTTTFSFYLIPLLIFRKKKQYQPEEIDLEDSARLLGDHESEDNQEFIKPQNPPQ IQLKLSDKETIKLSLMFCLLWFGANYTNNASLAYTSVGSSTILSSMSGLFTLVIGAIF KVEKVTWIKVAAICISFSGVVLVSYSDQLAEEHAEHPSALIGDLLSLMGAFFYGAYTT LLKLKIGDESRINIPLFFGCVGLFNFALLWPLFPIFHWLKIETFQLPMSGSLWAMIGI NAFVGTFLSDYLWILAMFMTSPLVVTIGVSLTIPLALMVEIIFKHHTPAIQYAIGAIL VIVGFFIVNLATLSLVKKEDKESAVTNEIQ PHYBLDRAFT_143401 MSTLEKNIEGDRTDSLHAEEISQSSQASYVPRQLEMDEVTLSES GATMVEESNVILKTYPQAWLALFILVLLRVSVSVFQYTYSVVPGLTAEYFNVGLTAIN WLANVQGVVYVIMSLFTGWMFEHFGVKRTLMLSAFLSALGAGIRCIAGTFSSPSYALA MVGQVIGSSAAPLTLNIMTMFASTWFTEDRRATAGMFVASNYGGILGMFLLPNLATSV DRISLVAMVSALLCAGVFIPVIFMPSKPPTPPSIIQEQDKPSFFKGLRMLGSNYNFWV IFAVHSINVGLSISFGTLFTQILAPYGYTNIQAGQINAVAFFAGTLGCSVAGPVLDMT KQHLLFLRLIAPMVVITDIAFIFIIRKDAYAAILFVMGMNQFFLSFLVPVAIEIGSET SYPVADASTNSILWQGAQIFGIILVSAMDAMRDTEGTPKNNLFNALILQSVLAGVMMA LAFIFRGRMKRSEAIALEKKRHVEHSQKKDGNRPENFQKETTKEESLAIFP PHYBLDRAFT_110058 MHNCTRLERRQAMSENKHGLYTEIDNEKEFMNITTSEKYVVGHF FHKDFRRCKIMDTHLEKLAAKHYGTRFIRIDVQNAPFLVEKLQVQTLPCVMAWVNGYC QIKLIGFDELGNSDGFQTSLLELKLNNSGK PHYBLDRAFT_28279 MATTEELQTLVLTTLDQNQVIEDSKNIKFDGKPIDQLAFLGALN SLKSKDMVDYSPIELEFWTLTEEGEQVAKDGSHEARVFAAVPSGEQGIPIAELQARLG EAAKIGQGKAFKNKWISKKGANLVRLVDSIVDQTQKDLLEIKNTSTHKDAKLLAELKK RKLTDKYKTTSYKVTKGPAFSLEIKHEATEITYEMLQSGEWKNATFKKYNFDAVGVPP SGGHLHPLMKVRQEFREIFFEMGFSEMPTNCFAESSFWNFDALFQPQQHPARDAHDTF FLKDPANTDRFPRDLMAKIKVTHENGGDTGSIGYNYKWKEEDAGKLILRTHTTAVSSY MLYQLAEKTKREGVFNPAKYFSIDRVFRNESVDATHLAEFHQIEGVIADKNLTLGDLI GFMDVFFKKMGMDKIRFKPTYNPYTEPSMEIFSYHEGLKQWVEIGNSGMFRPEMLLPL GLPPDVRVIAWGLGLERPTMVKYGISNIRDLLGHKVNIAMIKDYPVCRLDKKMGKELV GLSE PHYBLDRAFT_154988 MRAVLQRVTRASVTVDHQVVGSIQKGICVLVGIATDDVEKDVDY MVNKILNVRVFDDQATGTMWKAGVKQAGLEILCVSQFTLQGQTTKGNKPDFHRAMKTG DAKSMYELFLGKLGKAYDPAKIQDGKFGEMMSVEIINDGPITLELDSRKFTYDDIN PHYBLDRAFT_74388 MKENIALARYTEPTPVQANSISIVTAGRDLMACAQTGSGKTAAF LIPTCSRIFGDAANLISRPQGYDTHRFKAKPLVLIIAPTRELCSQIFDEARRFCYRSM LRPCAIYGGAGTAGQLRELEKGCDILVASPGRLKDFLERGKIDLSNLKYLVLDEADRM LDMGFELDIRDIVERRGMNKSRQTLMYSATFPKEIRALARDFLKPDYLFLKVGRVGGT TTDITQKVIWVEEPDKREELKKLLMSQPPCRTLIFVDTKRISMKLKKALYRLDQFLFE CKFPSTSIHGDRSQMEREDAILAFKAGTCPILVATAVVARGIDIRNIMHVVNYDMPPN IDEYIHRIGRTARVGNAGLATSFFNSGSMGIARDLTKILQECQQEIPSFLQPYMSKNM PHYBLDRAFT_59967 MNHASLNRLHPDHHYQEEIVKTDLVSQTIQDIHNLIAAYPLEFH VPFLTAFAQPCTVHTAILDLYSITLEKYSLRDIHFDTHWHWHIAWRQALSYENLLVLG DNNVSRVVESFHPKSHKAIEAIYKHELARYMRWYPWKWFSNLVFIGAGGFSAVYEAEV GLPYDVSVGISDDGRGYAQGTHIRPVVLKVVDEKVLNEIVVQSRAFLALLFHGLTVCE STGDLMMVGTLAEQGNLENFIGRPIPFSMPAVLYAVTRLAVNMASLHDEIKMCHRNVH PRNVLCGDDYHLVDYRFSTASNEATKVTQQAMVHYGRIPYIAPEVRQGIYTEKSDVYS LGIMMWQLISGINFPDPEILLSNTNVYRIERIPGVPRWYQDLIIACLEPHPNNRPTSE EVGNITRRFADSESDARISPEWMAYVVRRRDEIKRSHQGVAKNQASVPVSRVYPLRQL QNPLPIHSSFLNRPLDMASFSALSSLT PHYBLDRAFT_59968 MTGCELDHEISANPRGCRIDVTISPKALYNLKCFRITQDIIHEQ SDIRKSRQAIQVYDASISILKQTYDTSQEPTSKRANISESEDILCAHSFPSEQRKKYG LCMSIDLERRGGRKVVFN PHYBLDRAFT_123686 MKIFTIATVLGLASLASAEIFLHETFSDGENWTQRWTPSTHRAD LGKLEVTTGKWFADENKSNALRTTEDYRFYATSTPIKPFTNKGKDLVIQYDVKNEQHI DCGGNYVKIFSDKFDPKTFNGDSEYNIMFGPDFCGTKAMVHAIFNYKGVNYDLKKTVS APNDVDTHTYTLIVKPDQTYQILVDGEEKASGELIEDWDFLGPKTIKDPSASKPADWV DEHEIDDPEDKKPIDYDSIPEFLADPEAVKPEDWDDEMDGEWEAPSVPNPDFKGPWAA QRIPNPDYKGPWIHPEIDNPEYKVDNEIYAYTFGNLGLDLWQVKSGSLFDNFLVTDDI KEAEAIRQESLDLAVKEKEAKAVHEAELAKAQEEADAEQAAVGTEADDKPLEGGESGD MEINFDELNFDDEINLDEAAAEVKENVAEIKEEVEQKIIEAIPEAAKKPVKDEL PHYBLDRAFT_4109 PLARRCLFISYEYGIDAYGKGIYDIYFVSFWIIAFTFLRAGMMK YIFSPMAGLWAIPSTTKRQRIAEQSFICFYYTLFWSLGMYIMYNSPHWFDTTHYWIDY PHIFISRITKYYYLMQTAFWFQQIYVLHVEKKRKDHLAMLLHHIITIILLVASYYTNF TRIGNSVLCCMDLADVFLSFAKILKYLGYSNVCDVVFGLFAVFWPITRHVLFSIIIWS TAVEPPKYLDMLWEPSKGKYFTPFTQKIYLGLFLLLNILMFYWFLMIIKVIVKVLQGN NAEDTRSDDE PHYBLDRAFT_180675 MASAIKIPPGIRLFNKIADFRQWRREILLDRKTLGYVPTMGALH KGHLALVSTAKSHCDHVALTIFVNPAQFAPHEDLSSYPRTLQADLDKLASLGPGVASA VLVPQVEEMYPAGIDLDVSKQKGTFVEVKGISEILEGKTRPAFFRGVTTVVSKFFNIV QPDNAFFGQKDIQQCYVIKSMIRDMHFPIKLEICPTTREEDGLAMSSRNTYLTPSQRA HALVLYNALKKMEKLYAAGEHNVSSLIKAAHAVVEEERQKVKKLNEGWEIKLDYISIN DKSDLSEVGDKLKEGGCVMSGAVYVGKTRLIDNLLVN PHYBLDRAFT_180676 MLNCRLQSVRPLTSVLRSRIVHATFGTTRALSTKHEPVTIYEGP LANVAKKLKLFSITSLGLGTGISPFIFMIDVPVPFVAKAALAGAAIVTSAASTGLIQW VMSPYVTKITTTCPEPVPTQLTLHTLNFFAKEHKTTVPTDVLTPSTRIFTSWMVTDPT VAEGMVGNKPAKPKMLFYVHPELCEEPGTMKDIVDQVGIGKGF PHYBLDRAFT_76128 MLTDSSLTNILIVSGVSQIRYRVYGQHCKRSSLVDFIKCEPSES STTGNIKPTYSGFHGDPLDPTENILIKTFKTLTQLGILCMWRMASENNECSSSPTQNP DQDIVPLELWVFWFDEKHTGKIDSNYELSILDELKVGSFTWENVSSKGVSPTASPLAL HPRVGSSSLVAVSEEYKLFMKSIQSVIQWSIQQKGAIPLGDFLLFPLNIPDNNDTEME MKLSPEESTDEMVDSVLSCTYNVYLASTNLIIQPSPRRMRIRPLHISDLKNENLKVRI GPSGEYAKIAPQQDISQQLETNVLLQWSLLFSIPVRYISRPIRKNNSQVIPQLTTILT LRNELVLYPTALIFVPVSAQQHSTPAAGMNSTLRYNQGYTEDLGEKWSRQTWNENIIN TTKLHNQNMSMVSDEYGQRIDGLRRHINYWSYMGPKEIVMSNIFEVLSTSDGCSSQEL LKKALAEPVLSSPLMVAKSLATPTSLGPKAESVSNDMAEDMEDTDSRISESFENYTGI SLKEFATTFFLENANQMLAPNLLISADHKSTTPNSEDNTRGEYIQGLEISQNIDINGF NISESAGRNMPTQPLLQTNEIIASNEAGVLQNLLSGPIQNEMISSNSDYLAPEMTGSN GDIDSGFDIGGMAGMAGIDSMDTMMYGMPDRWGGDDNLDDYDNFDFSVTEEDFKFFES GPNNRHDEYISTAHGGLTTGIQEAQSLDVTDPTLLLIDTFTEKGLGENEMKEKELDFS ELFAASRSMMEDTMMIDQSTRIFDESKPIIDSSILMENTAGGHLALDSEDTLMTDVDT MDNHHSSFSNHQFSSTITPKTGQHGLDVVSNQYFVQSELSPVIFSERVNDAKYYNGGK FMYVPPSEKNKTANRKTKQDIYRPDYIPVIKKRVQKWLDDRHPKHQHKAYDNSVKTVS SPIKKPKTEFEDVISSSSSSCYTSSSSSYESESESDSETEVKPIEKSGTKDIIATPKA NNAITVQKHMGLLKCEQDIFVSRILIKPGETQKYPLFEKDLGSEYTHPFAEAVVPGPV NSVHLPNEALCEEDYRALDSLFQQVVMGGYPFSGSLAAVSANAGEISEGESATMIVAR RRDLIQSLHGDISHIPSLASDYTRITQSFKIILTDIFDQRKFNQTSLYPSGMDHPALP SFVSVKGPLNVQQYYDLSETNQAHSKYGKYQIKKRRPAEPNLDTLIPPNIVVSRQENL LEGSPKLLTFWEKLRLAPYSPPKNINYFVVFPKNEDLESNVSHFFRGLSTVYDTCLLG SHYPAKIGNYRRGLVPVPILPRLQEETWDDQQLRSYTAECRNLGAALRTANAENMHIV IYIVNPSSHLSANLELSRCFRKLVVEYQKTFQDSLSKPTDKNRARLVMQLIPIEHILR STSFGGYLKFGFKEVAFSVYTKCHTVVGRNHGQVGNNEIQSATELYTPPFVLAKPIPE TINFSVKEGPHSFPIILEDNASLHLGYCFSIDHRWMILVWTDHRGELIEFSVLDCIKN SNGSLSAVFEEAWWRTKEISRRTGFPWTYVIAKIGLMFEDELKAWMDIIPNDEKAVIV CVDMESTLYLHLTADATSIPYEQSHTPNSGGVLSSDILNNSPSMNANATHSTRPTDST DGEVEDTHALLLNHRVAYSRKREKISEGILCMDPNSEVEDWMLPLASGYMVHKAPEND SPCKEQFNHRPLVVEVHLVYNQTAYSAYSTLRDIIKRYHALSFVNSMPSSVNRLPIHL VLVERLCRILLVVDPSF PHYBLDRAFT_177259 MSFLSGFKKNLNRAGTTLMQRTGISDRTIDSEFEEEYERFKTLE QKSEKLTKEAKGYLDSLRAMTTAQTRIAQTIGHFYDDSAPMGPAGHEYKRAVERLDEE ARTELDVAFRTTVLEPLTRFCSYFPEIHEAIKRRQKKLLDYDNQRSKVRKLIDKPSED PQRLPLAEQEANLAREMYENLNTILVNDLPKMVELRVPYLDPTFEALVKSQLRFCQTS YEQLEALRNHFPPENEKGDGRVDDVLQQMRELTICGNF PHYBLDRAFT_109808 MSKKASCVVREHKLVMVGGGGVGKSALTIQFIQSHFVDEYDPTI EDSYRKQCSIDSETALLDVLDTAGQEEYSAMREQYMRNGEGFLLVYSITSRMSFEEIT TFHQQICRVKDRDYFPMVLVANKCDLEGDRQVSTKEGQDLAKSFGSRFIETSAKQRIN VDEAFFEVVRDIRRFNQEQASRRAGNSGVSGGGVGVGGGTSKDQITAQKPAKQSGDKC CILM PHYBLDRAFT_59976 MSHARRTVTFDIKKKTADCVVLEDARRNSKEYSQDMAKVIAVEE IDTNLYMSKELWVPLGSRGAFGGQVVAQALNSAWCTVGDQFRIHSLHSYFILPCNADI PVIYKVQRLRDGKTFATRSVTAVQRGKPIFIASFSFATPEQGIRLVHQAPMPDVEKPE DVPSEIERVREWLNADDLPTAFRQYLEKRLEDVTPIEYREVHTHSTEEMLKGTVEPSA VQRRWFKSYNKLDSDDAKLHACSIAYASDSGLIITAARANGYVYDTMGMVVSLDHSIW FHTPARIDEWLLYDMHSPRTSEGRGIAFGKIYSRDGTLVATTAQEGLVRLSEKGQILE KQKTDNENDPANASKL PHYBLDRAFT_166411 MVLNPQKTNKRKEIGHYRGFKGVSNRDDRYTKDRIMKYVHYSVQ AQTVDLQGCFILFASIVYFSDLIWFPKYTEFSYMYKSKAYLHQKFSCNGLKKSKVLCT LEFNAMQCNAMQYCFPRNPEENIKFKAIKAPSVLIDA PHYBLDRAFT_132467 MSVLEQIKQFTTIVADSGDFETIAVYKPQDATTNPSLILAAAQK PQYASLIEDAIAYAKGKGGSDEEQLEAATDKLLVNFGKEILKIVPGRVSTEVDARLSF DKDATVAKALTLIALYEAEGVNKDRILIKIASTYEGIQAAHILETQHSIHCNLTLLFG FAQAVACAEAGVTLISPFVGRILDWYKKSTGQTYESHEDPGVLSVSKIYNYYKQHGYN TIVMGASFRNTGEIEQLAGCDYLTISPSLLAEMQKDTKTLVRKLSPETANAVSIEKVS YFHNEKAFRWDMNEDAMATEKLSEGIRNFAKDGVKLENMLKEKLL PHYBLDRAFT_180680 MGVKPQTIALATAGVAATAGLGYLIYFDYKRRNDPSLKKKLRRE RKKAAKETKSAEEEAKAKAIKLIEDVMAAVDKEVFPESPEEKEKYFMAQVAAGESLCN QGEAFYNDSVLPFYTALKVYPAPLELIMIYQKTIPEPVFQIVVSIMALEQQKRQNGFY EQFPPKETHVKIGELPAGTSPEGKPIIRRGLVAAEDIEEGQTLYTESPMISALHPSLE RTYCNHCLKKIDPEHRVECTNCDRVAFCSEECKTAATEGYHQFLCTNSKVQNTTSDAT AEQKDEEQLETEIAALEEAAAEATAATAAIPVLSEKENVFLKYAQENNVKYPQMIAQF LSTMIAEEKEKTKAGKAAESMYSAWDHIDKYRYLDLSPSEATVTEMKMIKELLGSKVP GIDEFLSEEIYLMLKGKLGYNAYPILATEGDEVDAEAASKERIRELSEERPSVGAALY KISTYLGQGADETTNTKLVFGDNHDITVVATRAVKQGEELFAPYVLQKPQ PHYBLDRAFT_180681 MGRVIRAQRKGAGSIFKAHTVGRKGAAKLRVFDFAERHGYIRGI IKEIVHDPGRGAPLAKVAFRDPYKYKLRTETFIATEGMYTGQFIYCGKKATLNVGNVL PLASVPEGTIVCNVEEKVGDRGALARTSGNYVTVIGHGDDGKTRIRLPSGSKKIVPST SRAAIGIVAGGGRIDKPLLKAGRAYHKYRVKRNSWPKTRGVAMNPVDHPHGGGNHQHI GHASTVARDSSAGQKVGLIAARRTGLLRGTKKIKD PHYBLDRAFT_143417 MKFSLVKLICSKGPQKDPKHPKHLSIPTVRTQIRLETEVAASLL NLDSVQARPQLKDTYFAAPNTRYMGKKPVAVTTSSAPPQASVGQVFCIGDSVSSSLSS SSAGCDSQDLDEGYSSTALSVLDPDITHVCDVAFFERSVY PHYBLDRAFT_100497 KRTHTSATSAISFKKHIRQRRFGPVPAVPEPSVEEAVSNILYNT PPPSQAPEVRHILNCLVQNEPGVLSRLSGILAARGFNIESLVVAKTEVPDLSRMTVVF NGRNVQIEQARRQLEDLVPVWAVLDYTKTKLIERELLLIKVSILGPEHLHEQLLTTKF DDKVEFEDKNLVDYDDSEHAHPNASDALRETFSHLRALTELTRLFDGKVVDVSSDSIM IELCAKPRRLSSFMKLCKPFGILESSRSGKFLFQVIIVISVMAMPRSPVHDHYEPQSE HSYDDEDVDASMLPPG PHYBLDRAFT_186294 MASTFSNIQRFFGKKTPDELVKKWRQEIRGQQRAIQRQIQAIDS EEIKVKKAIKQVAKKGDVKSCKMLAKELIRSQRHKNRLYTSKAQLNSIVMQLEHQLAT LKVAGTLQKSGEVMKLVNQLVRLPEIAQSMQQMSMEMTKAGIMEEMIEDTMEMMDDDD LEEEAEEEVNSVLFQITNGMLGEAGTVGPAIEKPEAVLESESDEEEGPELDMMQKRLQ ALKG PHYBLDRAFT_123706 MSGMEKNLFQLKFTAKQLNKQSKRCQKDETLEKAKLKKAIQDGN MEGARIYAANAIRKKNEAINLLRLSSRIDAVASRVQTAVTMRKVTTSMASVVKGMDRA MGSMNLEKISMVMDKFESQFEDLDVQTEYMEGAMAGTTTLTTPQNEVETLMHQVADEH GLEMKHELGKMEPGTSLGEASKTDMSEDALLSERLKALRQ PHYBLDRAFT_180684 MAYQRQSLSQTTTLLEIFFCLSSDTSNPNDAGEPYRLAMTLGKT NSIPSADCREWSEFDHGGIFWMARSGMNAKEISDLMNLPYETINDLITRMEQRMREKA DLKPKRLPKRL PHYBLDRAFT_166418 MRAILKPARIIKALNVVYQVFLFFIKKKVAREVILTKCVKSQIK KDDDITMIKSHLGKQLHNKKPRSIWYFATSASTSKSVVDVVTAVVDENQFSPSVECEK YERHTRIAMSG PHYBLDRAFT_59985 MDPPLGRNTFTNDRFSLPPISTIDDSLPSRSWPPPATTLHQVPF SSPPNHPENWSPSSTHSETRDYFFNRPVDHHHRQRSLPQFPTMYASNSPQELPSPVAS RSVENDIDDVIRHCSALGENMVRRKSRLSDPYYLSDLEHTRPWLDDMISRANQVLNAL LRLRKHQMAAEYVRAHGIDSRRRAGTSQAMEIGGPSERAGALLPLGNGPMSLHSIRQR KRGKRATFQGRCHSCNISETPEWRRGPDGARTLCNACGLHYAKITRKRTDSATAAAGT MSVEDDRESSKNHNNNNDDDDEEDESCNTRLTNRNTFSESLSDRDSPLLSDGYAMNED DTS PHYBLDRAFT_186295 MTEEQQTTLRQKSNKIDRLTTGKSNNEVKRGIMYLRDKNESTYY TLECIAALSKPVLQAEGALDWSKHVTPTILTVFQEQDDWTQVQSLKRSAGDEAEGVLS PGKEGGWKKIKGSLESRTTDPSVSSTLAFDTEDQRAFGALPMEEDKVIVQCKECERPM LASSFSEHLQVCEKQPQGKTIKAGKTGDKKAQKKGIKGVQAPLDLDKQCGVIQGQNNT PCTRSLTCKSHSMGAKRAVANRSQPYDVLLAAYQKKSIGRPQTGPASTGSNPTAVTPI KPPPTRPLPTATISTTTTPSAVEPVVSDEHYVDSDEEVETVMDSIRQSYPTPMASKPF YFVKRRRQCFRLRDILLDAITPKTTTSNNSLASSVVAPPARPPHHMNSSLASSSNTSF PFIPGPSGMSHSPSPNNYSIDTWNTGTSYL PHYBLDRAFT_76136 MRAAWVLGALCLVFNASQLTTALPLFEIPNDYDLDGVACPLKPS YDAVCPQLCVTDLANCPSGLAATCATGLQFCGDGTCQESCEGIANICQCGDPSLAANY FACAAGQLINITHFDPTNKLTQARTLCGQAAGISNTDAINVWGTFDTPSIWAECPPLP EPYFNFTEPMWIAVWALMGAEAAILLFWYAYKHVREIRFNRLLAESVASGAINEKATT KLPSENDSTKEKSSSIQDINTGTSVNESERLHFRGYVTDYFGLFGFGSVVVVTLLFFV FIGIIVGDYYGTVTGVAFEVFLTSDLSSKIFCAVWHIAATWFCVVMLFRKTIRNYFRI ESFPHTSPYVQVERRQAEVVFLEDDSKWLKKLREIEQRAVKRLGMDIVIETCPVHLTP NNLRYFEYECMRYVFNATSMRFEPYEFDLGSTNRKLQSWQDGLTSEEAHQRLGLLGSN LIKVYVPTVLMAIIQDYFAYYKMGLVQTGIILSAAFIRVILRLRAEHRIKEMAETETK VTVLRDGIWKEGVSSADLVPGDVFEVEQHSDVPCDCVLLSGAVVANESSLTGEAMPIR KFAIGVDDNDYEPNGSSKVNSLFAGTTIAQTMPTTVAGESVNRVRALTLRTGIASEKG MLIHKILFPAPVSFIFNEHLKVAISILLLWGGLAFSLAVYLMGRGNITSWFYGVFVIS EIFSPLLPAAFTINQSVCATRLRRKKILCIDLPRINLSGKVRIFCFDKTGTLTREGLE FYGAVAHTTSAGFGERHENPSEIEQTLARGIATCHAVTKVGDQFIGNPVDIESFHAMK WELFPPADPLYLDTLISPVSSPAAGATSSPVHVLRRFEFVHARASQSVAVLDPITEHV HVYLKGSFERVKHLSRSSSVPADYDQKAAQYAQKGCYVLAMAHRDLGVLGKDIEMEDI KTMSRDALEQDSDFCGFILFRNMLKHDTTDAISQLKGGDTRVVMITGDTALTGIFIAR QCGMISPNQQVLLGDAVNGSVMWHDVDSGERVNVDQCLEEDHREKHEKQIELAITGRA FELLIQREEIGKYLLHTRVFARMTPTDKVDCVQLHMEKGVTAMCGDGGNDCGALRAAH VGIALSEAEASIVSPFSTSNRSIMQCVELLKQGRSALATSFANFKFLIFYGECMAFWE LSMFYFTVIAPQSSWITIDGFITTTMTLAITQAQPAAVLGPSRPTAKPIGAYTLGSVL GVIFINFWFLAAGVAWLFQQDWFICNQFDASAVDSAKWWLIGDNYEAELLVLIIMFQF FNNAAVVNFGSIYRQSWWRNYVLVFIWSAFFVSTSYLILADPNPYSCIFRINCGTAET LVELGYPEPNWTIDPYNSPIGHNVLPKAFRWKLWGYVLANSLATVLWERVVMQHFGKK YIIRRNKTNPPKDRILFKL PHYBLDRAFT_59989 MLLQEVPLSGTDKSSVCTIASEHIKKYAESDSLPTELYKSIYSQ EQLIHYAKCFASDPPQSTWLLQKILDIPGVLVRYGILFPLRLATLSAASIAFFTTLPV AVAANSNYMVSTLVKYYCKAILVSLGTVVEYNGEKPHLTEPHVFVANHTSYLDFILLS ANRFPNAVVMARHGGALGFLQNYGLNFLHSMTFDRSNMEERRLLSERQVLKSHVMNPN TWPNPMVIFPEGTCVNNQYAIRFQKGAFQLGVKVCPVGIKYNRAWGDPYWDTRKGFLY YGFYRMTRWITPVKVVYILPQSQTEDENFADYGERVRKTIADSIGLKIEDFNGMAKRD LLDKINL PHYBLDRAFT_132479 MSTSEIKDQAQELINAPKTFVKEGIQFINRCKKPDQKEFLKISQ AVGMGFAALGALGYIVKLIHIPINNILVGAA PHYBLDRAFT_180688 MGKSGSCNRTESNQPLVDFQSPQLMTEKKLRRSTMSQHNLWSKQ ETGLSLNKKQAAYGSISIKTPESVSAEERVNQLGYKQELQRKLSSFATFGLAFTNIGI LSNASATFQTVLQRGGPVTMLLSWNIVAGFMLCVALSLSEICSLYPLSGGLYYWVYEL LHIHPRAKSHAPLLAFVTGWIYTMANVISISATNVTVALSAGTIMKITYGIPLSKLAL MLVTLIITFFQAIMNMRGLGGLALMNQLSVFWSCAGLIAIIAVLSIFAPHQAASWVFT HYENDTGFEDPTYVFILAMIGAAYSLFGCESAASVNEETVDADVSSPLAMTMSIAVSW FVGLVFLIVLLFSVQDIDAVLNSTFDMPVAQLFWDAVGYWGTLGFLFLMIVCQFCTGA TTITVASRQVYALARDGATPMSERLCALDSQKLPANAIICTSVLSCIVVLPFPLSENL FETIVSATTITIHLAYGIVLACRLVAPQPIKGRFSLGRWSRPVTYISLAWTMFAVLAF LLPTSWPIQANNANFAGLGLLIVLGSTFACWIGWGRYHYTGPRATTDDISNGL PHYBLDRAFT_166426 MTMKNSIPTKDEGERNLPLILMPWVILQSHIYWCCSALYYICHP PNRSPPTRRNSIQLSTPHFSKSHGRARAFSDPTYQQAAPVFSVHDTSKDKPKQNNRFM STFQRRPYRTSDDLFRPTMQQEREGTTLPPVWIQKARHLMGSSPANLSEPTKSNLSGP TASSNDSKRGPILLRKLNKKNKDQNLVKSKDTKKKKYLGLLKQTTIHPFN PHYBLDRAFT_158325 MKYLAAYLLLASGGKASPTAKDITTLLGSVGVEAEQERVASLIK SLEGKSVDELIAEGKEKIASVPTGGVAAPAAGASGAAQEDAKVEAAVEEKEESDDDMG FGLFD PHYBLDRAFT_123714 MSARTFLTTGRKIVAIGRNFSEHAKELGNAVPKSPFFFLKPTTS YVANGGKVEIPNGCEVHHEVELAVVIGKDGRDFCASEAMDHVAGYALAIDMTARNLQN EAKKQGLPWSTAKGFDTFTPISDFIPKDSIPDASNVDLWLKVNGKFTQQGNTKDMIFK VPTLLEYVGSIMKLEVGDVILTGTPKGVGPIKAGDVITAGMKPGKASKDVVDVRYEVE NRNGRFKA PHYBLDRAFT_143431 MLSCIASSRNRLQSALGLSRRIHPHIKQTKNTPTDLPGRLSSLP EEILLCVFEQLNSSQDIASFAQTCRLFYLVASQPRSLALWLITRFGARFALYYSILEM PAYCDEHFIRCLLNNGAIIPRHLVQALVMTYGKPLVTSLSTPRPPKLPRGVGLLPFLD CIFADSVQKIPFSGYATLMQLSFIAYQDIKLCTKADDLTLFLKLISCNSPISKGINNL VQNYAFIPAPIVQNQTTLSKHPSFLDLLKLATSSPSIFHKIGFVFEFDPLARCQLWEA CHLLIFDIAFKANLDDRHLSHLNSLAKVFHSKSGQLLINEIKDEDLFRFTFVKFFTKY PSTYCTRQTMFNILTLFVRYVKPGFSIPAVFKAIAETPSTRTDIRTTLFDFLKSSP PHYBLDRAFT_132485 MKSPVNEFLDSIHAELAKKSDKTKLVIVTGNDSADLDSIISALT YAYISQKSNKDPLTIYVPLVKVPKEDLSLRPETSFVFTKAGIDAEKLVYIDQIDLGRV ASEYDARVFLVDHNRLTAPFGEDWNDRVIGILDHHIDEGQYKWANPRRIEIVGSCTSL VVLHFEDLFKQEEYAEDLSKLVLAPILVDTIGMEPSFGKLTPTDVAAFNVLLNSSPVN TSDYTTNSYYYDIEKYKSDVKGMSTRDLLRKDYKEWVVNGFRIGTSSLPWYLQGWLLH HGPEQAIADTWKFAEERNLDLEVILTSYDHSRDVEGGPYKREIGLFVKNNKMDCIKKD LENGKTPPGYDKSIVLENLNIYYGKEDSRIGLYDQHDVKLSRKQIWPLIQSLIEMEWS NQTSNLPGNL PHYBLDRAFT_143433 MGLGMEKCCCFIPLRSGTLIISIWFFIVYSFDIIACFTRVNAFA LYAAQSAWLWLDIYLILSFFAVLSGLIGVFGLCLSMVSIILILTHRDTIIRTCLRSGI ISYSNPMELLQPANITESSFYSPVQYPRTLNAHAESLNECRTGIGHLINLFIVLVVLF SFLQIYFGFVVRAYAGRLTKGARHHRLHDQQIKDFEDSRNHMSTLY PHYBLDRAFT_59998 MTGSKPRLEIPKKLSVCSQAAFCLSVRQNQFEKYEYHRDGLEHT GVKVCRETAISYLRINSFGFYISVEVSVSHNVYVDRLPKDFNFWYQKLNKKHNIIPNF QEYNVN PHYBLDRAFT_96004 RTSAPPNVELSYGALSHARNLLKKLMEENSLDDLSEKTKSIWED TIMSLLLKVADNVRPDVRAGDDMDVRHYVKIKKIPGGIPSDSFYVKGVVCSKNVAHKR MVRPISHPKILILLFSLDYSRVEMENQLLSILPVITQEREHLSKLVGRIVALKPSLLL VKSTVSRIALEFLLEAGIPVIHNVKHSVIEAVARCTQASVVPSVDKLQTGLSFGNCGS FEIRTMMHEWIPNRRKTYLIFDNCDPELGGTIVLRGATDKTLGVIKRLIDFMVFVINN LKLETSVLRDFFAKNKGVDQTIQSRPKSIKAIPALNMMSDEELATQDNKEEDEEHVES LDELLDVYRNTILSASPFVTFPPPYLLLRLKETEDRLSVAMTSRRQSNASPTRNPLER QTTNEKPTEHAKTVVNAELEHLVAKHNQLSRAWDAYIGENPDYISPFYHQNIVVLYSN VCTITTVPCQEPEIRIFEYYRYPSDVSLGMYLSDLFMDAKNPCASFMCDHPTSQHFRS YAHGEARINVMIEPFPCPLPGMAETVLMWSYCKLCERPTPVVPMSDNTWNYSFGKFLE LFLYQTGVFCRADICPHDMARHHVRYFGSKDLAIQFQYNPIDLLEVTVPPMKLFMLSQ VQIDLKEAELKTLRGKINKFYQSIAERNKAFPFDLVDPRNLEACKTELQEMSHICVGE KKQVLQILQNVYATTEASDTLTMNWVRRILYQQINQWDLEYAELVRYYLQPERELRKI TTGHLRKMFPTDMSEAAITHMDDERTKRATEVTDLPLLGIGLEEEEEPGIGQGARKAG KASFDWDYRPLILPSIAGSPTNIETNNSSPSSEEISKKEEPTSQLTNSRKSLLRPEIR RQLSMELMRELHSKFKKDSVSLNNEISLFSGNYILSKRHVHSAAPFPPSRIPVPHLTA QRTASPLYEPYMPPHQRVKQINKKPTVKKAKRVNNTSVYKRHGVHDAPPATDSKSLMG VQYRPMPDFQAPKLSHMTSVQPNDIRRAASPRDRNFRSRLPRKKTYIQVYTRANDLVK ENMEDEFLVGDDPMDEDCSERREYDGFGNTIMRDAPVDYFSPLAPYMSSVIDSPDGAA LGHGATLTATENSSSVSNNASNNNVTEPTEDDFDRSDSVYTLPSAIDLLNPPSTGTLT TSVSTSERLQEEKAEMSSGTSLTRNIENLEEYRHSPPEKNLFMKTITSFLTDGGVASL LPLEPPLQPTEHIFPDSFVVVREDEPSTIIAYTLSCDDYLTKMQEMNDVVPTNYPLHD YPHDSGTTTSSNPSHIDIQETLLRESGTHMRYNFSDGTSKFFCKIFFSEQFDALRRNC GCDESFILSLASCLKWDSSGGKSGSAFLKTKDDRLLMKQMSRYELDAFLLFAPAYFQY MAEASFSELPTVLAKIFGFYSIGYKNSATGKSMRMDLLVMENLFYQRNVKKASISSIF DLKGSMRNRHVQSTGKQDEVLLDENLVELIYQSPLFIRAYSKEILRSSLHNDTLFLSG RNVMDYSLLVGIDEERQELVVGIVDFIRTFTWDKKLESWVKESGFLGGGGKEPTIVSP KQ PHYBLDRAFT_60000 MENDSCQTSLTSFNFDVPTTDKDNEGVLSKLLGKVKTAVAGQPV SYTQAHSLYSEQASASDLHSSSSTISLASTRLNKTDPELWTAATTNRLASTTVTSSRS SLQRHIYVTSPTPPASSKDSVVVNFSTPGTANYISRQDSINTASSRLPQDDRSTTITF GDDYLGSPLSKSRSVDSDTQSIITTFSVSTSNSLGRILARLRGQKSDKEFWMPDEQCK ECYKCRKPFTLLRRRHHCRTCGES PHYBLDRAFT_132488 MGAYKYIEELYKKKQSDVFRFLLRVRCWEFRQMNVIHRASRPSR PDKARRLGYKAKQGFVIYRIRVRRGGRKRPVHKGATFGKPVNEGVNQLKYQRSLRSTA EERVGRKCANLRVLNSYWINQDATYKYFEVILVDPSHKAIRRDPRINWIVNAVHKRRE ARGLTAIGKKSRGKGKGHRFTKTKGSGRTANWKRRNTLSLTRYR PHYBLDRAFT_88243 IKNVVLLVLENRSFDRMMGWFKYNKDIDGLTGAEFNYVDPSDPS SQKIYATRNGLLKDPLDPAHGYQDVTYQISGDPTLASDASMKEATMGGFVQNFVKTFE QIKDNATAIHQIMDGFEPSKIPITYELASNYTIMNRYFSSFPGSTMPNRLYVHSGTSN GEANTDGTHYIKGYSQKTIYNNLDDAGIEWKNYYEEVPSLIVFTKLRAKLNRFKNWST FKSDAASGNLPPVSFLDPAYFGIANCIVEDDNHPPADVAQGERLLKDVYETLRASPQW NETLFIVTYDEHGGYHDHVPTPLKAPNPDGVNVTDFNFNRLGVRVPTLLISPWVEKGG VIGKADGPYPDSEYEHSSIPATIKKIFNLPNFLTKRDAWAGTFEGAITENKLRTDCPA TLSPPP PHYBLDRAFT_76144 MAPLTIELKWSSKRFTFQFEDENELEKTTVRELKAKCQKVTEVK SDFIKLLANGAVMRNDEMTLADYNIRDRAKVMMMGSLQKNKKESHEQEVLIKLQSIRP KIGRALAALEDYQLTVEGYLVKAERDVKKTERLLYHGRGLGEELMQILMQLDTLLCES LSQAIRQERKDNVNTVQGLLDRLDNIKRKL PHYBLDRAFT_110497 MKVKVKSWTMAAYWSWNDTNSDVCGICQVEFEGCCPECNMPGDD CPVLWGECSHAFHMHCIMKWLEKATGNPQCPLDRQLWKTATAPSQ PHYBLDRAFT_177268 MSAEQKTVAVNKMKALHIEKLVLNICVGESGDRLTRAAKVLEQL TGQTPVYSKARYTVRTFGIRRNEKISVHVTVRGPKAEEILERGLKVKEYELRARNFSE TGNFGFGIDEHIDLGIKYDPSIGIYGMDYYIVMGRPGNRVARRKHCKSKVGATHRIKK EESVEWFKTRFDGVVSNK PHYBLDRAFT_123727 MGISRDSRHKRSATGAKRDQYRKKRKFELGRPPAMTKLGGRRIH VVRVRGGNVKHRALRLESGNFSWGSEGIARKTRVLTVVYNASNNELVRTNTLVKGAVV QIDATPFRQWHEAHYASSLGKKKATTEEVAVEKKSNSVQKKIAARQADAAVDPLLDDQ FTAGRLYAVIASRPGQSGRCDGYILEGKELEFYVKKIKSKKQH PHYBLDRAFT_11897 LLKIQLERDSDFFRFLLDELARATALHDVEQKKFSETVQSLGDE LCQMTATNKNDMYTWREIFKLYIEAAIFQDIDMTKDPAKESRKRLEKFKDNLLDRLLE SNFVLKESKSILKHFLVINYKLIDFQHFQNLNRMAITKILKKHDKKSGLSATEEFSAF IKGNVVFVDGILLSLCQAVQTKLITIVPQPDEFTCPVCFYLAWKPVRLKCTHLFCARC LIKAKKNNITNCFICRSENAIPEATAGNLDTTLSKFMKLNFPQEIEEKERDNAAER PHYBLDRAFT_143439 MPLHQKKQSYDEMKMCKVQMNRLFRADLHSNIPGFNLVKLLPFV AAIEPEHTIQSLDKNLAGSSQFDTDFRCFFTSQHLQVVHSYFLLVRGATEDNLKAHVD LNSEEEQQDFLEEEETLDEDVPEIMLSQLMPIIKLLVFNNNTLLYLENAKHKSHNFSI AEKLVYSLIFNTLKKFLAQKKKQYHIIAYQIYLHILTNEVLTYARYAKLIRVIYPPAF VLSSSALHLD PHYBLDRAFT_143440 MEHNTIPIRQSTLDSIALLDYIIQSPGTLSELFATDRPLFSLQQ QHIIHATVSHQTQPTLDEIKRQTEHALNLVHSLKRDIAETELSPASQNGAIATKTAFT ELERVTNKLAAQIEKCTLHNQEDGMFDPSSCKYLMDRQLKSRRVYLRFYVILLDNCKK MNHNILRAEKQILHNHQAGLFVNGFQNKFDKTYWEKTLKELREPLINNFFHKPNDIYI QTYINPYIHSIFIFVRPNMNILKPKTKIWLEKEAVNSAIDCNFRLRSPTL PHYBLDRAFT_143441 MVHSQENWVFQRRDLADCRPINCRPDCSPGCGSQQTCVLGVMSE CGKCPVSQCSYISQIIPRSPDSPESTPRVGLIAGLTTGLVAVALIAVTVAGLVFYRRR RQQQQQQQGQKSSNDREVCDDDLYSFRPELSPVPPMLPFNSHTQVPPQVNLQNDNHQN YAYGHSCGSPSPSLLIGTSPLQIPHLETPTPVFASPPKPTSAVIRRSLNILPTHTLDN STINRSSSVKISKYDNISAYPRPITFSDNPFEDLDDESKVQIKRAVSVRKNRDVSRSS SIRSNALVDPEQNEGMPAIKVFCAKPTMVRINTISRNEGGITRKRSVRTTINNDSTQS ASNVSNLSNNDSNKDSNSGNNNDSSNDSSNATTFLTPTASSLDPHKRTRAESLISVHS TAESTHSTIGDGEITVIWDASRPTSRRSLSQDCPSACP PHYBLDRAFT_155005 MIGTFFAILASGHIWVIVMVVAIQIMVYNEVIHIAEGPAKERSL RWFKTMSWYFLVSTAYYLYGESVIYYFQQVVLVDASLLPFVTHHRFISFVLYVIGFVF FVTNLKKGFYKRQFSQFGWTHMALILVVVQSHFIVNNILEGLIWLVLPASLVICNDIF AYVCGFFWGRTQLIQLSPKKTVEGFVGAWMCTLVFGFLWASLLMRSNYLICPAKDLST SAWSNVTCEPKNPVFTAVPWPLPEAWTSILKYVFQTTISELWIAPIQLHALVMACFAS LIAPFGGFFASGVKRAFNIKDFGQSIPGHGGMTDRMDCQFIMGLFSYMYYQSFIKTYN LSVGAILATVINNLSAQDQLELLERFLTYFVNQGVLDPSALEKFGASILSESARAVFE H PHYBLDRAFT_180696 MPSIRTKNGRLDDDTAELNYLQSQFKRMDSLSGQLVGILDGFDG RLMKLEASILPIHKATQSLTRLAKNVDSALKTTENIVDCLNLPSKEEAYILKGPDETN VLPYLKAMGRLKDAADTVEKNQLRSCDKAIYQMKQLLKAGMLHLETLFRKWLSSVSSP VDMNTILNSNNDLMSSQAMKQLSQLSTYIATSEAEIGYTVDFTKPYIEIRSSYLLKSL HPLSQSVQLSEKHQGISYEKGSTEFLRYLECFAKMVKNELEFAGRILGNPSRRMAALK GSISPAENELVLTGRQLNMVAKRLNYTDSVFIFDIIEKYDKDCAPLFAEMTQDIDLGE IADMVSAFKFTALKNFYDFMEDVKGKRENNAYLNLSSDGTVHEMTSNTLNYLKRLYLW RDTVEPLLIVMGDGGWNSPHNYQSLSDQRSHCDSAMGTALLQKFFVDALDQMTISLQT KSRGYKKPTLANIFLLNNYNHILRQIRSPPLNAVFDDGSEAKFSRLVKKQLDAYQESW KPCVENLMDVTYVRGGTIKSSMSNGERQLIKDKFKSFNTEFDDIWRVQTTYAVPDTEL RNQVIRDVKNVLVPMYCRFLDKYQSTEFTKNPSKYIKYDKDKLEKMIGHLFEPTA PHYBLDRAFT_166444 MEVGQFWNRGYKIQSNATFKGRLTSLRQTPENNSASVEGSKIRF LPLILIKDLIKANFRDRIQYVKSGKRTVAFWEKSGVFYVAWTRDQVVPVSLLHHHLRV IHRFLRFNYGPQWFSHLQGPTLSRTQRTCSSFSLSTANIASCLSHLPFLIHHRLCEKD ILCHVEQVEIHEDLRNRLTRCLETSCLSTLISTPSRPPTKLASFFTPPKRTIHGKLYT GDRHFLDHHASEHMRHWTHAFLFAKEKIVSHCLNHDQGNQKTPDDLLMFLQLLVAHYL GESQPEDTDNTESGVAPSLPQPIARPPSPVNSLDKLSVAGGSASTESASSPPLYTSSS ASETPSHNSSFAYISNTTSAPFKIRKKSSSATVMASASPVPSVSWSSPPPLIYSHLLS HLPKHAQDSLSLDESRRPFRHDWSNSDENNLPRNATIKHRGISSSERISTHQLSSSFP TETEFFMHKHELTQSSAPSSPKVHSRANSLAEPLSTKGSVKSLLVTFLQGEEDKPPPN ETSDVEGMLVWRWMSTGDHVSLCSIFVTCVGDGLCAVVVSKEDDNKPLTLPIKLPRPS DYAYVDNLKAFRAALQEDLKDFMAFLLIKEAAHFTILSFIASYPGLVHFIYLQDGRMI APQLVDLESLDKNCEALRALCDEQGKANFTCNNPWRWPTLGKLRKLSKEMMYCGLACR EDDVQYQMEPSLESHRQASRFNYVYQRGSKGEELFGLYFGLVSPNRVWPMHRRLLQDI SQRMV PHYBLDRAFT_186307 MLFSPIVRSTLQARAAFHTSAFAGAERAGVFGRFNPWAKKPEPE VVTPTSVETNENLVLDVKYDDGEEEIVSWKSTNIIRDSEEIKSVVRDIVLENISGTHE VNWESAALEDVETKFKIVKESIKKTGKEVPNMELNQIKTVGDLLDYYKRSEEEVIAAS SIEKFFEDNQTELPMNMTFETRKKNF PHYBLDRAFT_123730 MTEKRKDSPNPPNTGSLIKRSKQESDNDSSLITLSSERSGTNHA IVGTVRRTSSLDAPVMQLSGHQGEIFACEFDPSGEHIASASFDRQILLWNTYGESKNY GVLKGHTNAVMDVHWSRDASQLFSCSADKTVGIWDAKTGERIRRWKGHSMVVNSCQVA RRGPEMVVSGSDDGCIKLWDSREKEATQTFEDKYQVTSVCFSDAGDMVYSGGLDNEIK VWDLRKRAVSYTLSGHIDTISGMSLSHDGSYLLSNAMDNTVRIWDVKPFSPADRCIKV FEGAPHGFEKNLIKPCWSTNDTQIACGSADRTAVVWEVDSGKILYKLPGHKGSVNDVD WHPKEPILMSCSTDKTIFLGEVKPTI PHYBLDRAFT_143447 MGRLHTPGKGISSSALPYRRSPPSWLKTSPEEVVDMICKSAKKG LCPAQIGVVLRDSHGIPQVNRVTGNKILRILKKNGLAPEIPEDLYFLIKKAVSVRKHM ERNRKDMDSKYRLILIESRIHRLARYYKTSGQLPPTWK PHYBLDRAFT_123735 MGNSCSSCLDCCGMRSHDGQYEPILQANERVAISELLRYLENRD PNTFFEGEALNALSTLAFSANVDLQRSAALAFAEITEKDVRTVGRETLQPILSLLQSH DVEVQRAASAALGNLAVDPNNKLLIVELGGLDQLINQMRSTNVEVQCNAVGCITNLAT HDDNKAKIANSEGLGLLVDLARSKDQRVQRNATGALLNMTHTQENRLQLVNANAIPVL VGLLNSSDPDVQYYCTTALSNIAVDAENRQRLAKTESSRLVEYLIASMDTKSLKVQCQ AALALRNLASDEIYQLEIVRCHGLPHLLRLLTSAFLPLILSSVACVRNISIHPANESP IIDGGFVLPLIELLSYEDNEEIQCHAISTLRNLAASSERNKRAIVDAGAVNSIKRLIG KAPESVQTEMTAAIAVLALSEELKQRLVHMDILKVLIPLTASTNMEVQGNSAAAIGNL SAKVQDYTPFVSAWESPSGGLHQFLRVFLDERQEITFQHIGVWTIEQFMTGGDKRLMA CIVRSKDIVDAVLRISNASDEFQLQAAIINEQGEGNIDDFDGDIVKLAKKVALLFEDV EEYQLEK PHYBLDRAFT_186311 MSTSRPLPVYNYEDYVPIEGISCPICGNPCGSLQDLNKHLDVAH NEEDTKGAFLSWFGNAQRKVQNTLSVGPNSRYLGSSPNTNAVERSLRQLVDPALMSSF NNFSLGNNNPVFFASDNERQGTEFITREHWQRESNNDMCSLPGCGKTLGRNGAGKQHC RKCGRLFCETHTQYEIKLNRQACHDPENGVWCKVCVGCYIGRERYMENQGATRNLSKM FLEQRAKTIDRVYLDSNRLEKRLEKKLARIHYNSDTGVKGHERPTSLLTSPASSLRSI TLERSESSSSKDSFSSMIAPNSPLVSSGNSILSMKLKYRDGEQTVAKWQDDRTVTKCP LCLQSFTLTNRKHHCRLCGDVVCGNVRCSKMIPLFLNMSSDTFDEEPVGDTRACCKCQ RAVFRRKTKHEESLRPLPIFPLYHQLSLTREKIEKQLPKFHDTIIMLEKEKTSQKSRQ THESYQVAAQIRKSLLDNFALYDTLSKSIRSLPAPTAPMKRLQTNICTAANLYLQRNM LPLQMLPRLLKPEKKNQNTSNQSLNGSPDPTATWKQDIRMQIQAYKEQYGLVEGFIRD AQKERRIDDVKTLKNSLDELRVEIDKLQAQLAS PHYBLDRAFT_56671 MRMNPAQEQEQQSALQLYGLDLTALAETGKLDPVIGRDEEIRRT LEGLARRTKNNPVLIGEAGVGKTAIAEGLAQRIVANEVPESIQGRRVITLDLGSLVAG AKYRGEFEDRLKAVLKEVTEANGKIILFIDEIHNLLGLGKSEGTMDAGNLLKPALARG QLRCVGATTIDEYRKYIMKDPALARRFQQVLVEEPTVQDTISILRGLKERYEIHHGVR IADSALVSAAINSHRYITERFLPDKAIDLVDEACSKLRLQQESKPEVLENLDRQIMTT QIELESLRKESDAASVERRNKLQSELEEKQKESQRLSAIWNEERQKLEGIQKIKEDLE KARVELDLAQRSGNFQKASELRYGTIPTLEKKLPKENLDEDNPDAFIHERVTADDIAR VVARMTGIPVRNLMKGEREKLLHMEDVLKERVVGQTEAISAVSDAVRLSRAGLQNPSR PIASFMFLGPTGVGKTELCKTIADFLFDTENAIVRIDMSEYMEKFSVSRLIGSPPGYV GHEEGGELTEAVRRRPYAVVLLDEMEKAHRDVSNILLQVLDDGILTDSKGQKIDFKNT IIIMTSNLGAEALVSDASSDTTVSTRARSSVMDAVRHNFAPEFINRIDEMVIFNRLTK PALRDIVDVRLKEVEERTKDRNIKLDVDMAARDWLGEHGYDPAYGARPLNRLIQKSLL NPLAHLLIDGGVRTGEKAKVTVHQTESGETQLDIQRNHPPGELSPNEEKNLKNKIAPV GDEELD PHYBLDRAFT_36080 MPAQEINKSVVLTHPSGATANVALYGATVTSWVAEGEERIFVSK KAIRDGSKAIRGGIPIVFPVFGTSEKVKLPQHGFARNNIWEYVGLITDNDEVVVRFAL KDTQLTQQQRNSWPFSFRLSYTVALSEKSLKTTLVVKNEDIDTFEFNTLLHTYLLVPD VEKVSVKGLESCHYLDKVKGGEKFLESNDLVTIGSEVDRVYCNVPDHLSVNIGNGSVI DITKSNLRDTVVWNPWVEKAQALADFGDDEYPNMICVEAGSVAEWVKLAGGQSWTGGQ VLTVV PHYBLDRAFT_158343 MSDFKQQLEAFSSKAEDIVDRIGQPLKPYIPVIARFLIVATFLE DTLRIFMQWSEQVSFMEESRGFPSGISHLFLALNIIVMLAGSGLVIAKKHQDYAIYGL IGVVVAQAFGYGLIFNLSFFLRNLSVLGGLLMVLSDSLSKRKQMFAALPQISENNRRT YLQLAGRILLIFLFIGSAFHGDWSLTRVLVSVFGLIACVMVAVGFKAKWSAMFLVLFL SILNVVINNFWSVQHSYFKRDFLKYDFFQTLSTVGGFLLLVSTGPGGYSIDEKKKAF PHYBLDRAFT_166454 MESILPDATETGHQLQGLDSSGEGIRSENTLYKQGKVIYITLAV KVQLMKFSRYLVRTLLIMYTRLLTVFKESFEILLIVVSNTTKNFLKNYSLLSKSETYC IIRDTYTKTRNRIESLYREKKYQDQNIMSKIFKCLIPA PHYBLDRAFT_143454 MAMAIVNMQEFTLVARNSKLATSNNLLQTTNLNLWSGKTKTSFP PRKGGTPAGNLVPLILALSALDDGLQAADSR PHYBLDRAFT_166455 MEEVIKLESLFRSCEGSQQVANLLNKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFSRANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKTKNKKQNKNKQEPLNPVDATKNKIKQIKQEPLDPGCRLTRICFLVDATKNKTT KIKQEPLDPVDAPQKNGFKRPATALEDYQYDNRTSVGKRVKFQPGFPVSHEIIDDVKG GFSPTADGWCGFRVLAHLIYKNQNKFSLVKRDMLAALPKYKTLYTNTFGTDTSQLEKI IQYVSQLDYSNTSNTNTNTNTNTNTNFIPVCSDASMWFNTPDCAQLAADTYIRPVCVY SDNPNTPSTTFLPFALPNNKTKQQQPLIFNYVNSNHWTTVDLSPRQSFLNLYKSYQFV SLCQHKLSITCGLNDSLLCFFLEIIRGKYFTLRVTLITSELKIINIGWCYTDRAKLSS NMLYYAFASIYFINSSLGSL PHYBLDRAFT_166456 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDG IIFIEEGVEDSMIGIVGFERSAKLLLSALPFRIASLSVHFELQYNMNDDPQQE PHYBLDRAFT_143456 MTVDFERSAKLLLCEVVVGTGLKKEKNRVAMTVDFESSAKLLLC EVVVGTGLKKENNRVAMTVAFESSAKLLLCEVVVGTGLKKEKNRVAMTVAFERSAKLL LSALPFRIASLLVHFELQYNMNDDPQQE PHYBLDRAFT_158344 MNGFVLCANFDIFVLVLVCPKKELNIVVGGVNLDSVLKLMLKYA MVAGVSFNSVVKLVSIPVNL PHYBLDRAFT_158347 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQKPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_186315 MLIVFCMLLVPSVQVKHQGSNQSPRLSGVSKLDEQLLTCYCIFV VSIPSRSSFKVQVEVHGFQVKLQGSGQGPRFSDRGPRFQYPIHPGKASMFRSPSYGHS FYLLLVSAIQVKCEGPDFPAMGIAIDVRRQGSDLPAMVLVIQVNQQDSDLLAMVIAIY VKRPGSDLPTMFTAIRVKRQGPNRPSMVIAIHVKHQEPDPLSMVIVINVKQQGPDQLS MVIVSSYPRQASRFRSPSYGYSTSVQSSRSSGKDPIAWPWVECSAIDIRRQGCDLPAK CSVIQVNQQNSDLPSMCSANHVKCPGSHLPAMVIDCSVIQIKHLGSDCQSMVIVSSHP GQASRFQSPSYGYSVQSSRSSIQVLIANPWLKSPAIQVKHQGSTRPSMVCSAIQVKHQ GSDRPSKIICSVIQVKHQGPYRLPMSPAIQVKHQDSDRPCMVIAIQVKHHGSDRPSMV IVIHVKHQGSDHPSMPPAIQVKHEGPDLPSMVIVVQVKVQGFDCPSMCSVIHVKHQGS DHPSMVIAIQIKCQGPDHPSMVISIKQHYGHILTSCNILLVFSHPGQASRFWSPRHDL IYLQSPAIQVKHQDSDLPAMGIVLNPPRSCFMDPDSVRGYRPNSKDQNSNLGYSSNIK DLISNQGYGYTLFSLSLHAFFRIGLVCLRSGYTMKKLFSFQCDRYIHLVRPLVTVGFI SLLASQSKPAIMDPISVQCYSVLAVKVPLLSMPMIPNPSPIQSDRATIKDSISIQYDS QGQSIQVYCPLGQTELPKFYYLPSARAEANDQSFDILLGSQQAFNAQLYIKGRRYTRI FKQFTKKLDFPSVYIVKAK PHYBLDRAFT_166460 MFLSMGFSCLRTLLMGVYTPVYVCLQSCGDYSIRHGNLWPANDQ AVYQVSQTYKWYCANALILCLQWFPADYIAIFRSCTSVWQGGFSVRDATLVNTLESVA CWKSYDDLGVSFLDCPPSYILDLQETYARTFFYIVSTVFVTVTLAILAIANGTLCSSS MTINCARV PHYBLDRAFT_166461 MQLKEVSIPQSLAVGTNAFLVNIFADSLCVPDRKTTIAEPIDRD TVIHMEIEIPFSIHSKLFGEKRLSMIYTIQAKNKPSVIPSCPYKLLNALKIDTNKRGY SARLIDQILNSERHIIGLQLLVESLSASADELIKDILEVIKKEVNIKLEQMTAAKELK QILKANKYIHKVDNVLPDVEQAQRSRFQARVSYTCICICFNNYFMLFL PHYBLDRAFT_143459 MYQTYADAIHMVIKRSNCRKILSIDESDGDKEVRAYRPSWGTDE LQKFSTTVGDFTVKHLKKNADSC PHYBLDRAFT_143460 MKLPYFSSATSTATAILFHINAVISFLKINFVIVKGNKPILPST LPLVLKGEIFMKESEYKHLSSIITRYMMIKCLFTVIRLVALIILSTIGYTNLNQSIFS AKYTGAKQEISAGQIQYLFVNLFVSHSSQNEFACLQWYKEIVLQPRAGEGVEANEVGF KDDSMNSILQVHRICYPVAVGEHLGLEGKAQMCVVPLLGKIYF PHYBLDRAFT_166463 MSNNNNNSECKCSKCSSNSMEFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEVKYLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSLSNMSVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSINGVNSMTGLSDMTRGVQRFVACGNCNKVYEESNVVPECCNFERLSG RECGNALFFATSRALTIPKKIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDP MHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGCGFPFMK ADKWKSWCLVYSPVLLRGRLPEAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAF CRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDG FEGTYMKKYLEEAYQGDLICQTLPIIRPEHSAIILELTASTANSIATFTSTATSIQFD INAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESKYEHLLEYYCKTYDDQTLV HYRQAGHSNNFSKTKNQRGSFMQTLFETSDGRSTKPYAGQIQYLFVNTTVNSFAGHAS QHVFAYVQWYKEVLLQPRAGEGVEVNKVGFEDDSMNSILPVHRICYPVAVGEHLDLEG EVQMCIVPLPRKIYI PHYBLDRAFT_166464 MTKRIPTAPCRPNLCMNAVLNSTIAGVVAPIDTLTPEVAVDTAP EVQVTVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQVMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMARNKAGRRRNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGKSDRDNKVRAYHPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_166465 MRHKVVFQDDGGHLLLEYYFLQPAFCQAQYQICVSLFGYTLSDI SRRIISNICIPAIKLNTKYVSLCLAILCLIYQVMSNIMHEDNVSLFKDYWYCLDNSEE HVYIKFMSSLLRLQARSISDYNSYSIKTVAKLGFLARKDFPIK PHYBLDRAFT_71955 MPAMNIHSFQPFDGVGCPCSAIYLCINNLPTPERYNKGECCLRW SHSRAQEMSIFCYFLCYSNSCCLEKLYGLVYFNLVECTVIDSMYSLYISTAKRIMEKW VSSGLITDTHLAATRVDAENVLLIYMAHLNKVHNSLEVFCRECEVLYQAPFGSPKMHL HLRLRETVLSFGPVYCS PHYBLDRAFT_72036 MSNINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFVPAVSAE DLTTMQQSIIEQSSLEHIAKSVKRAQLTEYPDQLDSSRAGLEGALQRSSTRAAFAPIS PSFRP PHYBLDRAFT_166468 MTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTI SYKCACNFEDSEGEAHIYNSSRISTNTFTKAKLMSIHLSQLMLQHRIARAAYRDIVQF INTVIRDHDDIMMEPGAKISHGKTVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQES CVDCGKPRYKTDPDQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANQESVAGQL TDIFDGDNYKQLVQQGLFSNPDDIAIRLYTDGFVNQKKGKNSYTIIHCIIFNLDPSIR WHGMYFDDISARLRPLEDFKVGNPSKNIYQPSIYTQLSTFSGSSFFALDKLHLIARGI GKLVYDLITITLTKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIPTS FQGSFDNVFAKIDGTRAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLVKGCTLVLQW TLTSELLDEMESYFKHWHSFLYQQVQNNTLSHSVFRPVQHYLVHIPYIIKQQGPLRCY STRSMERVIGVFSKLIKSKSKGGRNASFLVERFAIHNYTSTAINICDEINLIRPKPYG RESYMDLPNDPSGAQLWEPFHQFVNLNDDSVEGVGDPSVKEALLKYYWRTTGLTGHEF GDSVVVVAARLWMDSTVYSSCMYQRKKNETSRGNHYMMFTCPYRNNRNVIVHSWLVGT VQFYFQHVDFYGFPHFLAFVEVMKEHDAAGHDSSVPIVKQRSQSTHTLGHQTQPTYAV ISVNDICHQVGLVQYPPNGNQFYVIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_72034 MSKPGLFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLVPSVQ VKHQGSDQSPRLSVSIPSRSSVKVQVRVQVKVHRFRSSFKVQVKVHGFQIKVHGFSIE SIQEKHQCSDLPAMVIVITKPYAHLLTSFYLLLVSAIQVKCQGPDFPAMGIAIDIRRQ GCDLPAKCSVIHVKHQDSDRPYMVIAIQVKHHGSDRPSMVIVIHVKHQGSDHPSMPPA IQVKHEGPDLPSMVIVVQVKVQGFDCPSMVIVIHVKHQGSDHPSMVIAIQIKRQGPDH PSMVISIKQHYGHILTSCNILLVFSHPGQASRFWSPRHDLIYLQSPAIQVKHQDSHHP AMGIVLNPPRSCFTDPDSVRGYRPNSKDQNSNLGYSSNIKDLISNQGYGYTLFSLSLH AFFRIGLVCLRSGYTMKKLFSFQCDRYIHLVRPLVTVGFISLLASQSKPAIMDPISVQ CYSVLAVKVPLLSMPMIPNPSPIQSDRATIKDSISIQYDSVFTVQVEEQGFLLHPRLQ PRPIDPGLLSSRVTTLGSFDHMTYGRRVFIDTFLK PHYBLDRAFT_155013 MSTEVVRNIDDIKDITVKHNNSAEYVLSTVDRVVNWAREGSIWP MTFGLACCAVEMMHCSTPRYDQDRIGIVFRASPRQSDVMIVAGTLTNKMAPALRKVYD QMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGIFQL QKKMRRTKITQLWYRK PHYBLDRAFT_158351 MMALKAIGGQRRIQPNNHNAAPLIVILAGNNTVGSYSLAAARHL VNRACQVVVFLACSNNVALVKEVEEQKLYCSFAEVNIIDDITQLPQQFTTPVDLIIDG LMGCQSTLKDLRGDYETRELLRGSMDWANANKAPVLSLDFPSGVNGIDGLPFHVMHYI KPKWTLCFGAPKIGCTSRAVTGELFLADIGIPPVAWEELTGNRYTIPWGSDFILALEY DHPSAAEAR PHYBLDRAFT_186318 MSLFDDFIESSEAAHGPSNAIPGELFGTGQTGYGAGFEGVDSVR LETGYVSTGFGQVELPIFALDHVQFQLPATLVDMAVSNDILVVALDTFRILRIDLEKP LEVEEIDIARKPGDGKIVKIFFDPTGRHLVITTENGENYYLYEKWRRAKQLAKFKGVT ITSIAWNKQASLADPSTQEILIGTKNGLIYETCLEPSDEFFRREEKYFRQVYSIHEST MPITGIHFEQFPVNNRKYFIMATTPTRIYQFIGQVGPNNGTRAPSGFGEPDDRERTMF ESIFARYDANPGFQELPGDLPYSELHFFSRFHDLQQQGVAQNFAWLTGPGIYHGNLVF GSQNSGDSVIDNAELLQYPATPSEDETGQLVTEIPLSVALTEFHFVLLYKDRVRAICQ LNDKIVYEETIPLNRGDIVRGLTVDGIKKTFWIYTTVNMYELVIKNEERDVWKLYLEK KQYDTALQYCKDSAQKDKVFTAQARDYFGQRRFQMSAKYFAESTVPFEEVVLKFVEKE ERDALRVYLTSKLNRLRKNDRTQKTIVATWLVELYLSKLNELEDLASSAHCTSTNDPS ITPANSLAYYKEQQEDFQDEFKSFLETYSVHLHRPTTYKLIASHGRSSELLYYASLIG DYDRVISHWVIEKNWAEALDVLSKQANPDTFYRFSPVLMDNAPYETVNVWMRQSNLNP RQLIPALLRYDHSKIADKVTHGREMHYNLDYALRLCSQNNRTQSCVHIYSQMGLYEEA VNLALKHRDLELARINADKPEDDDVLRKKLWLSIAKHVVQENKDIKTAMEFLKQGDLL KIEDILPFFPDFVLIDDFKEEICSALEEYNDQIEDLKAEMDEATKSADSIRLDIRELK SRFAVINAVERCYLCNFPLLTRQFYAFPCQHAFHADCLINKTTKYLPTRHIRRLADLQ EQLSREIHAQHQNQQIQQNGNTSNGNTNQNNLTSAAGATNNTPTTMLINAAGNIRGVM FPTDGPVDIHDDPKKVVARTEQLKEELDDIVASECVLCGDIMIKSIDQPFLAEDEAET VASWNI PHYBLDRAFT_158352 MSLPVLVPAWSVRPRDTPQVFPSASPNIRMKASEREQKRRLSHS AIEKRRRERMNDKIKQLKNMIPSCRPDGAGPVMASMYQPIHKLSVLQAAIDYIGQLHQ QIQDLSTNTQETSSSSSSSSSSSASSSSSSLLL PHYBLDRAFT_143473 MHSLGPSKQQTYWSRRPEATFRMDVYEDKPPLSSSSSSLLPHAP LFSHPTVRPNVPQTAHVFRQTAYSSVGCEKESIQIQDPDWVPSSKRLDNGDVCVDYKG TSYRSIVHLPYYSQLHPREVLLVSSLCMNPKQYLSSKKDIIMAAAESYKERLPFRVNQ VQTLCHLDDAKASFLYHVFWNLGWLEPKDKSRVEPTK PHYBLDRAFT_26020 KRPKHSLAKTKSSFVSRIVLHDQLSKIMTTKAIDDEFLFYNVGA SFIWEDANGKIKKPLSRIVFSKDYPTCHDVNPVTRSNHQLDVMIGFSSGDFVWCDPVG NKYCRINKGGIMNDSSVTMLKWVPGSEDRWMASFNDGSILLLDKDRDDQNFVGPSYHE EQGFHASKFHKNSRHNPVSHWRVSDKSITAFAFSPNGMHVATVGYDGILRIIEFKTER LQDVFGGYYGRLQCVAWSPDGKYILTGGQDDLVTIWSFVEKRIIARCQGHRSWVTAVA FDPWRCDDKVYRFGSVGEDCRLLLWDFSFGALHRPKQGRIPVQRNPFCTVPSTVFTRA WDCDYHSDDCSDEHQRTCDDDRTCDSVTNPTVHPVLTKTQVSVLQPVCSQKIHADPCV DLHFGKSTVVTSDRRGCVRTWGRP PHYBLDRAFT_158354 MTCTLSYKGRTLECTLEEKPIINDKKYLILLVHGLFGNKEALYL PDLAKELPYSSARMSLGQDNGKPAIDIIDTVSTLFFIANHYISKGYEIHGIIGHSTGG LAALKYATTCERPLAHVINISTPYSLNDINVDHTLEALYNSMAGSSESQEREKILGYI TDKEKEQFKSWSNSHRKQHTHTHKYIYIYICVCVHMSISELISIKWKHQTDKIFALIF ITLLLKLLECL PHYBLDRAFT_132525 MGQHVGLTIPRWIDVEGVKNFRDIGGWALRDGSGYIRERIVFRC GNLVGIKPSGIKTLQQLNVIAAFDFRSDPEVERQGVMPEIPGLTRVPSAMFTKDDYSP AALSVRWKGYFEGPTGFPKVYRIILEKAGPKYRSIFLHLLEHHSTQSTKSIIVHCTAG KDRTGLFVMLLFGLCGVDEEVIANEYALTNLGYWEPEHELEAKARSLGATLDNVRMVM SAPYLAMKQTIELVKEVYGSIEGYIRKECGLTDEQIKEIRKLMVVPIRFEERQLFRPL PY PHYBLDRAFT_72024 MRYRYSSTEETSSPHLVVCLPEIVSLILGHLQPSELNKTTYSVL YPSLFVNKLWHDCASRVLWRELTFEDSPTEYDAFLKLTSVLANNPIPLSMSLSAAPTL IVANPSQCLVTNIPGQNQQQDQQLNTTKPALSSLFRSMSASVPKNTRLLRSESRIPKL KQLDTTTIKSSSSAIVLSKESHNINRAHLELYRRSIRSLTLRKIKDKHINEPLQQWSQ NTSQLRRLDFYICDYVTNDSLYPFIAHKHLTHLSLAGCHRITDKAISQAAKYCPQLEH LDLRACGQVSDSSIAVVAWYCRGLKHLNVGRVRDREKITIKSIGEIAKYTQVSVLGLA GCDIADDCMIKLAHHRKGGLERVSVNNCHRITNKTVRTYVQYCPNLSVFEMKECHWVD DWASVAALVERKVLLTLCDQQNRACTDWARRRGKVLDVRAPAK PHYBLDRAFT_110897 MEHRFEPYDDNGGTSLAIAGEDFCVVASDTRQSTGYSINSRFSP KSYKLSETSVIAMNGFHADGLTLKKVLDQRLKWYKFSHDKDMSCTALAQMLSITLYQK RFFPYYSFCVLGGVDDEGKGAVYCYDGIGSYERETCRASGSASALIQPFLDNQIGRKN QQNADKTPLDLPTVIGIVKDAFTSATERDIYTGDNLQIFVIRKGQDVEVLTYPLKKD PHYBLDRAFT_155017 MNRFVNKFASSPLQLPREVSPINMCGQWDAAQQMAFPSFDIPDV HNPVDFLRGVTDESSPEYKIKIQHGTTTLAFQFNGGVVVAVDSRATMGNYIASQTVKK VIEINPYLLGTLAGGAADCQYWERELGKRCRLYELRNKERISVAAASKMLANMVYAYK GMGLSMGTMVTGWDNSGPNIFYVDSDGTRLKGDIFSVGSGSTFAYGVLDSGYDYDLSV SDAIELGKRSIYHATHRDAMSGGSVNLYHVTKEGWVYHGNHDVGELHWDYQDEVAKGG Q PHYBLDRAFT_186324 MTTSTSELQLLENVELKLALCNTDAKLETTIRIFLPPLLLKLMS TDDRARRKVMEILSHINKRVKSNQSIPLPFDALLAQFVDKSVSTFVKNFTVIYLEMAV QRMSPEDTAKHLPNLLMGISRRPVTQQLTLLHMVLPILRHWKLGDGNQVTARNDIFKF DENPKDVSVILEFFLDILLYQPSSARGQTSADGDSSEISAEPTYPGLSPLALEAITNK GKAEWTSSKLIEARLGIFHFLLTPIFTDSERLRLLLAGVCDSNHQVVSACEDGLRRWA SNVNLEDPVFVQSLYTLYLGTKPSAPGAKDARAPVSTSIKIRIIHYLSKSIVATNLVP SMIQVVFDGIYGEKSSLKLRRAAMSFLQWTARMADSAKLGPIAPILISGLLKYIDQDE PLSGHDAEGIKGYAYVACGLIAKKVPKVGLSDTHMLSKFFDNLELEHPNVRVYVQDAL SSMIEIYVDIPEDSPIYIPLQDILLDAVEKTNANTRYMALKYANGIYPFSSVFARYIC LLGSSSSVAKLEVKEESTRGLHPFVRSSTGFLHTAQDIVPISALPKFVDLVKYMANHR PPPEYSHASKTPCIKGYPVEVYSEMLRFLRMVLILEANPTTILIDQYVEDKVESSMSE DPVTMENFKSLINTWWLGGEMEGKETIEQWLVFVENVLDQNLKDSILLDTAAKCLLEI ISLGPSSISTIFKSRLNFFKSFATSEKLEARTLMSHIFGVIASDPGISQSEVEAMLIE FCEILERPETKHHASNLVDQKHGSLLAIGYLLGRCNYRNRELSKEIVQRCVQNIGNNL QGPPNVSFFLLASAACHALSEIGRTRVLPLEDTKTVPATEKSDTTTMEIDPRISTQEI IERLLSLAKSCKDAKVQEKALLALGHLSIPMSKDSSFIPTIVDALFSSAETKQVELFF TGGEAFSVLAFGWESQAMHKYKDISEIPALDSPTLAKASKIESFQEIIEKMVRSYVAS DRAWYRKAACIWLLSILKFGKDQAFVQKNLGIIHASFSRLLSDRDDFTQEVASKGLGL VYEYGDAKIKEDMLYSLVGTFTEGRTIQAQSVTDNTVLFEEGALGTTPEGSSITTYKE LCSLASELNQPDLIYKFMNLANHNAMWTSRRGAAFGFQNLIAMAEKEMEPYLARLIPK LYRYQFDPNPGVNQSMKAIWNSLVKDNQKTVDVHFNEIMDDLLEGLGNRQWRVREASC AAVTDIVQGRQLAQIQPYLEPLWRMSFRALDDIKGSVRQAATQTCKSLTRLTVHYCDP NVVSVSDGAKVVEIVMPFLLEKGIVSDAEDVQKFSLDAVLKLCKTGAALLKGYIPEII DTLLQSLSSLEPQTLNYLSFHTEKYNISQEQLDNARLSGAKNSPMMEGIEHCVGQIDE EVMEALSPRIIHIIKKGTGLPTKAGCARFIVTLCMNRRAVFQPFADTFLKALSGAIRT KNPAVRKSFATATGYVYENAHAASAVTIVEMTRFATDRMNAVATEIVPLIYYGEHDPD KNLKALWKDAWENLTSGTRSMVTLYTDEIITFVQPFLSSSSWKVKQTSALTIADLCKS GGNGVSKHASKLMPIMVSTLATRSWAGKENVLEAFVQLCISTPEYFETQEPNLAGVVK ILAREAKRNNRVYQRNALLSLKTFLDTFGEKVDALDQVQGFLTDLCQMDETAIMEEDE DTESKPLLLAIKANAFRAIVAAYRPQVFPTQAAQTEDLAKTLTESLEGNVWNVQIAIL ESLKLFVDQAGAAYLTTPVLQMVLNTGCVYLGNLKYSAIRAAAVDVLESSIATNKVQN DLKTNLQQKLTAYLQKEPVALIQQRIQDLMHKLQ PHYBLDRAFT_186325 MPVLLNNYQIATTVIQGANLLICSELILSSLTHNKNNKLHIRIC KFILGITMLVKTCLFLAMKSGIQNLKCDVIGRIADVLYHTSMVAGAVVLLSRVQTISP EHWKKWTKYFAILVFLFRVAIGIADSAHVHISTRDNNVCIYADEFTWGALYTFLDTAI DLYATLMISFILITHIRRIHSLHTPASSSIYFAVLYHNVGRTFLLTVANLISAIFILS QIDTDLIIFIWTATNFLFVLLIGFDTDVTRSIRVLQKKYTEISNKHSSEERGSSFFSR AKSYIPSGSNEPQSVPPAHVTNSYYSIHGIELENTSNETRSRTQQNFTNTYISNRNDD DKSYTPPQSSPESRVNGLP PHYBLDRAFT_177279 MSISSIKDHLVPRHPTVINKASPEYKSNIEEWTKLLDQLKERLK EATSEGKEKSIALHKKRGQLSARERIELLLDEDSPFLEICPLAGYEQEHCTLGGSVVS GIGLVSGVLCAINANVPTMAGGAMNETTVLKVGRFQQIARENRLPVIVLTQSAGANLQ QQFKVFHRGGGGFRNQALASKLGIPSCCVVFGSSTAGGAYTPGLSDYVIMIKKQAQVF LGGPPLVQMATGEIADAESLGGADMHSRISGVSDQLAIDEHDGIRKAREWISNINWER QGKLPERHLLGQYEEPFYDPNEILGIVSSNIRIPYDATEVIIRIVDGSRFTVFKPNYG VNLVCGWGFIQGIPVGILANNNVIFTQEANKATQFIQLCNIKNTPVLYFQNITGFMVG KRYEEEGIVKAGSRFINAVSNSKVPAITIMMGASYGAGNYAMSGRAYEPRFLFSWPNS RCSVMGPEQLTGVMDLVMRQGAKRAGIEIDEELASQRKAMFQMSVEAESDVYYTSSRL LDDGIIDPRDTRMVIGFCLEVVYNIKVEGGNIYGISRM PHYBLDRAFT_166484 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGLCNCSTAK LTANIFYSKYF PHYBLDRAFT_186327 MRVVTQTEQDNASRYAMKGWFIGMAQWAGVGLFVSGLAYSFFPW YRKTQTVNKFYIVMCFSLGGGAYKSDRYMVNFERRGRAAMLDESTRKRYDILYGGKDK EVEETKKVLETPSQTTAHITTDITTTTVTTVTIVTTVTTINPIIIQPHPVYKKILNNK IKSLFMILNRYVETSMASEKSLRFMTFNVRHDHGKESTLQAFAAPPEIAQEGGLDHEQ PWSIRKWKIADTILLYSPDIVGIQEPVLHQVTDLESLLNDEYEWVGAGRNDGKEEGEY CAVFYKRERNSGKLGFALVVRGISDKITPTVPGSKGWDASHPRIVTQVEFKRNDGSQF TLLNAHFDHKGIEARKGAADFLLKHTKDIQKVVVLLGDFNSPEDDPAYLSLTGAHYEG RKDQNSTLNHLEDLNQKLASAFSRRTGRPVRTTENNITLPTHHVFRRGMLKHLTEQGQ QNLDQDQEPVFLDARYELVTRLTDERAVGSLSGPFGFAETFTSFGVGIDAENAPLRLD YIMILKNSSKVKVKTFAVLPNQYDDNLYISDHRPVLATLAW PHYBLDRAFT_143483 MPPPIRILHLTHLGWNIDSGGSSRAVIERLNPYPCKSICSTCGK DFSRIGNYTRHQKTHTKEKEKCFCQTCGKAYSNKSNLDRHTKIKHTNP PHYBLDRAFT_72015 MNNTDQQILGNTSHYKYPIHEWSNIPLFSNIYSPHFYTQDYTCL KKGSKEAPGSLIVATRLALSDNSAKPYEIMITFSIKKKSDTSKSTRRNGLVLESNILE GLHAPITLKALEPYIYDDCLNVVVSIDKLPRLLGMFGKYPPGHGFLLVVYDDLRPSPN VIIRVSKDEKETENVTSEAQIYYSNKHILIKNSAWFSNMFLSGMRESEDSRITIRGVD PQIFGRILHFIYTQEIPIESMKDSIDIIKVADRLQFDKVNTKAFNVLKDGISRHNVLE TWRCKYLRVQKRAYTPIDQYEYTEIQDLCYKYMRRYCGDILKSPEWLDTDGQQATKIL KIDCLDIPVDETIFFEAVINWRKANITRANRLYEDNVSNLCSEPSADNKVVYNCENEF RGADSKLQEKFHKKKATLLKEIDYYFAEMVRSVRFTQIKKEYLAETVQQECSVRDVYG LHFLLRMAYKNLAFSKGIKLEKNYGPR PHYBLDRAFT_72014 MKNSYNSALRNDLWEYDYQENANIYDTTHSLVNNIFNPEPEPEP GSDTETKPIKLVTSKSLDPRVFQLLLGYVRNDASCIDRSDIISIIETSNLLQCKILST KAFMYLRSQINQSNLLETWHIADTYSCKDTIDACVIYMLKNYQSVFNDPSWLLATTGQ VYKIFTLDGLNYVEHYDALSDALVSWRVASLTQLLNELEASTKDSTHATVIHRLHQTV KAPKILTENEEDWIDKDNLLRKVREQMETIENHFIYMMGHISVLKKGL PHYBLDRAFT_26048 MEAQQTNARSPTDFLNSVLGRQVTVKLNSGIDYKGVLACLDGYM NIALENTEEYVDGSLKNKYGDTFIRGNNGNDIH PHYBLDRAFT_72013 MYFLVYLIKVPQMVPFSGTLPQSDFAVMVQHCSRTRDNQGTLFL LSNNHALFSWPGWEQFALTACVGLRKKNDGRSPERVLFSYESTASLAENIGLISKYSL SLLH PHYBLDRAFT_132539 MGILDIIPAGVVTGDNLYKLFEYAREHEFAIPAINCTSTSTVNA ALEAARDIKSPIILQFSNGGAAYFAGKGLSNKNQEAAIIGAVAGAQYVRTVAKAYGVP VVIHSDHCAKKLIPWFDGMLAADEEYFKANGEPLFSSHMLDLSEESKEENIEICLKYL KRMAAINCLLEMEIGITGGEEDGVNNEDVDNAALYTQPEDIYEIYTAFSKVTNLFSIA AGFGNVHGVYAPGNVKLHPELLGKHQAYVAEQLKVADPKPVFFVFHGGSGSTEEEIAT AVKNGVVKMNVDTDTQWAYWAGLRDYYEEKKAYLQTQVGNPEGEGKPNKKHYDPRVFI RACEKTMYARVQQACRDLGNVDRL PHYBLDRAFT_72011 MQAASYILKTIETSLYEEYITSPLETSLYLLEAHSKWISFSSTF LRLLTIMNSGTVTVFIKLAYIGNLTKNIKAIFWDKVSSAQILALSLPLHKSPDLLNWV QFW PHYBLDRAFT_143486 MSKDLKDHTLKEGNHTDSKGLYSTVAGTPAIVEVEDGRYPYHIT IGAIHSSTTSEESKERKFIRDKDQKADEIIIEEPVKNTSMLGTLMNEQLDIMDMGESV KGSRLVIDNCTLHKSHPMIRKIESRGHGVMYMSPYSPELDPIEQIWAFVKRKRKCEDL S PHYBLDRAFT_80265 MFARPMFFLTNTRKRLVLVILAMLGVCFVGFQLHYYRGDGLGLS DNLLRWSADESSPINAEEEQYLTYLPYSRFSNQRSTLLNAALLAKYLNRTLIVPPMIL GSANGWSPAPGLYNVLEDMTDLKYQDRCFDNGEPVEEPIVLNDMGLPIFGCTNFTSYV MLPWSWATDLQRLTRSENDGGLGIKIIERSDMSLSRLQENLGLENKDMFVMQDSTRYQ WHMYDTPGQAVNPRYQYEISLHELAGIRQRLVHFYGIFGYDRITLTDPLNEEYRLRIQ HALIFQNKAITDSTTIILEQLLRDISASDEIVPLDPEQARTIERHGDFVPPQFVAAHI RAGDGVFLKGLGERVPEFVHQIWDIMTGNETILDDLIGSSNNKTANEQIAAHAHTLYP LPTAPVELRDRLLKLPLLERLAECGASKQMVLYVATDAIDPRNNYEMKPVLNAFPCTV FMADFSPEWKVPLLEVRSPLDPQKSLANHLIMFVDASVCAWAERFIGTRTSTFSNYIK QHRHAIYDEMIEHQHLHD PHYBLDRAFT_177281 MDDPSVDSFSLVHDPRIAMHSNLNSDSSSPAKLLYSKSKVYIHP SANSADAIPGYLSVVEQASRAYLVAWTPEALIPSKDMEAFVQVDCNPEDSTEEAPMIP SIGYVPEEYALYAISSPLQEIHSLLIRPPSLTKWYGSVILNFKDGHSSAPLWFHDDES KSTMLQKKTQGGKFADDDNQNTSPQIRWGGDEFVDRLSQLTSVVRAAHQPLLYWVGKK EEPKEQEFSEKGEQSHVKEVPAQKINEKNKAEEPKASVFESAQMDPIVAALKEARWSI LERLSRVTKFSRDTAGHPSSRPIVAMLPPEIQEMCNNETVKQTIDDYDSARIYLAKWA AGLAAQSEQSAPVETRYRHVGVWGHDGWEEDTALGVFEVLNSENDFSIPTHTRTSPIT RQQWDSFFNESGRLSVGEPFMRRSIFCGGLDPSVRHEAWLFLTGVYSWNSTKEERATL LKQKKAEYAKLKSQWADNEEYQKDPTFQDQKHRIGKDVHRTDRTVPMYANEDMPNPDP LMHVGTNQNLETLKDILCTYNIHNTELGYVQGMSDLLSPLYAVLEDEALSFWAFVGFM NRMKSNFFMDQSGMHKQLLTLDGLLQFMDPSLYKHFERTDSDNLFFCFRWLLVWFKRE FAWDDTLRLWEVLWTDYLTDQFHLFVAVSILDQHRDVIIDYLKNFDEVLKYINDLSMT IDLKETLQRAEIIFYQFKQRVEAVENKRDTLKKTIGQRKNTPNEHLEDKLPIVNELLK GLLKSQTQGN PHYBLDRAFT_158360 MFTCPYRNNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDLSVPIVKQWSQSTCTLGHQMQLTYAVISVNDICHQVGLVQYPPNGNQFY VIVPYYIFNNNMCITKGNLSIL PHYBLDRAFT_180724 MSMPTRIARMKKARASKEIDYLHNNINVIDLSCSLITTRSCEIT RLHKGDSATVAGVAYYISKDTEMELDIDDSTVDNESIRDSEHTMDAMDVGEVVSYKYG CTFKNREGEAHHKIARAAYRDIVRFVNTIIWDHDEIMLVTVLMSYISPGPESVMVKQL MLCLSKSSIKGHEYDVCPSGCRLYGINDDQESCVDCGEP PHYBLDRAFT_110658 MGNLEAWMDERYLQELWTSIGENVVVKLMRDKRTSISEGYAFVG FVSNMAAQRALATIHGTQIPDTNLSFKLNWASGGGIQDKKEDRLEEFSLFVGDLDTEV DETYLLSFFQHRYPSCHSAKIMTYPTTGQSRGFGFVRFHDPIEQQEALIEMSGMYCKS RPLRVSLATPKNNQLRYQQLSLKAPALIQQPTSPNNTTVFVGGLLSAVTEDELRCYFS PFGEINYVKIPPNKSCGFIQFVSRRSAEVAMEQMNGFQIGSSRIRLSWGRTHQEKPHG PLTFAYPPHTTTHPDTGLNYSR PHYBLDRAFT_110625 MGPSMLPTFNMTGDIILADHISGYFSEPEIGDVVVCISPHAPGR AVLKRVVGTPRDSVCFDVTEKERKYVNVPDGHLWLSGDNMSNSNDSRTYGPVPMGLLR GRVVARVSKEKKVFFDILVTYFLSCPFSFW PHYBLDRAFT_155021 MNQVAFISCLRSQQSQIMTLGSLRTLGLNTPIRMSNGIRVALPR TTQTCTYTQRHLSTSLPRLAKAEKTNPYTSTILLPKTEFPLRADAAKREHAYLDRCTK DLYPWQLKNNPKNTFILHDGPPYANGGLHSGHAMNKILKDIVNRHKLLLGHKVMYRPG WDCHGLPIEMKALEQIRKEGKEASLSATEIRRLARKKAVTEVENQKKDFMSWAVIGDW DNAYMTLTKDYEIRQLELFHDMIKKGYIYRQLKPVYWSPSSKSALAESELEYNEKHVS QSIHVRFPIKKLAPKLADKWKDVVPIDKLYALIWTTTPWTIPSNKAIAVHPDLVYSAV EVLHGDKSGVYIVGLDRLDAFKTELNITEEDQIRLLGNVNGSELAGTEYTHPLYETTL PMISGEHVTAESGTCLVHTAPGHGMEDYEACSKLGIEPFSPLDDEGRYTKEAGLGLEG KEAFTDGNSMVIDALTKAGALVRQRAYTHKYPYDWRTKKPIMLRATSQWFANVENLQK EAVKALQSVKMVPSVSMKRLEQFTLSRKEWCISRQRSWGVPIPALYDVETGEALMTDE SVQHIIKVFGERGTDSWWGEEDDNIFVAPQYQQNGKVYKRGYDTMDVWFDSGTSWTML KDIPDRDPTLPIADVYLEGSDQHRGWFQSSLLTSIATTGKTPYGTLITHGFVLDEEGH KMSKSLGNVLVPSVITRGGKDKKKSPAYGTDVLRLWVASCEYTKDVAMGPSIIAQISE NMRKIRTTARFMLGNLHDFNHTDIVPYNQLKENFTTNTLSAFYFDVIKDRLYNEKASE NSRRMAQTVLFQVLKSYTTSLSPVACHTAEEIYENYRSMTPQPESSVFKTGWLVKDSE WKNESLEAKWAILKELKGQINQVLELARQDKCKIYTQRTEQHKCPRCWNYQASEADTL CPRCTQVLNA PHYBLDRAFT_143495 MFKVSQHLRPLVLVDFDQTITIKDTIELLAKTALSLTQSNLTWD YFVDAYMRDYDQVIRQNFMDPIVKERALVKAEQDSLTRVTKNNVFKGLKRQDLLDAGR REATKSLRPGVIDALKQISPSDQLHIVSLNWSKDWILGFLDPLGLSQAQIHCNDLVYG GDGISTGVIEQNILTTQDKKREMDQIISSSQQTSTVYIGDSLGDLLPLSKLVTISIM PHYBLDRAFT_186332 MNPITPAERAKYAEIFQARGQMNGYMPGATARDVLLNSNLPPHR LERIWDLADIDKDGNLDFEEFCVAMHLTFSCINGIEPPMSLPPHLVPPNKSHFFAIQP QQTAVYAQPTGYAYQSPNTYAPYNSYSPQHNIPQPPLPQSAPEFSWDMTSQELESYQR TYSKYAHNSEKAKFVQFEDFYSSLGLSRTDLTNAWALVNVNRTTAITKDQCLTFFHIL SQRQQGTIIPKTLPPDLQEAFGSEYEGSLRDRVSTGKYRDDRGPKSANKREEEDRLKR ELEDLKRRVRDAEERASEPSKEDTSAFGSRPLKDQFEALYEYKLRQLTDQNDVEAKVR QQERDIEAARDAVRRLTRVVDDVRSQKREMETLLEDTRAQVQNTYKQMH PHYBLDRAFT_186333 MAASKSGIAVGLNKGHITTRRELKITPSYTKGAASKRTTFVRSI VREVVGFAPYERRVMELIKNSKDKRAKKLTKKRLGTFLRAKKKIEELSAVIAESRRH PHYBLDRAFT_143498 MAASFFDAFTDPKDQSGFSRIKDSSSVTHTPTNDTPQLSLEAKN QSSVYQDYLPEWKLVTDTYRAKKLALHQHMTSQLEKLCALEDKFEKMSGDFHGKVEGA YDQLSTELDGWQDILEADQDRHTHEKAVIHQVWRLQEERIKLNVGGQYFETSLSTLRR DPNSMLAAMFSGNGMISRDPDGSYFIDRDSTYFRLVLNYLRDLRIPPSVQEDSKIMEE LMQEAMFYRIKGKPLEIKMGKFIKGLWKVYPVESTRTLLHFVDKDLSNLDFSGYRLDS RSCFSGCNLENSSFGSAWFIADAEHAMDFTNSFMWGTQFPALGSAQRPFGVQIRFDGA VVDEMIA PHYBLDRAFT_123781 MLLKSDIPQDALDFIEFVNKSPSPFHATHEASVLLKAAGFKEIK ERDSWNNGVLEQNGKYYFTRNGSSIVAFIVGGKYQPGNGFSMVGAHTDSPCLKIKPVS KKEKSGYLEVGVQLYGGGIWHTWFDRDLSIAGRVLVSHEDGTFKHTLVKIDRPLLRIP TLAIHLDRSTNDGFQFNKETQLAPVLATATKAALSGSDATDGVEADATHHPLLIRILA EEMNVGPDQIRDFELALYDTQASTIGGACNEFIFSPRLDNLEMSFCSIQALIRSKDVE NDTNIRLVALFDNEEIGSQTAHGADSNLLPVTLQRLANTQLMNVSASSTAFEEAMHKS LLISADMAHAVHPNYSDKHEENHRPQMHKGTVIKVNANQRYATTAMTSLVLKELAKKH SIPIQEFVVRNDSSCGSTIGPMLSAKLGLRTVDIGNPQLSMHSIREVGGTDDVKHGIN LLQVFFEEFAELEARIQVD PHYBLDRAFT_71997 MSHFKSSSPLPTEPSRQYTANFPGSTSNSVNKKSSFSSVGPRSP SSSSTPSTLNTEQQKSETSDKVQTSISSRSAITTPDQPTYSETEYNERRCWICFGEDG DSEGRWVSPCQCSLIAHEKCLLRWMFESQKKEPLKQVTCPQCAFPYSFQQKTSLSYIM ITLGERALSTIAPYFFGFTIGCSIIIAATTLGAFTVVTVMGPKDSERLIGNPAQWTWR AWVGLPLIPATLLTSIFPFANVYPFVAFTFMSMASRSYRRPSLNWPPSVFDVVIGYPM VRMVYVSARNATYRLVVRRSMLRSSSPRQSLGLNASSSTPQLSNIEQNNENSYSDIQP INDIQIDEIETRRMPDILLSSMCALLLPFTGSALGNLLTYFKPVKRYFPAPFHRTILG GCILVVSADIIDMWYAHSVIRQHQSRRIRNYSEIKQRH PHYBLDRAFT_177284 MFFLKELYHTITLHPSYFGPNMHSQLKDKLYADVEGTCSGRFGY IITVVSLVNIGKGKILPGSGLAEFKVKYQAIVLKPYKGEVLDAVVTTVNKMGFFADVG PLQVFVSNHLIPNDMRYDPNGNPPCYSSEDQMIQKDVQVRIKLVGTRIDATEIFAIGT IKEDYLGVISS PHYBLDRAFT_96428 MKEMLVFWKKNEKEERELRKKAEKEALERLRQEEERREAQRQAR KLNFLITQTELYSHFIGRKIKQDMGDDEDAAMMPGGNMSMDLEGDGDLDIGGDDQEQL GEIDFDEDDDEKLREQARRGAQNALAKQREATRAFDESALERLDSMNFQDPTSMGSGP EVKQPSMLMCQLKTYQLKGLNWLANLYEQGINGILADEMGLGKTVQSISLMAYLAEVH NIWGPFLVIAPASTLHNWQQEISRFVPSFRALPYWGNPKDRKVLRQFWNRKQLYGRDA PFHVVITSYQLVLTDVSYFQRVKWQYMVLDEAQAIKSSSSARWKQLLGFHCRNRLLLT GTPIQNSMQELWALLHFIMPTLFDSHEEFSEWFSKDIESHAENKGTLNEHQLRRLHMI LKPFMLRRIKRNVQHELGEKIEVEVYCDLTARQRALYRGLKEKISISELLEKATSLND MESMDSLMNLVMQFRKVCNHPELFERADVKSPFAFCNFSSTSSLTKEQLLYCPYSSRG VIKYHIPKKLYRNGGILKEPGPSTKIGFTTHYLDNLLNIWQPNAIHESMMSNSAFSFL RFVDTTPHEASYLFKQSLVARWIDHLAKRDQRARRQFYNTNEESFLISETQSPTTSFN IIPGGALDELTHVTDSMLYLTRPFGQCYMPSARAVPVDAICSDISFEREQRDVLFNPT LRAAFFGTPQYIPGHNREQAMELRDVVRRTEGQGIMTVPSSGHGFSLMKVPAMRDLVL DSGKLATLDRLLERLKSEGHRCLIYFQMTRMIDLMEEYISYKQYKYLRLDGSSKISDR RDMVSDWQTRPEIFIFLLSTRAGGLGINLTAADTVIFYDSDWNPTVDQQASAMDRAHR LGQTKQVTVYRLITKNTIEERILQRAKQKDEV PHYBLDRAFT_143503 MPAQQVPHKLSLPDLQQLVLYTGNLMRTSLASRTKELNKQPNAE LVHAVDDTLRLLMDSPGAPSYRYYTEHGLLEVPDLRQSSRIPAEDRNDVQVTAKLFYL SENTQHVYPPIHVDAAVNHLQKLLGVSTIDTFLVSFAGDHSLKNTCQAWSALETYQQQ GVIGRLGVSDFSAQNIDEILTNKSIKVKPSINQINVGSCCDMPKETIEHAKRNGIELL HNSDSADILSTEELSKLLSSRAVVPKTTTVTPRWVIKYHVFVQCRSVVADKGYIVMGD AL PHYBLDRAFT_123789 MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANV MVDGKPINLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSLVSPPSFENVKTKWYPEIS HHAPNTPMILVGTKLDLREDPETIAKLRQKHMAPITYSQSLQMAKDIGAVKYLECSAL TQKGLKNVFDEAIRAVLCPAPPPKRQKKCTIL PHYBLDRAFT_143506 MDSSTEHPLSNLHSIGADRNLGSSTSTNTQQSAAPSAPLAHSVP AAKWIQDNEESDNDQIGLVPHNREAQFGGNKLRAPRKLAEESEYTKAGKKRTVVKRKK RRLDEEISDEEEDPYTLVNIEGKPNFFFHSILSPIESPTDIVRRPALRRIIQSRQVEG LAKTAMEFIEGEKNFNKILCRLCAILHHDDPRYLDLKFERTPEERRAKRHAGSKDNAT KDIQENRAGGSGSGSVAGSGSGPGAGAGTGSGSGSGSGVGQAKVDQNGRNLSESETKK EDGGEPTSHMNEKISSMSVEEGPSSQSNDSVDAEAQEVVRRENINFSNEYLLRLQGAR DKLYKAHMQKDALWSQLCTIADEQDRRQNYRDSSNIGYN PHYBLDRAFT_95298 RPYKCDFCHKSFYRLEHKVRHVRTHTGEKPHACTFPQCDKKFAR SDELNRHIRVHT PHYBLDRAFT_132562 MNTFKLTRPLTSLGASRRAFLTVPAATTRFVVAPLGNQLTRFST SPSSHASQNLEKSHQFSGKPLGALDVAARQLLFTELMRGMWVVLENFFRAPYTIFYPF EKGALSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEPREDGSRRTTRYD IDMTKCIYCGFCQEACPVDAIVEGPNYEYITETHEELLYNKEKLLQNGDKWEVEIARN LQADHPYR PHYBLDRAFT_110619 LVIKRVVNMNGKGKQPSMYALVVVGNGEGLAGYGEGKDEEASRA VRKATNRAIKNLRYFDRYDNRTVFMDIVHKFHATTLELRSRPPGFGCRANHYIHEICR CIGIQDLSGKVRGSTTPMNVIKATFEALSHQKLPEDIAKMRGKKLADVQHVYFGGQ PHYBLDRAFT_93251 ETDVDRHQQTAQKYLSVQSHEIIVPSYAAWYEMDRIHDIERRFL PEFFNHRNRSKSPSVYKEYREFMVNTYRLNPLEYLTVTACRRNMTGDVCAIIRVHAFL EQWGLINYQVDPGSKPSNVGPPFAGQFKMMMDTPKGLEP PHYBLDRAFT_155028 MYLCLCLCLCLCLCLCLSLSLSLSLSLSLSLSLSLSLSLSLSLS LSLSLFLCLCLSLSLSLSLSLSLSLCLSLSLGLSLCLCLSLCLSLCLSLSLCLSLCLS LSLCLSLSLFLGLGLGLGLGLCLGLC PHYBLDRAFT_57988 MGKSSKDKRDVYYRLAKEQGWRARSAFKLLQLDEEFNLLQGVHR AVDLCAAPGSWSQVLTQRLSQNHAQNPQEQEPKIVAVDLQAMAPLDNVIQLQGDITKE STAEKIISYFEGELADIVVCDGAPDVTGLHDMDEYIQAQLLLAALNITTHVLRPGGCF VAKIFRGKDITLLYSQLKVFFPTVTCSKPRSSRNSSIEAFIVCQNYTPPPNYTPTMTN PLLDLHYDAANALVGPNRTIVPFLACGDLNGYDADRTYPLQTSYTSLDPLQPPITAPY KTAMELKRNNFYNKVAK PHYBLDRAFT_71985 MRAKCLEREINTKCGDKVDTSFCPEGLEKGTSPDRGPQLTSSVR HKRRTPSRNIVPVDNVLPELESKERTLGFFESLGDYRFKKEIRMCKSLFYEFYKNLWI LYEFCTFIFWCLQLQIDIIGHNSHKNLIDQSG PHYBLDRAFT_158368 MDNALSGADALIYATIDHPIDNIQDNESDEDIDGSHSAVSKFAD QPSDLSQGFQYAYHSLSKNIGSAAQTIFTIPVEFKDRGSDNQATSAKAVIKAVPVAVL KPMIGLTGAFQSILVGLRNTIDPTRRLQNEDKYKKR PHYBLDRAFT_100895 KVNLLPIRLYVDQDALDFLVNYFTFDKLKLRSTPFTNATIPKPA PEDEDDEDEANPVFFQYVEIFPIVLKVDYKPKYINYGNIKEGQFAELINLFHLDGAEM SLNHVKLTGIAGIERVFEKLGQEWLPHIKNTQVPNMVSGVAPIRSIVNLSSGVADLVL LPLQQYRKDGRIIKGSIQKGTHSFARATAIEAINLSARFASGTQVILEHADGF PHYBLDRAFT_143512 MRSDAPFTPGVPHEIEKTVITSQSTETPSSPDKNTTPAPENQIL SSPAPSNSDLTNLNLNSAHLQHMDAAQAQAIAQAAAAAMAAHGSHYHQLFTSFDPNTT QHITDNSSTVLATSLATDFIKRELINQKVNHSFLPEPYEVRADNRDRKKRWRALNEER NKDNDLRCRVNKRANKLFGKDDIGPKRHWVEEEFVKRQAKRKEKERRKRVVDGTVAHT PTDYGLDITAATQQLTPQQLQILQETNYLSLICSNLGALSPNTASKLLGVEQGSTNPQ DKTQHLSSQLIEFLQQLQQYQPPQTVESSVPQPSGTPTLNTAVQDTRPEEKVAALLSS SISSIATAVESQDKDKKDFEEQDHVSASPEQPRIDYPMDAVLTLMQLNSGWRQ PHYBLDRAFT_71982 MPLETLCPDILVSIAELLTSKDILQLSITCHSLSKILYYECLWK SLCSSIYGISYKHPNQTYHSLFRSLDNPGNSRLPCPHIELLDIHQTPLHGLLVDKATD PVSWEGVECHECDVKGINSLFICMSPECHIILCQHHAKSHASLRCVHHNVFFKPNIGE IFCFSCIDWLGNECANIAERYKEEILNDHWSIVMYCPSYRQKNTSDKLRRREERIVRW RDSPHYIATSGRPICFIPTEWMNTWEQYIEGWQTDHPPMIDLRLWYLSNGYLRPNIAF NPFAPSTTDITLISWDTWAYLENNYPILGALLTENNLSTQKNNNRWSTYLEVWKRRAR GE PHYBLDRAFT_143514 MNQPQNTNNYKNLRVVEGKQAIAQCVQQSEEIKNILSNDTLLDV PEDAWQSAMQTFEASMVSFEYNVEQLGKPDVIKLLKAKLSKLARKRAWKKRHLARMRM KKHEQYKNRKRLLKAVDAWRITMSQQGQVYKKLQEQEKKREREGKKKREENKTKIKEM SRLLERLTLLRDLRRQQLENKGHFFPEEGNDFFNKVKAWHVATEEKDGTEQEETTTNT SLYIHPDDHWNVLGLDNMSYTYWSQGFQNTEALRRVRKLWDHYISEEETAREAKIPPY WVEPSPPANWVWASCLVQDS PHYBLDRAFT_143515 MREILTLQLGQLANFVGTHYWNAQEAYFNYGNDTKPDLLDHDVL YRAGKTPTGIETYTPRVLIYDLKDCFGSLQKYNRLFESEESTKIAWDGGVNTITTKTY EKNPYQQQLDRMEEDPSHTDMDVDAVDKLDHTVKVWSDFNRIYYHPRSMNPIVTHQAE STLTPFDSYTAGRKAYTDNEKVGLFAAQEETSIFEDNFRFFVEECDQLQGFQIFTGVD DAMGGFTEGLLDDIRDEFPKTTVLTYGLSDTTRNPSAERARQKETLNRAFSIARLTKL SSLYVPLYTPTPKRMAYSSRSPYIHPKSHKAYQYELMYHTSAILSTAIETASLPYRLK KNGTSLSEMVSRLNWHGNTYVGGLESVLPLPIVRTGYNDTLETNNVLPIIDHSAPSLS VLADKKKEETFGEYIVTRGLPSFDSRYFVDLAYPLIDSYPRFFSSNISQDGLLTPYTN SEPPRSVPTLTRLATGSKVRLGMEHRIESINSISFSDFFEFSEGENGFGREDYLEMKE DMITLSDSYSCDEDM PHYBLDRAFT_143516 MNILPHKSWHVYNRENIEKVRKDEAKAKLEESSKQERVQLAESE ARLNLLRQRAEKRLEESGISNNALSTTSQTLITEETPWYSEKKSDKYTDTHVRRYKPK DESSKRKRKPAITTEEDPMAIVKKHLDKREKVKNPYSYSKRPSKTKSKSTNASSTSTQ SSSIEALRAQRLAREQAERVRTKAVVYGEVAAPKEHRYNAQFNPKETAQARDRRKPSF R PHYBLDRAFT_186339 MNNSSYSPYHQRSHSLPVGDSYGSSLRSPPSPSMSKACKGNSNP HAVLFGVTTTHRSLSQPNSGI PHYBLDRAFT_158369 MQSTTATTTTTTTNPTPCQKVDLEVSPLVRYDAIVSQGKVRLDN HQRSIIKHLDRLWRDLKQYSPQPAAISILADENTHNGVFSSFSKWFNSAKQNKDEDPS ASTAWSPKSMYIYGDVGTGKTMVMDLFFDTLPITRKRRVHFHAFMLDIHARIHRIKTE NPKLANPLAPIADDLLNDAYVLCFDEFQVTDIADAMILRKLFSELFARGIVLVTTSNR HPTELYKNGIQRQSFLPCIDLLLERCQVLSLDSGTDYRKIDRATSHVYFHPLNQNTLE QINAITRKLTHNKPMTPMKIDFLSRSLVIPHQADGVARVRFEDVCARSLSAADYLEIV KRFHTIILTDIPKMTMKHRAEARRFITFIDAMYESKVTLIASAENSIMEIFDAEQGED EMDSGMRMMMDALDMTDISSPLFSGQEEAFAFQRALSRLVQMQSKEWVNKELS PHYBLDRAFT_71976 MSDIVLPWCQRPGTGMISKLVLQEKQITNFIVEYVIGTRAKDKY RANPPEWINGSRSDVLFICNEYDSTLPPVVIEVQHVVDDNFMRRLLGYCLSLEKQSGV LPIVLIFPISSIRFEIMNRIRKRKSDPLLIHYPCHPWVPSCFIFDRSSLEENLQQPLI PFVSLLYRLAQHHVGTTILDHDHIIDGFLSFSNEMKTRLEEVLHLQENDHDNNAAISC LKNTILLVSSCQEKFKQPSTAADSHPNLQTLPQKTDANWDFVKNCIQKLNEGDQISWK AVYQQGKGHGLFSTYSNAASMKRSYYRAKINKGFYI PHYBLDRAFT_166521 MWHNLLIKLAQSSFNINITILLFFTYNIPLQFFHEDGQGNAMNE DGREPVLSEVDLEALPLDTITNFDIHSDLKPPEKDVKQKAPDVVDKRGSAGKTERRII RKHGDDIRALSFFHVYEKGLSISKAAKTVGIPPKTADNWYKADQAEIEKRFKTDQDNP NYKSNEAIEDKEAKPGRKKKDLRIRS PHYBLDRAFT_103841 RMFLASLIVASKFVQDKTYRNSAWAKIAGLPVAEINAAERIFLE LIDYRLYIAQPTFEQWHHML PHYBLDRAFT_166524 MVWPLILDADLESLTNEIQQLPTNSSSELFNDAQISVYLSIKKL KIYLPNDGKKLITWAYTVLSKRIPKNDAKSNTAKNITHIMRDKINRAMVELISESVAF LEATRERALDTLFNLVTTSVESTNTMSWLICFTINGRPSLLIPRLHQYLIRGLKVHQN PFMDSGLEHCTDEQKKLAILGSKVFAYLLEQQNTIARKSVINLFEEYFAQMHTRQNHS MELDTTSSACWTEIFKRLVENDSAGTMFMYERDSEYSRIYTPAGREISIVSVFPQWLS NVAFSKDSLIMKHALDVAVMLDTMAYDPRLNLAHLIPAGDKSILDSMRPSFDQLDLQP SSIDLVNWTISILESKSHVRGTDSLKIALFLMQLIIFKCDTEEKAAEMLVSIITQLDK PNAKTESSSGTRYLVLNLVASAETKWPNVFQVVLEKIFSHAIALHIADSEGSVSVEKI LGNLAMLFEETGEAYGPDGTRPGFSSFQMYIVDHWQQVLLLFVSHPSMECRAMGYRVL ANSKLWEHTGSNIQPIQISKMLTEAWFRHMKHRFLRITPQEREEEVSVLEELERLSKE TRVVVGCCQTLVLAKSILCLALDCIMQGALEIFPAVDPNVLQREKTNLLDKVRENKSA TEIRSQPVNRPPRFITTTDLLNGELDFRDKTYIDNIERTASLFYSFRDSKDTTRDHPA LFLIIEQCSAIAGSPINDTTRSILVYFIAFWHMPEVAKETTTLKYATQLEETCRIMML LKAHLPLPLQPAYKIFAFVSAQDLGDILYQAIWPYIRWHPSASDMDIPGLGPTAAPSQ DTLRTESELTNQCMKKLALVYNRRLKKLECAPLWHAALQQVGRDLSLDVNETTA PHYBLDRAFT_26030 MPRGTRRLQLTAKQGHNFYKGTGSGAMGRHTKQGGYKVDWSKVR TFVVPDLQNFSVCFVFVFSE PHYBLDRAFT_8849 CFGCRKTGHSVGNCPEAKQSGQDELPFAKCFVCKAQGHLSGQCP ENSKGLYPNGGGCRFCGKVDHLAKDC PHYBLDRAFT_80250 MNTKDFSTSLRIAKDWANSGNGGRPDRMMDGMSANITRKLSNMS PNELQDLRDNNKRMLSNPSLLSTLPDGGAKLKETVERIDAILGPLPVTGSNYTDGGLE EQDNVERRLMNIHLNEQKINVRQQAVDMVNSKAAAMSDSTPGNMLRKGSINSASTNES LVDKNGHHAKVQLMSLEEAMELEASQQSQAREAYMMRSMQPSQKAYPSAGASLADDLS VTMESMKLDPETRPGRPQDDDPSEDESEDDSEMGSRHSSDGAYNEASDDEDDENDDDG DDDDFDEL PHYBLDRAFT_71969 MFCLFLSQFFTPKQPSPQNPKANGNTYPKAPTLLLYDKIDTTVI AWGYDAQRKALLPGCKGILVDRFKLCLDPEIRDSIILLNGLTSVKVISDYLRLFHKYI LSELNSTLGAVYDPTRFKYSLTVPAAWDDSAKAIMRRAAIQAGIISQSNHPSRLVLTG EPEAASLFCDKQSKQFKLGSGDRFMICDAGGGTVGLIVFEHSQDRSTMTLKEITKGSG KSCGSTFLDLNMRNILMSRLGHHANENKAAIDNLIKYFVTTAKPEFEDGEDAYFPLPQ LMNISASELAAMDVVDGSLHIAMEELREKVFDPVVEQVFELISGQLRKALNISTIFLV GGFGQSKYLLKRIKEKFVSKVVSIAAPNRGEMAVTRGAVLYGLNSADCTTHVRYMHRR DIPERKVTKVDGSVRAINCFQVYIRKGDIVIADECISQDFYIFYPNQSNSVLTLADLY VYDGDGIPPRYTDSPGVHKAAHFPIITKCLPCAKNGDIIPVKTIMYFGQTEIFVQTIV GNQTIIYTWPFDTDV PHYBLDRAFT_186344 MAELTAREQIEGHKALLELEACFNAKPRGVVLSIQGSQINALAM FSKIFQKYSYPVIINAAILKLADWFRISNNIVKFHIYQVFKQASDLHLAKVLNVEETA RRILGVLDSNDPTARSIALRVLGCMSMIIAEKLDVHFSLVQRLEQATDRVEVEAAIWA VDRICARSQRFPAVIYSKVVAELENPKTSPHTRLKLVRIFRHMHRDISMARQTKETCL GFLDKFADDEKFVIETLRTLTILLSEARIDMREQIDRLLEYALKDKREAVCFSLLYDL VLLGRNDIIFDTSHMAKLLDIVSKMNVSPRLQQRAYKCSQALIYTHRQLIARLLLQNE DYIYRHTFLNSLDICENRLVEALKCGRYTLAIACARLLTSVVEISLTKNTSEEDMDID NDIKARMGQLGTNVVKHVSCVDLSVVNETGFLNKAARWQLCEILKVKARLCLLLVDWD YAMSSILLPYLSSISCKCKNLSQWLPYAIIDGLKSHHENPLVFVNLMRLLFRTTRKHS IEKDHISESIVRLLREFGYWDEQAGVCTRNAWYLYLVGKEAGVYGWYQVMHCAIRDLT RKAESEASRYWLSALSTLAEAEWKLLRNDIQVEGHLSAATNQYLAVINQLKAFQALDQ PLLLQTWFVQLRMEMVNAIQFMTVVLDQLQPNSTHCSNDRKLAKFMQSCAIRFRKLAF RYDFTAQSFYGIDQEALDVIESYKICSLVCEHAARTYLKSGMLFFCIDPSLIPLLNTV NNQSNILPSLTNHTALKRTCAEFLRKTVDWEESNMIEGHQDKCKADIQRFSKTLLQWP LILPRSFFTNQRNVTIQLTTDPLLSEKNPITLQPREDLVIKLEGLVKFPPQKKAFKVI KKAAIVCFVTREKIVHLDDRLGVGMIFADPLQALSDEASESILLQSPTVYTTDVVNSY FSCTGLLSLPEPNLTPDVFSPLGEIQNIRQEAWVNIFVKLVDENSEIWATGPQQCGRI TW PHYBLDRAFT_26035 IMWDPVFASSLAGYASFACWVIVFAPQLFINYKRKNGDGLSMTF LVIWLAGDLFNLAGVVMQDLLVTMFILALWYTVADMGLIWQVVHYRKINKRLREEVVV DTDTDDLQTCGSREERMSTETDPLIEQTGSISRFIESLSIEEKEISTTVLNLVGGSSV ILLILGSCYAYYSVHLKTPKDPEDGMPEQMLSVFPQILGWLSAILYVGSRIPQIIKNT KKKSTDGLSLGMFMCAVMGNVFFTLSIFLRSTDRHYLLINLPWIIGSSGTLVFDFMVC TYV PHYBLDRAFT_166530 MPTIAFNFLGTLFSFDRVIDQLEATFPEDLPSQKQARIFYYAWL WAGIRDYFGTSHAGRYNSLLSILRATLTRARLVQDMEAPTNEEIDQIMAAFDDLLPMA TALECFELLRENNWDIWILTNGSYSSTETLLKKHGLDTFVGDNILSCDDLKISKPHPK VYSEFMRSAVHKTKRIENFYLIAAHAWDLAGAKNVSVRTVYLTTEEKVYSADAYDVGF FD PHYBLDRAFT_71965 MSDSPKPTTVDSLRKALEELGLDSRGHKPELKKRLRKATKQNVN KNESLEPVKVELPETKQDIKTKLPLYDYYLFFDVEATCEVNGGFEFPNEIIEFPVVLV DANTFKIVDEYRSYVKPTINPTLTKFCLELTGIDQATVDNSPCFIDVLDDFQEFLGKW SLFQKKSAVFVTDGPFDIRDFITKQCKHSNLKKRPAYFSTPWINIRKLFREFYNYPVN KNIGAMLEALDMKFEGREHSGLDDSRNLVAIGRKMWEDGCVFKTNIKWKAPHKNIRKR PHYBLDRAFT_110985 MRAPELQKKVSMLNGLGLVVGAMIGSGLFSSPGPILEAMHGPGT ALIVWLVSGLLALSGALCYAELGTMLPMNGGEAVYLGRAFGSLVSFMFEFVSIIVQKP GSLAIVCIVFGEYVSRIIFHAYFFQIPHDSDAAVELADAVIPIYLPKLLAISALLIVS LINGLSIRAGILVQDVLTVVKVLTALVISITGVVVLSRGTYWSSNAFQGDPFKDIDTT TFGQYALALYSGLWAYDGWNNLNYVSGEMKNPHKDLPRVIIFGIPLVIVCYLLANVAY LAALRPEVVMHTNTVAMDFGKKVFGPVGGILFAVCVALSCFGTANASVFTGARIIYVS SKQGHLPTFFGKLSTWTQTPLMAIVLQAVLTSIMILLGSFRGLVNFYSLCAWIFYFLA VLSLLVFRYKEPELKRPYRVWLTTPVLFCIVAMFLCTMPFIEAPFESLAAIGFVVLAI PIWLLQVKYKQKLAGLWAGKVWHGKNT PHYBLDRAFT_132574 MSAVADDAIKKVFVELQAKYISSTQQVNQVKSQIQAKQRERKMA ELTRRELDALDSNTKTYKPVGKMFIQSPLSEMKSQYVNAVAGADESIQQLEKSQKYWE RAASDAQGNLKDILQGPRTM PHYBLDRAFT_7454 LMELPDIGKQCTYASCSQLVLDFLPYTCYHCKHIYCQEHFKLED HQCPSLNDPSLDVRVPTCPICEKPVSVPRGEDPNIKVNQHIQNNCASPKPLDNTCKLK GCKQKLLVPMTCSDCKLSYCVKHRLGIDHQCPGK PHYBLDRAFT_143534 MSIDKKFKRIAEYPNDQLHPKRFKVNRACYTCRVKKIKCKARKI PCSFSLDGSIDLDLAKPNSQENPGYISLPPTLGSPQGPKISLAQQKYLEMRNESFLKT NQLLEILGTTWPGEGREGWYVPDRFCPVTQHETEEPGSEILWQTIRHPLINLYFRHFY AILPIIPKREFYNQLESQGQLITSCLLYSMYAHGARFSHDSTVDPEDYYDQACRMLDQ ILDRPHISTIISLCLLSLFEPSRQGKKGDPGCRSWIYSGMAYRMSLDLRLHKRTYSHG EDELRKRVFWACYCLDKLQSIHRSQPWSLLAKDIEIDVPMLQPGDDADEHEIIQGFVA YIQLMKIGERALDPNITETSILQTYENEQKALLFDNELLHWLRALPLHLQWTPFPSHA NAVPTQPPPDSMVAHLHLVYNMIELAVLAPCGSTATVVQQRCTAVATNITQLTCSMAE QTHTILSNAFAAHAIMAAIRVHLPNCGQNNQSFARHARVMFQRSTRNLRHLLSHIIIP EIESFAISLQQTLALADKKHAQRVADKERRTSHPIIYPPFPISINTKDVRSNPVSRQT DLNGLYMKNIEPNTTQVLPRSLASSPSTIATATATDATSVSSLWKHDINPMPKNPLDI LSVDPWSTSTSTKSPHDTDPNTTPFLYRQPPVYTPLLDLQARRSTPNTTKSNPREWQT TPDTTQEDALLYSLWSQPEEQTQKPLSPSRITQQPAYMNIGLGVYASAHQHHTDVIRQ HVPGMDSNTAVRPVILTHQGQVIVSRTSEGQA PHYBLDRAFT_143535 MFVRPMPCTNDMTSVISPLPIKACLAISFEYPLSCSEPSNEEAA HAELFSIMAQHNYSYQRNNCMTSPVSRTINPMPNDTLFCTVHAATIATVRAVTAAHRG QDCVAPERGFVLPADTCVPMGKTRSYSTNSGEMATRLLCSILFSLISPQYIDSYHVLD GMLAAAWKTYWRAILDDVAFVPTNLVASVNT PHYBLDRAFT_71959 MSKEKRGKKCFKFKEVFDRFQCIQKKNKNIDIIHVRVPSKVIHH REGLCGLSRFVAKHLKRCFRNPVFFIMVFAKNLVFDALFKQETKELSCILLTYRANNF TTVFVKVTSKECKK PHYBLDRAFT_143536 MISQHTNQPTLLTLHDAITDSPVYRTNVHHYDEQLDHLEKWLDS LSRHLKLYTEKINKLNLETDIVCQRVVPVGIESALIDPDVTMPILKTFANALKAGLSF KSKLVSDLEENLVQPLQQFMKTHLKDFKAFRKQHEKALEQYEIQLSRHATQGKNKEPS ALREEAFRLYEARKAYIHMSGEHVVRIIKFRSLLEHCLVERFSAATTAHKEFDVDRQM WDNLDTILVSWRQWLVDDKRTCIYQLKKHELAREKLEMEYLSEIEPFRQLEYYGDSEV TLATQEKHATQAPPSLSDDHQSSSKWGYLFVRSSTHSTWTRKWFFLHNGYIGFCSTSI KNKEGNTVTLDERIPVLMCTIQPLKDADRRFCFEISCQKYGSIKTSTHTGLHIIMANT VFFSLKKRITYIAQAERKQDVQSWIDAFETSRSVLIRRNSIPPRRASSDGSHRSITSQ SDSDSMPSRSPGVVSAKSRSTTEVTTPIDIPAILSTTPTSPLSSIPGFTHIQGIQSIS PFNSILKSPKKMSLDKIGTSNVSLVMLSTSFEFEYISLSESISLTPLLVWGAASSQIR MTNSLTNQLFPLWGIPWTIAFMTVQFLSNTDTSSAPSSKLLTEKEVIWPIRPKDVVET RKVNIVDYAPQLEVHNQGLRSLFSGVAESEVVLDVFVCSLRKKPTESDFKSPTSPTSP VVNSLLSQITTAYQSSSSELGFAYTGRAFVTQETFWFYSTILMTCIHTVAVRLQDIKE VRVVKDLVLSSEEDNQNGFSCCALVIELVDETKGPVTFSSIVSDIDSIANKLRFMVSN AKAKSTDLNKLYQEIQRISETNSGKVFQENMVSSDRSTYNIFGAETTSLPAPIAASES KSRTGNIRNGINSLRSKQSEALGTTLSLTKKVLKRDRSTKAPNTLTVETKKPIPPPVD PDALPPSIKTPTSPCSCECSDHLDRLESECEFPISAKRLFELMFSDKYNGAPTDGGVW KAKNESVDGHDLRVTNWGQEDDKTTRTLKYIMPVTNPIVRLKEAEVVENQVIIKQDPY LCYVVQISTKTAALPYADAFIPSVRYCITWVGPSKCKLACYMGVAWVKSVMLRSVITR AALKAMGESVCLFVDILREEADKVAERVDEERRVIFSGNVDCAPVEDVDEADDSQGLE ESVENEDRKDFSNFSYTSGISKTDFHTNYTDDEENEDPPRQSTENRRIDDHQTHSNMV TSSGCTSSPALPPPRNSILVSRSTVEKPKTKSSVKLRRKAKKDEFTAGWGSKVPLLSM VALVSGALLLWIWLSRIRANVQPEVIEVGTNNSMKTNNRSIRVISKAVYLKDLETGVL ENHMLPPYSKAESFQKFLKQKRTDDISTVQHQWFSGKNYKMAIELDSSRERIAVMRHD VLTIFRMLNKVDTQLLENEYMNWLMDNRQKCKKQSSNAYDLVQCDHIDAQLLEFNE PHYBLDRAFT_166539 MSLKEKREKKDFALKFGLTLTKCRTIEGIVSPIGTPTPKVAVVA APKVQVAVTPMDHVLALLPANNVPMQSLPANAKGVSDAINHFQNGLDLPNTTNGFLKN SVLDALYYTKAFFFFHG PHYBLDRAFT_166540 MLICPATITLVQKLWSLMDFTPPQEVHLIDYALNCLPRSLKSPG TWCDWWPCLLALLRAVDQTTSSYKLPEEKAHGQILIALAAKFHATKPIRPHRILPPTQ EPVPGDPFPHLLSEISTVPHQPPPSVPARNCA PHYBLDRAFT_143538 MSNDNDMKIGFGDDVDIEYQVEAKDLPLLAIDSLFNSESKDDDV IGAVILDISDSESEDVREHSSPSDMPADPTHAFNALFAVLFISKYAVDSTGAVPLKFF NEILEYPNKDLHVKLHNQTIVYTFCRPRFEDVINYWRNRSHVPDMKFEIHDSAYLSMG SVVSVVRYIFASTTCPHQNGTIKENAVFVGLIPGPKEWCGDSYYLVSIFCYFLCYSNS CCLEKLYGLVYFNLVECTVIDSTYSLYIGTAKRIMEKWVSSGLITDTHLAATRVDAEN VLLSEYYTSLGTRIGRGFPFMKANEWNISMAHLNEVHNSLEVFCRECEVLYQTPFRSP KMHLHLRLQESILSFGLVYRSWLFGLERCNGFSKDYMTNRRDGLETMYMKKCLEDTYQ EDFIQQTLLVIQSGHSAIILELTTSTAAAILSHINAVLGSHEIKFVIIKGNEPILPST LPLVLKGEIFMKVSEYEHLSSIITRYMMIKRLFTVVRLVAPIILSTVGYTNLNQSIFS AKYTGAKQEISQIQYLFVNLFVDHLSLNEFACLRWYKEIVLQPRAGEGVEVNEVGFKD DSMNSILQVHRICYPVAVGEHLGLEGKAQMCVVPLLGKIYF PHYBLDRAFT_143539 MVASADFESVVIMELTQIKKENDSVVAPADFESVVALVLTTMVS PADFESVVTMVLAQVKKENDAVVAPVDFESVVALIGTRSSAWTLLGADQTVLRLLGAD QTVLETIGCGPDGFDTTVCLTVSFSIGHA PHYBLDRAFT_143540 MVASADFESVVIMELTQIKKENDSVVAPADFESVVALVLTTMVS PADFESVVTMVLAQVKKENDAVVAPVDFESVVALIGTRSSAWTLLGADQTVLRLLGAD QTVLETIGCGPDGFDTTVCLTVSFSIGHA PHYBLDRAFT_143541 MVASADFESVVIMELTQIKKENDSVVAPADFESVVALVLTTMVS PADFESVVTMVLAQVKKENDAVVAPVDFESVVALIGTRSSAWTLLGADQTVLRLLGAD QTVLETIGCGPDGFDTTVCLTVSFSIGHA PHYBLDRAFT_166542 MRLIKIKEKKSQRRHCSIFYIERPYFTELQVKHMKFTSSLLTLY TLYVSLKYNKINNTASSTSHQINCRLVAVARSELANATCAPLVQAQEPVVPVALVALV APVVPVASTNPNLSLLLEQVLTVVEIINAYVKKPDLKSTDEEIIAENNTRPGWSLMTG FMSTHNQALAIALILYLKKQEDSFGIHSNDFGRIVKNHYRNQSHISNTAADLIAAHNQ KARRYGRKKILLKRRELAYKQYKQNINTLMEITD PHYBLDRAFT_143544 MIYHKNCDDISLISYIFGTFDPDEITLFFQCMILPPDYISLRKT IGKGFPYMKADEWKTSCLVYRPILLQGRLPGAYLDNWTAFTNACQYLAKPSISNEEID EAHNFERFNGTIKNYSTNRRDRFENTYMRRYIEDTYKGDLVCSLLPSILPAYANILLD LSSASASASASANSGMPQFNLDAFINVADRNIDIIKQNEPLLPSAYPLALTDETGFSQ SFVNNWIKKIALINLLGQVYQSSHGNMQRRSFIQACFCTDDEDDNVEYTGQIQYLFYM PTEGSDDMNGRIELKEFKFSESNFQNILPVHRLYKPVAVDNYRCEDGITRMGVVLLLR KIYA PHYBLDRAFT_143545 MTKHIPTAPRRPNLFMNAVLNSTIAGVVALINTPTPEVAVVTSP EVQVAVTPMDHVLTLLAVNNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSMMPSAVPADSSSSMDDDLDHGTKHHPLISQLINSYIKKP NFVSTDLLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLGPQRCYTNVSKTEKIMT RNKAGRRRNRKKTTYTETTHEGMNRYDCGNSLSIDVMSDGESDGDNKVRAYRPSWRTN EIITIDELTVISLKRNSES PHYBLDRAFT_143546 MLSISIAHLDEAHQSLEAFCRECKKLYKAPFLLPNMHIHLHLRE TVINFGPVYGYWLFSFERCNGILKNYATNRKDGFVETYMKKYLEETYQGDLIRQTLPI IRSDHSAIILELTASTANSIATSTSTATSIQFDINAFLDSPEIKFDIVKGNEPLPSSA LSLALKGEISMDESEYEHLLEYYRETYDDQTLVHYCQAGHSDNFVNNWIQKFESIDLL GQIYKSKTKNQCRSLMQALFETSDGRSTKPAGEGVEVNEVGFEDDSMNSILPVNRICY PVAVGEHLGLEGEVQMCVVPLP PHYBLDRAFT_102376 KKENVILIGLMPGPKEAKTSEINHYLRPPVVELNQLYGGVVMPT VQCPSGALVCAALLVASNIPAARKTCGFTSHSSTYSNAVDYSGFVFSEWVPRTDAKNR CDAKLWRMASSDAQRKRLERENGVRWSELHDLVYFNLVECTVIDPMHNLYLGTAK PHYBLDRAFT_64218 MNPSNKRARSPNDISSYICDICNLDCFTSKQLWNHKRIYKQNTG STFSASSSTHVHLENEDLSPPVDDYMTEDIPFDPFKPRTYKAFCNFEAGDEGHVYNDN IFTENTFTTSQLLSIELYDIVTSFNVSTECHRQLANLMNTVFWDHDKLSKEYSPEILQ AGPVNTLLKNKAAIKAHAYVICMNACRLYNNTQNKEECPHCGSKRFMEATDNTLTHLV PVKTMKMILLGNSDTRKKLHYRANRQLISSELSDYFDGEEYKALKTQHFFQSPDDVAV ALFLDGFQLTIVHVMILNYDPLIRYTNEYLILLAIIPGKPVDLDSFLLPIIDEVISLG KYGLIIKKFDGERIAAKVHMEYVLRCNKSNNQVSGSPQHLETFLKLQSIVIIKVIIPD MDVAGRGMYFKNCRAPLRPMIDFVNGNPNTSIQESNIFARLPTFTGSSFYGLDEMHLI GNVPTLLVPLFSKAATRKALLALVKGCSISLQWNLNEELIVEMEKKMGNLRVYSTRSM ERTIGRYSKLIKSRVFSGKNARNLVERLAIRGYLNCAFNIEQQLDLIKPYRTSLDNYL ELPLPSPHNQNHQLWSPFESIPYIKEFTDLPTFTKELQIYYTKSNSHSTDPQYTMNTI KIAACALISSHVYGSEMYRRKRSEFQRGNHYIQFHAIYQNKIHWFVSSVIFYFTHNIL PHDKSCRQFLVLVSVMNDHSATDYNYSIPVVTLERASIYQRLVVISLNDIQNQVGLVQ TAVNSTKYKVVAPYYIFSEDMKSTAGKLRYIKL PHYBLDRAFT_143550 MNNISENINLSTVRTVVRELSDIRASVAKIAETVTSQQQFIRED ISHSFHAVNHPDDRGVRHIPATMTEACVGFIPTRTNKTPSATSRINALINFLWKKQQG TDVNVSAEEQKLIRFQFHIQQKLYYSLRLEELIFLNYQFALHKCRGRWAAALLLQEAK LSGEVQEEELSLGGAESSVASSPPRRNMRSRRV PHYBLDRAFT_143551 MENHFTTKRNTFLTPTATDNRTFVCTVCETERVLGSLQGLRRHY TKKHPNEMGEYEKLVKRRPAMFDGPSSSASTATATATATATATATATTTATTTATTNL NSNNEPAAMGFIIENPQDTYGHEISDEDEYNDYDETTDDEDTDTRVEYDSQDHIARMA AEMRTFQSLSHAMNVYSNEDSSRQTSYRPDDFADIFTGPTRPFKSKVEFILHALFYGD EDLASERSIKKIMFAMKMVLDVREESGVALDFPTPNAVINYHKQKKNQIPVFPTASFD VVNQDNERHVLWMNKPSDYIKFTMTCPGKSSQISALPDFTENQWLNLNQGEKWKENTL LQHPMITSNGMDYWVGDVVKVQGSLNRYLLEKFFTKDGSILANAFQVYGGHDPRLNHP DDTHFLRFGNSTNFAVSTLKYTIEVDRIMSTVQKDSDLFLRRGFSVSYCPAEIVTYAL TGVQSDLWLNKSRVEEFKRRLPGSGLMKVVVCPLNLYSNDTSGNSTKQYNKYDSYLMY FAALPLETRNKRENALFICTSNHTLNAVEMLPPIIDDLVRLEKGIEMYSEDHGEVVLV VAPLLLFMGDNPRQSQLAMHKGTSAKKFCRKCLIPSPHIEQGSIPDAPPYSPVDHRGS EERTRDFLCAFANADSQSELYLNGCELSYIKNGSEEFLRLEAFDPTKDMPVEILHIIP LGLTKYLMTFLWKQKMLTTSEKGRLQEALNSYKSCKSYSRTFRNKLCHTGSFVGRDFK ELIQVLPGIMSKLFSDKPSASLFIKALHALGHLSSLVYMRGVDRCFDYYIAKIKHAVT DVTDLLFQLDVQILQKGFSKQDFTFKPKVHLLHHITDDIVRFGSVLQYKTENDVTTRF GKQFICRHLCNGGSYVVEKPAGNGTRSVRSSIGDFVKLAPVNFPGFNLHFFGSRVNSD NSGLSTPTLCDTLAGVFQSNGQLFLGQVKIVQARDSADRMRKAFFMQKYQIVPNSNVN CIYTPAVVTDNYNNIVVLPLGGLVEVNKDDINIVQAVDIHLSVGSSNNQKFLNVAKFS MFWWMLMNIAKIY PHYBLDRAFT_64220 MNKYPGYPDIIDAFGLPRLYGFRSIEIVIGNNSAIGIKTGNIIL DLVQNKQIYLKKIKVAAKWLTYEDFYADCSITEKGKHVGTRSDIELSEPLRNRIIRDS FEVKSKVVHFADVTKLVVLVISTATMS PHYBLDRAFT_180743 MSISFEYFDPETELGTLDENGRPTRPRKKPGRKPNPPSPAQRKA QNRAAQRAFRERKHREIKDAEATIKRCAQARDEALRETRRLKRKTKALLYELNYLKGI ILTFKIICLANNIDVPKIGCTGQTDEFGTEILCFSKTPDIPQALELYLDDKGHIISFP TDEFSLYQPTATTMTATTATETTATTATMSPDCNLTRGFNSVSSPSSRTCSSSSRSES NPHDDTATMTAASIISTPKLCFDLNSIGELHNQFQSQAYENQHQYPHPHQHQYEYQQH LQEQHKQHNQQHNQQQYPQYPQQQDQDQDQDQDQEQHNHQPLLTVQQFPHLTGPSFLQ RLLEADIIQDPNYLTTFHDSFSGFYPEADFDEYFGQQLFGSDMVIEGEGEGECENDGG EKIGEGDGDELPEIDARTGLPRITTEPTPDPPVFHGKKMLPPMTPMQALDYLRVQKNI DKGGRTLFTPKLQRTIPHDTRIDLVPGSEMRDHMIMFQDYYDANELFDYLVESAMFLG GEMGNPDCWFVSPQFLGQYWFLCPNHTPQRMDNAVEIMRMLGQRMMSMMMERKEMLMS PHCKNGLNTPRTTPTTTAAAVRSTILKPTATMSTSRTARMSR PHYBLDRAFT_77651 MNIADLLIQSPSNTSPIRDEDFMKIWEDVLEKNGFCMRHKLERN SLTDATIAPLSPSSFVGETPVHIKVIGRHMNGSFRCHIGTGKASRPIRSTELCQQMRL LAVFFKAEGSRSQLILPDFILFPTWLLHLFRYTQHGTSPGRTDVRFLENAALAKEDHI YRLNHVLNSLFRFNLRSFDQDKLRSALELANYLFDTAGLEHENLPRPVFSPSSSQPAL YTTLISLLHAPRPSKIKPMCHNCSTKPASRRHLCVACYRYQLKHAAPRPLRLIVANRP GPRVSKTQSDLAFPTGDSHSLPMVFYSPKSASSLSRKHCANCGVQETHQWYRNLCGTG HWCETCKSYYLRHTKVRPAELFVKAAKRKVDVRTLVNWTASEDTIGGCINSNNSIHDN HNIHDNTSYIYSHSHSHSNSNISNNSGLDSRRSSSSIESNMPPSPVHARSRSGSAVSS GCSSPTASLFVSMPLTPPDSARVFSSPCRLPPPHLTQTHHSLVPPFSAWSSE PHYBLDRAFT_180745 MASAEDDFTSLPLVERLEHKQWKARVSAYTELKDIFRKTIDDNI FSTYESCLKKIATDANAVAQETGLNAIYEFVDKAPNATSTRETIVPALVEKCLGATKA GTKQRATDIILLYAEIDTPDPVIEFVMPGLSAKQPKLVTQVITVLKDLVRTFGIKKTS PKPILKAIPKLFGHTDKNVRAEASALVVELFRWIGAAINTYLSDLKPVQVKELEESFT KLPPGKAVPERLIRSEQAAAEEEAAAEMELHNEGDKEEDEPMEDVVDAFDLADPVDIT SKLPENFSTLIASKNWKERKEALDAVFEGTKTPRILDKDYTELMAALTKRINDANILL VGLTANCIEAIASGLRTDFGKYKPVVTPTLIEKLKERKPAILEHLTNALNAVLASVPL SEIFEDLVVGSKHKNPQVRGETAKILSRRLKEIRDVPSKTETKVLAELMLKTLDDADG SAREASAEGLGTLMKVVGEKAMVPYTDGMDDIKMAKIKEAFSKAVVKAKAKAAPVKKP PPPPKKAPAAVKKAPAKPKPAPEPTPVAMDEDEDATVISPPKRKPPARLAGGSTKKPA LSSAKPKPAAAPAAPKKAAKLPPSSGPEEVKYRFSAEDAEARVNEFIPEAIWNDLQQA QWKVRLAAIESLYTQLEADSDVEPEIVIRCLSKKPGWKEMNFQVMGKMFGVLELLATS CPKFSKACAAIAIPGLVEKLGDIKLKKNAGECLVVFAEKTSLQFVLSLSYPVWKKAKS PKVLSDSLLWVQSVLMEFGIAGLQVRDLIDFLKSALANTNASVRTSAVTVLEVKSFVQ DVTPALMAIIDTEFDKVAQMEPPKPTRAMAEDPSGSSGGGGGSGGGGGGGGGADALDA LFPRQDISNALGKVAAECGDANWKVRKEGLEKVLAIIEGANKRIKPNLGDFPSVLKAR LNDSNKLLQITAVEIAGLLAVAMGKPFDKYVKILTGPVTAVLSDNKANVRAAGVATLD SFKKTCGLECMMSTFGTSLAAESPVLRKDLLTWLAASFQEDTSVTFDAVPLITPIFSC LQDRNADVRKAAQACLPTIISQAGYDTVMQKSSDLKGAQRQTIMPFIEAAKGSARAQE PAATSAPSKRASEVKRPESVASNKSDDKPASSSAASSGSRLKPVAARKKIGLPLPRSG ANSAAAASAAAAAASSQEPVVAPITTGDVRAKQVRAKKENRWQFDAPRPDICDALRVL CEANMSSEVCKLMFSTSQYAEKDRLNALNLLDECLTAPELSMNKYNIDYSDMKPRYIA NADLIFKYLTIRFFDTSTSVLIKCLDITEHLVSIMDEEGCHLSEYEAISFLPFLINKV GDSKEVMRVRVRNIFKSLAPIYPASKLFNYLLESASSSKNAKVRSECLEEVGALIQRN GVSVMLPNKALPAVAVHIGDRDASVRNAALGAIAQAYILIGDPVFKHVSRLGEKEKGM LEERLKRTKPSPSVLAEKEKAQKEAEEMEVDELPSISQLPRLPRSQIGKPRSGLQPPS QRQAAVVQQQQQQQQQQVYEPEPMEDIESDYGQRTPDPPRMATAPTTAATGGFAIGLQ APSSVRQLRPTQQQQTVQQAIQQDRGEYIVDYLISQITSGDPQASVDALKQLDKLLVN KPDLVMADIEPLVNAITLQVRLAYSSIDVQRVFSTRLCKHLVNALVMLFSNRELACAV SQNALNLLLQELAHRLLDQRMLALESGLQLSKALNVAMVKVLENTQRNATFSALLSIL GQCSAGLHPGDDPQNKETKYTELVMKCLWKLAKTIQDNLRTGVLNADELLFEINKFFV MTPPTEWKRRAADNVPLGEMPLRTVKTLLLELVNGLGDSIFQHLTLIEDPPRSSVYPY LHHMLEACRKKEQTRQQQLQQQQQSQQGGGGMPEDPRFAHSRNASLSRPASVGSAKSN GGGGMMRGPSTGGAYGAEEQSEMNSSPPNVPDSPSGQGQQAHQNMGSGSPNALSSQVQ AMQVDEPSSELKPLSDHEKNRLLTQIFQKIGTRDQTKQGIIELYEFQKKYPSAEGKVN AFLSQSGTYFQSYIRRGLSNLAAEDNELRASQAITNNNNNSNNNNTNSNNNNTTNNNV PSSYSTISNEFQNVQRTVSPPVHAPAGIQDHRLVGVDHRMAPDRSNEAAEKRQLILRL HQKFGYRIDAEDGSVAEPIQRQETSPAYTSYPTRRMSPLNHDTQSSYRTSTHGETDRA LSVSALKEKLAKMKQVINSAASSASNT PHYBLDRAFT_158377 MKFTIATFAALALGSQLAQAMCDCAATDNACLTACVESTNSCVT ACGSDTTCYLACINGSWPGSTGTASVNQGTTTAANTPTPTKEPTSSTKAPTSSGAVTS DSESATKSATRTVSASESESASASASKPASSAVESAVESSVSSAVESAVESAVESVVP SAVSSAVVPAV PHYBLDRAFT_186352 MVIDIILRFTIIVVMDTVVAVVMLTVISVVMDTVVVVVVMVINT SLLRFIGNIIETIIIILIIPILILRFILILNMVPITTIITCVDVKTYNGQNSQLESIV HLNIKMNKLWVNIELRILNKNGMPLRVNLIIVFFKRIFRIKTYRKIKKMGGLVKLYRG KRQKENKIRWDNHKFGDNLLN PHYBLDRAFT_38351 MSSVDAPNVLTSTQKTLIRSWWKKATATNPRADSFRNIKTPIGE RSIFGTALSESIKYAHSSISYIDNHLGVQCFGIIPTIIAKCGSYLKEEGLTTEGIFRL AGSAKRIGMLQQIFETPDQYGLQFDWIGYTVHDAASVMRRFLNHLPEPVITLDYYRPF KDTMAMSFASTEAKIEAFQTLIECLPLANQYLLLYILDMLGIFSRTCKVTRMDTACLA AVFAPGILSHPNDALSPAGYKESQLVLEFLIEHQERFTMPRSRIALVENSVLPRNPST IGKFFFWLILNI PHYBLDRAFT_30590 MATSQIPFTSAPFKRTEDIDWIYPLKRYISQVYQDDPEKYNEET FTINRLRQDTRGAGKDLTGRDLLYRYFGQLELLDLRFPVDEKHVKVIFTWYDAFSGRH TAQYSLAYEKASIIFNIAATLSAIAACQNRAEAEGRKRAFNFFQAAAGMFQYINDNFL HAPSLDLSRETVKMLRELMLAQAHECFLENSLREKKKEGLIAKLASHAAWTYGSLIDL LHDAVTRGVAIDKAWIQVCQIKQKYYQAMAHLHKATACEAESKYGEQVARLAAAEVAA KEATKLAASLPSLLSSSNHANGTLPADSATSLQELAKALTATCSEKHGAAMRDNDMIY HDTVPQESILVPIDRLNAVKPVPIAELYGPNEVNKVIGTDIFSRLVPLSVHESASLYS EEKAQLVRSETERCDLAKAELNASLEYMKLPGALDKFKQTTRNTGVVSKEAVLLDGLV EPTAEVKEWADQIASEESSEKTSISDLVETLDGLKSQARKMLDEASNGLDQEMHECES MRLKFVIDWVQQPSGSLTNAFRQDLRNHRETLKAGSQSDAQLMRRYEVISKDIGILRK GGKSQDLVNAFTEPIASLFESSPPSGGDKNLLDLDIDVGIGKKNMETKVKKIEDILEK LRKIEKERIETLNDLKEKASPLFLFFFITTIQDDISHLLILNKKVANVEQQIFASELE KFQSHQQRIGQTIHKQQQVIQELTTAFKLLMEGEDAQKLQSRWDLADRQRRNVAERFS RAKTGYFDVKEGLSKGIQFYNSLSDTIQTLSHNCQKFIQERKRERDHMVSTIEEEQ PHYBLDRAFT_74741 MGCCHSNDSQDGKARNEEIESQLKRDKHNLQNEVKMLLLGAGES GKSTILKQMKLIHDCGYSKEERIAFKEIIFSNAMQSMKVIIDAMEDMELPLTHLRQYA DIIMNLPAQIERDTLPLEVTQAVKILWKDPNLQAAFERSREFQLNDSAKYYFDSIDRI GDPHYVPTDQDVLRSRVKTTGITETTFVIENLTYRMFDVGGQRSERKKWIHCFENVTA LIFLVAISEYDQFLIEDETVNRMQEALTLFDSICNSRWFSKTSIILFLNKIDIFKQKL PKHPLYESFANFTGPETYEAAGEYILKRFLSLNTEEKQIYTHFTCATDTDQIKFVMGA VNDIIIQNNLQDVGLI PHYBLDRAFT_64229 MSFLQRITRAVSSAPLTRSFTTSRIILAESSVAPATTSAPKTTG IYQFFENGESLPKQGWTGRSWKADELRAKSFDDLHKLWYVLLKERNVLATQREQAKRL SIGKQIWSNAGRMKKCQKSMARIKFVLNERQIAYEKSIGQVEPKAVSLTEETVNVVEE TVVSAVEKQ PHYBLDRAFT_132587 MNPTEAGLLLGADHAANESLLWGTYRPNLYFGTRPRVDKSLMTS LMWFDATQFQGFQRKLRVHACDQGDKINGYGYNKHDGRNYAKQTINDGPSNIAITTEF IKIPGGQHGGDWGVRVRGKPMDDDVETITSVMFSLALEGEGSMDIVSKLSPEGLVSPV RLEGDTPELGDFEIHIVDGPSNEHPQGLDQDLSLTQWWGRELPEGVAWREKEIVMENL LMSARQKVSQGDQHDAFERPYAYFTLLNTLTEENEEVSNFYTFQKVFSGEFQFDVLFR SQSSPNKITGDSLETELAQREKTFDARFQEKFRLHEKGFSDEHVGFAQYLLSNMLGGI GYFHGSSVVDRSHHPLEDEENFSDVPIKAELTEPRSLFTATPSRPFFPRGFYWDEGFH ELLIGKWDNDLSLDIIKNWVSLIDENGWVAREQILGEEARSKVPTEFQTQFPHYANPP TLYLAIKRYLDRLTEYRQENPINQHTFMATFDNPVLLQSLHLESPEMSKAWLRAVYPK LRLNWQWFRTTQSADLSRFNRKAESKEAYRWRGRTPNHTLTSGLDDYPRGEPPNVGEL HVDLLSWMSFATRLLKDISSQLGPEFSTDVQEYSLIEKEMLQNLELLHWNEENQAFCD QTVENGEPIHVCHKGYISIFPVILGLLEPDSPKVKAIFDLIEDENELWSPYGLRSLSA SDKFYNTGEDYWRGPIWININYLTLQSLYNNYMRVPGPYQGQAERIYNSLRHNIISAV FKDYQKTGYVWEQYSDKTGEGKRSHPFTGWTSLVLLIMAEEY PHYBLDRAFT_166560 MQYCKENLYWKRSVIGGIRRQALVVQSMWPDCSNQLIKKLFENE YSIGLNDLQSLSKDILKGVTACLGRPILCWLLSSLATSQMYSLTTVTQVRLKTALPCF TISSCSNSSPILYPHYNSSFVESLHPKLDQHQNRQTMEQFHSKISSLHSLWSSLEALQ DASTVDAKLKPVIDQLNLVIAQAHYERDLLAADIEDILASIEESCNILGIAMENILAS DLSNGLSMEYSQYHPHRIAPSYSKQKALSALDARLSSEIRERRRHVKQWLATIGRLAT ELAESNSWLPWEAYYDDLSWGTVQGINCNLRDLLNLETEHKSRFEAATRSLHYSWTVL HHIPDKQDPWEVALKSLFSGIKVDTNIESGPPNVKDAAEYYQKVMPTPLSLAPEALHT LEDKARRANDMYKSQLDTYHRLTKRVRAIWDELCVSEDQRCQLIPSLEPGNIQKIQAE LDSFKDIVKKMTDGYISVLKVRLDSLWDTCLVNKSEREAFIAKLYEEASTVETTRVIV DEHIAYLKTIQHESSTVSELMKQRKELVQKMIDFEKNASDPKRLFQASFQLLDEERWR NTCFPTLVRLDNELIQAVHEFERISHKPFMYNDRRYLDILAEEIADRSANQTFFGFMN SEPNNRSARNSKTKQLSKARPPLSIYPSDTSKRNTPSSQRSLQTNALVPSNSLTQRGS TKKVMPSKRKGSPSGTLLERSPSPSVEKGIEQKATASKIPSINTVVLDLSISVARPAT SVLNEINISQDVATSEIRVDNSMANLPTYSISGSAPVPPEQANKSMISGKSKVDCPLS CLPVSPILRSPSPKPKTNQKQAPAYLKVNRSSLPVLSTPTHLSPEQPSEMCVDLPNLK ALCPSLLLSRAFASPSSTDQPNKHAIKPDTKTTKSVDIPKSNPKSQSPTKSPNCPADE YQAFLDEHMHKDKLPELKLKADSPTSSCSSRSSCSPASTVYDSSSLTENKTGECVDQQ RSRTGTPSSPRDARSSKPIKSPSYPDQEDYQVYLIEQMLKGTLPEVKPNVSDDYHPFL IKQMVTEKLSEGISKTSSQASSRSFGKFDFSSSLFETKAEKSVSEVKPRAGRPSTPRA LKSPNYPAEEYDAFLVKKMLKEKLPEFKIKGASPTSSRSSRSPASASASSSYSMNNII DKNVAVANDKAMIEEKWTLSSSSESSPTPSRLPQEFDSSVFSVESETELIIANKNTKM AKQNSYPPASPSRTPIPTSSLYSTSAKQKRRSKIGESKSKSNSLTPSQYLDQERESRR QSQILQSFTQ PHYBLDRAFT_30596 MNVIKAVQHYVDKMIDDVPGMKALLLDIETTPIVSLVMTQSALL TKECYLIDRVDNRNRGKMKHLKCICFLRPTPETIRYLIDELRDPAYGDYFLYFSNTMM KSDIERLAEVDEHEVVREVQEYFGDYQAINPDFFSLGIPSSELFGDNMESWNQSTFDQ TVRGISSVLLSLKKKPLIRYEGASVMAKRLAIEVQRNIKQEGQLFDFRRPDTPPILLI LDRRNDPITPLLTQWTYQAMVHELIGIHHGRVDMSTVPDIRNELKEIVLSPDQDPFFE KSMYLNLGDLGANIKQHVDEYQSKANSNRNIESIADMKRFVEEYPEFRKLSSNVSKHV ALVSELSRRVAQENLLRISEVEQGIACNGNHSNDLKAVQQLIEDPNVDDQSKICLVLL YALRYESSPNNSIKALVGMLDNIGISEQKTMLVPAILRYAGYRQRQDDLFSNQTFLSR SKSALKGLKGVENVYTQHTPLLGETLDQLIKARLKDTSYPSVDGTATMPRERPQDIIV FMVGGATFEEARYVAQLNASTPGVRIVLGGTCVHNAKSFLEQISQIQN PHYBLDRAFT_143563 MAVRAQFENSNEIGVFSRLTNSYCITALGGSENFYSVFEGELGD VIPIVHTSIAGTRIVGRLTVGNKNGLLVPNTTTDQELQHLRNSLPDSVHVQRVEERLS ALGNVIACNDYVALVHPDLDRETEEIIADILQVEVFRQTVADNVLVGSYCALSNQGGL VHPRTSIQDQDELSSLLQIPLVAGTVNRGSDVIGAGCVVNDWCAFAGMDTTSTELSVM ESIFKLQDAQPSAIVNELRDTLVDTYS PHYBLDRAFT_110691 MAFALNKTRLSAALLFTILLICANVSEALYFYIDGSEKKCFIEE LPKETMVTGTYKAEQYSDAQKQWIVSPELKIQITVEELPQGHVVVDRQGDASGRFTFT SAESGDHSICLAPVSTAWFDSSRTVNIIGYMHKITFDMDFDDPAGSEQHDHAGTLSDL AMRIHELNERVQDIRREQTSQREREAEFRDRSELTNARAVWWTIAQIIILGVVCVWQM RYFKHFFVAKKLV PHYBLDRAFT_123826 MEEIGIHLKQEHKDSPAVVTKTSLLEELSEEDLYTKLKKLQRNV EFLELQEEYIKDEQNNLKRELIRSQEEVKRIKSVPLVIGQFLEPMDQHTGIVGSTTGS NYVVRILSTIDRELLKPSASVALHRHSNALVDVLPPEADSSIAMLGADERPDVTYQDV GGLDIQKQEIKEAVELPLTHFDLYRQIGIDPPRGVLLYGPPGTGKTMLVKAVAHHSSA GFIRVVGSEFVQKYLGEGPRMVRDVFRLARENSPAIIFIDEIDAIATKRFDAQTGADR EVQRILLELLNQMDGFDQTSNVKVIMATNRADTLDPALLRPGRLDRKIEFPTPDRRQK RLIFSTVTAKMNLSEEVDLEEFVSRPDKLSGAEIAAICQEAGMQAVRKNRYVILSKDL EKGYKANVKKEDTNFEFYK PHYBLDRAFT_123829 MTGQTVEGPFGVVDMGSNGIRFSIVKSLERHLPVAYEERAPISL FESQGDERVIPSETIDQVITSFLRFKQLCQQAKVKPENINVLATEATRVATNSKEFLQ KIFKETGWVVSLLSKEQEALISAAGIVGSFYSVNGLTMDLGGGSVELSYVTNKSHEED EGTMGIQVCPDPMSMPYGAAALKRRLEQSYKSKKREALYAEVEDQIRKAFVQSNPPSH LLEKDGYRMYMSGGGFRALGYLSMARKAQSTDLPGKHSDRRLTYPIPIINGYTMTGAE LEELVTYYKDWEPEKLVKKLKVFRISKRRASMIPASCFLLSAIMKVIKISRVYFSEGG VRQGFCYQKLSVAEQQKDPLLEGIKAYTSQSLYHLTPKDHNKIFEFIVGGLPKVYLNP SHPLQLHRLLPAAIHIANMTSHFPKESRAFVAFHMPLASGPLANVPGLDHYERAVLSL LMAYRQGGAVPDPIFYTIQKMVGRNGIDVCKYIGRLMELVFTVSPLEGGAELLLNSGL TLSMMPVEEGCIRSSNDDSDTADEDGNGSEEYYPPIRLVVTLPNKYSPMVDAPAVMSV IESFGKNIHSKKYDMDDEFRPQHFQLFGVSVVDPLE PHYBLDRAFT_132601 MALPESLTVIPLDNRVLLPSVVMKLTMQGKEATALIRKYSRLSE QRKMAHIACIPLQSLPSENSSVNSHSNSSENSSDSDGSLPEIPAEERALTKSDIDESL VKPSERNRLLMYGCAARIIRVQRTSLGVFTVIVEGVGRFEVDRYIQEGPTLMAKVKYA ESFTKDELEEAKDEIIAFKALAREFLLKMKDLQIPEALTQQISKLIDTVAAPVLADLL VSIIETTFEERLLMLHSTDIKERLQKASEWMTRQLHVLKISEQIHSSIEGKLNKKQRE FYLRQQLEAIKQELGESDSTGGPKDEDDAALLGRRLAEANLPKEVNIIAQRELKRIKK LQPSSSEWSVTRNYLELIADLPWSKKSEEIMDITRAKQQLDDDHFGLDHVKKRIIEYL SVAKIKGDLKAPIICFVGPPGVGKTSLGKSIASALSREFHRISLGGVRDEADMRGHRR TYVGAMPGLIVQGLRKCGVNNPLFLLDEIDKLAHSTHYGDPAAALLEILDPEQNNTFS DHYLNVPFDLSTVLFIATANSVDTIPEPLLDRMELIYLSGYTFEEKLHIAKSHLLPKQ IRAHGLEEDRVSISDPVLLQIAENYTRESGVRTFERTLASVVRSKCVDLAELRESGKE SDYVSEINKEDVEEILGISTYEKEVAGRESIPGVVTGLAYSGSGNGGILFIEASKMPG NGELQLTGSLGDVIKESAQLALTWVKSNAHLLKLAPTQYDNLVEKFDIHIHMPSGAVP KDGPSAGVTFTTSLVSLFSGCYVPSTTAMTGEMSLRGQVLPVGGIKEKVISAHRAGIR KIIMPYRNQKDVQADVPANVKADIEFVYAKNIWEVLEAALIINNTEKWSARTFESHL PHYBLDRAFT_186361 MSIQASKFTPDDFSYIIGIDFGTTFSGCSYVYAKDSTNEVDEIK EWPRQGSAIYPKVPTVLLYEKDSKKMIAWGYDAIRQASVPNNNGILVNKFKLFLDTSI HSPTELPNGLTPLEVISDYLSGFHAHILVVLKRSLGKIFDPSKFRYCLTVPAMWSDRA KNIMREAAIKSNIIKRADHPDRLILTSEPEAAAIYCEKKSNQFKLTDGQRFMICDAGG GTVDLVVFEINDTSNNRSLCEVTTGSGSSCGSAFLDQNMRHFIEKRFGRFAKENKLVI DDLVDQFITGTKPGFENDDDEYLTLRAGTKFGDQDPSKFGIMDDTFYITVDELREEIF NPVVNQVIDLIKGQINQSKKNIDAIFLVGGFGQSKYLYNTIKNKFKDQVGIIALPSRG EMAVVRGAVMFGKNPRVVTHRIARRTYGLKALLTFDPLLDPENLKVVMPNGYVQSSNR FAVYINKGESVMVDKCVSKTFWTFYPIKISPDLYAYDGDDPPPRHVTDPRIWMVSAFP ISMPEYTSLNESTSVKLTVNMYFGLTEIRMEVVIKGKVFILASAFDTHEMKNTSSNIS SPDTSCPTTSSQGSVKTTSKEKNTREKLSSPPIPLYRDFPFGIGLNEWSISNAQPPLG GCQNSEENKNKPRNKPKNKRNKKKKETKI PHYBLDRAFT_77663 MSSISSKFDPDNFAYIVGIDFGTTFSGCSYVYTSDTVEEIHDIT DWPKQGGNVYPKVPTKLLYEPGNKEPIAWGYEAVRKASTPKNNYLLVDNFKLLLDPQY SQFSQLPNGLTPLEVISDYIRMFNKYIHNELERNLGAVYDPSRIRYCLTVPAMWNDLA KATMREAAIIAGIVDRIDHPDRLLLTSEPEAAALYCEKRTNQFQLTHGQRFMICDAGG GTVDLIVFEINESDGVKSLKEVTKGSGSSCGSTFLDKNMKEYIERRFNNSRIDEQAIE YLMKQFINTIKPGWDNDEDDFFNLPAGINLEGMDQEAVGIVDGKLRVPVEDMRREIFE PVVLKVLELIAGQIKQTEVSLSAIFLVGGFGQSRYLHKRIQGNFQKKASSIAVPSRGE LAVVRGAVMFGLNPRAVTHRTSRRTYGLKTYKPFDPTVDPDNKKVLLPDGRTFCRDGF CVYVNKGDIIASDACISHTFQVFYPNDTESDLYAYDGDDAAPRYVTHPGVRKVALFPI KIPQFDGVEYGEKVNIKINMYFGQTEIHIETVIKDRVFMGTSAFEAHEVQKFQAAPIT QFHDEDYPPPPAYDYL PHYBLDRAFT_143569 MQADYEYAFVLSIDFGTTYSGASPKQGGAVYPKVPTVLLYKHGT KEMIAWGYEALVISRKPNNNDHLVKRFKLLLDPNENEVNALPNGLTVLNVLTDYLRIF YKYVEINIKTTIGITFNADEFMFCLTVPAMWNDQAKATMREAALQAGIIKTTDPPSRL VLTSEPEAAAMYCKNLWKQFKFTHGKRFMICDAGGGTVDLIVFEVYEESNVKTLREVT KGSGRCCGSTFLDVNMLALIKKRFGVYAKKNNAIIKNMLNSFIDSNKAGFERDDDEYI DVIAGLDFGDQDGKLFGVEEGKFKITKSELCNEIFDPVIEQSKYLYSHIKTTFEGQVK NIAMPPRGEMAVTRGAVIFGLQPRTITHRIVRRTYGLMASMAFDFNLDSEDKKIHTVE KGPQCIHRFSVFAKRGDLVAINQCISKQFTITYPHDTETDLYAYNEENLVPRYIDHPD IKKVGIFPIKMPKIEKAENGEDIDLLIKMYFGLTEIHIEATINDMVFKFRPKMEEHEP QKSVYLYTGGFESTKPNLRINNDNAYCDSNITIQGSINRDTNGSIRPKKYFTLKKFTK IFSKKND PHYBLDRAFT_143570 MSLRAELAGAHLRGTSLIFWSLNRSLTAQAVIRISPTMFNQYVL DLPLYAAAMTQPKSINCGVKIKNMQSILRRDKNSAEPLDWCLLSLVDMTAYRNEITSE NPPEPSLQIDLVRLRDVTKRHSLWLLESEPLQFIYNKPTRYSFLVNPTVLEDCLKNFL VRLPEITLECSREMVKVKSHWGDVNETLHPLERPTETRVDLELDNFIDYNIPDDIRIV FQIKEFRAVVNFAVELHLPLRARFDGPGSSLVLSVEREGVIIAEFGITTFPPTMTEQD YANSARSSVTSFNGNN PHYBLDRAFT_143571 MKIFSAILALAVAAVSADNIVSVTSPLTGTVYTAGKSAIISWVN PTVDQISQIVFSQGLSTALQPVSVIATNVDASSGTYTWEIPADTPAGTDYAFVFGTSP NQSYTGQFTVKSAAESSVAASAAAPAAESSSAAHGGHGAASSAAPSAAVSSSGSGYHG HGDASSSAAAAESSTSSDHHGGGGYSSAASNNVAAVGVVTLIGAAVAALI PHYBLDRAFT_166572 MPYHTLLSRYCSEFGYSFLLSLTCSYFAYDFIRYCLLGLPQLSL IISGSQAQALGNLDHNFSFLFLQSTGVTACNLIRQSEINGFSTNIIQFVIYTHIEGPI KLVRIDVPGFIHMQIEKIKKKTAFLGQMQYKNHSPVHLTCSKQGEETPRGTKSTTPSR KEVKESALLPLCCCW PHYBLDRAFT_110940 MARLEAKYGRYVKPPQHASNKDSGATSKKNIASGATAVIRLVKN PSTGVILAVKEFKKRGRQEDKRDYRKRMNNEYCISKIASGHVNIVETLDLVLDERERW CTVMEYCAGGDVFNLLAQRTINITTMDRHCLFKQLLTGLEHLHKLGIAHRDIKPENLV LTSRGTLKIADFGVADVVQNDYQTETQLCYKWCGSEPFWSPEVWALCSELSPYDGRAL DVWSAAITFFCIRFGRLPFSNAFYNNNGRPIAVPRGAKQGSPAAPNQPDTGHILSEEE RTCLAGMLDPNPKTRWTIKQALESTWIEGVEMCHDGDLPNGWRHHHCVPTLNLSHSK PHYBLDRAFT_64244 MMNLFGKYRYAKQSTDETSEHEDQEPKYNKNEETIELIERPTAT STTITQTSPTIVSHDQQWVTLSALVDDEDHSANQTYHQYGDGTDAVAESMTHHVKQQL YLLLEAPSSSRAAFWINVVVSMLIVLSAVMTTMETIPAFRSEDSNRLWQVSIYYFILE GMQNKRNSEIKLLDLFQLEVAMVSLFTLEYLLRVFAHSDSLGMIKHFFLSPLSIIDFI SIVPFYIELLAKRDTTYEFRFTILRLFRLLRLFKTYQYSNTIAMTIEVLVLAMRRSSD ALSALFFFLVTSVVLFSTLLYFAERGVWDSTVETFVNPGGNPSSFDSIPAAFWFVLVT ITTTGYGDMVPATFIGKLVTFPAMMFGVLLIALPSIIVGRNFTTVWEIMRRHEQPNHS EPDRGPYWPHNRDALANARQEAVDALPGRTSFEETKEALAQLERLTGIARQHQEALHH IMALIQTQDTTQPLNRDKAGSIYSTISIFDPVGRKKEKAKYTSTQVHKLCAMIKVQGP LL PHYBLDRAFT_64245 MSLLTSDAIIAKTMLENHAKTRDIQKATRDKVTGMRKMRISDIN NLKGVSNLGGERLKSFPVAFAWVSDETETIYVWFSKALMNGLDFEFPVNKKLLCGWHM INNIAKMAKKTRKAGEDKNTCTNLINSMIFGDGAGKLMQKRELFRSLASCEDLKTEGL SEDGKNGAVKSFEEYFEKELMSCKEKWAGYLTNKLKHFDCVTTQRVESGHHAPKRSIS ALQSLDSSFEQICSYLLQFEGDCQDRRLDEELVTDARILADERPRGLVHSVSRMALFT IRAELLEEVTIGEAC PHYBLDRAFT_166575 MAKVNDLINNVSNLKDHPEVAFPLSSQIKASGRPKHSEIRKILK EGLIDVMNELLEEKPLKKTIKNIKKETQFAENKSLSKKLTNILLELKGLTTSQMTTDQ IDQAAISLTFNPKSDGWCGFRVFAHLKEGGEDQFPLVTKKMLVTMATHSELYEQNLGM DIAEVTKVIPFGSDIDPAIGKNIPSCPSSMWFSAPDCAQIIAETYNELVFVYSDDRSV LSITFFPLHDQKPLKRKPLPMVLHHVYGCHWTTIKVKPHVHRSLSEIVSLNVLNYCSQ KKKK PHYBLDRAFT_11660 LTAGQYNDALISFDAAISNDPKNYRTYYKRATAYLSLGRTSAAV EDFTTILNLKPNFDAALMQRARIYANEGDFQLAESDLNTYLKAHPVDKEAVELEAEQA TTKAEKALKNKEYEQCIDHASKAVSTAPHYLRLRRIRAECYIAKGDIEEAASDLTRAA YLSPSDPDILVKLSKINFFSLYEPQFALANAKQCLHHDPEQKECKSLFRLIKRIEKEM TGIAKDVEHKRFATAANKLIGTSSKKGVITEIEAQDKAMEEELKAVGKMPRKLVLKGY ETACKLYTQPKNKDAKKAKKWCSATLDLDENNVDALVARGEVFLDEHEFEEATRDLEK ANELTGGQNGNIRQLLQRTQQLLRQSKRRDYYKILDVSRDANARDIKKAYRKLAHSWH PDKYSGDLSKEEAEKKMAEINQAYEVLSNDEMRQQYDNGNDPFDPESQQQAGGHGFHG QNPF PHYBLDRAFT_143578 MSQDIVQGILEQVQQGIGRAANRSAYDEFVDYTLQFFQSVDWSQ PWLRALIGFHVICMLIPIFLRNRHTLLSVYFFAILGMAALASPLNSLGAEHWKQFASA NYFDPSGMFIVIVYAFPLLINGFFTLFFVLRATVKTMVLVKKQSLKAKKAQ PHYBLDRAFT_110785 MASAAPTTPNYKAHSAEVLRVHNKYRAKHGVPDLKWSTTLAKYA QEWSSKCEFQHSQGAYGENLAMGYSSFTKAINGWYGEVSSYDFKKPGFGGTTGHFTQV VWKATTEVGCGVTTCANYGNARLYTCSYRQPGNMVGNNNLYFTQNVLPLVTK PHYBLDRAFT_166578 MTLDMWPLKFSTFVFCGNSRNGPKWKREVVQDHKFEYVDLDEFY DSSCTTRIGYSFIYLVVLKSLLVYIADLWTAVSLLVIDHRNAEDSAIPYSISKWIFLG AIFISFALLFWDFRKSRRIIATRNISYTFTSVMASRYYSMKDYRYYCLFCEINKSQKT TDTIAFFVFFTLKGWKKLLLAEAPRQVINVVTLIKILPAWIKLDHNQLQLNNEVLGKD IVQQLMTCTMLFSTAVFAVSFVLVCIAALMYIPLLCHMQGNLKEYCCHKVDKRISELL KNQARRRIAAHQKTGGKSSNKKHKNDKNNDRIEMNTFPQPTLPNLNMDGMDYRQSPRT QPRERALGGDDSMKYLVSPFGRRNSDCSTSSDRVGLTSNAQPQPWAGQNNIVYHHQHG SSPNIYQDTGSVGYPQSDYGQPLPSPHIHTPSPRSQAYQDQQYFPQQNMYYQDYNQPS LNIRNNY PHYBLDRAFT_104195 CQHPTCSKYFTRPYNLVSHMRTHTAERPFACSQCDKRFARQHDR NRHEKLHSGVKPYVCPECDKPFARQDALGRH PHYBLDRAFT_64252 MIMIMIMVTVTVTFTARVTVMTIDWYFGFLFFSHILVNLQSSFD YFELIVTRPIYKIFFIIEYNILRLWKSAFKEQKLTMTSPMKRVCDPKRAAQLPTAA PHYBLDRAFT_186366 MNKLLLSPDYMNDYTVPYTTKLELTDQSSLVYPASSFYVSPLDG ASSPCPSSVNAFYDHSMTPFAIQPGSPDSFMSGMSLSMTPPPALSSSVSSSDFASYAQ EAPMMVQSSSSSTMASSSSSTTSSSSAYLNETIAKASSLPDSFFPEFLQYSKESYEQS TGGSLRKKRRQQDRLLDDEDKCDELDAPPPKQHIQMTSEDDSGDEMTLHGVSTAEMRR QIHIQSEQKRRAQIKDGFEDLRNELPSCLNKKMSKVALLHRTVQHIQHLKSTQMTILA ELERLVHENEQLRTFQQGVLQKQAMESIYSVNSL PHYBLDRAFT_186367 MRLAFVDEWLLVHPKPPFLYLGTMSVDRLAELSRPGQNASNTSQ NRSQYEMRPLLSSASNGALDDNMAEISHIEDSIQAIRDNVQRIQAHQNAMLQTADANE IQRNRKSIDDLTAETQGVMTHVKQKLREMQPTARHKDLEIRKLHFSRLTHQFMDAIEL HRSSAIEFQKAETRQLERQIKIANPNATAENIEEAVSQAVEGRPAVFAQQLMTSMNNE QRRYNAKETLDAVQERHADIGRLVKSVQELSELFAEMQYMLENQGQILNQVEEMSEQV VQDVEKGNRHIDAAVSSAKATRRKKWICFAIFMIIVIIIVVVLCVKLIPSNNNNNNNN NDNPTQ PHYBLDRAFT_143585 MPFINPLPVPPVFQVKDPAVHGDEERYRCVEQILSAPDCYRVLG VTREANPDDIRRAYIKKSRICHPDKFVPAYPRATESFQLLSRAYETLSNPSAKLMYDL SKQKGPSTFVSTEDEHANDTLQRVLHQLFIEMMDGEFQTLRAFIHALNETNPGMHITT HQYYKVVQFELMRLYELQHELRSLSYFSVWRRMQLSITICKVLLQLPIMINVESREKK QSQRQLGQADAEAIHGILGLRLESALRLAVTLLETGERYVTAW PHYBLDRAFT_85795 MVQEITTLTLRPSEGKAGRPIKVRANFFEVTSLPNQNIHHYDVR IDPILKNDKVNRKIWEALEVLEADNIFKGIKIIYDGRANAFAPKALPLGPTSLKTFEV NLGDSRNPEKSTFRVAIKHVNEINLEELARFLRGEGASTNNVLTSVMVLDVLIRHMPA SLVDYTAFGRSFFIPQDKRPLPNGVETWQGYYQSARPTPGKMMLNVDVSASVFYQPGP LPDLIVKILGKRSLDELRGGLRDRDIKIIERTIKGLRLKVTHRGEQRRDYGIQRLTIT PADKTMFTTEDGQEYTVAGFFQKQYNKRLAFPFLPCVVVRKDVFLPMEVCNILPGQRF RQKLNEAQTAEMIKFTCTKPHIRANKIKQGIQLLQYQANPYLQAFSVKVKPEMAIIKA RVLPPPTISYHPASQEPVFAPQGGVWSLRGKKACTAASLTSWSIVNFTHQMPITVIQR FVRELCQTFSDIGMNVINRTPDIISADPQGNIERTLKEAWLKAGNQAKAQPQLIFCVM PHRGTPLYAEVKRVSDTVIGVPSQCLQSKHVSEAKKQLCANVALKVNMKLGGQNVKLA PNQIPFIAQRPTIVFGADVSHPAPGDFSRPSIAALVASMDVNAVRYASSIRIQANRTE VIADLGNMVKDMLKVFYHTCGQKPERILFYRDGISEGQFSESMNNEVNAIKAACLALD PTYNPTLTFIIVQKRHHARFFPIDQRDTEKSGNCLPGTVVDTDVVHPFEFDFYLQSHS GLQGTSRPTHYHVLKDENNFTPDALAELSYRMCYTYGRCTRAISMVTAPYYAHLLAAR ARFHRRNENWSDGATTESMDSEGQIASFSAVKPDLQKVMYYM PHYBLDRAFT_64257 MLKPKVIAQVLRQATRDGVKASLLMTSEGSLLAFAADNDRSAKI YAAIAANVWSTYKQHCSSETFLKGDQTGGLRFILMQCEAQALARHLEEPLMRVASYQN YDNST PHYBLDRAFT_123845 MASRLLQAASRRGQGMSLYPLVPGIFKRGESSLSHSVNNPVLPT TVQEYSKSSTGLCKVTTLPNGIRVASENTPGHFSAVGVYVDAGSRYETSDTRGVSHIL DRLAFKSTANKSADEIVGEVESLGGNIMCSSSRESIMYQSAIFSQDLERAISLFSDVI CRPNIDPLEVEEQRQTALYEIEEIWSKPEMILPEILHTVAYENNTLGNPLLCPPENLE RMTPELIRSYMKTWYRPERMVITACGAEHEKVVELAVKHFGQGPTAYEIATEPARYTG GSHMMDVPDLPLTHVYIAFEGLSIDDKDIYALATLQILLGGGGSFSAGGPGKGMYSRL FTNVLNQHYWVESCQAFNHCYTDSGLFGIAGSCRPEYTNALVEVMCRELDSIGRSDGN NQRVTDAELSRAKNQLKSSLLMNLESRMVQLEDLGRQVQVHGKKTGIDEMLERIDRVD LEELRRVASRVVRGAVGVTSGGSGKATIVLQGDMRGLKDVSKMVEKYGLGS PHYBLDRAFT_158386 MPTAIQLSFVLVFFSCLFSTVSLLHIDVAPHSKDCFYEDLNEGD KMTVSFQVGEGGNLDIDFWILDPRGTTIKRSEGDAKGNHVITALSTGRYTYCFNNGMS GKAAKAIDFNVYDMEKVSSSAAEHIDPIAREIRELAESIHAIKVEQEYIVARERQHRD TAESTNARVKWWSLGQLGLLMSVCVWQVLYLKRFFEVKRVV PHYBLDRAFT_12154 NTIRLVDIIGTGAYGVVFIGQHIHTNQRYAVKWVLGTKTTENEI SIHSQLPSHQNVLSLVLVTTEPAGVFIVLEYAPGGDLFAAITKAHEIVGDNHAIRHVF LQILSAVQHCHQNGVFHRDLKPENVLLFPNLLVKLADFGLATTRTVSADFGCGSIFYY SPECQGASFRKDQKRKAYGCQQSDVWSLGVILINLVVGRNPWKEANLQDPTFKAYVRK PRHFFRSILPVISDELDSILSRIFCIDPARRISLPELRILILGCRTFT PHYBLDRAFT_64261 MPEGTSLAGAQYFPVECLIVATTTEQACPFRQREQHGVGVLIGK PDSSRLLEPPRSQYFYQLARDESGPTCSTNLPFSTTHLNTRTHGQHHVPILHQQAGWM KSFSPHVSSNQDMALMLTPRTTTNSTTHCREREHHSRLRIQANIHEAPMETLPLGLLM DSIPLGTSFSRLVRRPDHSPPARIRVMDARSKARRHRHILRPLVQLAKLLPKPTVEPT EQVPTQNMAGRLDRNHHSTNLAERTLVPDATRNEHCPTNTSISNGYCPGLPQDTLTLE EPTVEARRIQSLKRRYEDRGLTDDSMNIFMGGSGEQTNQPSVSKGTTPLHYMGIAAGC LPDRILSARPHQLSRYSFGTITSHRTAVLKLHDHPNSIRHHEDITNLFTRLAQLVPPI RKTKPKVDLTLTLQFLSHVASSTDTPLASLSKKTAFPLVMAAFLQPSGNKTILSRKSI YNFILVTHVSTPVLSVVGFGSLVGLLSSFGTWNACDNWSNEDVFQHHYRRNHVLSADF TSLVIRLTNTEDNSVGDKDGEQFFDAPDTVPL PHYBLDRAFT_166589 MPIKYEENNISFKNYKHIWQNRIFAVAEKYNILLIKKTQSWEEI EDAVCKKSKQSTSIESSSQSTASHDSSSSNLSYKLTKHDKDYIKEIYEGLNSQKMWTL STGTVVEMKMAELAKECVYEHPCHSLILDTDDETWGGYFTQEELEEIQAHKAKPLKPV PSELFEYLNNITQDENIETMHERIARTYYSPKKNSSCYWAQKSLLDGLDLHMSGFFKS FIEHSERDYLSRVWRLVLTVFDSSVITAREEICSKASSFESNRGQQIASVTNIGRKKS AKRPDHIFRYGSFELGISEAAKVSDENGDKDIKDSRIKAPKLMKDLMQKILENKPGLI NTLRIPSIIMSGLDIKMFILDIPEGYVSRITRTETYSYPSEYMSFVSEIRSCLELAWL ARGIMEETVEALTSVTRTTIVIKRKKDFSLPPNFKSTKKKITGLLTLPPS PHYBLDRAFT_143594 MDYIIYNVVCNITAADALTDGGYSWSPLSKLAELYVCPVRTTTQ IALVFDLTAPILSQTRPRRAELFLWMIPDYESGYAGSYQISLATLRLLGHFSYVSV PHYBLDRAFT_123850 MNFGPPAFVQEAAKHAIDQVESNQYSHPRGRIRLRNALAASYSP EFGRELNPETEIIVTAGANEAIFATFAGFLDEGSEVICMEPFFDQYIPNITMNGGKPV YVPLRAPADSSERTVSSHEWRLDIDELRSKITSKTKIIIINTPHNPIGKVFDEEELMA IGKVAEEHDLIILTDEVYDRLYYPPLKKFPRIASLANFWERTITVGSGGKSFAATGWR VGWLIGPEHLVKYSFAAQTRVVFCVNSPCQEAIAAGLEASLVKPIFQEQTDEYLKKRG ILSAVFDKLKLPYTVPEGAYFILVNTAKISYPKDYKFPELLDSRGEDFKMCYWLTKEI GVCSIPPSEFYVKEHWPLAKDFARFAFCKTDDVLNEAVKRLEKLEQYIKK PHYBLDRAFT_166592 MECIQYNLLDLIDDFPNSMSNVNDLRLEMEKYNDAKQRITEFQE EMKLRLLHQGASSVEIVRFYILCIRTFRYLDPSCELLLPVVEMMEETYRKDMVHAVVK RIREDDDNSLCPDPEDTYVFDADELGKSVSEGYYPLCEDKGEFCKDPKKEKKKESSSH YLFLVERQWLERKSLDIVAMLFTLCETQESLAKENFVKEYQDQLGKALLKDPGYDTET EIIRLEKINERLLGGAMQSCSIMIKDMEKSAQLNDRIRKSTSVWPTDFKALVLSRHYW MDPEDDIPEQTLRLDTPCIESMEIYEQKYPIIQPSRTLNWLPEQGSVTIELTFKSRTI EMSVDPITATVISQFSTKDITFTAKQIAKNIGVPSKIAFKSLVFWQQQNILAITKDHH FQLMLIMAITTTTTVQYCVYFDLVICCQNCTLYDLVYSDIK PHYBLDRAFT_166593 MDMILETKLLYKTQNNNETFNLDHCYAVLAKAPKWISRLEGSSR IQKATNEQLLAARAGQSSEEEDGRLICRAQSNTINQRKRTIEDALFDLIDNQKRSRVS DNMKAKFLQDMLDFKYMTVDTETITDPTRRRFFLLKQGLALRRAEKDAEETEDEDDL PHYBLDRAFT_143598 MSAHQNHNDLDEYLDVEGYEYFFDDEDSDIEMFEYLFGDDEEEE ARSTAIIAAISQIVNEPGTYRSVVNNGCETTII PHYBLDRAFT_64267 MKKGEVAPVSANNINNKAQFCICALVNEEYNWVCAGGPRALRRT VLKITILIIMSVLCMRYKEYSLENDHLKCFGIKWYYRKLVLHISLVFSVDRTCHDSRV GY PHYBLDRAFT_143599 MKLTTVLLSLALSAASCSAVCNCLATDQTCLNKCVTDGNNCVTA CKENTVCYQNCIGNNWPSAGITTGSWSQPIATPLPSASPTQVNTPSPPHPSGSTVSQA PPSSLPPVGAKVATPSNNVLANTKTSTPSSNGLRQDTNWALWGSLAVSGLWWLTSQ PHYBLDRAFT_20117 MRKKPGRKPNPASPALRKAQNRAAQRAFRERKERHLKDLETTIR GLREQRNHAVQELTSMKTKLDSFRAENWYLK PHYBLDRAFT_143601 MSTPAVALPSKKTSSSPALESSLVEGLRKEAYSELHRQVEPYND KFVANMRHIEAIEGSGVPFQTLFGSLSSDTTGSEKSKHNQNVDTLEDLITTIGKGSIK DYSVFTEWELSKNPHMAYDDQGEYGNLW PHYBLDRAFT_143602 MDNSLDFNFSYVGKPSFRAEPSYRISLEPPEISPDLYYTKIPND LPGALRWKQLIIWCAEHTKQKGEKLTGKQKQVAECQKRIIDLLVHDNIGLGWYQRDIQ GPKNAKKPPNTRNLINKERLKTLQQELESLKQEKEERKQCMFEVYHEHAIAQDTVMNP PPFELDDHLDLLDPDQQAFMHQCIDEEDLPSLPVKEDLLAEISILPYVLSTIDKVQKA EGEFGDLVMKEAAKKLAWPESIDPFLLLRALSHHTVHQEDS PHYBLDRAFT_180770 MNYLAMDRASDTAAHDLAEVRKLIEGMNALVNSQAIQQQQQQRQ GQIPLTIPDTSYVPPVPSHPQQESQLAPSPSSRVQDGPLYGTVPDIPAPQPPQPTALP TNGTIVEVTHLLFNRTLYFQLTPDATIEPLITWLRVSFNDHSISGMVLQYKGFDGLWK CLLNRDDSLKRILKQSLKGPSMLQMRVPREEDLLSSGYTDRRLLALTKPGS PHYBLDRAFT_143604 MSTMIYTPTSSWSMTTRTASPTVPVPRMVTVQSLPEPRTQLLII QDSDSEYDSESENENENGTESESECESDDSIEKSVETVAIPASIPTPALTPEPEPLSE PVQIQTQTQTQTQVQAQMQTQTQMQTQTQTQTQTQTQTQTQTQTQTQTQTQAQTQAQA QMPAPVPVQVAVKEQLPIRVSFPTPQMSFSMPLPIPVQTMPQLQERISTQERPVKPLP MIPDQLPIVVPTPSFSYRALTPIREDVDEDNKHFTESTIESCRSSAHTVSRSVSEASI QYIPDTPSEQNMQYKPHEIITRQVIPPQVIQEPVISAPQEPAPVSPQVSHHIPSPDEK TEVHEPALVPSTDVQTNTVDSQGHTRSNDGWRWTARPGMPNRPDSETVALLTDIRREI DEVKVNHQSTIGSASSTAYTATTSASSTAHSTISTVTRLCHCTKGSTHQSNCLFHTTP DPLAFPLPRLSIESFSLTHNKDALKTYRRMASKTNDSTVQMTYCTYLTQVAKIYHHKP DAVKTHRRLIEEVEYWVERLAKANLPDALIIKGRWHLQGPSSASTDLPSVGQAYQRVQ LTKAFKAFQAAAKGGSAEAHVGLAEYWRACQDIDKSIECYKVAASKGHTTAAYTLAKI FLYGQYRQQKDSRLGLEYLQQAADGRGPDSGEPAYMIGCIYSGQLDLVNLASDPFLSH PHQELALHYRSKAQALGLATQD PHYBLDRAFT_143605 MRLTATGLYNLVEIFHLQFTDELAHPKKIEARYAKTLTTLNGLI DEKKDSSRIYVLRYGKRTRLCHFPLLVSISICLLYSSHNLGSDLQHQPVGQVYELSFF FAGIGS PHYBLDRAFT_132627 MTSTTTSTAIDANPWSPDNGENWQEQEDASLNQVDLAGQAEDGP RSYDWESDIDARDCRRCSRKFGFLVRRHHCRRCGLIVCDRCSNSRAYLSPSQILQDPS LPQESRQVLASQHQRVCDKCYADLGI PHYBLDRAFT_94736 QQQKTLHAVASSGNLGMLKQILSVLQDPLKAVNDPHPSTGLTPL HFAASRGHLEVVKCLLEDYAVSVDSRDKEGEVRGKMNHTPLINAASKGYMSIVEYLLD EAHANPLLKNNFGEAAY PHYBLDRAFT_143607 MMGSTSIALPLDTDPALDLMHQKPKSPISDSANILSIIKEQANE DEDPEKNRVAYENMSCDEMAKRMADSYRQLSEMLGTTIATPSTVSEKSDTRDYDFPEL TDEETEEGILSDPAEEAAKKLKMTKLFTKAASNGNADKVRKLLQDDKTKALIDIDAKD EDGTTPLIYAVCFGKAEIAQLLLAAGAKIDIQDAFGWSALMWATNNNHEGLVKILLEH GASSQTKSAKGRTVFDFLNTENQKIVEILTTNPRDSISSTSSIAGRTPGSVSSTSSNA GDCDFYYQSTVEGYDTFMEEEANRHQKLIETAMTLVGGNDVDKYKNNKGYHDFENDED DDDDDDEDFEFNEDDDENYEDNEFHWDRCMPDQMFVFAADDLDYILDTVITNFQLPVK TKQEICVPANVAFLSARFAHYFSSDELLHQVLKGALDRMSKAVKANSRNVHVLAFWIT NFTQALYYLKKDCGLVVATAEHQLRLSELVLETYLMIINDTERRLAKVIVPAMLEHEQ IPGMEDVDFADDWQRFFRRTSRRSVGVPPDGGLAVQMKRNTTPDEAGHNISPQSITSL LDSILFVFDSYNVHPTIIIQALAQFFHYMSCEMFNRILTTKKLLCRSKAMQIRLNLSQ LEDWIRAKQLPSSLASYLNPTIQLLQLLQCLTQLGDLPNFISTVQTFDVVNSLQIKRC LINYRYEVNEARLPEDVERYAIQVAEDSIRYKQARRQSRQSMDANRKSGIPLSRAQSV SLQRKPSRRDSVSSFVGSLMSSVGISSSISLPPTPTTTEYNIPLSAKTPADSNSKEKE KEEEEEEGEEEDTKEIKDSRFMLPFSVPTTAHMVAVHSWASEPEEARRDKELQAVPVI PEEWMDKLDKGSLD PHYBLDRAFT_64277 MAQIILGPHNGISINGTRPRRPKTRKLLTTESKLTNEFQLVPMP IIHPIQILSLFPGEDWQIECTEGDQYNITLKNVDSMVKFLAVLDQWAQTTSLPQSIPS TNISVAKNSLQYNPAAFPYINFHSELYVKTAWKPTMEWANFDAMLLTLLDDCVHYYID CMNHYYPVIPKQQLIQWYASLDDPASDPLALSIATFWVRHVLIHHPPAPLRHLNEKTI PDTVQAKLASLAREALSNCFDIPHTHHILALCLLNMTTVIPISQKALYHTVAVRMALA LGISPLVWDQTAIYNDKNVLDNRLWWYLYQIDHFLHESGAISCSMLQPQSDNHEDLAR LQRPGPCSLDELEEQTGVVVWSNVLKVWLLRRRLVLELERANMEDPVHLKHLLDKVVD AIGTWASELPAYLKPGAFLDTPGGPLAEQCYSISMERCTNLALLLHRFLPLDGTTLNP LQRQAIMMMIDGSIELISLRLAVLQFAPCQTWPGDLRRSVELLVQSLKYNDSAITARC KLGLMRALRILRSMAEVRWEDDICIEMIAKIEKIIIPSGTCSKGKLIMDSSPEIASRS IKISNNLYEGVMMFDRDLQPRAQYYNPTPTDGSGNFTFIEDPAQNFSAT PHYBLDRAFT_123858 MSVASWQKLGSIDIIQKKVPAIKAGEVLVKVAASGICGTDLHIC RGETPHASQKVVIGHEFSGNIVAVHEQSKTSLSVGDLVSIDPNVPCNACSFCRNKKYH LCNDLFCIGVTVDGGMSEYVAVPITAVYSVPSHVTPEVACLAEPLSCVVHAVDMGEIK SGDRVLIIGAGPIGMMALALCSTGGAHVTIIEPNEMRRKMATSIFKANVAVAPGALVP GDGAKGEGYDVVFECVGRPETMSQAVQFAKAGGTVVWVGVAKTDARVSVSPFDVYRKE LTIRSTYTNPFGMDRAVKILADEKVDWSSLVTHSFPLRDFDEAWKVFLTGTGLKVCIK P PHYBLDRAFT_64279 MDTADHAYEQIPFGGKVIAFGVIRITDMLSQINEVALKMFPRDD RVYTYLDTAIYSNDPDVDNSRYPFEFDNFLICSKNLLDILKLKVDMSLMITREIDPKA GVCNGTKGVCFPSHMVHISIKRLDQ PHYBLDRAFT_186379 MVVKTPSGDISAKVHVLMTTGDIPALGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMPSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLDSFSGPFF FALDEMHGICHGIGKQVWGLVCGKYGKDHPLSLSLAAQKEIDTAMVSTRRSIPTSFHG AWINIATRSGYFRAVDWADFILFVIPTLVAERVCDQAAPKGEVQLKVFTINQHLLQHY PAMIEAYGPPRAYSARSVERAIGKYSRAIKSNSAIGINAGNIMLGLTQIQQMRVENSI MTTATVTATTLLQYDDSSAGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFY ESKGEECSMIEAAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRSIGQSY SPVYKDFFGKVVVFFEHKLNNKRWPLVLVNVYAVRLVNSIPAINNGQMKPMVVHLADV KELVGLVKSDATINTITTTATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_64281 MNINSFLNDAANLPTNAVEKLQLELQCRKEFEISFAIEFNLQQK VVENMAEAAYNFSNTVLGPKKIKKNPFNLYIKEMKNKRKLDRENYRLEEIIDSYNSMG PLEKGRYYKMAKEDSATLHQESSVSDVKRGAKSILTIMDTMKLSMNYNSLFLFWESGA SSSRKIGRLLSGDTSSIFFKCIEEDGALKSFLEAMGNVERRPMREIQPATIAANDFRN KMNKRLISLFNEETGETRKSFPWKAIKNKKGTIRAVGWPEKVPFVPLSLMKDIEKEML VDALDKGLISFSRSLDVTLCHHHHLVTCIKHLIEWPHKSCHKLLVIMHKQLKTDESAS QDKSAMENNYLTILFEY PHYBLDRAFT_103725 AVLIFHAYAHVQHCQVKLNPKYRDGFGLTDGECLERLWSYLNRF VTMTRKMG PHYBLDRAFT_64283 MATGDIPALAKLACHADNTSKNDCHICNVVGQTPCHGQYFRTLS GTTIRTVESFRSFNPDSVLCKGLKEQSPFASLMSFTGPFFFALDEMHGICHGIGKQVW GLVCRKYGSKHPLFLSAGAQKKIDVAIEGTRESIPTSFHGAWREVARHAEYFRAVNWA NFLLFVVPTLVAERIHNQDAQKALLGLVQACTLLMSWELSAEEQTSIKKNLIKWNSYL EGHFQNGKVGIEIFTINQHLLQHYPAMINAFGPPRAYSARSLERAIGEYSRSIKSNSA IGANAGNIMLRLACTRCVDVNGALVVKARATARILQYNDESAGWPMTEEGERVDIDSD IEFWGPLEYKTIHDSIEEISCLPVLLAKFHESKGVECSTIYPALTTSHKAFVNGCVID SAFAHKVQREAHHICLQLQVNKATNARPGLSPALKYFFSKVVLFFEHVNEGKRWPLAL VLMYSTMMYNGVLVMRNGQMKLKVVHLADVKKLVGLVVSDTTAKNKVTNAKKPPFFPV VTDRQQDFLYIFCKMKNMRIKCFEIVDVTYSVREDVM PHYBLDRAFT_143616 MVNFEISQPISVPEQTNVVSSIVSGYTSEENTATIDNQTVDAFN NGDNDNDQPMYDANLDYAMDDVHVETSPLIFDFSQPTPILNNDNTKNLEFIKIIKDFG ISHEVHEMIACHFNKILETFNDITYRACSSYLGDKRFERFSSVKGDKYDICHNDCKLY NDSHETVCLNCGEAHYKNNAKDKDGLSIPVKTMIQIPLARQLALCLANDTTRNEMMYR HNHQSSQNGSKSDIFDGQAYELIKHLFSDENDITISLSVDCFAPHKVSGLVTILHATM LNLPPMIRYKCKQMIQITMIPATTVPANF PHYBLDRAFT_64285 MSINSETKSETLHISCQYNYESQIISLLQAMHSEIIFLKAGQNT IKLELNSIREELNLKIDYLQSQLDNRGFSEQETISSATNIPCNSLIRAPIPNIWDITL KHVFRMMNEDLDIEINNEEKATLQVFTNIICDELAVHPLVKDLGSCPSWGSIPVMVRK QMCTKHATLMKDTGINLTRCHENWVSALRISHL PHYBLDRAFT_64286 MSSSNVSQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYDSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MDHYHVVISFFLEPGAKISHGKTVDALLKSKSSVKGHEYDVCSSSCRLYGINDNQESC VDCGKPRYKTDPDQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANQESVAGIGK LVYDLITVTLTKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIPTSFQ GSFDNVFAKIDGTRAVDWLDFLLYLVPTLVMPYLPNRAVKTALLSLVKGCALTLQWML TSELLDEMESYFKYWHSFLYQQVQNNTLSRSVFRPVQHYLVRIPYIIKQQGPLRCYST CSMERVIGDFSKLIKSKSKGGQNASFLVERFAIHNYTSMAISICDEINLIWPKPYGRE SYMDLPNDPSSAQLWEPFHQFVNLNDDLVEGVGGPSVKEALLKYYRRTTGLTGHEFGD SVVVVAARLWMDSTVYSSCMYRRKKNETSHGNHYVMFTCPYRNNRNVIVHSWLVSTVQ FYFQHVDFYGFPHFLAFVEVMKEHDVAGHDSSVPIVKQRSQSTRTLGHQTQPTYTVIS VNDICHQVGLVQYPPNGNQFYVIAPYYIFNNNMRITKGNFSIL PHYBLDRAFT_143619 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFVPAVSAE DLTTMQQSIIEQSSLECIAESLGKRVINTGGEFKGKNEAQKYNLLLQILHEQDWKARC KEVPQGQYLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIINKDYPAASKEWKNIPEKNR EYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRMAEKNKTQRDISD SSLSSPDMSETGDVESPIMADVLSPPPTASVEPACKRSRRS PHYBLDRAFT_166613 MFATANPNKVCYKCTRCNRNPLGYTKTDKRTVKRHTQNDNDRNM DKTINEQIVLTAKVNTSEADMNIDQIEEHIEYDNYSVGAPSPEQYVNTYLSLLVEESL FETEEYTSEYECEYESSDEFEQEEQNREQEQVYRKPFREYLALNEGAVILITFINIIL KHYGENFRLPTSIPGLRKMIGYNDLTNGISKYVACSNCHTLYNYSNNTHTSCNFKRVG SVVNEY PHYBLDRAFT_64289 MPEPKEASTNEINNYLCPLVDELMLLYKDITIDTYNCSGALVRA ALLIVACNIPAARKTCGFTSYNSTYACYKCNRQFAHIDGTTAVNYFGLKFSEWVGCTK EENCRHANLWKNAKTLTERKQLEIENGVRWSELHQLVYFEPVCAAIIDPMYSLFLGTA KRMMDIWIANNLLDDKDFVEMQEEANRMILPTCLRDDLLGNWTHFVDVCRELTKPSIT KNGIKKAHESLEEFYVGCEDFYKPDVFVQNMYLHLHLKETIEDFGPIYRFWLFSFEHY NGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQAHLRNVTSPLLLSLFLKLSGRRIY NPALSPHPLIPSFFHLPTFLQSAEKPSKQTFGNKPLPLSALSLCLKPPTTMRKSEYDC LLDFYKIEYDNDSLCSIKTTIRNCCGDSEERYAGRIKYLFLHDFTSDLMHTNLSPCHN PQHIFAFVEWYKIPCHQPRIKQGIELYEPEFLKYDYNILPVHCILSPIAIGSHVSGSG AAKVVVIPLPRKLYT PHYBLDRAFT_166615 MIYLMIMAPRTNINQNARTNGSTSRPLINAVNTRHIESSNPMIA LRPENMSIPVSEFNDVVSLLATLNDKMTAISSDVSELKVQFQVGAQSTGMQAVLNSDM NPQDIISSSRHPKISLIRKNDDKPTWDVNIGLSDEFNKNFASDLMLYIRRQPVAAMVP PKELCGIIVNSYYNRLAASRLTEEDRQTNTTSNRKGNRKTALNKRRKRMYTKHKNAVT EKFNWDYNGVFYRDAMSGDESETDTSVVASRPDRCSDELNTMFDFLDELAKDDFGKRA TQLKSRSHVLVHETIPRGLVTKMPAWSKRV PHYBLDRAFT_143622 MNRVPKKVAQGRVSVPTPRIPGQLNFRLVDIGKSCSLCEKTFKD HCNLKRHLQRCHDIMETVANNMSIVQETEYQDIQMSDSPKNPLTPSESVEEESDVDNE YYNSILTYDECEESDDGSRVDNSDFDIEENTEPNAGIPLFNHILNNISAFANNNESSI DEEDKFQSEVFNSTAWNRFTSNTHPFKDIQTMILLALVDGDNDMISRIMLKKILFTIN LLLKIHEEAIRKDISFKLSWLDALWNYQTRKGINIPIFKSKHLDVTLPDSTKVTAFLN LPSEHIKLLAANPIKSKSIFSLPDCMPNQSPMFTHNNVDFWSGDIVLLKDCSPNIRFL VESFHTMDTSNVFSCEYIVRTPKDGCSIGIKINHTDISIESFLSVDTTPLNTSLCCSI SPDTIISLIPTHRKLLEEEHFLKRLICDGTDQENNRRKYYKVKIAPVILFLDDTSGNT SKQFNPYESWTMKCAALSFEERCSIENILFISAIPKKKEDNAYVLVVSPLLWIEADTP CHSELCSLDRDTVIPDISYFDDKNTAEELSFKNKSTDKLLELKAYDQSKDTPAEILHY ILLGIAKYLITDLVKVVLNKNRKELEELFDYVKDYKNSRGISRAFTRSLTYASSFLGR DFKVLIQILPVILAIKFADTEVLQEITLLFVHLSHLCSLVFV PHYBLDRAFT_166617 MTRHIIDGGSWIGKNGLRKTHGKAIAEYMQQNSDGKFHETLLSG SREFADNNSTDLTPGRILKDNTFALFRQSNGHIIIGMVLFSKVYHLYIEYPSAHAVNN NYHLALKYADDIYTPLDKLKVVCLLDMHLKVGCKYVVNLNKFGSYWSFLYSFY PHYBLDRAFT_64293 MVATKSTIPTSLHGAWRDVTKNAGFFRAVDWADFLLFVVPTLVA ERVQDLVARNALLGLVQTCNLLMSWELSAEDQTSIRSNLVEWNLFLESLLSTADIDIG VFTINQHIIQHYPQMIDLYGPPRAYSTRSVERAIGEYSRSIKSNSQVSVNAGNIMIRL AQSQHVAELTTVANTKTPPANLLVYKWTFVPPEI PHYBLDRAFT_158389 MQMSYPSALIPEKRSRSLFPRCGLHAQYVILIEQSRIWLKCSTI FSWARQEIPNSWINCMNARFFLSSLLGTGRGWLKSKTSEGVVPSISSMTFSHSSPKSY YTYLKAKLGTIADVNWL PHYBLDRAFT_166619 MNNTDNTVIQLLQGIQAALISLKSGQEALLGRQEALEKKQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTSIIPRPISKINDITLKHIYKMITDDLRIEL TKETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYCGILASMIHE PHYBLDRAFT_166620 MSNPIIYDISNIQNVLVNLSLEGIKMLPFKVVIPVKASEWRRCL DQSNTLCSTKWNKKRHLDSKEYIFGETRQCHRAVIYISERDSCPAQKESKACECNGAL KIKQFKKSPTIITFCMTRDHNNHVPGDRSEIRTLLLPFEAIKLIEDQLRSGSSCRSTR ISVLRQIDSWGVGVRKPNYEEIYNRIKKMTNLLYMFNSDEKTSIAIWMNEKLPERNYC IFTRDLCVNNIESNLFAFGFQLPAQVRVMRIATSFCLDATHGISARSGEVMYSLVTQY KQTNLDNKVRLDASFTSGQLAAYKQELKNKLKYILMESNEEVFLIRILDFKCDIPDQL HFLRYFEIRWNGSKVLLKRWGRPYVDDSHRRYLTNNYIESWHNQLKTIYFGCARIRRL NRLVFDLTNDVEYFYEQEVDCIHLNNGKMGLTIQRDVNHLAMQRPAVLAEEEEVVIVD KEDGREDVVGAQNDVDTSTTDLITHTSLLHHQSLDLEHANDF PHYBLDRAFT_166621 MQTVCCPTRDRDNQSVLYNSWKHIHALKFQAVVTPDGITSSLLG PFIGSCHDHFIYTISKIENRLKKYLAPTSDSEKYYALYDHNKFCNKSMSKVHIAVEWD FGEVQKYFKYCKYKYAMKTKENNLAKIYQLSSIFKNMYHCINHKKLSTGSYFNLLPPS LEDYISG PHYBLDRAFT_64296 MEPNKELEYDMKKARKKDFIQKKEVVKNKLEKSMQTKSSAGEER DEPMNMVTCNRDATVESKDSTMEDSTGTISADEHEAIYDMYMDEQTQFCQKMFESLSS QKEYQEKMLWYVSEQKKSNARMKKMMEKLVKILTDKK PHYBLDRAFT_166622 MDTELNDNASKIDKSASETDKPDNGSNINMEELQEKVITNRSPF KLSHLDALLNYQSRKKYKLPVLTSTSVTIDLPKNKSVLAYVNMPSDHLKLLATNPMKA KSIFAMPDHFWFRDVVEFAGGSANACFLVESFHTIGISVVYAQGYNVLLPENNYFVHI ETKHTSMRVEKLLQIDASPINIDFCFSVLSEMIDPVLPVHWPLLLVPYFLKQCISQGS DSLNNKNCFYKVRIAPITPFTDDMSGSQLKQYDSYKSWSMKCVALSFEERSLMENIYF LLAIPKKDSASGMTLLSVFVKDLKMLENRLVMFSTEDNKYILVIAPLLWIEADTPCHF KLCDLCAPTCLTVEKLKDEIHCMGSHASRTKDNYQIAASTSDRSSTITYAPLTGKNFK ASELSFRYRATDILLDLDSSDLLIDTPVEILYNILLSVAKYLVTDLVKVVLKRHSSLL NKLIDSLKEYRKSQDLLQNFTRLLRHCSSFLGREFKILIQILPIVLATKFVNNNELSL ITSCFVHLGCLCSLVFVRAFKYNYNFYITKVKNTVASLIQKLYFYDKNCGIKSHKSYI LKPKVSQREHYGDNTAAFFHKNIDNNFQNILFGRLRDFTDNNDIDNIADLSPCNNTFG VFALKKSRDQPVHYIIGKIFSLRVEHYRVESSVQSQENNFFLAQRFSNNLTTLLNQLT MICKLDMHIHYDNNLVINLNKLRSYWFFASQVYNRWY PHYBLDRAFT_143627 MYLEDLYQNDKIEITVFTINQHLLQHYPNMIGAFGPPHAYSTRS VEHAIGKYSCAIKSNSAINMNAGNYENMSAGWPITSKGKCACADSDIEFWGPLVYKTS DDSFEDISCLLILIQDFHRSMGVECGAIEPAIITSCKAFINGCVIDSSFSQKTLREAH HVCLQMQVDLTVLYNGIPVVVNGQLKPKVVHLVDVKELVGLVVSDAMGSTTTTTTTKY IVWPELNRGPKLNIGQYRDL PHYBLDRAFT_64299 MFSITNPLPYECQSCHTHYSNKAIAVACRKLCLGKILKAMMNGK VSEDDSSSESRQFMLPAILSLILEENTNMISNEISDISNKTDLDEPMYDIEYESNMGE SVDMDGSESATSPLVFDFSQPSPIPSNDDTKNLEFIKIINDFGISHQAHEKLAAHLNS ILGMSTKITYRVCTLYLGKELLKCFSGEEETVYDVCQSGCIMFNKAEEVACKHCGKAH YKSNKTDKNSMLIAEKTMVQISLSRHIALSLANSGTRHEMLYCHNHEQKADGSKADIF DGHTYQSIKHFFSGENDVAISLSVNGFASHNVPGSITILHATVLNFSLMVPYEKSRML QIAMISGPSAPLDFWSFLKTTLADLKVLQEEGMIVMTPTLTIRAKVHVLMVTGDILAV VKLACHTGHMSKSGCRICNVVGQTPGHSQYFRSLPDTTMRTLKSFQNFDPANLSSKGL VGQSPLSSLASFTGPLFFTLDKMHGLCHGIGEQVWGLIGGKYGIKHSLFLPANVLKEI GVAMAATRKTVPTAFHGSWRNVSKYIGYFKAVDWADFLLFAVPTLVAERVRDTTARKA LLGLVQACNLLMS PHYBLDRAFT_64300 MSNIDYSIFQLFQNMQETLLALKKSQQELQKEQEKIHLEISDMH KNMNSQTISEPTSVHDNIGGPIPRPVPNIKAITLRHIYKMMGQNFGVQLSKKNKATLN TCTCLVCDKLALMHSIQALEPNPSWSSISQEDKNYMCTRHALLLKRNGIDFTRCHKNW ESVAKVSQLWKNRKKSKL PHYBLDRAFT_166626 MFSITKRSSYKCRFCNTFYNSTRKVNLCRIQYMKTCSSNMVNFE ISQPISVSEQTNIVSSIVSGYTSDKENTATIDNQTVDAFNNGDNDNDQPMYDADLDHA MDDIHVKTSPLIFDFSQPTPISNNDDAKNLKFIKIIKDFGILREAHEMIARHFNKILE TSNDITYRACSSYLGDKLLERFSSVKGDKYDICHNDCKLYNDSHETVCLNCGEAHYKN DAKDKDGLTLPGTTICIIESFRSFNPDSVLYKGLKGQSPFASLTSFTGPFFFALNEMH GLSHGIGKQVWGLVCRKYGSKHPLFLSAGAQKKIDVAIEGTRESIPTSFHGAWREVAR HAEYFRAVNWANFLLFVVPTLVAERIHNQDAQKALLGLVQACTLLMSWDVIQSFVFGT YSDLSLRNLIKWNSYLEGHFQNGKVGIEIFTINQHLLQHYPAMINAFGPPRAYSARSL ERAIGEYSRSIKSNSAIGANAGNIMLRLACTRCVDVNGALVVKARATARILQYNDESA GWPMTEEGERVDVDSDIEFWGPLEYKTIHDSIEEISCLPVLLAKFHESKGVECSTIDS ALTTSRKAFVNGCVIDSVFAHKVQREAHHIHLQLQINKATNARSGSSPALKHFFGKVV LFFEHVNEGKRWPLTLVLVYSTMLYNGVPVARNGQMKPKVVHLVDVKELVGLVVSDAT VNTITAMTTAYIVWPELNRGPKLSLGSFADI PHYBLDRAFT_143631 MNSETVSETLRISCKYNYESQVISLLQAMHSEIISLKTGQDTIK LELNSTREELNSKIDYLQSQLDNRGFSEQETVPSATDIPCNSLIRAPIPNIWDITLKH VFKMMSEDLGIEVNNKEKATLRVCTKIICDELAVHPLVKDLGS PHYBLDRAFT_64303 MRGVDRCFDYYIAQIKHAVTDVTDLLFQLDIQILQKGFSKQDFT FKPKVHLLHHITDDIVRFGSMLQYETENGEQFNKFIRKHLFKTNCHSTSRDIATRFGK QFICWHLCNGGSYVVEKPVGNGTRSVRSSIGNFVKLAPVNFPGFNLHFFGSRVNSDNS GLSTPTLCNTLAGVFQSNSQLFLGQAVDIHLSVGSSNNQKFLNVAKFGMFWWMLMNIA KIY PHYBLDRAFT_166629 MGEYEKLLKRRPAMFDDPSSSASTATATTTATTNLNSNNGPAPM EFILENPQDTYGHEISDKDEYNDYDETTDDEDTDTRVEYDSQDHIARVAAEMRTFQSL SHAMNAYSNEDSSRQTLYRPNDFADIFTGPTHPFKSKVEFILHALFYGDEDLASERSI KKIMFAMKMVLDVHEESGVALDFLTPNAVINYHKQKKNQIPVFPTASFDVVNQDNERH VLWINKLSDYIKFTMTCPGKSSQISALPDFTENQRKVEGEPIAPAPNDHFKRNGLLGS PNQYLLEKFFTKDGSILANAFQVYGGHNPQLNHPDDTHFLRFGNSTNFAVSTLKYTIE VDRIMSTVQKDSDLFLRAGFSVSYCPAKIVTYALTGVQSDLCLNKSHVEEFKRRLPGS GLMKVVVCPLNLYSKNTSSNSTKQYNKYESYLMYFAALPLETRNKQENTLFICTSNHT LNAVEMLPPIVDDLVRLEKGIEMYSEDHGEVVLVVVPLLLFMGDNPCQSQLAMHKGTS AKKFCQKCLIPSPCIEQGSIPDALPYSPVDHHG PHYBLDRAFT_64304 MSYSNKRTRSFTDLPSFECDFCSLTHHTSKQLRNHKRIYKTVNV HVGNENLQEPAMKSAPAQTNIEDVPFDPFQSRTFKASCNFKAGDQGHVYNDNIFTNNT FTTSELFSIGLNDLVTDHGVSTDLHSLLVDLMNMVIRDNDKLKEEYNPKVLHAGPVNT LIKSKTDLKSYEYDICENVCLLFDITKDEDKCSICKAYRYKEIDGDSSLVPVNIMKMM SIGDQLARLLGNDKTRAKLQCRANRQSISNKISDYFDGEEYKTLKEQQLFDSPNDIAI ALFLDGFVNQEKSKQQLTIVHDFLYMGYLRLIFFFVSRCTDEYLIQLAIIPGKPKDLD SFLLPIIDEISSLGKHGLIIKKFNGEQIKAKVHMVMASGDIPQVTQFCHHTGHMSNKG CRICEVEGVSPPHGKGKYYQDRCATLRTKDDFVGGYRKTHLFIGSVIFYFSHNISPYD ENCRQLLVLVSVMNDHSAADYNNSIPVVTLEATSIYQRLAVISINDIQNQVGLVQTAM DSKKYKVVAPYYIFNEDMKSTAGKLSHIKL PHYBLDRAFT_143634 MHPKAIFLLKTTYEIEVLYKHPLHNECSEFILNGRLSKVTTEDD IMITKLIETNTKTREIQRAINDEVYPDHKLYVSNIDSMNTAHAQRAWYLYEVMVVAAT YLTNNLEIPLIWAEAIHDLRGETLKYFQLHLFGSLMSKLLLCHWYMLNSVKKVFSRKA ESVTKKEQLMNHFNNAYADIKVDDSKIEASA PHYBLDRAFT_89820 QTKGGFALDLTNVTVPVSGTLSRVSLPLATTAKITILKTYFSLS DSAYCDSVTVNGKWTCNTCLPSYTLVKTFSTSSDVTGYIARNDKTKEIELVYRGSGSI DNWITNLKFVRKDYPPVSGASVHIGFYDAYIESQSIVLTYIRAQLTSYPSYRVVVTGH SLGGAIAPIAALDLYQRDSRFTNQNLFIYTYGGPRIGNDEFAYYLTSTGITYERTVNN RDIVVHLPPQSVGYLHAGVEYWITSDTSVVKICDGTLDSDNCSNSIVPFTSVSDH PHYBLDRAFT_64307 MFLMKEYHLLNIFSKCSPTCIKRSKHVFTTQSITRRLHTLHNPK AASPERKEEWLHRKVRPKKGDSASASASDSASVSIGVSIGIIIVYFDELFAKRIILVK MGKSNAEN PHYBLDRAFT_166633 MSTKRSRDTFKSNTKKMCIKMYTPVSSSLSATSSTSSTSSTSST SSTFSTSSTASTSASSAPVTAPASGFPPSLSTTEKTPLRVRGSWTNEKELILLEEYAK VFPPSCPRGKHHIAWSHIITQVKAQAPDDPCMSYDSCRRGVKRLVEKYHTNLSDSAEP FTFDSTRHTIRMEKAALAVIEKKKLHQETKKSGKKVVISKFKNGQDVKNNPKHFTNST FVQTERVFKEYKGHCYSKPKKRTKAKQIVHSFPPTIENTSRSAGSGNNKSSSNNRDND GGNSNSESKSNDNGSINSSCSVGDSGSLSTVSTNEGVSVDRRNQFWEEMLVSSRNQEK SLEDIKSTMSIMLKLLEQTLKK PHYBLDRAFT_89373 RARNVDKVLYGIYEIGAWYYSPYPSEFGAFLDRLYICEHCLNYT NKEAQLKIHKASNLGILLLPGKVIYQDGTLKIYEVDGREQKLFCQNLCLMAKLFVDHK TLYYDTEGFKFYILTEQIKQSDIMVGYFSKEKLSYDNYNLACIMVLPTHQRKGYGRLL IELSYELSKKEGVVGSPEKPLSSLGALGYKSYWASTLVAFLSEFCGQLSISDISKKTC IHEEDIISTLTQLGLLTYSK PHYBLDRAFT_155049 MTTYDLHTLDALAQDWIRLDKNEETRNEIIALQEANNHDELAKR LLNRIEFGTAGLRARMEAGFSRMNDLTVLQASQGLAAYIERTVENAKERGVVVGHDHR HHSEDFARLTAAAFLTRGFKVWYYKDLVHTPLVPFTIKKLKAAGGVMITASHNPKDDN GYKVYWENACQIIPPHDEGIANTILENLEPWAWDYELATTSDLCIDPTELGVIDSYFE EVAKLAKFKSDNEETSVKFVYTAMHGVGLPFAKRAFDIFGLPPFIEVEKQVQPDPDFP TVAFPNPEEGKGALSLAIETAEENNANIIIANDPDADRLAIAERTVSGEWKLFSGNMI GSILGAASLEKAEAAGQEASSLAMVASTVSSKFLARMGEVEGFRFEESLTGFKWIGNK ALELEKEGYNVVFSYEEAIGFTIGDIVKDKDGVSALAFFAEWAVQLYKRGLTVFEYLE GLYKKYGYFVSDNSYFICHDKKLIESIFKRIRYGDHPYEYELAYPETIGGYKVVSVRD LTVGYDSSKPGNKPTLPVSAASEMITFSLENHTVFTIRTSGTEPKIKYYSELRGESEE QAKIDLAKVVAAIGDQLMEYEKNGLAKKKED PHYBLDRAFT_64311 MEGVTQALGAAVSYYSILFGSNGISGLYINAPMHRITVPLGCSI CQYFFIPLFLYPLAEIVSWKLFFFAVVYGSQIAVCDGTLNNKPLYPETLNAKMYLLV PHYBLDRAFT_110945 MIPPHYTDRYVDQRYNKALMIVQNLPASSSFQPTKEQKLELYAL YKQVSHGSIDTQRPGIFDVVGRAKWDAWKKLEGLNDLEAKHRYVDTLLRSATEVY PHYBLDRAFT_166638 MQPLGSHEEDSDMGLDHDEEETDSIATNPYPYTESSIASTDAEE QAYLRDIQQQVSTQPTSRSSSMRDSLGRSFESPVMQPTLSRSPSVRTRPPRRRSWQDK QAIPIRPPSVASVQSQATNNGQSVRRPSRSSAVTPAATPRMRPSSSASHAASNERRAQ RIRVGRAEPFFEETFDASVNPWVQQPAGRRYRAQDGQESEDSIEDERRADSRAQQFAE LAAAHATAHAASNANANSNSNANSKTNTNAVISNALSVKNTNTRLSAPLPEEETSFRL QSPRMESSASSSVTATVGMAGIQEGTSAGTGTGTGTGMGMATLHDMSKSSSRQYGSYR PMERIPEPHVTTVGLGPATRRALEALQKEIVALNGRIDERDHRTQRHPSTLSDSPGIS GKKPVKESENSGGEGWEGWRWVLKAAAKHAALNIVVAFIFILILSRRSDSGLINYWQT IRWAIKNQKNIE PHYBLDRAFT_77682 MTIDWMYQSVESTTQPNVQFYTNKTILITGATGFLGKAVLWKLM YTYGHVLDAIYLFVRPNGSAGNPRGADHRVWADIVSSKAFKDMPEDKRKKMIPMACDL SAPDLGLSFDNRNRLKDTQIVIHCAGSPEYGCTLDWSIEINALATLRLMDLADECPAM SAFIHMSNIHLYQDLPPGNIYEQVYDLGLGDPEQVLKKLVTMDEQESNVLLKKILQQY PTTHMFTKALTEHLILRRAELNREEEKQGGKKQWPIAIIRASWLGPSAFEPFPGWTSG LTGVNSWIALHSYGVPLVKASQGDRSADIVPVDYVVRTILGTLPRLDFPGTEFVLPLA QPVVAPPARQSIIKLGRRSLLSTAGSPVALLEMPKPNLKTFPIIYQISATSTMPPMDW YKVYNTFQHYWQRTTGTALLPAGEYFTTNTAWSTAKFLVSYYLGPSLGKLKTNGAGSP GIGERHPSESQWAELATKTRHVIERSLKSEWVHDSTELERLAFKLRDDPSLDLRCFRT LDQLNYLLQACYGIHCSLLSGQKQFVIRTLCLADGWDCALYTTTVRGVIDEKISSVVY TEQEIRQRIQQMIDTVILSLEDVAGYQQKVQLKANWVECLNDRLEDWCGQKETLLESL AKSDNDPWMARRIDTTEIIKVIVLNDHRVGKAVHQIAERSGIKPAKVVDQSLKILSRM MERTQLSYVCFAGSFLKTLFQTMFSSIQIRTEDVEEIKRQIDGKRVVYVPVSKTVLDP LIVWYLTIRYELPVPAIMVDEALAILGPVSDLLRLSGTVFVKRDPSERTNIATAVTAA YSQVLLREHNALLLSLEKVRSRTGLCQQPFEDGLLEMAIDTVLEMNQSSSPIHKTAQS MAIKNDIAFVPINLSYEVIPDISRLISQDLYGPLEPNISCDFKPSSVRLPSEAKAARS LKEGEPVKVERAKGRILVGIGKILKLNEYLDEKDRRDYEPAQVAKEVSGAISQRQQEC LVISPLSLVAAILLHSRTEGSVVKLDDVKQSLMWLRSEILSHKFAHLDWKDTEDPETV IFYSIQLLDPHRKIISIETRGDDVYFSISNRADNILQLAYHTNQTYDYISGIYKSRDI IKETRPNSWTLQVTAKNDRIRYGHLTLMASLVYPAIDAIWVTICGLSALEDIGNLPSA LLPSLSQCIGAHLISGRRTMYNEVLSTEYSRQALEALVRTGLLERKPAKDVLSPDAQM LLQSLGLSTTDDVYQLSQESLSHSPIPDLVLSPADGSAEQSNIEFTGMKRDPVAALCC RVEQVRIENGTGHSGNDQVYSKCQSQVHRWMSPTQNSFSAKQKAAGVSPAEDKMIQLG YSLTQTIPGYQHWEET PHYBLDRAFT_158393 MVSASNTRPNITFIGGGNMAEAILGGLFASGHPGDRLRYSEPFE ERRKYMQGKYPEITSETDNIKAIDGADVIVFAVKPQVLRTVVDNLSPAFRKNPSPLII SIVAGITTSDIVRWINSSESISLVRCMPNTPALLGEGAVGLYANDQVNSSQRATAESI LSAVSKQVSWVDRESLIDSVTGLSGSGPAYFFLVMEAMQNAGIAMGLSSEDAKALTVQ TCIGAARMALESEDDLATLRRKVTSPNGTTEAAVKSLEANNIRQTIMDGVLAAEHRSR ELAAEMGKD PHYBLDRAFT_64316 MEDALWAQILNATHEDEDARARAQNDSGADTDASDNEEEATVAN NQQTSEPVRSPTGNGRHTGPKGVMADHAYYNKVKVATEQAKRDEHNSRMLSKALMTTT YLEDVAASKQEVLVLEHQESDDDLLEDEEILALYRQKRLGELRQMSNHGVRKQHRLFG TLETVNADDYATAIDNEWRTVPVIIHLYDNSIPECRKLDDHLRGLASTYALAKFIRVS ALDLEFDLVGSPAVLAYKSGLLIANMVRFVDQVGPRFSPEAVEDCLVGCGALCEEDLY ETPSQKDEDEED PHYBLDRAFT_123868 MGANMSKALAKLFGSKEMRILMLGLDAAGKTTILYKLKLNQSVT TIPTVGFNVESVTYKNVKFNVWDVGGQDKIRNLWRHYYTGTQGLIFVIDSQDRDRIDE ARQELHRIISDREMRDCLLLVFANKQDLPGAMSPTEVTEKLGLNKMRERAWYVHPSCA TTGDGLFEGLNWLSQNVKTK PHYBLDRAFT_166643 MQMWHTQNGHTCDCEDNQIQERRRLIKWRIAWLPPTPSVECQCG AIKGPSDNLVCVTICGCACLYYYMLLIKPQNSTSCQKKKTHDQILIAEKFRAKRQTRP HHIPPPLRERVPGDPLPILVPLSHSKTRFPPLGFFTCSLTFYYIQLIVGSHWVV PHYBLDRAFT_186386 MSISLLSSDSDSVIAEKLQYLGKGKVLYEPCYRPGISLVSIENP DHHNALSGKMMAELYRIVGHLEKDTNDNRVGVIVTGGPNKAFCAGLDTDLDFAGQYLK TPESISAMNKFMYGILARFSRLPLIAVGSVAGPAVGGGTELIAAMDFICMGDTAYLSF VQVRNGLPSPWGGARRLIERIGRKNTLRILGTAAKVHATDALQIGLVDVIVTDSDYSL VLESSVQFIQHFIDANGIKRMPWAVRSMKALVVQNEIQDTEAELMLIQSTLKRSNL PHYBLDRAFT_180781 MDADENDSNPFSRRKSPKVTSPLGSRRASFPESSQLMALVSSTS TPSQGLGDSRTHSHIPLLFPHLPSDYLPSPSSPKHFVLTYEHSREHRLLGADTISGRM QSVASLQSPLMVRQRSRSAGQTRLTPPGEFQSRQEQDLRQESSHLAIESGSSMSNTSE LVPYREWTVISRNDISGQMVLFNPETKLVTVQRYMPDSFDLPIPTSNTQSQSNNKCPM CHRSLPDRQTNDHTSGPNFMDRNYFRLLASSTKAGVSPPKQKQSEQKSEEHVEENTES IHSDHLKANAFNHGYYENFFVELKKLGRGFRGSVFLCNHELDGVKLGKYAVKKVAIGN NHPWLVRMLREVHLLERLRHPNIVSYKHSWLEYSRLTPFGPKVPCLFILMECANGGNL EEYLEPEVTPLEPESLGGKKKSAKELKRERIRRQQGVEEIEKGPEIHKRLLSMAEVWS LFLDIVEGLAHLHQQNIVHRDLKPPNLLLKWDERSRNRGQTDFWRNKVGQHGIPRVLI SDFGECEDLDEDPDNDRTGATGTLEFMAPEHVALDPQGKNRVEYSSKADMWSLGMVLY YLCYSRLPYSNVDDVDILRNEILAFKEVKFPKSRFDVYNGHENNIDPGMVEAIRQQTI SPDIPQEMKILIRMLLSTDPNKRPSCEEILSKLRQIQSSGFHIDGTSILREVPENHSR TTNISSPTKTTFVMPYEDRTRLRRSSWESVETKYIPKTEPTRDSPSFDKQSIRPLSSQ EDGQSTSNNLVENEAPVQVTRDSDGDVQMDEQDYENMPSRHPKAVKRFAYTTGHGNDT RTFGIRKRRKRANRDTENKIDYDLYSQSSAPDTLSSEEDKDELTEMNEMSEPNHLLLG SPDIQTSWFLLFDRMRMPFTIPRRWDYTMGTWLQATEDRYYINMLKTITAIIKVATCT YPCHPYSPSPTILYPIVILATLDFWSDNVSQSILLVVFHLVWVIGVALLRGGMCAA PHYBLDRAFT_166646 MIQTFIDDVKCNTKASNSEEKVSNRSSDIPFIQKRLMQILIGVV VAFWLGYLTSSWGLLDHLIHQTQFLYIIGALSTAYFIIFILLERIAESEAQQVSEIIG STSALQ PHYBLDRAFT_166647 MAKLIIHKIDNILRHKRSELCCENVNKPWKYTVLCHLQHSSDKK LKPKSETSTWRESCNIAFGRGYKKANSLGKSKTIEKIRPQSDWMTTQVYENGVECEYI S PHYBLDRAFT_186388 MHIEISQAVEFLGRLLQSKLDQDKLSLLKDELTELLKDRFVDHW DPLQPYRGNGFRALTNFNGQLDPVLAMAANNASIPVAWVDIHLPRDFVLWIDPFSVSY RVGDHGNIMTLFEDRTRGRISLKPEPQGRNTSKSPSCSPLLQYIQPRTSTPIRISPPS SPDTLRLARNQVHQSSPLAKQPKIVTAVGKQEQPLASPPEEEDDHQQHQLVMAN PHYBLDRAFT_166649 MSRKTYLPELPDLDSAADLAYVDLDTNTLPIDNHPFNHQVAIFL PACSLERAQAHLNQDLGWFYQIDIKLSTLLDPTFMKTYVQSGHKSLVLHSVDTHLDSD DVIVLDLNGTLVMNLTKSTHEMFGIQARKQTRADMKRQRYVVRIDLGDPKLVPGTKMY ERLLWCLESTFTNPIKMLAASVDKVTGSALDIEWPAGVSYRRLENSAHIEDISGITIP SFDNLRPAACTSEKMWEQKSIDAVEWLGLVHLKAKRLSSNDKPDPFVSTYRAPVPNAA HQHGVLVTWKGFILPALISSILSALRKLMSVKVTENWTSLTVWGFHDSPFGWDNMQHY YFVGGENDYTLLLLPKTDEESSQKMAISYKMYGSHHVIQ PHYBLDRAFT_77688 MGIQLDRALDEVIKERTYERSGGNRNQDRFSSGGIRKRTAGPGS SRIVQSFVRTVQLRDSPSSNDRTVNQQWKHDLFEDNRSNGYSNNENRSITSRLGGNSA ARSVESNEITIENLHYNVTEADLQELFRAVGQVERTRIQFDRSGRSTGTATIRFSNSA DTHKAIEKYNNLELDGQAMHIVIAPLRTSRPKVSNGRQNFRSYTTDRGDRNNRGDRND HGRGGRGGRGGRGGRGDGNRGGRGENSRGARGEGQRKAKSATELDEEMEAYMKTTEKT INEDVDMALD PHYBLDRAFT_143650 MLSAHSHRLVATFYLLLLCAAGVLSQTIRNPAFWQEQFLRNVSN SDVLASSVNDTSRLASSQDFQTVYAGTDSIMTFRRAIVSFEKCCLSSNLTLERLQSIN YNSSLLNSTITQSKIALVERGGCNWTEKSEVARSLSLAYSLNVEAVIIYDNTSYPAGD IEYTLTATVGTTFIQAYSGELVNERNISHMLDNNIVVGNRSLPLYFVPRLYGRALLNS YAKAFAKLTANETSYWMLAPVVRAKEWTSSTSTNNSTASQPGTGVDSTPTGSHEQTLE EFKSIIIAAFILLRWWMKRSLANQLAQETQRREEIYRMEQLKKPLPINVLNSFPVVKY KTGCVRDTSCSICLDDFEEDVHEIRILPCGHGFCVLCIGKYYYPKKKEDAEVMDISND KNNLIVIGSGEDEEEESETTNSYAALPAVVSTSTLPHTMQRDITPRTSIDEVVPIVPT IPTETANNRPGSPNLSKVPKEDKSLSDTVGPGVTESSDTSSVVLDTNLLIKEEMSGFI NSSNPVLLSTQHEDSSRPVMSDVEERTIQQTPIDIVGDSTSHAVQHEATKLDTEETKN TLTHKHD PHYBLDRAFT_64325 MSQSKTPATSDLSLLTGSVTNSKKSDTLQESPQITRVPAKEGKT GKGIELEYRHQKVVGSGSFGVVCQVRFVKNGQKYAIKKVLQDKRYKNRELEMMKKMDH PNVCGLEAYFYSTGSRGDVYLNLVLDYVPETVHRVARYHAKIQQPIPMLQVKLYTYQL FRSLVYIHSIGICHRDIKPQNLLVDPVSGVLKLCDFGSAKCLVVGEPNVAYICSRYYR APELVFGATDYTSQVDVWSAACVMGELLLGQPVFVGESAIDQLVEIIKVLGTPSREDI KAMNPRYIDHKFPQIQPYSLQKLFRWRTPPEAVDLMSRTLVYNPTIRHTAIKLLVHPF FDELRHPDTRLGNGKSLPPLFDFSSQELSVDSELFNMLVPEHAKEMLVKRGLDLMSFE R PHYBLDRAFT_132644 MLYLTDDVGLLPFFLPFGIIGFYRYLWYFIKLVAWLVYKPRRPK ENPTYDPERDVTIIVPTIDAGEEFKIAARSWLACKPKEIIIITETKMLGPLQELANEV DPTKIKVLTVSKANKRLQMVAGVNATQSEIIVFADDDAIWKPTMLEYILACFEDLKMG GVGTSQTVHAVDPNGHQTVWEILAGYRLTSRNIEIASSTHIDGGVCCLSGRTAAYRTL ILKDPAFQHCFTNDLWLGKYPLNSGDDKFLTRWMVSHGWNTYAQVCKEAELFSTFKNN WRFVKQVLRWTRNTWRSDFRSLFTERYIWSRHPYVAFTMVDKLFNPLTLLAGPILVGV FASIQKNKEDRTSEPLPGWNIAISYIIWLLVTRAIKLGPHFIRRPLDIWALPAWLLFN YYFAIMKIYALFTLHEVGWGTRAGIGNELAADIGKQDIQIAADAADATQNPNGRNTKI EMSSVGNEPPQNRPGVYIAPPVHDPFWSEDDYRTEMGTDGALPPHTQLLQGQERR PHYBLDRAFT_143653 MSSPLAKDSLNPDFNKAPKKQMSSYSPRYSPLPRSPTREYLELA NQRYHCLKTLSRKKQLLILDLNGTLVSRTGSKGMYVRPHQDEFVDYVFENFQVMVWSS AQPVNVDRMCRMFEDHRPELVKVWDRTYFGLTPNQYNKKIVTIKDLKRVWSAFDNGEY DATNTILLDDSPEKTVLQPYNSVHLTSFDHLSSTFRAHGESELLAVISYLEKLRKVDN VCSYIRERPYISPSINLNKQEQEQGQREQEQQNENENELENLYKDNSFYCYHYEFLNP EAGRKMYCLDGSKSKKSNDRPVDVLENRMDSIRL PHYBLDRAFT_64328 MSTADPQKRKSSMALLYGQIHSVLVEKTQSRRFSASAATTNTNT TTTATATANKNTATQITREESPWATCDSHYDTDSSTTSTALNSPITPHHSEMQCGEPL KPSINPLAYTITAVQQQINECHRQQSQCEQDIKRLEYQCRARQEALESCVVGIVSLKN DLKVFNLKCLEEMSQIETIQRSKERAKRELEELGQRLFEEANHMVRLERREKERLQLT YDHHASELKHTQARLGATQKQLEALRRSVEAKEITTHEGPLEAFTRARIDLSRLHGQP LRVQWLPPPNEDGNSSVLAEFHEFALALPSVSLRKLHSLKFMKHCLGDDIEPCLRMGP NPLITSRKIIDAVVVKTCFVEACPDGFARSQVERYLQEQAIAATASLWERFAFSPHVS GCQACGRAIQQPEEEEKELSYRFRVSYFDDWACIDRYCRDRLASVMSFYDFIHRLRTG VYQHRTLWDVYQECVCLRLQMTLSRMGALPVVLEASGLNSSTIGKASTGLATESGLSR NTVSSIGRLSSSTESIITVSTLHSMT PHYBLDRAFT_20037 MIRQIAYGCLVLAVIIVTIFSLEETETSTRVQRLIALFGMLVFL GVVFLSSTNHRAVRWNTISGAMLLQFLLALFVFRSGAGQSIFSWLSTFCEGFLGKSYY GTSFVFGAAVADSGSFGVGVLPAVIFFGAVVQVLYYMGALQWILVRAGKVFMTVLGVS GAESIVACASPFLGQCENAMLIRPFLPHLTTAEMHQIMTSGFATISGSVLYGYIAMGV SGQALLTSCVMSIPCSLAISKLRYPEVSEPLTKGEVKMPESPEKCVNLLHAAGVGASI GMNVALLIIANLICLLALLYAVNAGLTWLGNFITIHELTLQLITGYVFVPIAWLIGAD NSDLVHVGQLMAYKIWANEFVAYDLMTTTYKDLLTPRSTIVCTYALCGFANLGSVGMQ IGVLTTLAPKRGGEISRLAVSAMICGAFSTWVSASIAGMLS PHYBLDRAFT_123874 MMNPTKNRKSLFIKCSLGAISLLLFLWLLVPTARLPSMPYTIQK NTDSGTGTDAPSSEHCSTPHPGRPLVQYAVMIDAGSSGSRVHVYRFNYCKEEPELEDE IFEMLKPGLSEYPDDPVAAANSLKPLMETAVLSVPSELQHCTPIAVKATAGLRMLGAD KSAAILEAVRSMLENEYPFPITPNQGVEIMEGRDEGVYAWITVNYLLGKLKRSAGANS AAIFDLGGASTQIVFEPTFLDAKETIVEGEHKYTLDYGHASYALYQHSYLGYGLNEAR KRVKQGIIELWEEEALATRKVFHPCLPVNHTETVPYKAENKTVTIELTGTGAGHAACR AIVERVFNKEKSCEQQPCAFDGMYQPPLTDTFKDRDLYVFSYFYDLSQPLGMPSEFSV AEYGDVARQVCAGETDAFKHVPQAVSLLESTPDYCLDLTYTHGLLRIGYEIPPERLVR TAKKIAGAETGWCLGAAIAMVDGVSVCRL PHYBLDRAFT_166658 MAASGRQNGTTKIPKTPKKAKPKAQTKEKKTEQDEMEALQITFY EIESWIEKTTPVLTRMTKELDKASHHFEKRRKKIDQEKQEKQEHLHPEPESSLSSLSP LPPPSIPSTPQIPSISSIPSIPSIISQELCVLGNDELQTDNPMKWALSFQPGSALRLE TNITSIEQLIDAVQKIRLLSNPEPGWTPDDEDDENNIVLCSNTNTMSLALEPDTPDAA DYWKGALLKRPQYCLEDYKHCDMNLARLTKDVSTSVLNYICQIYWDCLHPKFSANVQS FWERSGDPKRNQVCIDSEFAIVFLHGIRHQKNICANAHEIACYYYDRARDALMDFFDA PDCTTIETLENLSIFCTLCKRYSQARIYISLAMRMMIEFGMHKRATLPTDPVLRRKYL KLPMVLFYNDFTLSTYSGEPSQFDDKNWDIDLYEILELNKKMPDLDDRTLAKEEFFVH QLELIKINKRIVSLVEEYKRQQHTFPLANELPSRWAKRVQAHEGALAEWYDRTPDYFR VDPQSTAFSAASAGAATGPADQQSHGKGATHHDSNNCAQPIEAQAIRDQSALLLMLQY QHQWLLLHKTFLNPTVSSASSTSTTTSNSREASPRFSQRSDSPLSAIYVAQTSDRSQA ICSDAAHRIVVLAERINQLYRWCVCQQFVNCIYNASTIYCRMALVKDENSRRTATRMI QRVLCILATGCNRYQGLPNDLTESLNEFLLTNNLVNNKCKIEYNNEDYQNQHQYQHQH QYQYQQEPECAEESTSVDEKQFQDNLPNSPSMHAHCLSLYRQQKKHSRQRSSLAGSPK ADPFVAIHIDDLDEEKKNWRSIGWVFKYNQSHLVLTRRRYKYSSPNATQPIHRIM PHYBLDRAFT_19913 MAEPILLEYEPLSHRQEATKIVRKIPNATKTYVAGLFPIVQWIH RYNLTWLVSDLIAGITVGIVVVPQGMGYAKIANLPPQYGLYSSFVGLCIYCFFGTSKD ISIGPTAVMSLLVGQAVAHVTEVSDYTGPQVAVTLALISGVISIFIGLVRLGILVDFI PGPAIAGFMTGSAITITIGQWPNLFGLQGVDTHDAAYLIFGNFFKRLPTTHYDAIFGL LGLIWLYSVRWGTGYLTKKYPKYSRHLFFFNIMRNGILVIVGTLIAYLIQIGKASSPV SILKTVPSGFQAMGVPVVDTTLLGMVSGTLPSVVIILILEHVAIAKSFGRINNYKIKA DQEIIAIGVANVIGSFFGAYPNTGSFSRTAIKARSGVRTPLAGVFSGLVVLLSLYALT PAFYYIPNAILSAVIIHAVADLASGPKFWKQLWLISPFEFFTFVAAILITFFTSVEYG IYVSVGLSIVSLLLRIARPRCAVLGRVPKKTQGGTSGFEEDVHYIYVKKNHPSLHHLV EDAPQGVVIFRFDESLTYPNASFISDKVMHHIQDNFSSAVPISTKKGDRAWNDHRPLP KKGEVLETVQDNGPRLYAIVLDCSAVNHLDSTGVQTLLDLRLAIDRLTEREVEWHFAS LASPAIRNTLITSGFGTQAGRGPRTGELLPVVPAHRDGPQTTIDHTSTEQDIGHIHID MDEKKSMRDRDIERNMGSSEKPYDSESECSLYENVSDKLSYCPRASRGLPIDRYPFFH WDLEDAVRAASYTASRAIRNNLP PHYBLDRAFT_166661 MQSSQTSQENYYTAIKPTTETTGTTENGALSTYAQSTTTKNSQN LHQTMEIVVVSLSLLIITLLLVWIFCLRKRWQKNRVAPHQKLSSAVDLEKGYAVSIHA PPQAFYHPFLKSQPLSIVMPEKSCDTNLVVVFAGQTQSQSQSQSQPQSPLQTPQRTHS HSLLNKPTRRYSSPFVDIPFRALMHSAIRTPILTNSSLNTLPRVKLPRHPMGRLYGRR AVSFTPSRKVPLQIACWMVSRKNKSAASWYETQTIENDNKYKRSSV PHYBLDRAFT_110754 CREFLAEFIGTFVLVLFINGVSAEQTLGVGGTKSWLVTSFGNGK FISNKTKEGAHLNPAVTLTFWTFSHFPTQKVLTYISAQILGAFVGAGVLYGIIQPAIN EFDGGVRQILGPQGTAGIFATYPPLYVGIGPAMGSEIVGTMLLLLIIMSSGKKNNMPY QSMQGFVVSAGLFIITLSLTYTSGFSLNPARDIGPRLFTLAAGWGVEVFTASDYYALV PIFGPIVGGLFGGLLYKVFIDHQSIDDQVE PHYBLDRAFT_143661 MSNSSHPISPSDTHDKQEITVGDYVVNAKIGQGSFATVYKAQHK TTQRIVAIKSVSRSKLTKKLQENLESEISILKAIRHDHIVGLIECQNATKHIHLVMEY CSVGDLSQYIRRIRSHKSTRGPAGGLPEHVVRHFLKQLASALQFLRSENLNLLLVLPQ EHPNPRFRDSEIPILKVADFGFARFLPNASLADTLCGSPLYMGPEILSYKKYDAKADL WSVGAVLYEMITGRPPFRAQNHLDLLKKIQDNGDRIRFPDEKQQQQQQSDPNNININN NNVSNSNTPNNINNSSSTTTTSSSSRQEVVEIGADLKDLIRKLLKKEPVERISFEEFF LHPAVFGTPESTETGGQSEEESEEEKGENETIGNLNGNGVNGEYGYLDRYRYIDNHNK AKDKGKGKGKGKSRINVQDTGNTNGTSNNNRIYSRSRDSNNSDNNNNNHNHNNYNYDT NENDNDREYRQYNNRQQSPNTPPSRSSSTVSARTPRSSDRPALVSKSRYDPASYEPPP FANPPNTPRQALERRRSSRTENRPTVNHYQSENTFDNTPSISNLGSGSGSRYGSPSRG NTGPEDGEEDVLRGYVVLNRKLIETNQFADDLDGRTHQGKITGSGSNAQHTPGTSPVT PPPIVRERKISTGPGGSALTKALSMASVRLFGFAHPPQNTSKLPLSRVGSPKGFLETN PDNGYSGGMMSEIEQIACMAHAVACMADSKYEKLTRGAGIDPNNNSNENYHQMAEEAY VLHIKSLALLEQGLDTARKYWTRVSDEQARLVFGRLNESVQWMRERFNECLDRASHEG SKIGDEEGSTFVQKLLYERALESSRAAAVLELVGEDFPKCEQNYQTAIWLLSAIMQHE EEGIVLEENDRRIINKFIDSIRTRISALRRKMKRPTEDPNTAFLL PHYBLDRAFT_143662 MDIGYWALALALALDIGHWALALFNRIKSGLLVVLVAVTAHAIW RAHRYLIFKESPFLASVVAARASSVVALNLGMRMSLED PHYBLDRAFT_111068 MVDYTNLYIKNLDLRINSRGLFDYFAPYGRIISARVMTNKEKKA SKGFGFVSFGRAEDAYRALQEMNGKHIISKPIIVAFHAPKKPRS PHYBLDRAFT_158399 KKQKLGDQLFPCVKATGVRQAPKITIRLLDTIPLVELAYCMFDP PALKKKVDRAAISLEQSIHST PHYBLDRAFT_186394 MQPMPYYQQGYQQPYPPTGSPAASSVMSAGPGMVGHPNGLPPLQ HPMDMNQNQAALAAATAAQGAKRKQVKNACTNCQKACKKCDDSRPCPRCVKYGVADTC VNSVRKERKKGIKRGPYKRRLKGDSDMNARAAAGPDTIAASQVQPQAGAPAMRYQMSF GYPTNLNQYGQPTYDAYSQYAAAYHKDQMMPYVNPVYPSMYPVMAPNPDGSGSAPATA HVYQTPQQHQQQQHHQQQQQAMHYPNGMMHPGPQPPRPDQSQQTLQQQQSGPPPPPSQ RGSPHPHDPKDEVFAKPQPMTPVPSTSTSSNTASSADSAEDDDGSKFARLSQLCSAAL NQTDSHA PHYBLDRAFT_143665 MGASISRLVSKLFGKKETKILMLGLDGVGKTTILYQLKLKKTLS TIQTVVFNVDFVNYKNIKLNIWDVGGQDKIRVLWRHYYTGTQCLVFVIDAHDYDRIDE ASRELHRVLADQEMQECVLLVLANKQDLPKAMSPATVAKELNLENITQKYNVLPCCAI TGKGLQEALYWISENIKAAARLDS PHYBLDRAFT_123877 MDLDTPYANLPPIPRPNEPIETKRARLAYQARKRGILETDLLLS TFAKIHLPLFTMDQLVEFDRLMDEPDWDIFYWATDKKTLPARWQGSKVLDSLRAHAKN EAKVVLRMPDLVVKAQD PHYBLDRAFT_132653 MNTENSSGSNSSSSSSINNSNNKNYRNNHRNHKAVVAVKTFRKR DRDETERSFDKRLISEFCISKTLSHKHVVEVYDLLKDSKGRWCSVMEYCSGGDVFSVL QNFNLQDEEVDCLFKQLLLGLKHVHESGVAHRDIKPENLIMTADGVLKIADFGVADVV KSCFDDHERTSFGQCGSEPYWPPEVLQLNHLTGYDGRAVDVWSAAVTWHCLVFREIPF VQASTEDPKYAVFLARRETQTWQPLSKCNTAEKECLYGMLDPDPETRWTVARCLTSDW VRSIETCDAGRTQMGGRHRHHAV PHYBLDRAFT_96465 ALLSILVPQGADAAVMSIDYGTEWFKVGLIKSGIPLDVALNKDS KRKTQSVVTIRDNVRIYGSDAISLAGRFPQFTYFNLKSVLGKPYDDKHCEEFRHRFVN TMVLDPSRTNMPAFHHNDTDVLTVEELIAYQFQTARQQAINTAGEDVKDVVITVTPFA NQYERQAILDAAELAGLKVLSLMHDETAVALNYAMNRQFEKTPEYHIFYDMGAGSTVA SLVSFSNAEFKEGKRTKSHPQIEVKSVGFDQTLGGYEFDVRLQQHLAKGFMKMHKNAV KTDITASDGAMARLLKEATRVKQILSANTETGASLEGLHEGIDFKMKVSRVELERLCA DLLERINKPIETALLAANMKVDDIKSIVLVGGSVRIPSVQRALAKSVGSAKIAKNVNG DEAAVLGAAFRGASLSNQFRLTKQIKIKDITVFPIEATYEPENSNNGAIHTTIFKEFG SIGTRKIVTFKRTTDFEFDLAYGKNPTTKEKDHGLDKIAKIKVTGLTEALEKYSEEIK ASENPPKVRVTIEMSESGLISVPEASVSIEIGEGSKSTFSGSSTADNADADADNAAAA AAAAAAAADGSDDAGSSQNATKIPASTPPVKEKEPTVTKISLALEYIPTGPLPLSKEQ KAEAAKRIKALDTLDELRRLREESRNALESSVYRLQDFLYDDTVGIVSTEEEQEKLRE HLSEISDWLYDEGEHAETSANVDRLKKLQLLEQPIVFRRTEYLERPNNIDKINKGVEQ ARTFVQVIRATPEEDRYHTEEELDSLLATAETADKWITEKLAAQNVLSNFAHPALTTS ETSVIHRLVEDALLKLKAKKKPKVSKK PHYBLDRAFT_180792 MKDASTSEDDSNLLVLIIDTNPFVWAESAKATVPLSLDDALRQI LIFINAHLALKYNNKVTVIASHVGHSKFLYPLPNEETVQIKSNNGSRRNANMYPNFQF VTDQIVSSLQELFANTDPSSLQEGPGTSSMVTGAFSMALCYIHRITKQDELGHIKPRI LILSVSPDSASQYIPLMNCIFSAQKASIPVDVCKIYGEETAFLQQASNITGGVYVKVD NSQALLQYLMFAFLPERYARNYLNLPNQDQVDFRAACFCHKKIVDIGYVCSVCLSIFC SWSPVCSTCKTKFAFRPMLPPAGNRPKIGGPIRGSPAPN PHYBLDRAFT_123890 MASVRSLSFYYKLVDKIGEGTFSTVYKAIDIRHERYKNEDWEAQ LISGASVGRKIRGREGATDAQIKNRSKFVALKRVYATSSPKRIASEIEVLQQLRGCPC ITPLITAFRQQEEVFVVLPYIQHDEFKKIFLQMPMEDIKCYMRCMFTALKSLHKLKIL HRDIKPNNFLYSSRDKMGILIDFGLAQVSIIFSIYFFLATTPSGPSKLPIRRIPSSID QTDNPKVRGYMINDQRRAIRANRAGTKGFRAPEVLMRVVNQTTAIDIWSVGVIFLSIL SGSYPFFLANDEADSLIELACLFGSNAMKECARFHNRTFETNIPSINQGPYNLGSICK TLNSRMFEAWNQTDLKNAIDLMTKCLALKSDERITAAEALEHPFLK PHYBLDRAFT_158403 MDAHDNFSDAVHQSYTNHITRLLSQTSPRGRSSPSRFDSIQDAP TEPLSVTSTTRTIKRNRFQKVEANEPYAPSPQRHQMQSALSIDQSDYQLEASQTQIQK TMSTNTETSNRLANTTGGSAKDVTMYSPRRRRFAGDYDDEDDRPRSKHKTANM PHYBLDRAFT_132663 MPQYLVQFAQFHDEFRLPEFLSLAQLARVKYSYEEGSYSLDSPF FKVELESDEAARKLVERAILIKNIYELWGEGKNYAEVHTMVKENPERWPAYNEKSFKF SVSGFGSTISVPEQRDIINSFSFLAFDGPIDMKNPEVQFGVMEDYGSEAGKLGAVKKE PKHIYFGKLVATGGRDVVNKYNLKKRKYLGTTSMDAELSLVMANQALAAPGKLVYDPF VGTGSFLFTCAHFGAFTMGSDIDGRQIRGKKNDGVKANIEQYSLQGRVLDSLVFDVCH HPWRTKAWLDAIVTEAPYGVRAGAKKLGRKDETKTPLKMRTYEGEPMHERVDYYPPTK PYEMSEVLIDLLNFAAEHLRVGGRLVYWLPTVVDEYSNNDVPQHPSMKLLSNSEQNFG QWSRRLITMEKTREWDAKETATVEISEGVVKEEAPVPEKQEHNEEDKDEKRPGHFLFR EKYFNFGRQETQN PHYBLDRAFT_110931 MLFGGIYFIVKLLFLQLYPAYICYKAIKVNDPNQFGPLLTYWVV ASGFLVAEYLTDIFLFWVPFYTDIKFVLLLWLILPQTQGTLVVYRDWLDPFLSQHEEY IDKTLIDIQTKARQTISLHLQTLLYTLRNVIIDIIKVRERKKL PHYBLDRAFT_166674 MSFSTVNTIKANLRSASAFRLLSSSTVGAGTPAVTAVVAAKAAT AAAVAVGAGASNTVQYRSFYRTILEPKDDIQDMLNVIESLKADIASLENTYHLKQNAP PPTLKTSQREPEELQSDVLENVITEASFYRTTEDDGQTRTHSFEAVHISTPTNSPRVG FEDAIVSDYFEK PHYBLDRAFT_64349 MLDGFGIVMMRIRAIGDAYFSDLDLEEDVQNEELTPQYIATENV DKLQLYDDLSPEYTYLAEAKIYQAKNQKAESKDVERVFGVFQARFAVISRPNRMWIKD TLYGIMTEYTMFTLYGC PHYBLDRAFT_166675 MKTNFTLDHCHQVLAREKKWIDAVMKSVEKKRAKNSKTGANSVA TTTTTTTTTTAAATATATATADVDVAVANTLEEADSGSDISLSPPTGRTQQMVTNEPK KRSFQEMMQTFSARIDETTTLEVNDTSDLTNKVAKDQAAAQLLLVKEQIRVAEAERLV AEERILLTKAQRLIAEAQFIAIDANEIKDPTKRQWMLNMQNKIMEKGSGDSRNNVDSN PHYBLDRAFT_143676 MSPTQRRFIIRRAVSDESITPKTTAPLSFANNASQKTSVFTTTK AQVAPVSSAAASAKPSSKTSKTTTRSSLISKANPPSTPVVLYTSPTSSPTARSTNSAL AAGSDSGSGGISGGGIAGIVIGVLAVVAIIAFALVRRRRRNIREDQRNSRFFNTPSNV TPAIVHGYASNLQSPYSEKQNTISVSPYSIKQQQQQQTAISMPPATLQNNYNNINNQH TNQESMMYSNQSNFVTPIPITQEKAVSTPAPEETGAADVWQHPIGTFTVITTFMPSLN DELTILPGDRVKLFVEYDDGWCLGVNESRGNAQGVFPLHCIREPPQESRPNSSMAMTV PSVSDDHRLSKRGSSVLRQKE PHYBLDRAFT_77701 MLIYFLLFLLRLLFLSLLLMTISKLPLEIFLVIGDFLGTNDQKE CSLVCKIWKETFQRVLWKELKISSHDWIFRFHKCVKRGALTEKGRYVQSIKVVDLDSP MDTLIPMLIRRFPNVKKFEHKRAETYNDHFVQTNFSGWKLLTHMSIEFDTYAVISDPL KLFEALSVLPSLTHLTLSQTHHSVDRKNFTWRTLEKLHYYLPRLQYLKCWMCLQKIDY AEIKEFTKVKPAKAIKSIYFGFDSFDGIWMYFISSIYPLLETFDLMVEEGSIEPTPSD QKALDRLLALPPFCPFLKRIYLKTYEVDGMQMSFILNNIAKSGAKIEHIEISCFINLH APVLPANQTACTQLFSESLKSLRLIAHSYDECMTFGDFSDLGAHGFSNLVHLRIYCDY EEFGVEDILYGCQSLIELELDNAQLSVNKDFPKHTTALRHGLLRLKLFESLVQPGFFE YISNCCRQLEYFSLKDVEIESPTFEEPEYLAIRMPFTQLSTLLLINLKMYTNSNLLSG TVHIRPDSTLSDEEDGHLVEVYLSYSRTGKSYTHTTTGKLTTTWDRTKNDVPKGVFYF RDYSRERNRIYRAIYHPYEGLDDDVTYTPITGNFSTALSNTNENKEEV PHYBLDRAFT_186402 MIEKLPLEILLIIADLLEARDKKTCSLVCRLWKDIFQKNLWKVV KISRSSWVDSLERGVSKYTLKENHNRVHSIEINNYMCRTNSAICKLINLFPGVKYFSY SASRGRIWSLSMTNLSGWKSLTHLSLKFGLRNIPTITEELFKSLSVLPALVNLTINQK PPFSSNTFITWRHIDQLHSHLPRLKYLKCQMNLQKINPSEITELRNIKPAENLRTADY SAPRLDMQWIIYLALKYPKLVTLYLTVEKRRDLSERAARTSKSTKGLEFSALYSQLFK SGVKLEKIETSLFTNPPGTKLPANQTACAQLFSATLKSLQVGRCYKEHSNLKYSLTDL GTVEFSSLVYLNILCARMFLKIDNVLNVCPSLKKLEITSPSIDVNNQKTTSTFKHGLR HLRLVNTTIKPLCFEYISDSCRQLKYLSLKDVEIRNPDSENNTCLYLNMPFTQLMTLS LSNVRLCNYPSFIFSSQYGRKFNDSAQNEQVCFTEVYLNYSRNVRSYSYIVSDHLLEE WNRIQKIAIITPNDVLGYTEALNKQNNGISIMNSNCAGPSNEIFKSGDCFKTNVEFFC IVFQCKAIKQTIINDRSSYGCSTPIFRFNN PHYBLDRAFT_64354 MSQQIDKKSLKRRQLTDYERGLIIGSATQHVTFAEISEKTGIPL PTVYATVRRWKKTGTALTEKRKGTTKILNERDLRHLKNEMKSNPSATLGNLTTSMAET IGRSISKSTIRRAIRRLENDK PHYBLDRAFT_143680 MFEKLPLEILATIADILQTNDKKSCSTVCKRWKTIFQKSLWKVV KISKRSWIQSFEKRVKDDVLRENYHHVQSIEIYNIISRTDNIFPLLVNIFPQVKTIFY SEAHCNIRSLTIANLSGWKLLTHMYIQLEYHAKLIIPEDLFKSLSVLPSLVHFTISQK SPFNLRTAITWRQIEQLHFHLPRLQYLKCWTNLREINPIDIDDLQKITPANSLKAVDY GSSRFNIQWIYYIGLKYPRLERLNLTIDKESTSYSDSQDGNEAKVWPDLPCFFPCLKS IYTTDNGESGFELSVLYSQISKGGAKLESIETSLFHNTDDAEFPANETACVQVFFATL KKLRIFKYRNVSNTFTPFWQAADLAEFSRLVYLRISSYSPLFEVDNVLDVCPSLVVLK ASHMHITTRIKHHAFTSKHGLQHLKIDNASTCQEFFEYISSRCRDLKHLSLTCAEIRS TNSEKTKRLTLSMPFTQLLTLSLCHVHLSDYTSLNFSTGQSCRYNTLAENGESYFTEI YLYYTRGVETCFCTLSEKTISELNIVQKIKSVDAGYFLEYFGKPKEENKSCAILSSKC FEKVNSNDSSDLSKEGVKDDEYFKANIEHCCVVFQCRSIKQVIIDKSSFYSCMTPYI PHYBLDRAFT_143681 MIDKIPLEILDNISDYLETRDKIECSTVCKHWKDIFQKSLWKVI HIYEPHWMQKFKECIKEDILKEYSNSINSMELKDIKDTHTTFQELIEFFPQVRNLSYL ASEGYFYSLFMVDLRGWKLLTHMTIQLGNETILIIPENLFWGLKGLPSLVHLAIEPTD QLNLHTAITWRDIEKLHLHLPRLKYLKIWMTLRGIESTDIDDLKKTTPVNNIETVDYG SLRFSISWMHYFALKYPKLKTLRLTVDKDDSAYLKFHPNTEKIKAPSDLPCFFPCLKN IYTLNNRERGLEFSVLYNQIFKSNAKLENMETSLFTNSDDTNFPINESACAMLFTATL KRLRIFKGRVFSEDTALLLHGRGVEFSKLVRLTIYSKSESFEINNILDTCPSLKVLEI NSNFVCVEDKKHLSVSKHGLRHLKLIEASIELECLESISNRCRDLKYLSLKYVVIRNT GPETPNFLPVNMQFTQLSTLLLFNTNMFKYPNFIFSTGYSRKDSSLTSKETRFTEIYL YYSATEESDSDSFYKKLTSERNVEESLQEGSDNGVIARSNYNEDLEDSDSIYSQKDMT RRDGVNLEQHIEYGCVLFQCKSIEKVIINDSCFYSSSTPIFNCEANNSTS PHYBLDRAFT_166681 MLYSLQTLERYLSKSSLERYIYKTNWIQKFKKHVKHDILKKYSK DVDTIKFKDSKHTNTKIQQLIEIFPNVKNFSYASTGAYHYLVDVDLSRWKLLSRMTIT WGLRNRLNILDNLFVLLSDLPSLVHLGIEPGYHTHPNRPITWRDIEKIHLGLPRLKSL KIRSDMDRIGPTEIGEIKQATPTNTMETVDYASMGFNLLWMQYLAIKYPKLKTLRLTA STIRATNEKLTISPDLPNFFPCLKSIYTANHSYSRSVFSILYNQIFNSGAKLEKIETT HFTNYFDTESPPNETACAALFTTTLKSLHILQNDRRTSGISLFLHDSDVKFSKLVHLN IYTSGQPSQINNILNICPALKVLEIKSELKYTEERRPSDILNHGLQRLKLTQTSLDIE WFESISNCCRNLKYLSLEDISNKSTPREMPYCLPISMPFTRLSTCLMILNSSLALVIA AKTILWLTTKHVLPKFILIIYQQKKSRFVPTFDKNSYDSNSSCLDEDYGGHGIVPKND STYSCMIFQCKSIKQAIISDRSFYSCSTPIFIRVKNDILKKYFKDVDAIRFEDSEDTN TTIQQLIELFPNVKNFSYSAAGDFQSLIDADLSSWKSLTHMTINWIYRHNVIIPENLF VSLSALPSLVHLEIKPGYRTHPNRPVTWRDIEKLHLHLPRLKSLKVSSDICVIEPNDI DELKNTEPANNIETVDYVSMGFSIPWMYYLALKYPKLKTLNLTVEEDVPVQGAMWHRY SRPEPIIEKMTVPSNLSGFFPYLKNIYTSNKRKGRPEFSVLYGQIFKSGAKLEKMETS HFTNSDDTKFPLNEMACAALFAETLKNLRIFRNYSLDFEIVLFIHDPGVEFSKLVRLH IYSTTQSFQVENILSACLALKVLGINSHLGCKIKKRPSNVLKHGLRQLRITGASLDTE WFEYISDCLRDLKYLSLEDISIKSTPREMPYCLPISMPFTRLSTLSLLRIQMSYDSKF IFGTGYSRKDNTFTDNETCFTEVYLNYLSTKKSRFVPTFDKNSYDSNSSCSEEEEYCG DKRVYKRDNIYYCTIFQCKSIKQVIISHSSFYSCSTPIFTVQRE PHYBLDRAFT_158404 MSLTTINSSLLRTLFGIYIFIAILSFAQAVSFVPRGYFTDKTVE PTYTKDQKDDVLINL PHYBLDRAFT_132671 MCRLLLYKGKQPILLAHLLTNPAHSIINQSFDSKLRLDHRRPLN GDGFGVGWYDSEPEKDTRITPCIFTSVTPAWNNTNLVRLAEKVKSPLVFAHVRASTSG AVTEVNCHPWNYGRIMWMHNGGIAQFDKIKRKLQNSLPEELFLFVQGNTDSEWAFALF LSFLSNPNAERFEHQELKEAMVKTIAQLNTWTEEEGIKEPSLLNFAVTDGASVVCVRY ISSKTEEAASLYFSSGTRFECYQPGYYRMIKADRREDMVVVASEPLTFEKADWLTIPT NTVLVITPKLNVLLQPIKDQYYTGDRGLEVKVGETEVARIPEPKAQLFKAQVEEQMRH DRPMSVI PHYBLDRAFT_99290 VNLNDLQATSDGKSRQEHVLILTPLKNAQPYLARYFELIDRMTY PKHLVSIAFLVSDTTDETVQILKDQADVFLNRRDPRNRYHHIAIYEKDFKFDMPENER HKFELQPVRRSFMARSRNYLLTAALREEHAWVLWLDVDVVEYPAGILEDLQSVDVDIV VPNCLRETEDGSFWGYDKNNWQETEQSLAIQRDLDPDYVLLEGYYEFLTGRYLMVDME THLPKLNKVPLDGVGATFTLVKANVHREGANFPPYAFQHQVETEGFAKMAKAMGFGVY GLPSYIIYHI PHYBLDRAFT_166684 MIIANLKMVPLITKTRLNSTAHVFDPKTTHASFIYSATTTCVPG TTCPVACYRYDTNMLEIRDLGVSDYKVYAIMSANQLKGFENRELYIVSVEHGIIHGRV HLYIMACTINPNHQRHCQLFVFDPFLMTCYPIILDRPMKGYSTSVAISEATYRKADSG KNYECHWIACGFSDAALYVIQFYPDNLSKRMIHPCTLLRSRVSGGTSVAIELLDSSPV HASSVCILVGGINGLVDVLVCKGSRHWTMNPTISFVDLEPILPSSLPVVSFQLIQSDK GSSKERLLAVCQGLFDDHQYSEAQAATSIFRIDFTDLSCRFVQTTYQAKSLVRSRILS SQLVPLAEQHNVCHLWQCVLDTMSMRAELWELCNGSLKHLYSVDLTKTMNMSKTLQGV SFHADTQTCMFLYEDDIINTKMNLMWEQADNSQDTLILTE PHYBLDRAFT_30672 MSLEHVCIIGSGNWGSAIAKIIGNNVHKYPQQFSPTVRQWVFEE MIDGKKLTDIINNDHENVKYLKGVPLPVNVVAVPDLVDACKDATLVIFVLPHQFVRGI CEKMKGSLSPGARAISLIKGLEITPSGTRLFSEEISRILDIPCAALSGANIADEVARE RFCESTIGCESVEDGQVWHRVFHTDYFRINVIKDYTGVQLCGALKNIVAVGAGFSDGL GLGSNTKAAIIRLGLMEMRKFGQTFFGDMVQNETFFESCGTADLITTCSGGRNRKVAE AFVKTGKPIAQLETELLNGQKLQGTLTAQEVHEFLTGKDMVPEFPLFDTVYRIVYEGA SPEAIVRDV PHYBLDRAFT_77705 MPEPTKEQIQLVFKKLKQNRYNKSCFDCNSKNPTWASVSFGIYI CTDCSSAHRNLGVHISFVRSTVLDSWTWSQLRLMKVGGNQPATEHFSKSGSGSNKDAR TKYGSKAGQQYKELLEKRAAEDLIANPTSVVIEMDSNAEQEEEVQQTTPAKKETEEAA EEDSEKLSDSKTETTPTPQPPSQSQSPSSPPPPPPQSSSAENPAEPSTPTTIPTNTQA RPTIASARSSTRTTIGARKTGARSGAKAGKLGIKKAPVNFNFEEAKAKEIEDAERKAR LGYSEAERSEASTEESAQPERLRAAAPTSSRLNYQDESAKQNEEVEYEKLGFGMGRID LKEKSGERNAGNNSSSANNGNSTNGRGGSKSGFGSMPRVESYGEENSQSAREKFGNAK AISSDQFFGRNAYDPAVAAEQSARLSQFKGARAISSDQYFGREDDFDGSSTGNSGGVS LGGSGVGMGGAGDWDALQNAASGMARTFVGQASADLDAVKDLAENATAKLQDIFHDLQ HRYNY PHYBLDRAFT_158406 MQKLHIFERHIPNRHKSPRWLVRRVEWVERVPNSIEQVAYQIVQ LEMALLWTAVTEAWINERETWLTLVASARSERHLAGALISLERHTLVMDEQWTEEKER WVNELLEMVVLPLSHG PHYBLDRAFT_143689 MISQNTISATGTRKIRPKNVVFNLIYYIPRFHQGATPTLPKATI TNTTNQLMEALCGNKKKKKRPVSASTSNGTASSSVKALYDLHISTVSKRSFLPNFHLA FSASVYTPSSP PHYBLDRAFT_166688 MPANTADLQAASLREESGALPPPSALFGSSRFYSTAAPSTTATA VPASATAGYCTEPTESPSPRPVFILPPPSSLPGYHLPPPHASIPYIFPPTPSPPNLSM SSRSSDAMSEAPVNNNVSGAGTGTGAGAATGAGAGTGTNGAGGASAVGGGHSYGMYYY PHQPYPMSALPQTQNSTINNIHHGDDSSNSSRLDEPPVNTATNAPNKRKRRGAVEKKK PGRQSAHQSPPSTPPNNYHYEVIELHQHEAVSAAAATLASFATASPYDTTPPSTPPYF IVPDEEEDECDDLEEAACVGLGSLECTHCGVSFRHTNCLQRHRLEHDDSWKELQGRSL SKQQQAQLLEAAQILMDIARYGMRVRVVN PHYBLDRAFT_143691 MSISSYISTHRTKKPYKSWLKDGVNNGPNSMDILIGWLTVKENY VMWRGDSTDRTPKKLLLQDIIVKLNQAGIYHRVPKDVASKMSTLQSNYRIARRWYETE GRSLLQKGVQEAAVHNELLKRFPYWDALHSVFNPSKQEPITTNATTTTTTTTTTWPMS IHYILHSADDGLISSPETDHEEEYVKHPDHSSQPWYQTSFAKNVSNCAPVESTVPAPV PSRQRGVSCVNTQLGRDARRKKRSQEMFDFILEKRIERDRVLLEKEKTRRIRAKADLV KNMATAGFSRDEITFQLQLL PHYBLDRAFT_64367 MTQSPCNSAEAKVENKTILNEALPSEVSESMQAMAAVMSMSDAD CEDGSPEDDDSETGDYWSEDDYEVIKAYYENEEDDEDETLLVLNDQAQIMPSYARGTK STAIPQDIIKNPKKKS PHYBLDRAFT_180802 MAAQANYLDDKFAGTYQKLFDTIERGVDQCSTDLLQTLLEEKTN SLSLGLKAFNEPSSQSRLKLKVGTNISIEGKTIVLNKEEVDLVHTLSTFLDLNELQCA LLWNAYRQENDNHSDSAKKAYGYQMCEDTTLIINFAEYYFQDRIAILQCVTSLIRISR ESTHPYTQIAKNAIKTINVNTDFADKIIIQLKNLVRFDVPSQFFSYAPWAVMRARQNL KEEYALLETLFLIHAFEPCPPKRAFTIIQEFEANCFALHQTCGRLLSQQDTDLFHRVS YMCELVAVQVLGLENLPKENISNEQGNTLLKSPETILDINSVVAFLGNSSSHSTFMLA WSYFLAFLNSKLDNTTDSLDVYSNVKTFFKGELTVTPAKLLTSRPVVDGANSEDMARK KPVINQTADVFRLYASRSIRLSVFDHIIAIIQSTVCSEENPNKSTCRNTLRIFLNSIL SWTRPCFLPTESYPSLVKCTQLLFKDEPELCAKFWKEDFVPNDTLSLIATTRKRFPAR FLDFTQLLASLTGSADNVETSKDSAKHVFEYMTTLETLLVNIKPSANVSARKEGQSTI VKAENYLVILREGSFVAELIIPAGTEGKLLENSNGSCLVQWKFKYSGWGFLTALLGKL IEEDHTVNQTTDVTNIGEHEGIGKLDIIHSILELILKVMENNPSLAGQLVEHVEKDCH KSHKFITPGSPPTLITTLCSTFTYCSSHESSCPTYITTSALRCLTLLLPLYRNDIWTF LESSPILAFSNSTNHITSNFRTPYTVWIAPGTKIEELVSNMECINGRYPLLLAFLDFI LALVHDIQRSWWVGNEASQTPHKIKTPRQYQAAALFDCLYYLTEKVFPSYAAWGYQSL SERYLIGSKVLSIFIQIERYFKEADATPKDEVSLGRIREYLLTHFLYEGGEHHISPLL DIISDGATTADHLYRISFLKEARQAEKLAELGLTFVKMLLQTQLSLVQKNKAQPESML EKLMLARKTDTNMPNFFLSIMKHIHYRHSIVLPILSTNVASLLCRTLATWKTVPPLVQ HFGTADQAKDIVRCYLEIAKDHFQNERLLASIWQMITLLLESQPTLGVLFLECGEYIM PSPKSAVKMLTREDRMDNNNNNNINGRTPAGNESAVRSAVDILTHWQMLAVEKPTVLS NVLRFLATFWETAADHRLLLQRIRIDNALWHALGQIILNKGDIENQQKTPLELRKIQS TIDSELDSTHTTSVSSHNRVVRRLCCIRLSKAFALRIMTFDIHLTARSNYSRSNVTSS GVADTLPAGLKNLIIKISDASTLSSIQDTLTKSSFDPKLSVLVQQDNVDLLNYIGVVN VSQLLTSVGVVGFGDDDADGEGRQYGDSYLYDLRLAEARIEALYKTNIPAPTQQGHII ENGNNEPVPDKTIKKASKKFLLDICRANHNWSLVDSDLILLRSFKLFIETCSCHVSNL IWSTRNSTARTISLFEFVEKLVTQATTEKRDSSIALTSYSVMINFIRAMTEDWIDSNR SILMGPNKAAKKTYIENMFLLVIKLCKLLQKENFALKTNLDNLTATTFHRPLLETILL CMRTLRSSRGIVRELSLSDRTTRSLTGPLNELMEISCESFLVIVKKAMQSNATSTKKD DGSSEELIKDFNVVIALLEELVNGPYAFQQDVWLAIFSRYDTFPTLLQLIHAGIAVVV NEIESQVKPGNEAKTILISPYAQSGLYLLLTLTKTPEATEKLEQAGLFALLTNNALTQ KLVGNGIDVFLRFGGQDEKPACLEHSPLHNIWCLMLGVTRNALHASKGADSVLRGAAK FMHLYKVQTGRAFSNANNNEGSMVVLGSSESLSSPVLEEMERISMVMFSLAKNADRVK DYALDLFLSYKECSLTLLQSYLYFLTHPAHMQAQLFPMNKRETELGQTFTSPREHISA SEPKTSLLMYLTVQKIARILRNILLSLNILTKVNITLNRPSGEWPFGNIILTPYIHVV FEESVSFGTVLECINAGLRMVRQWKSQGCLVKGDPAFNPEVANKTMMDVVQACAYLLT TQVMLFGKKPGLSAYSRDEIETTVLPCVNEVLSRVYDRSSQKKDAAVKN PHYBLDRAFT_186408 MEIPRQQFIQRLPTIKKAIDECDFMAIDAEFSGLHRPGTSKRID TLANRYAEYREATKRFIIIQFGMCTFKWDGPSGRYISKPFNFYIFPTAMTGKVQANKT FMTQAQAFDFLSKQQFDFNKWVYQGVPYMTLEEEKAYIRDATQRLNDAMPDIPIDEKE RGFIEAARKEIDAWVANPNPEDAEGVNIIAKNAYQRRLLYQEARNRYEGLVAEGKPGF IRIARLSEKDMNKRTEERRKQFEKDCEGATGFRRVIDWISESKKIVVGHNMLLDICHV IGQFVEPLPEDVQDFKKLAHRVFPNMIDTKHLSTYVPEFQPLFGSSTSLDILRFETNK AEFKNPRIDMDWEFPRYLTEKAHEAGYDAFMTGSVFLKMVSFLDKARNSPEEVPEEEV EPVEEEPKEKEKYDDGWEKSDDEEQDPNWLNEPEEIYNHGSTKVDLLDDQQKPVPILE EYINKAAMVRTGFEYFNFVNPEVITRQSNTFYVSTNSGPISCDYVSKLFEVFGKNVVD VIDETSAFVVYENLREKPDIVKESVTQAAAASAGAIHDLTIKTVGDYLDDIQRDS PHYBLDRAFT_8505 KCTPLLYAAERGHLECVRVLLENGANIESGNKFSKTALHLATIS GHYKIVQFLLKEGADANAHDTSLNRPVHYAAAFGHLSVL PHYBLDRAFT_103870 EISHLFSVNRFVEQGRFKPFENNKNRKLLWHGSNTSNFMGILKQ GLRCQPQTTDHNGAQYGNGIYFGDMFCKSISYSGNNTGFENKAYKLLLLCEVA PHYBLDRAFT_143696 MDLCKAFDADSISASPGKLKFLDFMSLQMGLLERRTGETQGPIT SPEPRYFIVIYHTDQPRPERRHQYL PHYBLDRAFT_110721 MKAFVVNKWLKGPEDLVLQNNVPVPEPKEGELQVEVKAVGLNFF DTLMIQGRYQIKPPFPFIPGAEFSGVVTKSRAHGFKAGDRVFGSGYSFAEVISVPAKS VLAMPDHMSFEEAAGIYITYPTSYSALVLRGQLKAGETCLVHAAAGGVGIAAVQIAKA LGATVIATAGSPEKLEVAKSYGADVAINYRDKDWAEQVKKATGGRGADVVFDPVGLVE ESTKCTAFSGRILIIGFAKGTIEKIAMNRLLLKNISAVGLHWGAYVKHEPEKIPLVWA ALFDLFKTGKIKSALYDKKYSLGTIPEGMHAINERATHAKVIAVVTQNESKL PHYBLDRAFT_104006 MVIDNQYQHLIAWNYTGSSFIVCNIMEFSRDVLPKHFKHNNFSS FVRQLNMYGFHKVNKSPRGHRTLAENQIWEFSHQKFLRDRADLLDDIKRK PHYBLDRAFT_132678 MTTRRSSTRTQHMDIDPKTSLKDEVNGDRVVEAQPKVHSETKKA STAKKIVAKGLPDSEDTLYLHRVKRYRYLLGQTELFAHFLNLKNEQDEALQAVLREQA LEKQSEEGSRRRRKTEKEEDEEILKDESGETEEQLTVFTESPAYVTGGTLRDYQVQGL NWMIQLYENNINGILADEMGLGKTLQTISFLGFLKHVRGINGPHLVVVPKSTLHNWLS EFNKWVPDFKAFVFHGDKEKRAGLIKTRLQPLDFEVCITSYETCLMEKAQFKKIMWEY IIIDEAHRIKNENSMLSQIMRILDSRNRMLITGTPLQNNLHELWALLNFLLPDVFSSS EAFDEWFEKQGADQKKVVEQLHKVLRPFLLRRIKSDVEKSLLPKKEINVYVRMSSMQR KWYQKILEKDIDAVNAGISKKEGKTRLLNVVMQLRKCCNHPYLFDGAEPGPPYTTDQH IVDNAGKMLVLDKLLTRLKAQGSRVLLFSQMSRVLDILEDYCWWKDYEYCRIDGQTTQ EDRIDAIDDYNRPDSDKFIFLLTTRAGGLGINLTTADIVILFDSDWNPQVDLQAMDRA HRIGQTKQVYVFRFVTENAIEEKVLERAAQKLRLDQLVIQQGRMQQQKGASKDELLTM IQHGAESIFKDNDNNNDFDDDIDEILHRGEEKTAELNKKYSNLNIDDLKNFSSESAYQ WDGEDWSNKAGGVGLSWLGPAKRERKANYAVDDYYKEALRTTSKTHSTKAPRPKRYIT EDFQFFPPRLSHLNEKDTLYLRKSLGYRIPPVAEEGSPDIEALEKERAEEQSKIDKAE QLTEEEEEERKELYAQGFSNWSKKDFGNFINACARYGRNNLEDIAEEIEGKTLEEVTA YSNMFWKRYQEIADYEKQISKIEKGESELEKQADIQGQLTEKVNQHRVPLQQLKIHYT QPTKGKNYTEEEDRFLLVMLEKYGYGTENVYDNIRREIKSSALFRFDWFLKSRTSQEI ARRCNTLIGLIQKENIESEEKDQEEKKGIKTNVPPLKSRRRVN PHYBLDRAFT_132680 MASRQPQQQNQAQGKVYQFKLVLLGESAVGKSSLVMRFVKDHFD EYRESTIGAAFLARSVQLEDDVTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDIT QPSSLEKAKAWVNELQRQADPNIVIALAGNKSDLEARRAVPTQTAKEYAEESGLLFFE TSAKTDTNVTKLFDEIAKLMPRDQLADSSRGRNKGLNVRGGVDLDHQANANGCAC PHYBLDRAFT_177313 MFKNTFQSGFLSILYSLGSEPLQLWATHLPTNDSNDNEDTKPSH IVHVTDDMISSSVIELLSPNLSDTYITCPSHLNRTLGIKLPLIVLLVKNMNKYFSFEV EVVDDKGEKRRFRASNYQTTTRVKPFITTMPMRLDPGWNQIVFDLADYVRRAYGTHYV ETARVTVHANCRIRRIYFTDRLYGEEKLPAEFKLFLPMLSSTFEYENQ PHYBLDRAFT_155066 MRNLVVFAGSSHPALGEEICRRLGIEPGKSSLEKFSNNETKVEL YESVREQDVYIVQSGCGHVNDNFMELMIMLQACKTASAKKVTAVIPFFPYSRQPDVPY KRSGAPLTRAPPLTVPSSPRTIPSSPSTIPTTPLTEVDENPFNAVALSRLSNEMERIA VGQQINRLRGPPLPLPPAPPFQQASHGLAGPGYQAGYRQWVARSGTLVAELLECAGAD HVITMDLHDPQFQGFFDCPVDNLSSLPMMMRHIEQKIPNFQDAVIVSPDAGGAKRATS IAEKMRMDFALIHKERRSPDKPLKPDLMLVGDVKNKVCILIDDIADTSFTITKAAKLL HEKGATKIYALITHAILSGDAVERIQKSYLDAVIVSNSVPQAEHTKKCSKIQTFSVAA IFAEAVRRTHNGESVSMLFDSNYDFV PHYBLDRAFT_143703 MNIDDQQSTIAQITNDLQPLDPTLIIDTVTMADLPVAQTTATSD SSSLMTLEITTDTLMTEINTTQTSGEITEILETQETQETQEYTGYSVFEHDPSVPCTL LSSTFDRYNTSVKQTLEAESYLVQQDIEKQNMPGVNNFFRNAKWSPDGTCLLSNSEDD IIRLFNLPQNVYEETESPIDLEPVLGVREGETIYDFAWFPTMSSQDPATFCFLTSVRD HPVRLWDVTTKTVRASYCVIDHRERFIGPNVVRFNLEGSKIYCGYENMIEVFDVQRPG QESQKIPTTPKRRSKKGQKGAVYDVPDYAGLYAAGSYSKTVGIYDETNNEMCLKLSGI EGGVTQVKFSPNGNVLFTASRQADSIMCWDIRNTTNVLYELNRPGKTNQRISFDINST GKVLITGDKNGNALFYDCLTGEERDEDSKQRLQRSMHAHDDITTSASFNPVYPVIATT SGQRKFTVGSDEDQDLTIDNSIKIWKTHGQYEWHPTV PHYBLDRAFT_166700 MLTARWEPTVFFSRERSPSPLRFRRARKINRIKQSNNNNNNINN NNNNNDDYCSDMMMMNHDIARSYRPSSDRSVDQESSDEFGRVDNISNSYNSSGGNEIH PRDRFIDLAQAALEEAILEEDQKGGVLREVIEALIAKTGAPLMVKVLSEQLRSQEVIE RLAESHAEQQRRMSESTTPGVKGDITTTTLKEPHQKDDVIVPLPRYAHSDSTLLLVSE YMWRLFRLLLLASLVGLVCHLMSAKPYRDLIVL PHYBLDRAFT_64378 MTDQPIDNQENNDEPNDESNAKRRRTTDVFDTLGSSIQGMQSSF SSITSLFTNSISNTLAINQAMNEMYEQMFMSNIKKGSKLKPFEDKNGSGIQLTITITN YNRYPISGLSAHLTFEPFHKADTAATVAWTSESASETTVGSRNKKDVERILGSNQGQD KDQNQDQNQNQNSIPSTTIYTEILQIQPSEPCQYNSKLSVSVSSPGTGKPIEKEHKFG LYLIDQLIKTVHESGSSSEETKGSEKEYSTVFFREIMGLRPVVGIRPGMEIRLKAKQK EVMCKVVESSENLETVKAIFYGDHQLLDILLQELDILDI PHYBLDRAFT_143706 MTPDKMRANGIMVRSGSRSGSGSGGGGSRARSREIAHKAIKANT ANTANTANTANNVIIEYTYTLSSFKKSDFFFGF PHYBLDRAFT_143707 MTFDRQDNPGSNASIHSTLSDSEVIETTPLLGSAELPKPNASKP SPWYIIIPVFLWAIATSSSLAAQLEFATKIFCNRYYQSNSGGMGGSLNDDGTIPIVDC AVPEVQSAVSQALAVISFLVFGCALVVSGYYGRLSDRKGRTVIVRVSMLGSILGLICL ILTGKYQSVFGISLLYISPFFRGFLAGETILMASIQAYITDTTDASVRTLMFGRMMAS VYFGFTIGPSLGSFIIKTTGSIYAVLYFVMGVYAFIGFYACIMPESNVFITENEDNNE SNTACDGSGKKKYVSFLEQINVFAALTILSRTHSQHISRHALPILTAINTILTVILTP PVLLYAMLQFGWGAYEGGLFISLSSFVRLIILICLLPVLNTLFKKWCERKLSDDTHVA NCSSTSAEIEEKRIYRAAMFDIWMVRSGTMIEVTGLILMGLSFNAFEFTSSAIIHGFG LITQPSLRSLLISSVNPTEVGELLGAISAVEAFAMMFSQLSINAIYSASVSTMPTLVF FACAGFAGLASLMAFLIHPTKEEVDVETSSKK PHYBLDRAFT_143708 MPNRVFGVNSWRQDAMNLATTLDNLDNEHFHKLLQEAVFHARSD NPFHDRNTNELSLIQQDGLLGLNRGSGLSSLKNRFMKMKWKMTIPTLKNMEKFENSLK VCSEAKVKSRQQQEQQEHQKSGSNLQKNRLDRLDAGLRLEPILSLEADQLAASIESPW TIRSRAKMFPMMNVPSTANIDECISSLGSIG PHYBLDRAFT_143709 MSGELSKKLIALDDWDKEPCLERDDGKSTKTLQRWDQPELSLKC VFSKEKELLDSNIIPLENMHIQNTQSTQSTSHLREAEESEKATLETTSPDSSKAATPS QSIAGSQQSSRELDLLPKKTSLREEKKKDIPSSSSISYSSLFSSVRLDNTYRPSQSTK PRPKTKSAITADIFGSDSEDESPTSIFHYSFSTTNSDASSENSEPNINGQATPYSTIP IKRKGKERDDGQGSVRKYLAHEHKYRHQNQHDIGIGIGQVASIDITSCFEPQKPDWSI AQNSSGSIPLLSPISSSHSIRTLIPVELETKPTTGFSAGRNIDEFLFARGNPPKKIGK RT PHYBLDRAFT_143710 MPKQLTRLPRSCSFTEKSEGNSDDRDLNSRPILARPIKEEYTSF IEGPTCMEEDEALLVLKKYFVDGMSMGEAGNRVEMPRSTAGRLIRRIRKRLDLLAKRE RMHKYASLE PHYBLDRAFT_166706 MSVSLLVTIHANTPLEVISNGGNAGEKNSLHVSATITTTISPPP QPSPQYGYLIVSALLSAASVAYHFFRILFSSIWKLIMTLLWPVSWLVRLCWTGLVVKP AMLFAHICYVLWPITLFCLMAVLCGLVIGGCAGFAAEAFSSVLISATWGGGNITEKQK SKDTVLSQVSDSDIDVDADIEDDERDAQIPEPPGSDHTGYTGSSARMPRGYPLAAMID EDEDDENLRGNKSSSSSFYWETSFFGGNRRGKERRGLPDATVESWRSSLSRRSSSSST SSQIMINSRQPPVNNAAITTTTTTTTKTTAVPVFATSMSMSVVPPPDNNLKKRTTYNK QDDWEWADDDEDDFPSRRNSR PHYBLDRAFT_186412 MPPNSFNKKHQKPLTSLEKLKKKKKQQLIQKATVKAQYYKTLAK EKPDLDAPDYVKEIFAEKTIDEDGNVVEYNTNKGTKRKAEEQTVEEEREYDLDEESSS SEEEDDEDERNNNKNKSTKKQKKQQDAEPKQFKPNPFKAQLEVQKRTKEEALKAREEK EKMYEEKNKARANYYRERNQVRGKMMAKNKRGQPNLATQMNVLLEKLQKQK PHYBLDRAFT_30683 MATVDLIIAAVQAILQVMTVVFFGFILTKRGYFDMPKQKWLSRL NLVFFTPCLLFSNVASIISVEKLIAFWPIPVFYAVFIVISYIASQTTTRIMGMDAGYR RFVLACVLFSNTNSLPIAIISSLAVSEAAHILFWTEGDTPETVAARGISYTLFFAIFG NLVRWSYGYNLLPRILRPNHRTPSTATLAIQNEDRNGAGSVLGKKNSKYDANDDNSNE RTGLLSNSINIQQQNVSGFDRFDSFMSPPLYAAMLALIVGLTPLKPLLYAKDSFLYPS FTKAIETCGKAAVPLILTCLGAQLTCISETQHETSPAMKKPVATAIGVRMVLMPLLVI PVVVLFVLYGAQWSSLAKDPVFIIMMIVLPSTPTAINLVQITQVNNIFEEEMLHMLFW SYGVVCVPVCTVLVFAALNIVDRLL PHYBLDRAFT_132691 MSDSKAVIKSADMSEEMQQEAIDCSTQALEKYNIEKDIAAHIKR EFDKKYGPTWHCVVGRNFGSFVTHESKHFIYFYHGQIAILLFKSA PHYBLDRAFT_132693 MSTFSRVSRILPRASLALASRPVSRPVLGAVRPAFNTLRNFSST SPKSIATVQHAAPQWIGDAVVDGEFKQLSLADYKGKFAVLVFYPADFTFVCPTELLAY SDRIEEFRALGAEVIGISVDNVHSHLAWTNVPRKQGGLGAIQIPLVSDIKKDISADYN VLIPEAGIALRGLFVIDPKGTLRVAHVHDLPIGRSVDETLRIIEAIKFTDEHGEVCPA NWKKGDKTIKPNPKGSQEYFESVN PHYBLDRAFT_98039 SADTDGWQKPLRFYCDDDTTNIIPISFLTTFFSVGGYPSINLAN ICHDSGDSVFPGTDLMKCDGVKQDIKYCQERGKAITLSLGGATGSVGFSSESQAIEFA DNIWNLFLGGTSDTRPFGDAVLDGIDLDIEGGSPTYYPVFLTKLLEKYKSSTKKYYLT AAPQCVFPDANLQAAMDVIPFDAIYVQFYNNPCGLQAYDKPSQWNFGTWDYWATHVSP NPDVKIYIGAPASQSAAGGGYVPLQTLEQIVLETRQSFPSFGGVMFWDASQAYENNHI DSGIKDSMKGGANCGAPFVYPDCSAPQWIPGTGYTGGNRVSYDGYVYTAKWYSGSKPD GNPMGDWSPASLSAPGQCSGIDNWAPFIAYVSKNAVVYNSQLWQAKWWSTNDVPGGAA GVWDSLGAC PHYBLDRAFT_38457 MSSATPTVEPLSHLQTHQTAKKPAELATKHNTRLLNTDSLSREY KSANKRLLMFDYDGTLTPIVKNPADAQPTQNLLRYLAALCRDPSNTVWIISGRDQEFL QTHLGHIERLGLSAEHGSFMKLAGTHEWIDMLQNADMSWKSTCLKIFENYTAQTPGTV IEQKKSSITWHYRNALDQDEAYRQSLLCYEELKKIGGVDILVGKMNLEVRSLLVNKGE VVKRIQTQEGPADFILCAGDDQTDEDMFRALCDDKRAFCVLVGPPNRETLAGWCVESS EQVVSLLGSMVPQVTNGSNSSL PHYBLDRAFT_143719 MAKDEKKFRFDKDERVLCFHGPLIYEAKIIKREKRDDPEEPEGS HYLVHYKGWKQTWDEWVPEERVLKYSDANLQKQQQLKETHLKRKPSRASASTSTSNHL NDTTESRRKRNRDSSMDKTRTEDDIKRPEFKLPIPEILKGLLVDDWENVTKNKQLVHL PREPSVNQILEYYRQDARGRRIIDDETLNEFIQGIRLYFSKTLGSSLLYRLEKKQYEE VRKSYPDRNFCDIYGAEHLLRLFVEIPSLASQSNVDTDTLSQLRNSFTDLLRFLLDHE KEYFAGDYQSNAECRER PHYBLDRAFT_77716 MAPPATDAIDATLTERTPLLPRHSTQVEGEEPLHKNKTVQTYAF TFGFLFFISLWVLSIRSVLPVPQSDTDARASLDVFSGLHSYNEYLSKFNMPHSANQRG NAVIKDWLVELAYDFQAKGVAKGLQVDVIANDTTPLVFNKNKFGPNEYWSVESRNVML RIVGQSNNTDEALLINAHYDSVSTSHGVTDNGMGVAVAIELARYFIKHPPHNTLVFLF NNFEEAGLIGAEQFIRHPWWSTIKLFVNLEGTGAGGRALLFRGNNLKAVHALASSPSA HYVHGSTLGNDLLTAGLLKSDTDYSTFTANGVPGLDIAFYTPRAFYHTSRDDLVHTTP NALQHMGQMALGAVRGIDESDEHLLGSPTSENMVFFDVFGRFMIVYSFETCQIINIVA LVLVPLIALVWNIVSLRSVDGFRNKSRAFLKRIHIALQGLVATLIAAALIALFVVAGS AFTLYFNPLVTYGSTLDVVLYIITVAVAALLATRTLCAYLSKKTDWLSSIDRFDAQMH GLTLFWWAFVVFAAHLTSQGFAGAYFAVYFLISSTLATILYHTLPRDRHIRPPTVYIV QVLVPTILMCELFYLSIDSMRHTTADGTPETAIYQLICLPLGLIIIQLLPWVQVAGSH STVSRTIWFLFVVYFSITLLSKPFNSELSPNRIVFTQEYNATSSLSTVNLVTGTTSIK KTLEEVLLPNEADSLTCGPFNAYQTRCSYQSSLVPLHASQPNEVDTQVDTLCGPKTCR SNITTVAQNSLLCQLQFTSSESPVRAWVGNLPVLSKDGKTEPESIGSIVSYINTLGQP IRWTIEYPVATLLEPLVSCVYDDWADKEMRAYTRLRNSLPETSSLTIRGGVGLAIAHF YPRLPI PHYBLDRAFT_158416 MNALKTATARLVARPSIFRAPIVATKLNFSTCTPARNTAALNAK PKNVMEFVDSQLISQANADGRQELFARKNPQGVKPGSILLVETLNSTADKSTSTFMGV CIAIRRKGIDSSFTLRNIVMRVGVEQRFSLYSPLVKSIRILQKPTDLKFRRAKLFYLR DQPGKAFQPLQGLWKQEQLDKAAAVAKK PHYBLDRAFT_38463 MQQYSQSLHNDAHSVILVTAGYDNTIRFWEALSGICSRTIQHAD SQVNRLCISPDKTVLAASGKNANHSVKLYDINSTNGNPFVTFGEHTGNVTATGFHGEG RWMYTASEDGHLKIWDTRAGRTPVLTRNFDNGAPINDAVMHANQGELITCDQNGSVKI WDLTAHACTHELVPEEGVPMRSVSVASDGSMLVAVNNKGNCYVWKMSDMTSEPCDIEP LHKWSAHSDYILRCVLSPDTKLLATCSADKTVKLWNTEEGFKLEMTLTGHQRWVWDCA FSADSAYLVTASSDHVARLWELSQGETIRQYNGHTKAAVCVALNDLSVGYT PHYBLDRAFT_19921 TKYIPPHLRKAANTKSEQQIRLHRLLQGLFNKLSESNLESILAE IEKTYSNYPRNDVTSTITEIILTSIAQKGNLLDSFVIIYATIVGSLYRLIGVDFAAHF VQTLIEAFEKNYNTCRQAIANNEDGGDEGPEGSKESKNLLTLTIELYNFQVISSVLIF DLVRLFISQLDEQSVEHLLKIIKVCGPQMRADDPAALKDIIDEIQKETAKRDAKTLST RHKFMLETIANVKNNKIRGGATAAGQGDKDLVQKMKKYLNGIGKKRTIRSSEPLRVSL EDIHQIETKGKWWLVGASWKDNLVGTESKYASTKVPEDLKKDQTLQETLLKLARKQGM NTDVRRSIFITIMGAEDYLDAFENLLKLSLSEVQQREIARVLLQCTGNEKSFNPFYML VSKRLCEYNHSYRVTFQYCLWDFLRDLGESGVGDGKSVRLSRVVNVAKFYAYLIANNS LSLVILKSVNFMTLGHKARIFLETLFANIFLQCKEGGAQAVANVFGKIHEMRTLAQGC IFFIQESVISGKNSALTAEEMETVRWGAKIAREVLNK PHYBLDRAFT_166717 MLGDFNYSSYANASRAGLAPRLWLHFVTNHFVDCVTLSDAQPMP TFHRDLSSSTINYIYASKDIVSCHSSSTITFVQPLWTDHCLVRTCLSFPMLSHIGRGL WRANPRLANIPSFCPSLSNCLSSFIPLLLPSISPQSQWDLIKVKVARFTRSYSCITRP SLATLEIEPTCNIHHSCSLSIRGRATVLNSLILSQLWHVLRVVTVPLSFFHRLCSIMS KFIQYCSFPPISLGTFCQPIRLGGLGVLDPQVQQAALQLRWLRPLIRSPLSPSGLVPP WFSYVLRLNSSSADPLVPLIFPSLQSSHQRDFDSPLATLLAAIDLLPHNFSDVVVNLP TCLSLPLSYLTTAQPDHPPFPSAWRDLRVSDTYEVDPSFGMLAQCPLHRILCHPIVLH RFFERLYTCSLVLHPVLYCATIPPAICAIQFPLLDMPSGTAVDVRPFLTALVPGIPWH RLSTQSFHLLCNFHSKSARPISPTLVPCQLRRFWSFPLPHGARNVWFCALHKNIPCRS HLNSRIPTAFPDPSCALCSHPLDNQTHFLFQCPVKLSVWLSIWTLYFAQTATPTVLLS GLQTFTFPPCTDSSLSAASIFGCTLLAIWCHHWLFIFDHVPFVSSATFSTASSLLDCL KSKLALDFPPPL PHYBLDRAFT_166719 MRHIFQSTLSYSFLFYTRALELSAKLAPYHSDTVYLELKKFLRG RIEDLRLETKIRENLCRFCLLRKDFVSKFDEVPTLNYYCYLYYFGLGKLYDWGQIIDP I PHYBLDRAFT_143727 MPLYSPDTFFMDWIQYEAWWKAYDEMVAIQDFAFLEDFLKTGTV IHEELVGVHSHAGHRGASTLQNTPNLIM PHYBLDRAFT_186420 MKRRSFSVLPFFTAGVCLAVLVLVAPSEAISHPKYQASSDNVVP NRYIVEFDYGDSTSANSFVHSVQNKFKKAKIHIAHQFDHDLFNGISFGLNGLDNKEHD AALKSVLDQDSVKAVYPVRVIKRPDITIRKVSSKGKTPSILPHAMTQVDRVHSELKKF GKGIKVGVVDTGIDYLHPALGGGFGKGFKVQYGYDLVGNDYTGGNTPVPDDDPLDSCT AESGASGHGTHVSGIIAGYDSKTNFTGVAPQATLGMWRVFGCKGNTGNDVLVKSFLMA YDAGMDVISVSIGENNAWSNGPDTIVAQRIAEKGIPFIVAAGNAGADGAFTVGMPSTA KDIWSTASVDNNYHLVDLFKASSISKKIVYLTSSGSKIPDGTAASGGTDACDPSTIPK LTGKIALVQRGTCTFDEKAANAAKAGAIGLIVYNSNNGDSFTPSNPEATIPVIGISTA DGLALLAGIKSGTETLKFDTTQAVYPLVTGNTVSDFSSVGASYELDLKPNVAGIGGQI YSTLPRFLGSWGIMSGTSMATPYVAGSVALFIEAKGLKKNNKVISEQFKNYALKLVHV NGESAIESPLLQGAGLVQVYDAIAGKVRVSPTQLSFNDSSSFNKYKTQTVSIYNAGKV RATFKVINEPSISVAPYNRSVQGYALLAPTGFSNAKAKLIFSKTSITLNPGQKATVRV TVVPPKTNPKDHIMYGGYIRFKSGNRKTTLDTTVPYFGVVGKQRDLPLFDAKYPYLSD SDGTNVFSKSDTYTYNRSNSTTIPYIIYRLLTPTAKFDVDVLNAKTKKSIGKTMTDSV YLPANTLETDNYISGASWDATYIPPSFSDVSSGIPVPSGTYILHLRALKLLGNPKDSK DWETFQTGPIVVKN PHYBLDRAFT_86155 ITERFEHDLFNGISFDLNGLDSKELKAALEAVLDHTHVKAAYPV RIIRRSEVMIEKTVSKRESLSSLPHGMTQVDRVHSELKIFGKGIKVGVIDSGVDYLHP ALGGGFGKGFKVQYGYDLVGNEYTGENSPIPGPDPLDSCTVESGASHGTHVSGIIAGY DAKTNFTGVAPQATLGMWRIFGCKGFAASDVIVKALLMAYDAGMDIISASVGGDDAWS NSPEAIVAQRIAKKGVPFIVSTGNSGSDGAFTVGIPSIGKDVWSIASVENAYYKINYF KAIYATTSSNLVSGAVVAGDKNIGSKSDGCDPSTVPNLKGKLALVQRGSCTFTLKSTN VIKAGAIGLVIYNDYEGDAFTPLKFESTIPIVSISAAGGLAILAGIKAGTEILTFDPN PHYLPRDNGYLISDFSSIDASNELDIKPNIAGIGGQIYSTLPRFLNSWGFMSGTSMAA PYVSGSIALFIEAKGFKRNNKVISHQFKNYAMKLVHTKGNSVIESPLLQGAGLVQVYD AISGKVSVSPTQISFNDSSSFAKYKTQTLTIHNTGKARAVFKVINEPSIALAPYNRSG QGYAIVGPVGFSNSKAKLIFSKTSVTINSGQKATVRVTVVPPKTNPKDHIMYGGYIHF KSGNHKSTLDTTVPYFGVVGKQRDLPLFDAKYPYLSDSDGTNVFSKIDTYTYDRSNST TIPYIIYRLLTPTAKFDVDVLNAKTKKSIGKTMTDSQHWTVEGSVGLGLDNSSSSIPV PSGTYILNLKALKLLGNPKDPKDWETFQTGPIVVK PHYBLDRAFT_143734 MASKQNQVPFHSTANIRHSQSHWRRDSGTTFAARKIEVASLVIR STTQQPLFADHRLSTTRATHTHRPADAFDHLLNTTRSVLQQAKKSERKTVQFSDRDQV YEIERYESLIEPETPMDETDEIFSTGNDYHSDTSAESQSESETETEIKIKIKIKNEKD IIGIESLSESESESERESDNELLSEAASLKSILYSSSHTPHRVPKKIKDDLLIEFARL KQSRRAHFQEVSEPREPKPLTDNYSLDLIQQQIMEAIERAKRFNLQSQPTPTNPTNPT KPQLTIALEPKIEPKSIPKLDIYHYDNDNDNDNSSISFSRPLLTKESFSDPDTVVYNR RPPNIRSFSTSSSIASSLLIIEETPEDNKEDGSNISISSSEPSTQSINEPEVSETSWE DTKPHVLASSSAEQNYNDSLLESPADCGTTFNTINATTASITTSTAGTTIGTLDSDPT QDLPSRASTPLTYSESVSTDRTRTYSQDILPFYDESISTSSMNLKLFPLGLYNKRSIE SLSGIDESVYKGRLYIKIDAAKDLDFPVTKDSPKIRCVLNNGTKEQATAYCPMKHTIA FQQEFYMHVTLDVDVTSEFTLVLQAQEPTPSLQASRYDQSLRRLWDQAINTRTTDALQ RYIRPMDRAIAQARISIKNIVSQASSSSFSASIALVNGWYRSAGSSLLGNKLKKRSSL IGQEKAVGKMEVQFFFLPDSDVEMDNLPSTMNECEEAYSIQKFHLTCWKTGSMTQFGG DAKSWQRRFYRLEGGYLIACDESSHTFVAIIDLSKVILLAVNHKIIIHASEPQQHKRS SCYTFGHYTKSSTSLLPHLLHATLEQSNKTIENGYGYGSEYEYGNEDSGGGRDDNNNS INSNSNNDTDTDTDKSSFQCVFGNGDKIEFSCDSQRDCERWLDVLKVIIGRVPTWPKW LKSSDSYQIPQLNTTLS PHYBLDRAFT_64402 MPASNEQNSLLNDRSRRKDKSPAQAYGAIPPISASVDQTPQDHG QWKPQFCLAFGIFFGLVFLVSLVLLLLAPGFAQRSFATDVQFEFYKASILNASEDDQG VNVLTMHVVGTIVLQNNLNSLASFASKIFGSVGISDTALEVYHAHAHNPNPNPNLNLN YDIKSRQSKQLGAIGTIDLPPLSLSRNTNTTTFDFITRFVVEDTEALIAFCQDAVSSE TVLWRVAGPVPLQIGNLPIHTKVRLDKVVSLQGMNGLKDSQMLSVSFPGAHPLGGIRL DGQVGIYNPSSVISLTLGNVDFGIYLPPGHDKSDDDNDNEDQYKEEEEVLMAIVRADD AHLLGQRVNMFNVSGRSIPVPKNGQKRMEQLISAYIHGNTSFVHVRGSSFGPDDSFRY SNSTSTSISTPAWLRKALQSVTLRVPFPGTRQTDLIQSIKFSNLNIDLSKGNSSPLIS GDAVAILKKPDEMQISLNVTQIDPLVYLYLRPDSEDPFASLAPDKPCPAKTIEGTNGG NGGSDEPQNNMFKVLSRIEKAPFTVMPGKEDEFKKFLEKVFYRKTSTVYFKGTTSAVV DSALGVLNIRDLPFKGEIDTKGMEGMKEPSPTVEAMTVVRGYQESLHAVATLVIYNPS DVHVNLGAINMLLIYNNQIIGNASLPDLALAPVQNNRVKAYVWLHHGHSLSSLDNYPL APTENPKPLIDFIGQYLALENVNLTISGKHPHASSSKILLPLLKQFVFTTSPPILAGP PLLKNTQVNLLSSTAVLWLQNPFPNLAIQILRIHAKANYREDEVGTIDVDFTDKGTGM NGPLLLPACNDNDGDDGDGGEECAEQETQKLPVITKKVGLDAIKKAIGGKIQLSIDST VDVLVDAFLLEHLRYVRDNVTATIHRGF PHYBLDRAFT_143736 MRLVKFLPFVTLGGLLAAIPAQAGFWDDLFGIGDKSTTTPIPTP TPTPAPTSSIIDSIVNLPSSPLGPNIIPTTVPVFPSNSLIFPSVVIPSALPSLIVSSS SVIPPSSSATTTPTPAPTPTQSSTTSSKHSSSSSSSSSASASSSVLASEKDSGNSSSN KTAIIAGTVCAIISVIFAGIGYAFFVKTRRNNREARLYGKDNTSDMDQLATGGGGIGG HSNIMPHSGYAAQDDIYGGNGTQNQYHQYQYDDLGYSTQYNNNGNMNGADLRYVPQSP MVGMQDNQQQQQWDSYAAQPAMYNSPQYPQSAHYYDPSYTQAYNNSGQYIDPNQYQSY TSSVPIPVSAVSAVSAAVATAAVASIPTATNTAAAAATTAAVSDAAAAGGYSRPNAYD GHDKTLETQRYSPSSSTSPSPVPPPPPSATPTTPTISANPNPNTNLNATTMPSYQQNH DTSYNYRQDW PHYBLDRAFT_110639 MSTPDIFSLKKQLVLYGAHHFNKVNIAIHMVFVPVIFWTSLVFA SKTGPLISAESLPSFLQWLSVWELNLSFFVVVFYDAYYAILDPIAALLYAPILFTMTY TATQFQLTRPAAIQEALVLHIVSWIFQFIGHGVAEKRSPRLVDNLVQALVSAPYFVFF EILFYLGYRPDLYREMSIDVAKDVKAFRARREAQRKAKSL PHYBLDRAFT_64407 MASQLPLEILLQIADILVTEDRLSCALTCKKWRYPFQETIWKDV IINSNTDYVNICNTLEGSKVGSSSHGQSVRSLSMSQICLIPNDGQDKLLKSLPNLKHL DLGRTRRKDINKRMTIHNDVWKSLESLKVQVTYSKTPDSTEQFIKLLENCSKLQSLEI FKSGVFQPVMFTQEDFDSLHQKVQLLSSFKACISLDRNLDPRQESMASNIVPIVGLTN LELQMCEWSSLWAYYFGHKYPNLRYLSWSTVNAQYWLIAPHTTQPISEILDLNTNIFQ HLETLEFVTADASERGHADFWDFFLPLSVPIKHLKYKTNCGNNVEMYFRTVIKRFLRS FSETLETITVEGSLFYTGGLTPRLNLPSYCPMLVDLEVKSCGVSIDLNNLFDNCVALE RLKFYSGELLFHSSLLNREQRPNLEQRQKQREKQHGLRILELHEVAASADTFSDISFR CRRLEYMSLDTTFICGTVPKRDPCLLLDMTYTSFKALHLAHVKFCSYYDNSLQQKMSL SYLCLSQSNNPQSSEVSYGFQPLAVFHIFPDGEYDMDYTIGLKKLSKRQFGTTVEYFE NRRFRRFGSELKPDRRSNRKICEEDRLLQENLSKGYVELRCNYVAKYTTPELAPSVKE FWKDLYNRVYKKEKVN PHYBLDRAFT_77721 MSATVIDGKAIALSVRTQVKEEISQTKKNFPQFAPHLAIVQVGQ REDSSIYVKMKDKAAQECGITVSTDKLPESITEVALLQRVKELNDDYRVHGILVQMPL PSHIDETKVIEAIDYQKDVDGFHALNIGYMTKRAGSPLFKPCTPMGIIELLKSTGTNL EGKHAVVIGRSDIVGAPVATLLTGENATVTLCHSKTFGLENIVKQADIVVAAIGKAEL IKGSWIKPGAIVIDVGTNAVPDSTKKSGIRWVGDVEYSQAKLVAKAITPVPGGVGPMT VAMLMKNTFISAKRWLHLSCKRDIVPLPLELLTPVPKDIEIARAQTPKHMSLLCSEIG LGPSEYELYGPSKAKISLDVLKRLEHRKDGRYVVVTGITPTPLGEGKSTTTIGLVQAL GAHLNKAAFACVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDLHAVTAANNL LAAAIDARIFHENTQSDKALLNRLCPVQKGVRVFAPVMNKRLQKLGITKTNPADLTEE EVKRFARLDIDPATITWQRVMDTNDRFLRQIEIGQAATEKNMVRQTGFDITVASEVMA VLALATDLKDMRQRLGNMVVASSRGGDPVTADDIGIGGALTVLMKDAIKPNLMQTLEG TPVLVHAGPFANIAHGNSSILADKIALKLAGTEQGASSSGMEPGYVVTEAGFGADMGM EKFFDIKCRVSGLVPDAVVIVATVRALKMHGGAPDVVAGRPLPEAYTQENLTFLQKGC ANLAKHIQNAKKFGIAVIVAVNRFSSDTDAEMELVRKESMEAGADDAVACDHWARGGL GAVDLGKAVVSACEKEKSFRFLYDLDLPIEAKIESICKNIYGADGIELSDLAKEKIKT YTKQGFSKLPICMAKTQYSFSHDAALKGVPTGFVVPIRDIRASVGAGFLYPLVGSMQT MPGLPTRPCFFDVDLDESGNVVGLF PHYBLDRAFT_132711 MSPPRDLSQTPAVTAAPAQRTREPFVSPYMPKYPDPMSNISSFK IIESTLREGEQFANAFFDTAKKVEIAKALDAIGVDYLELTSPAASEQSYNDCKTIAGL GLKAKILTHTRCHMDDARLAVETGVDGVDVVIGTSSYLREFSHGKDMEYITDKAIEVI TYIKSQGREVRFSTEDSFRSDLVDLLSIYKAVDKIGVNRVGIADTVGCANPRQVYELV KTLRSVVNCDIECHFHNDTGCAIANAYAALEAGATHIDTSVLGIGERNGITPLGGLFA RMYAADKDYVMGKYDLKLIRNVENLVADSVEVAVPFNNYITGYCAFTHKAGIHAKAIL NNPSTYEILKPEDFGMSRYVSIGHRLTGWNAVKNRIEQLRLTDMTDDDAKAVTQKIKE LADIRPLSLDNVDSLLHQYHDAKKTSSHITILEKIATPDQLAEPAVKASTTQKQQQPI KK PHYBLDRAFT_166735 MPFSKQPSSLRSKWCSLKSRFSADPLTISTKRRTSDCSCCSNQS STSSASTYLPTPTDSPQTEHSHTHKRKLSDSLTLMSDHSTKAERLFSSIPNLFRRPNS LPPSPTLDIAKETAGVNELYAYALDEINYARDSVGSPYYPGDLETTREAIDNYNRAFD TLVQQTTDMWFRNYIESQLRPRLDYLERTYNSLPDSINEEDSIEPSYSYYTTAIDLY PHYBLDRAFT_158419 MSQPRTLRFDFFGPLIANSSFNTDPYLKQTYQNMTLLTGSNVLS SQSEQFDRTNNRPGISISHVDDQYASTPDFLMTRHYITGQTLEELVSEMYDRLPVIRY NTTLNNGTLVSQVYRSPIGPGILRILDSLGYSTVLTKSNYTTDGAVKTYKSKSVCDDS NNNHALTKVTPVTSAGTGLVSLLVCSTTSWFNPAAHFYYLFTVNNEHKSRSCSDG PHYBLDRAFT_158420 MQQFVEYNVPDIDLVLLNCGRVIKKPIFPTRRNITTSITIRRTC VPSFTLGLVKINSGHIRIMVDLNVYVMVGPDRCITVWGKLFCKCLRVHIIQVGPIIKK WQCKLMLL PHYBLDRAFT_166737 MDMYRSLSLFTTNIWDTIKNQLYNAWYHIYSSIFWISSLASCTS RPKAQDISTKSTSSVSLNRSISFDLSTLSPKQVHPYDYDQDYDQDQVSYFNRSLFKRH RPPLPNFSNTFTDEPRDHQIPAQPELNSIISAGDREKPTRRSFRNHFQTLKHRQLSLN DVKRSLFPRRQSKVNTADSVPNSPIPSHQIVYLSDPTTPTITDTPTSTTDIIATTTAV DLAGSVPISTSTSTSTSASLPILASVESIKADCIHRRRSVSDVLLRSISAWKQKDSRA ISRQEPWNVSAPDLFNPSVQSLNTGSNSPSIPTGLSDLGNLEDLGDPNDFSNLGGFSS SCSSNKDRILSKSQSFALFRRKTTIGSSSNKK PHYBLDRAFT_166738 MFFYMSKGIKSMTPSSLSPHYAAQSPSGRKPYLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLSLGDKVQLIRLPVASPSAVKESSQVSSEEPAHL TTATRKVMLPKKPNFILVLRGVTGIDRPVTPDDVIMKRKKMGDSDDAFKNPYDIFKTT L PHYBLDRAFT_143746 MEVKILTYISPQAVKDSGNIIVLLQKLRYNNRYPWRQPGIYGIR SAVFFNCVVMLCLRDHT PHYBLDRAFT_143747 MVFYFVHKDAVDEARIMPDTHGTVEKYRWILHCLRDAVFPSAKD SNSSTPLFPSVSITNNEQSLRNTITHAFPESKQFVPAIKEDKNGEKRGFLEKLAGYLD IFAFGEHCIDRKKITDAFLERYLYFGNCTSNCAESAQASLKHSLGTSSGKLKTVALKV KIWYEEFVDDCKRRLTVEYIRGSTNVALNKIKSASLTEIRYRISRYEIDKIKLKLSKS IIPENTKKRVPLAHPIQLLASLLPRTYQFDIIAIPCIQRR PHYBLDRAFT_64417 MPWLLVHNRIIFQAVFLRYVLMKRVDFWSREFSGVFAFCKNKVV NAQGNDYGFDFIWHSRNWDKKTVSCFNWSIQIYGHISVICVSVINKLKIVSKFRISSH DFIDAFWN PHYBLDRAFT_186425 MHLYVKHASLINNCYPDKEGEKGPRSSELSYLVFYATSRPVKLT KVGVFLEKKVERDIQKGKKQNNQVSLDIIKVLIEACHRDLNLFSKYVVRILNMVLDTK DVQLINLTCETFVVFANYHDGSTLGVDSELTVDYERLVQKFATFYDPSDNDDQQTTQL RYIGQRAMHAAVTSPALYASDFATQVELIMPPLITALANIGHPVDSTKTGPAPTNIHT GTVDKNTVDLLATNTFVLIFNKANGSAVRATLKPLFTFFDTNQKWWPSNLATSSMELV LDSLQPQFRYMLVSELLQQLESSKSGGENYMNEKSASIITTLDRLLNANIPLVGISVL EVLNSLFTHLVKSLSGHMSSSDTIAQQLDPNHNPDSEEMTIEYAVNHGLVHSIGGLAS QIYYQNQLNDITGYIITKLRVGTALDQVDGLPVVQYRRIALKCLNLILSGTKQTAETD DHDNQAYPTSVSLDVWIPAFGLLTDRQSETRVDIADALIRYLDETSKNSDEEAELLSS SLDPFPKHMLNQHGDVMFVNALHQAIVDWAVVADCRVQDIKALYNLLCALTRRFGADG TIKAIPLIFKLQSLVQTGIVSSANSGGSASGAAACQRAAAALVVEWLDMIADFYGINR LKEYIDRIKRERILAREYSSVFLPETADRLAGVSSFEDVERGNTKTVECFVDRHLIVE IFSKDSPLRDEEDTHGLDLESKLYAEWGSEVFVSHERSFRIRTSRNMDDLKPKLTIPW SSTDYNASIFICTSCKDSFKNPKIMCSQLDKSRAVKVETLKEALSQSNSEKSDSQKDP IHTMTTLALSKRPKDNRKDMDSLLSALTFESTEDRSHSLVNPPYRG PHYBLDRAFT_166742 MEAIMSIVEKSAEQFVQFYYGNFDGQRTTLPNLYRDKSTILWNG NALSGAQKFSELLAVIPQSIHEIDVYNCQPFPGNTFITATVNAQGTWGILINVTGSVK FDDGSAIKSFFEVFMLMPEEEQTNNFFVQSQNFRCSRSEACDAFYGCRRLEDLRRNLT THPLGVHKVVVDRFLLTAYSVVSPESATVRSRLELALSSNPLQSEATTSTAGVE PHYBLDRAFT_166744 MHVLLELRKQSLIHICDAFKKEHDKDNVDEQVLKEFNKKRRRDR EELNNMCMHVQRKLVNQKMKILQLTFYNIFFCIYNSSFKHIQATPTRKKINQHREKTI WWRITYPSLGDTPHIDDIGRVVKESMFKEHYHITLSTFETLVNILSGTEPYRRCDESE LAWPVWKQVAVVLWRFSNTHFGYRMAKDKFGCSHGGYNNFTDQFILAMSSIIIDNVIT PHYBLDRAFT_143754 MDGKLISIKKPITTNSGNSYADCKGNISMNFMTICDYKKRFIHI ATGTSGSLHDACVLKLGDLYQDLIYRGLETCLENTYIIADLAYSLLPQLLTPFIAACG DTSKNQETVPLTTGQKLYNAHLYLKDVEILTRAIMTCCVLHNLYINADDTWELEENGD DDILGIFRNSDNEDGAVFGL PHYBLDRAFT_143755 MHTSKFVEVTAPVTNVLPLPNQNQDAASNVTDPETRGLGKLEDN EAPESDNSEESNLGPESTSTTAATDGCNNLWKTDQHVALFLKVILDDDTYAKILRAKN NSSLKRKIWKDLVDKFMDLNDDYFKNMIDGGKCGKKSKDLTKTYKLRTDRNRRKTGYT RSCDAFAWAFFNQMKEILINNPSVHPWNFGQSRTSRPTSMTTTSSNGRIVWENIFGDG RREIEVLSEAVVSNNTNTNTNYNHASDLNSVISDNEDVKSLTATQLLSEKSGYPTNVN EILNTITHLHKKMQDEVAAERDVFISQLLGTPEQKVAKRARDEKDRELVYEFTKANIN ELQHRQL PHYBLDRAFT_143756 MTKATKFDNVWLGPLVVTQVNQHALDGPHQWHGAIPEGEEKAVQ EKDMTTRARQTEMVAQEQDQVISTVIVEPVVDVAQIPKVLVAEGVIIPPDKQSGSNTL QGLDAPMDFSAESGRTAKLERMQWWAWWLVAATL PHYBLDRAFT_143757 MRAKQAQIKQEEVHPILSPTHPFYMVGCNTIGPVIQSKKGNRYI LVTVDYLTCWPAMVAVPNINEKTTANFLFQCLVKDFADRVLAFNHNSVLSTDCGLQDD WDQHLDITMLAIQIIPNKAICYSPSMLLYGYKMRTPQLWPAPAQDF PHYBLDRAFT_64425 MDNQSSLSVHPLSIPPLSYVMASTYTSEVAKQYLIAQDALYPRN HIIFTCSGGSSMDYIFEKDRDYSYRCSEVNSMEATCCKRERKSERLDSFFAQRHLSYD IFMQGIYYWLNQIPRMTIGVMLGVSPETIRHLIASIHQLIQMDLTNNDMRIDKFIREV LMPMINPLLLKLMKANLERGNITEDIESVEWNKNEPQGQIVNKTNGAMNVDGIHMEKK NMRTDCGKEC PHYBLDRAFT_64426 MSYVQQNGIKNWDEVRTLPLPSEAIKIIEDQLKSGSSCRSTRIS VLRQIDSWRVGVRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAEQNYCIF EINLSVYNDGKKQFAFGFQSPMQVSIMRILSNEVLYTLVTRHPQTGKGFPVAYMVTNN QTAIPIKLWLDHLHIKSSFVLMNTTIDCSIIEVNAIKEALPHATIHYCDFHVLRAWQH NLDSKIKLNASYISEQLGNYKTALKNYLRHILIKSNEDMFLRAIEDFKLMVQDQPQFL KYFKKWTENEELFQQHQRYVTSNYVESWHNQLKTIYFGRARIRKLDRLIFILTNDVEF YFEQEVECIHFNNDKMGPIDNELARNSFVASKIQNDMLPSMIINPLGETGNSIDDYNG EWQIRSFVTEDKYCTCPNFLTCQIPCKHSHLLKHYCGAKFSFIKQWEIAGVVLNRQNT VNANENEVEEEVEEELESGGTAEDRGVYVFDEIAAYSATMHHGFEDLQTLKTIPGLDQ TKADLIKRALADAVRLMDEYRSENPSYFRNLNTQR PHYBLDRAFT_166749 MTLKKSIPLSIHTPSRASLSQLSDKPSLKSPAPLVQELSSSRLK KTLFKKTLTKSFAPQTPSLPVGAQTIIKSSITPIATSDCPPTARKQQIFYLTVLDQLV MNLTCINFATKRISFFVIDYDEDHKRCITLAAKDAIIADLRSSLALLKEAFDKISQSR ILDIHCPAHRVIELLVHGDFKSKLIDLFHKQKIVPIAHFSPLDGKIICDPKLALESLD VHASKAQELFDNRILRMCLHQPAYLGNNMMHHFSTISINRVSATTLAEYLTQRKTIIT TLTGPNIEDLQDTTTTAKTKDDPNAIIDNIGDSKSNEFDNNMELEDTQNNCMNE PHYBLDRAFT_64428 MSSTSELYNKKCYCTKCSNNQQGYSFVSTQTLQHHNKRARYEDM ERSERNISVQRNLMDIDFETMCSQTNSPVWEGAAISDNEVAFSNESNGESSDGDENDN DKENSFATPNMPENLVHRFIATFVIMFASHYVVNKGADVLIEFINKLLSIYEQDFQLP VRLSGPQSMTGFSAMTKGIKRFVVCQDCHKVYEESVPAPLNCDFIKLGAHTACNCKLM VQSLSGGLVAKKSYVYQSLTHALKILFLYPNFEQKIMHWNQEFKITDTLCDVYNGEAW TDLKDNDNEFFVEHPRSLMLTLNIDWFQPFNGTSYSCGAIYLVINNLPRSGQFKAENT ILVGLVVVVEVEEVFKRKK PHYBLDRAFT_64429 MPRSKELKSEEINHYLKPLVDEMIQLYLGIQIPTYQQTDGAAVR AALLMVACDIPTARKTSGFTAHNSICACYKCTSSVDFHAFDCDQWRHRSDRANRVHAE KWNSVSIPSERQQLKIEYGVQWSQLYHLRYFDLVHGTIIDPMHNLFLGTPKRMIETWT KIKKMKNNNLLAMQTVAAMMILPSNYTKLKTKIGKGFSHMKAEEWKSWVLVYSSVLLK PVLPSNMFNGWMHYVKACCILVKPSISFIKIDQAHRYLQEFCQSCEDTYEPKVLTCNM YLHLHLHDTIHDFGPVYGYWLFGFERYNGLLKNNKTNRKDGFETTYMTKFTADAYKAD YSLKESTMSDIDYPQLLDYYKIAYAMPNLISYHDARLSQYFVNNQITQLKSIDLLGQT YIGNNSSDEGIAICLPEFYADNYHSILPVHYIHLEVATAVDVTDMNKERMLVIPMPKK YYI PHYBLDRAFT_143764 MSNQNESYPTQRTPAEREMTNSLAILHRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVASVSMEMNVAGSPTMASDAKSVNKTKAYRLLWKHLWDP KFKSKHLAEIQANNGKPRWNMAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVCDF VYTNFTSRKRAANKSQAKKKSDNACNRRSSRKKEHLKRRKTAYQSKKTAIDDEIKRDC SGLIIEEAMSVGESDDSTLPHVSYSGLCLCRPGWRSGEYNHFITLVDNKVVADLGLNS HQLLSRAFGETIEGSVSDAIVSQFPQWAFRNGP PHYBLDRAFT_166752 MSTLLPSSVMQGMSPNLASFLGNMQAQFMSLQQCTNELESLAAT NARLTAQLVNAEKLIADLRSQLASQSNCQIITNASTSSAPTTPKEPGIETSTWTTTAA AAHNSVVVPTALSVHKTPRPPSVRRVAASARMFAIPTGPKGYQYVYIPRSCRLTHREV RNSLKTLGVDTGHILDINFLAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCLKALKYLCPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGLWNANGLQPRAIYDVLQHCHSLHMLFITETWLLPPSHLPTSWSQIHLY GSPVAGNYRGSMGVSVLISPSCPYSVPQIPMSSNYALAIKIGSLRIVCLYVPPSMSTH DALAVLSSIPLTNDTIICGDFNSRLGSLTSDYATNTRGLALCQWLEERALTVISSIID LFITNMSLTNATLNIHTGLSLNSDHRLLSLSFTYAINPTSHAPPPSRKTWNLSRLQEP DVLKLYAHTFVTNSTNLKSTLQSTFEHPPSSRLPIDALTDEFNSLIYNSLSSSIGNRP PRPSLEK PHYBLDRAFT_143767 MHPLHNAISPKLLSTIKHGYEHDEPPSHEHIANQELSFHTSVID MTILASPMYSLGLQINPFASGSACGKNPYTENANTSYYPAVLTFSYVRKLVLPPMMIT ALPNSSQALCPSYWVALLTILWHFDKLCNSDGDYTHETHFGTLWAGLS PHYBLDRAFT_166754 MVNNRQSIAPAPSPEYTELLRRLTAMEESLKTMDSNIGIVIKGN KDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYTTPSEAFFGISS AAPSVAPSVGPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELKKHNFKSNKPEL VAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADISDCIYTNFCGTR RRVKESYEARKKTNSRSRKAGRETDHFDRRELTYHTFKAEIDMKVGKSCDGLLQKEAM SEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDFNSRQMLKRSFG RDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_166755 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSVLHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNS KIGKQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEV NTAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIP SIRQRTFRIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKV AYNNEQLVHFQQASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGST GEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPE NDKSREYEHVETCFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQ YI PHYBLDRAFT_166756 MPTHEALDILSAIPLTDDTIICGDFNARLGSVTGDYASNPRGVA LEQWLEERSLTVLNGVLSPCTPTYISFRNKVEISSIIDLFITNTNFANPSLHIATKLS LGSDHRLLSLSFTYDLQHSPPAPPPMCQTWNLSRLYEEDVRSLYVTTFVTKSASILTT LQDLVQNPPTICPPIDTLTNSFNALIYDSLSSSIGSRPPRPSHWKSFWTPALQAAADH RDDSCGIDKINWWSRHQHAHKEFRQQVQTAKHLSWHAFCHSMNSDFNKATSKIKQLKR RRQLQHTFQHDDGPAVAATVMCDHLASVYSGHILPDIRPSPPPLNISLMPFASVDSPF TSSVVEAFMQFMPNRKAPGPDHIRAEMLKPIWSHISPLLACLFTICWQCMSFRADVLI TKFCIRAHYLPSGCLLSLLHRHHSQSSSLVTLCHNTLLQSIPIDLNVHSGKALKHHFE TFQQFKTDQLRLSSNQVLFLACCPLLEVNSILFLPATRVERSRLVRWRMGWLPGTPKN CPCGTDHTSRRHLAVCSLVPGHLLACLPIPSDQNYNPIDFAIH PHYBLDRAFT_143773 MIVGGHATSSTIVLKHICNLPSMKFCADALMAKFCIRSCFLPAQ YLLSLLHHHHTVYSSLVSLEKTHLLSNLPPTLKLRSPSAVKNHFESIREAGFAIFLQS NTQVLIQACRPVLGVDPILFLPASRVERGRLIRWRMGWLPGKPKECPCGSDHTSHRHL LDCPLVPMALFEQLPQPDQDQIHRIDFAITSLPLSSQELRSAYWIPLLTILWHIDVIC NPDGDYSHETEHAAKWRADSAIEATTSPLLFHFASIQFYHQSIQNIASTIASIVKHDA STLPTTASIVKNFFPIVPAILSPIFTFSLPIAAISRSSPNMSQLLPANCMQSLPAELV TFLTSMQSQFNALNKLPSACRVAASQRLFSDKTGPDGFEYVYIPCSRRITHSEVRRSL RTLGVDTGHLLDINFPAHGVIGILVHVQYLEEFKSQLASAKVSLANNFDPLDPKNVAD PKFANLSVFGLETQALVLQNACCLQALKFLRSHLSGWIGLEEIPVRPVAEHFGLWNAN GLQPCAITDVLNHCQSLHMLFITETWLLSPARLLTSWSQFHLYGSPVAGNYHGSMGVS LLVSPSCPYAVTQIPMPNNYALAVKIGTL PHYBLDRAFT_166759 MATLTAVGACRSGFSLLLSSRLFKTFIRPKFEYGLAITCLLQKD VLLLEKIQDKCLRMIVGGHATSSTAVLKHICNLPSMAFRVDILKTKFCLRAHTLPSGC LLSLLHSHHLQASTLSTLHTNPLFASIPPDLNCSSRIKLSKHFESFRQEKFAHFRLTN TKILIQACRPLLEVDPVLFLPATCIERGRLVRWRMGWLPGKPKECACGFDHTSRRHLQ FCITIPSQLFSQLPAPPTDEDNIIDFAISALPISSTHPSPLYWKALLTILWHIDMLCN PNGNYTHETDHGSLWH PHYBLDRAFT_143775 MNDITFDSHISTFYQFMPTYENETEEFVFGAEEEYQLWFTNNPA CYANWIIQNSNKLKRKVPVGALIDCNLIKFSRIFVPNLKSSILPPKNFFNFNHYNTKM TSSMLLSIEILRMNNSMSMTSIPSAMMIQYKDVHNAIVARINNSARKYYKDEISTERW IAFLQEKGYQTMFDTYNSVWPLLVSWVSPWQKKFLENAEEWYLDSIHKTCKSFLDNKD CYLMSVAVYNPVTNKGVPVAFFVISIECSYIIARWLNWLKDTNSLKVKQIMIDCSSIE QKAIRDTFGPSVQILLCHWHIKCAWESHVKKVTISNAHCKNYMISPWES PHYBLDRAFT_64434 MSNKYNLVKCNCSVYSPNGGYFAMVSTQTCHRHFADDVQRNFQR QYLVDMVNENVSDIVNDNSKNMMNDNTSNIDSEPKSNVFEIHKDNWGDPDTQILDLSH IRTYSYWDSVVAIILSV PHYBLDRAFT_64435 MKPPIVDATYKTNCAKISLVCIESVYNLNKHNVFDEHLELFKLL SSQKDFQSKEQLEVNNDISTKVIEKRTLDKYFEDEWMSCGDPDTQMLDLAHIKTYSYW DNIVAIILSV PHYBLDRAFT_64436 MSTNFNTFIEKIYSLKTTLLFLKNCYPDKQSIFQAINNYALSNN FLVKIKDRKFSIFYIAERMSLLTVKDITIAKTILENHAKSCDVQKATSDKVTGVMYEL GDDMMISCNVCFSTIIFYDLVLMFLMLLTRVLVFVLVCSLRIRKLRISNINNLKYSAT CCNKKSAHGTTELNKTMEAKGYSILHKFNKRNRLTPIFFTDNIMIRRA PHYBLDRAFT_64437 MNVNNFLNDAANLPTNENYRHEEIIDSCNSMGPLEKGRYYEMAK EDSATLHQESSVSDVKRDAKSILTIIDTMKLLMNYDSLFLFWESGTSSSQKIGRLLSG DMSSIFFKCIEKDGTPKSFLEAMGNVKRCPMQEIQPATIAANNFRNKMNKRLISLFNK ETGETRKSFPWKTIKNKKGTIRAIGWPEKMSFVLLSLMEDIEKEMLVDALDKGLISFS CSLE PHYBLDRAFT_143778 MNKVQYGLPCHHTLPVERDLQISDLPDRWVIDARKEDKAWNQPN MQVPVIEKPKEWMSEIIKLKALFHSCEGSQQVFDLLKKVKKAVNDFDSKNGHSPIKLQ APENVKYPGRRKGSSRPKYLPKDFGHPMWRKTSVLAGTMVEKSLSGLNVIRKQKKNIK KIKKEPLDSVDATKNKTKQVKQEPLDLVDAPQRNGFERPATALEDYQYDNRTSVGKRV KFQPGFPVSHEIINDVKGGFSPTADGWCGFWVLAHLIYKDQNKFSLVKRDMLAALPKY KTLYTNTFGTDTSQLEKIIQHGSQLDYSNTSNTNTNTNTNFIPVCSDASMWFNTSDCA QLAADTYTRPVCVYSDSPNTPSTTSLPFALPNNKTKQQQLLIFNPVNSNHWTTVDLSL NDITFDPRTSTIYRFMPTYENETEEFVFEAEEDNKLKREVPVGALIDPNLIKVTQYIK CDHSGTKAESLKKQAVENNELPVVKSRNTTGNSIKVGCLTALIVKFFNGGKVTVVYNW RHNNHNTLEISDISCSRLSEEARIWINEHVEKNLDWKAIKGLLRLEDVKLEEMENSMS MTSIPSAMMIQYKDIHNAIVARINNSARKHYKDEISTERWIAFLQEKGYQTMFDTYNS VGPLLVSWVSPWQKKFLENAEEWYLDSIHKTCKSFLDNKDCYLISVVVYNPVTNKGVP VAFFVISIECSYIIARWLNWLKDTNSLKVKQIMIDCSSIEQKAIRDTFGPSVQILLCH WHIKRAWESHVKKVTIPNTHLETKNVRANIRAALNLIMHSNNEADYNAHWQKFRLDYG MQFSVLISYMEDALAERDYHQDTLETYFGIKSIRLSVTDSERKRKASVIAIKRANGLV EEVELQKLQACKMYSCKSFGEGCELVYFIKFTTHLHDCSCPDSARLCKHIFLVSRVFD LPVTVRRNVVLDSAALFGLGENDGNIISEDNIALLENQMSKDEQKANLLLMNESLERI WKQVYISSKTFEQKEVLLDLMKKVNSVVNPTNELRKQT PHYBLDRAFT_64441 MYNPSKHTIKRRQRTAVPQFLLDYFSEDVPISGLNYIPTENPSV PEVEFSSPCLKYTYTRKKRARTMAVAPVETPSKNIAASQTDLDVKIDFDTYEATSGTF EIVFCGYKFIPEQLVEMGMLPASLNNVQYAIHFRLLEFMRDMRDVLATSGQGLANLCN KINLDAKISKAYCQNLLHVFIRLTMIVEAKVEKLLPGFWESNCCPACPDVDSNVAIDD CQYVAMDGNFSLKCERRKDGEGDVGKELEQVGRFDSNFHAGSGSLAKSIKYPIKGLFA ASCARHKSVIKLVDMETGEGFKYSLFIINQLLGDSGSDGQSADSSPNINVMYDVVCKL AKSLKANFLRLMEKSKLAVPIFHMYAHVQHCQIKLNPKYRDEFGLTDGECLERLWLYL NHFVTMTRKMGQANRKLVLYRAIKFHNETKKIELGLMLESKYVKAKRIIEESRKALEG FDCVVIEREWKQHVNKVEKLENYVDIADLMESGRKVQGNIALSLINFTILRRLRELAN DANGNHVKDEINRLKHEMEKLKAKIQEEVEGFQEPDEENTNLIKYIEENAQLGIFWDA KIRPKVHLFLVKKRAEEVALLKRDAFWLQHRITKERTLREQGLKALDNAVGTLDKEVL CGMQFLLARKLRLSMSWERAVFAQTSFLLESLSSPSSVPFASSSSVPFASSSSVPFAS SSSVSSSSLIVEDINPSDDGEASIAFVVEAFTKSISN PHYBLDRAFT_166766 MKDKENWVNMYVYKHAHIGNRTSDRAESAHASLKHSLGTSSGKL KTVTLKVADRKHWLMVESLGEGTKIVFDKVNATRLNDIRLKVCRFAMDQIKLELSKSI IPEKLAKECKCLIQYNYLLPCYHTLAKFDTIPISCIPRRWRKNYLEGENHLTIQNATP VPPNINNIKPITPEFNYALELICEHFANAQSEQEQINIYQLIEKTLKQIDAQKLENLK GQTVVEAIKGRPKNTKRKMVALKHCINTKKEKITKKIKTEKEQKKQKISSAKEQKAIK NIINLGSPCDPTLLTNLTIAPKHISTIFSPEEDGNCGYRAIAMEVYQDQEEWSKVKDK MLETFLKHQNNYYHGRIEHGNMPASNNPLIRSLQDKRSPLPQQHWLGTIDHPQLVTDT FSRAVAVYWNTPIETGDCLFVPFATLPEKVEPIIIILDCCCWHFVNVFSLWFYRSRKF KQAILNIKNKAAKKKWMYLWRVKFIDVQKQLIPNLLTIQGLN PHYBLDRAFT_180823 MRSSETSFKPDRKWSMNPLLLLIKSKQFFYSNYTTYNSVQPKAS MIKGIFGAPLEYAAMCGSTSFHPPLDLCVPSPVHRCFTEIIKRGLYFEGIFRLSGAAS EVSRLQDAFDQPPTYGKYLDLTNYDIHSITSVVKKYLRNLPDSVIPMAVHEQFLQTTE MCRSPVEAINLVALLIADLPVAHNHLLRYIFILVSHIR PHYBLDRAFT_143784 MQGHTSFPDLDVNVDTNAGSCAAGDAFAGDDADDDAGAGAGAGA FADAGAGAFAFAFAFPSASTGTSPLLFYAFNCLLFLIFISIVPVLVLLAVDYAYESDS DTTITVSTTVITVFHILTIVNKSQNKYKYV PHYBLDRAFT_177319 MNVTSRPFQFSPETPFGLHLYPIFEKIYEAGVGKPASDFRFTSG VTQFSTTTEVVVTCLTYYAVIFGGRYLMTNVPAFRLSTLFQIHNALLTLVSGSLLALM VEQIFPKIYRHGLFYSVCAEEAWTQPLELLYYLNYLVKYWELADTLFMVAKKKKLEFL HYFHHSMTMVLCYVQLEGRTSVSWVPIVLNLTVHVLMYYYYFRTASGVRIWWKKYLTT MQITQFIIDLFVIYFCTYVLLAGHHLPSISNLGTCAGTDASALFGCGLLTSYLFLFIS FYKATYKQKAAANTGGAQQQQQQQQQPASKITEQQQLPKSKKIF PHYBLDRAFT_186429 MSRLLMSLTWRRLRWTRFILYFLGLALVYHFGVTLWNSQEDQQQ QQQQQSSSSFLSSPNTTPGQKNEKGSGRLDFPWYTQSHPALDIPHISDKFTTKDLSST ERQQLQDKAVADARASMVERVGEIVGIPGSRMTTTEKADRLRALVECWTGQGEWVKDA ENAFRLTHMQDPVYGKCDKKFYKTNPKSSHRPATEYSWKTSLTSSSSSSSSLSCPLPE KVDVQKWCEVLNGRHLLLVGDLVQYQLHEILLDAMRDGPTVCFGELNCKEHSICTEPE THLRYLRNDILSINRRLDSAGEHPAVDIVEWPFVPTNIITAYPVMILNRSPVRETDAV FTRTLSNTLRVLRETVPDMLIIYRSSSIGHPHCDDTTTGGASDKGGPLDQRLTDDQEK LLPFGWSELRRRNAMAKAMVEAVGGVYVDLGALTDVRPDGHVGGQDCLRYCIPGPLDA WVQILYKLFLELENPNI PHYBLDRAFT_143787 MYVTNVPADEMLPKSKDTTRFVCMSDTHGKTEFSFKVPEGDVFI HAGDLTRSSRMEEYERTIQWMASLPHPIKIFTGGNHDHFLDAVEGFYPQQKESILAKA KMAGLIYLEHEAYQLPESMGSFKMFVSPYAPTHLWGAFMLDSLKAVWESIPLDTDILV THTPPLGYQDITSRGRNVGCPDLRNKIDTIKPLVSVFGHIHEAYGYSHSPEKESLFIN ACTSSVRYRPIQLPIVFDL PHYBLDRAFT_155074 MSFIHKIIDKARHPISSSSSSFSSISTSTSTNEDKHTTTKPKLK LEDFSLLRTLGTGSFGRVHLAQSRHNNRYYAIKVLKKSEVVRLKQVEHTNSEKHILES VSNPFLVNLWGTFQDDANLYMVMDYVPGGELFSVLRKSQRFPDHVVKFYTAEVLLAIE YLHSKDIVYRDLKPENLLLDASGHIKITDFGFAKHVPDNTWTLCGTPDYLAPEVIQSK GYTKAVDWWSLGILIFEMLAGYPPFYDDDHLKLYEKILQGKIRWPAYFDPHAKDLLKR LLTSDLSRRFGNLKGGADDIKTHRWFDGVDFSRIAARQVRAPYIPQIRGEGDASHFDR YPESNEQYGLACPDIHRDKFIDF PHYBLDRAFT_166773 MTMVLWYYTLKKHMYLVNNQPLAFFVMACAPLSGNSKCVVVLGV MQFTTTYKQPLWIRVCMRLSICLYRRALKQNRKRGLADALVIFCVLLDLSRWHVNVKC QRSESM PHYBLDRAFT_143789 MADSRQLLFGGAIVAPIKSSFVDASLFREVPSNQEVFVDTHTQQ SLIIELLEQADAEADEVAKFHFAQLASDNDAISFSIQSVQTCLPQNVSPLLPAETTSV YVLHGSQQVAKFNEGKDGAYNHVHIVMAVIRLARVKTDIVISINAPQAVAEKSSEKAS SAETIGVSLELVDEEMMGLLNNFEVKDWTLFV PHYBLDRAFT_110748 TALAYARVWHVVDARQRVLGRMATNIATTLMGKHKPIYDPAADC GDYVVVLNAKDVMVTGKKAEQKLYRHHTGHPGGLKAINFSNLQAKDPTESIRKAVSGM LPKNRLREVRMERLLIFEGAEHPYESNIIKQHGITNVTNATNTQ PHYBLDRAFT_38479 MSINIYTGNLAEMMTDYDKAMNCYESALRHNPYSIAALTQIASL CRSREHFARAVEYFKRILAIQESNGETWAAIGHCYLMMDNLQEAYQAYQQALYHLSNP KDPKLWYGIGILYDRYGSLEHAEEAFSAVMKMDPKFEKANEIYFRLGIIYKQQQKFDL SLQCFRYILHSPPKPLTESDIWFQTGHVHEQQKDYELAKGDYERVLSENPDHAKVLQQ LGWLYHQQNTSFCDQSLAIQYLTHSLKSDSNDAQSWYLLGRCYMAEQNYNKAYEAYQQ AVYRDARNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNN QIQDALDAYQRAAELDPNNPHIKQRLELLRKSQTVHTPQGAPPPPPQDQQAQLQQQAK AQAQAQQQQQQQQQQQAVAAHQQTVHKIQQPSPQLQPQQQPPQKPHQQPQPQPQPLYQ PLSQPLLRPLPQPLHQPPFQTLFQPLFQPPFQVLLQVLIQQLFQLLHQAQHRSPNQAL HQALLLVEIPHVAIVSDWRQVRLCLLRHHHHQRLQNLQKIICRPKNHRVLKRKAMDRS QTKRRLVPLLLLLLLLLPRLFLWLLLITIQRQQHKKGLYFF PHYBLDRAFT_123949 MDFMDEPMDDVNGIPEDGPEQDTIMILEETIAGQGETPRDAVEN KDKHTTPYMTKYERARILGTRALQISLNAPVMVELDGESDPLVIAMKELREKKIPLIV RRFMPDGTYEDWNVKDLIVE PHYBLDRAFT_98829 RSQTSEQEPNRFSGASLEDFQGSLYEICKDQNGCRFLQKKLEEP NGQNLEMIFDEIHSHFVELMTDPFGNYLCQKLLERCSNTQRGIIVTIIAPELLSISLN MHGTRAVQKLIEFLVTPEQIQAVTAALAPNVVALIKDLNGNHVIQKCLHRLSAENNQF IYDAVSASCIKVATHRHGCCVLQRCIDHAAPYQKEQLVSVITFLALPLVQDPFGNYVV QYVLDLGDVQFSDGLVRRFLDHVCDLSVQKFSSNVIEKCIRVSEPETRRLLIAELAIK TNMDQLLRDSFGNYVIQTCLDYADPDQRVQLVECIRPSLPSIRSTPYGKRIYGKIQQ PHYBLDRAFT_143794 MQITEMTLLRLLFGMTDALLIEVCSSFDPSLPFTIIYSFHATSD GLRQEINNLFVQSVYLLLFVNNVYALRDYVRFIGMCISREMLICRSVGH PHYBLDRAFT_166779 MAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKHVKRKNALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQ EPLEEEYFVWFAHTLHVFSIAKTTNFAKKQEPLEEAEKYSSGIKRPKHLQDDYWYDLP SPKKQNKNVHDFALPAQIDQAAISLTFNPKSDGWCGLRVFAHLKEGGEDQFPLVKKKM LATMATHGKLYEHNFGMDVAEVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQII ADTYNEPVCVYLDDRSVLPVTFLPLHDRKPLKRKSLPMVLHHIHGCHWTTIKVKPHVH RSWPEVNALYFDAIRRGSIIDCFSTSWNHWGQFPKNKSYLLPSTTTTTTITTTATNSP TNSPVNSSNIIDLTHI PHYBLDRAFT_143796 MPSASKKSRLAKSKSRSESGNCFSKNIETSNDDLVDLFEIVVEE DITVDDRELQGITDDVTSAIYSSHFLKWHEGADKSLRDTYQKNSLTTEWRKRKTMKES FDFKGSYLLIVKGFAILSRKESVNEELEPVKVTSRKISANTISLLKLAFNNIKEEIVP FTYISSSSLPVDHYELCKLKSVKSYLRHRLSESKTMEASEKAAMEQQKPESLSTILVK KHIDEVVIPKKLGIPGSVSTSTANQMMGYKTKMASFGENDEVTMPQLSTDKVEHVLIT HDESTFYSNDEKEAMWLVEGENPI PHYBLDRAFT_64457 MSLMIIEFKCACHGTMSNAACSSWEVFHPGANRDGYWTSAHMLK QLESNAIPLFEVIHPGCKAVFVFNQSTNHKAYSQNALIANKITLGDKEVEEDDLCTLR DTTFVWDGEEQVQTMYYKKDKWFTKKSGQWVTKKLGKNPYNPTKKWRFDCKKDASEDS KCCAHHFLASQPDFISQKTALHEAVKVSDHIFKLYPKFHCECNWIERYWGAAKCEAWL QCDYTHKSLDKNIYSFLDHAGKLQNI PHYBLDRAFT_64458 MNNTDNTVIQLLQGIQAALISLKSGQEALLGHQETLTEIIPRPI SKINDITLKHIYKMITYDLRIELTEETKKIVNTCTKIICNQLAALSSVQDLGTNPGWS LLPQEDKNRLCINYSIILRDNRIVFTRCHRNWASIARVSQL PHYBLDRAFT_166783 MFKITKASRYQCHTCKSIFNNSRTYKRCLKQCMTNRAELLVNGK VSQTVSLPDQSQFTSAMVPNLTFGENINTSSSENIDIIDSTEDDESMYDFGEECKNVI DKIEGTTPSLDFDFSQPLSVPSNDDKKNLAFMQLIQEFGISSCSPHLGNKLLEHFLDI KADGYNICIRDCMQFNNENDITCVKCSEARYKNGQTSESNTRVPYFRMLSGTQTYSLE SSRNYNLTSIEDRKGLNGQSPLTSMETFSGPFFVLDKMHGLCHGIGKQVWRLVCRKYR IKHPLCLSLATQREIGAAMVAAKSTIPTSLHGAWRDVTKNAGFLRAVDWADFLLFVVP TNLIEWNLFLESLLSTADIDIGVFTINQHIIQHYPQMIDLYGLPRAYSIRSMERAIGE YSRSIKSNSQVSVNAGNIMIRLAQSQHVVELTTIANTKTLPANLLVYSAYTNGWPVTD GGNPANAECEIEFWGPLKNLTIFDSFEDRSHLSLLLKTFYDLKGEECSMLEPSIKTSC KAYLNGCVIDTAFNQSSTKEACHIHVQLQVDMNSRRFRSYYPGYKHFFGKVVIFFQLK PKTIVIHASNIVELVDLVPSNVNGSHYIIWPNLKRGPKLTLGALSDI PHYBLDRAFT_166784 MYKKDKIRIFYWLRNHPTCRAPKKNGHITDKREEVLVREIDIRD PLHKNYGEAKTIWKDNLKAVNNVDCSAGDVVLVSIKKKYKTLIKKFKERQTCVPESSR LQMALSEKDRLMMELINLVSVNKTKTAKVYAEKEHIIQERSTSAIHSVVKGGLHAAKK LRVENGEGPSTQVPVSPVVPSTSAAYLFLSLKEQVVDAVSTKVDKNILLFIQSVEGAV KDIRDDENVLEIDSKLDKVLEELSSMKTEIREGSLRVEKTIEKLIAFIAAFVSSTTQP PPQ PHYBLDRAFT_166785 MPFNSCINNVAKVLELSKQAFRDKILGKALDQVNDQTEALELLH IQEAERIVISLCQQDHLDISTVLTEECKFLFRFTYTERNSSTVLSVSATEALAILLRK MSFPCRLLDLSLLFGRNSTDVSHISNHVVHLLHLKFGRAMIYDYHQFRPENLMKFSNA IRALGIPVKHCVGFLDGTFKKTARPTKDQKTKAHSLNYQAVVTPGRITSSFYNPVAGR CHDMTVFHKSDIEMHMRKAFDFRSIGESCYHLYADRGYASSEFVMCSFAENSPEYIVN QDMSSSCVVVENEFAHVRNLFAYVNYTQTQRILQGNVSSYYIVAMLFKNLHVCYNRGN QTTMRFKVSSPTPMEYITDLLNH PHYBLDRAFT_166786 MSSSIKNQQLWFRPIRSSLIELTATIDIECQNLVRSLVSFWARE SIISFEKNDEVVCINKIWKDLVVYRVWFSVDSSHDQANNLAVFNDAREYEFIFKFISQ TVNRVIRLFATINCLSDV PHYBLDRAFT_91883 MMQLAIISGPNHPKDSTSFLEPVLNDLRNLGTNGLQFQTDSGLM IAKVHLVMTTGNISAVSDLMNLAHHNVHHGCRAC PHYBLDRAFT_64464 MSNINNINNTNDFVIVSKTSKKDTRKAEKVASKTSVMGETLPGW ERKREKDTQKHGCPCFMYANTKKSGNLTVCSHEADHNHLIEEDRRAYAMHCKLLPEAM ALVVIQTLGCWIYHI PHYBLDRAFT_166788 MKDKENWVNMYVYKHAHFGNRMSNRVESAHASLKHSFGTSPGKL KTVTLKVADRKHRLMVESLGEETKIVFDKVNATRLNDVRLKVCRFAMDQIKLELSKSI IPKKLAKECKCLIQYNYLLPCYHTLAKFDTIPISCIPRRWRKNYLEEEKKEQKKQKIS SAKEQKAIKNIINLGSPCDPTLLTNLTIAPKHISTIFSPEADRNCGYRAIAMEVYQDQ EEWSKVKDKMLETFLKHQNNYYHGRMEHGNMPASNNPLIHSLQDKCSPLPQQHWFGTI DHPQLVANIFSRAVAVYWNTPIETGYCLFVSFATLPEKVEPIIIILDINHFLLAKHKN TRNFCWPKINPFHKRIIQKHGLEDYSLMY PHYBLDRAFT_64466 MPKYRAKQQTVIILKKLHKIREFIEKENKSLVQSLLTSADELLK NISEVLEEEVDAELEKIAAVEDLEQNLQVNRYLHKCSNTLPKLKSKKEKSAFLKDLDA DGFKEEITMSKSLFNKLYRIIKDYSLYKSLKEHKQTDIKLQLVLVLERIGSDRNAVSY KRSISNFTVFFFKIMLSMENSTFFGCQNLRRQQQ PHYBLDRAFT_64467 MTTQTKNQLETVIAAIMRNLTAKIITCLYSALLLLSQALLENVE EEKLEVLKDNLHFKQECKFMHRKFESMMKAVAELAKKVPIYIFYEYLFFDTDTFELSI LI PHYBLDRAFT_64468 MSTGFFGSLHDIRILSEYVEYKATNYIILIKKKPRNSELLLADQ EFNTKISSVQKTGYSEKSGVINGSQNIDGNTSFLLRLVKYFCHKDDFFCPMFALKLFL QLLLLVLELFFVL PHYBLDRAFT_143803 MPVDLNKAQNISKGEDDEDSKNDGSDKASESSESCKDQEEHESS TFKHSDISVYSSKRRSTLFGNIVKNTAKRSVKSIDDIRA PHYBLDRAFT_64469 MTSTAFLGLDEIHLLGHGTGQQLYVALGGKFCPTINDGRRNAHV IHLQDWLKHHGYPFALDVSLEDIDKAICVSRADIPADFMGMWRSLKESNSKRKAVDWI DFLLSVVPTIVIDHFVFDHTKAAVMNLVTACRIAQQWRITAANIQEAEETIGCWHAFL HCEIEEKRLKPTIFVMNQHMLVHLGYMMRKMGPLQAYSCRPIERTIGVYSAAIKSRKK PGKNIENLLLRKAAINHSLGCQPVICATNDRRTSNFEVASNDVAGPQLWSRPTRSSLA ELAATIGIECQDLVHSLVPFWAREDIVSFEENDEVVCANKMWKDLVVYRVRFSVDSRH GRVNNLAVLNDAKEYGFILKFFSQTVNRVTRLFVAIDCLSNFQCVNQDLFPAWDSLAS GVVKVVNVKSIEGIAGLVHNPNNKVIRHIIWPLPKYNQ PHYBLDRAFT_166792 MEQALLIRDNAPSAILMLPTTGDHEIKRPSPKRKPDSWNQLVKC FKEVLATHFTGGDLIKAKQMYRVVDKITRELVYYSFSKYSTNNIVIPSWGSLADDQKA IMSSSLKENAALKNIALHRFENSWGALLILSHKWRTAKYHRRSY PHYBLDRAFT_166793 MALSSKPPNLSILTDSHRNSISTNSVSPTTHLADSPSPTGQLSY VHAALSIGNQQKTIHTPILVGDEDLNATSRVYRQGTSSNSVFYHISSEFKDLDSFLGP LRQTYPRGIGLQLKTKMEKTHTAIELCLSNADYCKYACSNPIVVDNNEFLATSAISVD LKLFRVNLTGLPAKDYEDIAPQLQLCLSPYGNIYGTWAKMGDHCVFCKQIGHSIDKCT EKRREKHTCHNCDTVGHIQIHCPRNPPSNSHRKSHLDDGPSNQVALRQILSPQRIQET TRSAMEKAEAQKQMIAQEQAAAQKQKDLDIRRVLEAEKVAAEEAAARVLEARIIADKC EAAALQAIAPGNRRTLPASVEANVADKDVTRVTIILKKLAKRQSNNEKKNN PHYBLDRAFT_166794 MNKDNLYTVSKCFYTSLLSNILFFYCFVSEIPQLQYIQDNLIDC ITEEGEQPLPTFSCGIQMSSIDYIFASPELANLKHKSSVDYINPSWFDHFLVSTTLSL VGSRTGKGIWHANLRLGLSAHFCQSLSATLQDLLSSLHNIPSSQDQWEKLIQKIEQLC HIHSQCSLSVRGRAMVLNSLILSKIWHVLRVTPVLASFFDKLRPCIGQFLRRGMFPMI SFFKMCCPRLTGGLGILEPQLQQKALQMRWLQPILDQQLTQSFVSAFNTLLTTIDAIP QDYSTITPTPATCLDLPILDVIQFSDQSICLIKVSSERLGSRYIVAKLFHNLQNAQIN LLSFFWCTTLPPLKHPEFIPTLRPELVIVSPFVTALCTGCWHLKDFHTTHFCKVCQIN PPLSNHGSTLTPTQWKEIWDFPIHYSVQNIWYRALHQSLSCSSHLHRIAPTTFPSLMC ILCSNGIDSIEHFLYLCPLK PHYBLDRAFT_166795 MNSNAPSYSTATSDVNVRMMEVQVSTSCEAEVNRPENTKRSYAS KQKEYKDWCDEAFSSIPLKNCYTVYGDKLHLFLKDCRALPLMVRKLADMQNVDSKFCR EVLREFGVFHQKIDDLVSGRIPLQSLQEDGGKRCGARSTEVQAFPVLANNSGPISQLP DIPVRYRMSRDVQMVPDLWREWHVGLSGCVSVHEMETRYGVAWRNND PHYBLDRAFT_166796 MKLSLYLEFKRSKSYTVHIEREFAFALILYRHTFPQKYTTMQSV WGMSAKTLGLIVNKFTELLLNKFKNRFEFDTCQFSSENCKIFPNVVGFVDGTMQKVSR PSSYKDQKLVYNGWKHIHCIKYQAIATPDGITSSLVGPFIRSSHDARIFYKSKTLDCL IVYLNYISKDDNVPFEYVVYGDMAYSKLDKVYKSFPLSEVNNDKLKKINKSMRKTRIQ VEIKFGKVSQLFKFCKYNYGMKIFANTKPATIYILSTLFKNFHTCINGSAGSKIFKLQ PPNIYDYIKALMCEHQPEDTIDNYKTILNNASNLVETVTVPDN PHYBLDRAFT_143809 MKQTARASTRNLQLRQDLMIQMLMYHQAVLQRRLCERLKNWDMA REIALMQLIYNCCPFANNHGNKSLAWNEITANINSIKQTHLHPLTAKDARARKNLLFK KLNLVVNKDNERFLPVSNPHIPENLQRLVYDVYTAISIATYGRENLSSDDNSSDSVSD SDSDISYGRKNSQSASTTNESVSLLKQQLDILQQQQHTNNLVLEELKKISWSNAVLAE SNQAIADSNKAIAKSNSALAKSLSTIADSISAFVESYKNNK PHYBLDRAFT_166798 MNINDLLNPVTENETSSYMLDNSFFEQQPAQMDINVTYPAYNMM DINDKASVNDSIDFDGFLAAATNNVEANEEDTSPMEANSSNVEVEYTSGMAQAEPEQA NIEYDQFVPMYTPNSSAEAVSLELFSLFFENSVSREIYNQSVKIVNEYMTERGSPKTD SLLSYYKVDTLLKQEYTVKAQVYDMCASGCFRFPDVEPGNSIVENETYQVVPLSEQLR FKLAHPEEWAKITYGMEVLAGGQSNVRKDILDGDGIYRLLAGGIVGQGDMVVSMFVDQ FNPFKDAAISASIIHVINMNINPAKSESIAQTMCFLESEGPAPMRDVGSIRKAEGSRP GGERYNAFAELPTLTSSAFFGQDEMHLLGHRTGHQLYQALGGKFCPGTAGPGRKSYGM HLQERLQRLEYLFALDVSLDDIEKAVSTSRADIPTAFTDAKQAMRDLVLACSIVQQWS ITASNIVAMEQAIGHWHSFLRCKISDSKLKSNIFVMNQHMLIHLGFMLQEMGPLRAYS CRLIECTISAYTSAIKSRKEPGKNMENVLFRMAAISHCRGNRPARTGPADRRTSNFEV ASDDVAGPQLWSSPTRCGKTWWFTESGPPLTVDMFELTILLCSNICGIDINHNLIMFF ESYGFVHKFFSHTVKGVTRLFAATKSLSNVRPLLGMLFPVSSNHSQGEMRIVDVKSFK GMAGLIHDTKNGAIRDIVWPLLTHNQ PHYBLDRAFT_166799 MLETAYARLEARFEARFEGSEATTEQPVRGNPPDVNHLQPVIGG NTIPHPSPQGQPNSWVLSAGSVTAALVLHFIRGNTKEAQLLYSAIGRLARQLVQLYYR GVVLHLTRETSWLETLRREQPVEILLLIGLRIPGCLSLCFLRDGKLLSPVEDSNKTNV FLDVINPTTKCLDHHKHNKLLFLISLVKFLSEFHFRSGLFFKCYNTVKIGQTHWES PHYBLDRAFT_166800 MLKYSVKQQTVAALKKLHKIRKFVVKKKKSLVQSLSTSADELLK NISEVLEEEVDAELEKIAVVEDLEQNLQVNRYLHKGGNTLPKLKLKEEKLAFLKDLDA DEFKEEIRMFKSSFNKLGQCLKLHSLFLQVNLSLEGIKMLPFKVGIPVKASEWRRCLD QSNTLCSTKWNKKIHLDSKEYIFGETCQWHRAVIYIPERDSCPAQKESKACECNGALK IKQFKKSPTIITLCMTRDHNNHVPEDRSEIRTLLLPFEAIKLIEDQLRSGNSCRSTRI SVL PHYBLDRAFT_64479 MTNLLYMFNSDEKASIAIWMNEKLPERNYCIFTRDLCVNNIESN LFAFGFQSPVQVRVMRIAISFCLDATHGISARSGEVMYSLVTQHNVSGKGFPVAYMVT NNQTVRPISQWFMHLCERSYFHPLNITIDCSISEVNAITSAFPHVVIHYYEFHILCAW QTNLNNKLKTIYFDRARIRRLDRLMFILTNNVKYFYKQEVNRIHLNNGKMGPVENELA RNEFAASKIEDDILPSMIISPLNVISTSMDDSDSKWQIKFFTNDTI PHYBLDRAFT_64480 MQRPAVLAEEEEVVIIDEEDGRKDVVDAQNDVDTSITDRITHNI LLHHQRLYLEHMQTISDIDVSEINDMTRCVKELLDIIDNIRNTNRNKKAVTRSFESFC LKFELAENKAFLEKTATIIPSNEKPFRFPDLVGFLDECLFNLQHTLSWKKPESFGHKC TYFVNTLRVCNHQKKICYLSCRYFGSMNNARVFEESTMGSNPNNFFSGDHFLINQTDD MMTMTMKISWEKREKEEIKRISREGVTGTVNKYPAMSFSDENAKLKHIYIKEEILIKN GDGNLLKKNKK PHYBLDRAFT_166803 MEKVMGNRKTGSPAVCDTTKPMEWIHDKDGKQSNGDDDNTDEES AENSHSSNNEEYDSQNDNMSVLCSPPSKSSSSRKRRRVDIKYKELAEELEVLKDDLYI KQERKFIHRKFENMMKAVAELIKVQNWSDEKVQEQTDDVYNKTYGS PHYBLDRAFT_110884 VCLSVCLFICLSVCLFVCLSVCLFVCLSVCLFVCLSVCQFVCLS ICLLSIYLFSSI PHYBLDRAFT_143815 MSSTCWEANCFLYSSKKTSHMVTLASQMAYHLAVMPQKWVATTR IVSSLVIPIPFFSQSICSNQKRDLLFRSLTKISGAKRYLEMLDDLCSLMGAEEEGAYC TA PHYBLDRAFT_166804 MALCLQELFGLVALANEAKKIWILLKALHPEIAYEVEKSGLPHS WDKLVCWAAKVEAVKEKYHYSDLRLVPKFEDALSELIQEFRSMKIYLFDTCHFLPQAS GSFRFGLSGFGGSGPCGSGFDTAVNMVETTLVYAAKHARVVNLSSSKNPYTHNKGKAV DKTHLYAQGQLNTFPTNDIYMTLAPDLSPPIGSSPLAPKSRYLHPPPRELPVHISCKD VWERLKSVDADDWETQSLETNAANLNSDSKLDGYNSNSTVYNYHYNYKDFASSQPLRA LITINGQVIPAIFDLSASVSIISKALALCLGLVPNVNRLPFSSLDGQAHPPAI PHYBLDRAFT_143817 MKFWSLISPIETDISERDQGITVDGALQPQIVRPSQQISVILVD LCDYHRIQPSVLELHHWLVIIHKNLLTHQEPLTWAHFLNSVSYLNYCFIFNKVCPWSW PDRGSADIVPKEKYGGTMTCGVVNQKTENQMSRVDIFVSLVLNTGILGYLHQVGSGGE MAATQREQKLTDKTRSEVTTPISKDVLWDPISNYDLIIHEGSSSLCGNVLDGHSNWLP DKIVNSNQKIMETIHRSLDQVHCITSYHVKWVHQCYDGSCWFISLPDLSCSDLPVYIP LNYLTDQLEQVQTSILYMDLSPSVVDALMFLTMHLTYHSFCNTLV PHYBLDRAFT_166806 MHAKPVSLRTEVSQSVNNSSMNIDSEFNDTEEYIEDFIDEYFGN HVEELNDLSLSADNDIFFAQNDNDNNDNNSIDLDFFKKLKEESQEFDEDPTHAFIVSF AAFFISKYVVDSGSVLLLKFINEMLAHFGQSFHLPLSLSGLNSMTEVNLLAGGVHYYV ACSKCNTIYLESESFPECCAS PHYBLDRAFT_143819 MPEPSEAKTSEINHYLRPLVKELQLLYHDVMISTVQCPQDICVY APLPLVAHDIPAACKTCEFTSHICNCIFTHLPNNRGMNYSGSLFSSWMKNARNKAKQK RMEKANGVRWLELYQLPYFNAVECTIINSIHNLFLGMTKRVIEKWRVVNLITKTDLAT MQDEANILKVSIGSISLRKKIAKDFPFMKANK PHYBLDRAFT_166807 MALPSTPPDPLGDPPSTFGSPSPHSTTPSSPTTSQRTYSVAATL APTALLPHQPRIIYGDSIDGLPRIWRAGTAPHTVFYNAPLPTSPLHNVFWHALKSSAF ADSIVKVTLPSRSAPTALEVQFLDAASCAIACAHPIFVSDQYFPACIAVAPGRKVYRV TLSRLPGVRYPDLVTGLQRCLAPFGIVREIVVRESYTFFDGTGSVLLERPDPPAQQVA KLAYKISYNDNTTILGEWAHMGSHCKYCKQMGHDIDACPARSSETRTCHSCNKPGHLQ ANCPHVSEPGHRSATTNKRSRHLNRVPHQDRIVLPRPLTTNLPTGTSADSIHNPANKA SSSLLPIEPQRKAKVVNHAEEETPSDNTAYIVDPEDDTMLDALPEQVNSDKAQLQQEP EKAADEQALLEAIQATETERVRRLTRHTPNRNTRRSLSTSPTRRNTASCNSSLSPPPR GLPKVGRPETRSLFIHHLRSKGIDLLALQETHAHSIALQDTFTMQFQSPSSLWSPHCG LVCLSKDIMLTDPLFSICGRCITATVSHAQSMFNSFRICVIYAPTTYRERHSFLTSLL HNPLLIPASPTNMILLGDLNHSLTTTTAHSTPPRPWLQFLTDRLVDCVTPTGKVPQPT FHRGTSSSTIDYIFASSDLASCATSHSVEYIHSQWSDHCLVTVVLSLPSSRTSGKGLW RANPRLAQLTSFQDELSVFLYTFVPTLPASNSPQTNWDLVKSEVTRFIKRFSRRISPS LSTLEAQLQRLRTAAIFTHLAVSPFGLWHVLRVVSLPMSFFQKIRSIMGSFLQRGTFP PISLDTFCLPRMQGGLGIIDPKTQQSALQLCWLQPIVRAPRSPPGLVPRWMSGLLQPS LPSLSPLFPLLFPSMRPSGWRDLTSPLHLAFAAIDHLLHNFDNVVVNSTTCLALPLSA VTIVPASQARFPPSWQDLLVSHLYTFDPAPASLRSISITSSHPRSRVINKFLGRVQLN TLTLHLIIVCACCSPRELTEQYPSLLIQDGTSIDLFPFFNALVPSQTWARLSTRTFRG LCSHHLVRARYFDPPRGSRHWRKFWSFPLPLVARNIWFRGLHNKISCQARLHSLLPLT FPSPTCSICSLSSDSQDHFFFTCPLKNAVWIGMWLEFFGTIPTPTALHNAFHFFSFPS SLNSSIPPSTVFGCTLLAIWRHHWTFIFDDSPFVPSAVVGTARKTLTRICQELDLDPL F PHYBLDRAFT_143822 MNTNTSATRISGSNSDINATDYTDIAQDFVALAPSLQERNQSLF NSANQASLDEVHGQETAREVFTLTPYQQKRNQDVITSTDMAFLNAVHDQDISRAEEND FEAFMAPGSVHSISNSLDVRFDNNYTEPNEAEYNDSNNSNDYSYESVHGYLRICNPVN INTLPIESDFVTKNVNQESHVPFYEPNTYAEAATLELFYMFVENNISRNVFDKCIKIV NKYMAERGLPATNALLLYYKMDKILKDEYTVCTATYDMCMESCIRFRDVEASNLIDEE EQCSHCGSQWFRRERDTLVPVQTFKVVHLLQQLRFKLENSQERAKMAYDVFNGGAVRR LCEGGIVGQDDILVTMFVDQFNPFDNTKMLATIIHVVNLNIDPKERDIESFLEPIIED FRMLATLGIQIQTVARQINVKVHLVMATACLSKGVSKHHTMCFFGDELPVCMRTVENL RQFEGNSYGVNGPNVFRDLSTLTSSAFFGLNEMYLLGHSIGKQLHKALGGKFQISPEI ADNGDQQQPQQQQQQQQQSVYTFALNFRPNQIDRSITKFRADISAIFTGSWQSLEETT SQLVELPSVCCANGGDLVKACTIAQQWKVTEREVNIMEELKPTIFVMNQHMLAHLGYM MREMGLLRAYSCHPIERTIGEYRAAIGSWKEPGKNMENILFHKAGVKHCLGGWASRRR TTNRKTSNFEVASNDIAGPQLWTNQTRNSLAVVADECGMNYHNLVSSLACIWGQDSVF MVAETTDMVCITKMWKDDVVYRVQSSFEGRHVQANDLVVLKHLNEDQALCHCQLSVWH LAQQ PHYBLDRAFT_143823 MSTIKNPQTNNNQTTFEGVVSESVSAFSSLGTSGGTYRRDEQCV ESREAQSGQNIGNNDSQDIPRPDKNSCSESSTLSAKVVKNTMALYLGGGNPQTGADMY YITGKIVLYVINNTFDALINKKDVIPSWGNLTSFQKNYLVHKVEKKALEKNISLNRLK NSWVVRHMLSQKWRTAINDARKKRKVYFFK PHYBLDRAFT_166810 MRYILKESGRGRKRRLVAVPVWTPRLPSCLGQVHESKQTKGYLQ YAKNLITSERILPMLVVVIGRMRITCYALLRSREFATNSLPRIDKLSYVNCWVWVRIL VITSSTATDSCAFELVRGLILGSLYVWTITSGKKEVPPGVPWGAAQGAAQEDPLRVSG NAGKPGWNLTAKFTSVLNTGLALSTLAYLERQPEIALVPTSDIVNISNMTVEETRGNN KTSRRKQWNITLFNRHNTTFKRHEVTLETFMGNKDCSSVFQKYLVSDAKSDREHELMV FQPSWRSNELQKLYKEVDKLSKSDLGRKGDSISKRKRVDVGMDPAANLAVPLPEWAIK PHYBLDRAFT_143826 MSTLFRLLDHGELHLQEWFSLLTVFPPRDSNSSMASFFPTPPRH LRHFLSSGIGDDPQLHNLSAKVIHAHIRPPLAQVPPLFPQVLPAHWRQFWESNLPLKM YTIWWQLMHNKVSSQQQLFNHNFTEVDDPPSVLCGVLEDNEHRF PHYBLDRAFT_166812 MMQAMAETMVVPMDYTVLGSKIGKGFGHMKADEWKSWVLPSITF SDLDDAHQLLQEFCNGCKCIYTANVLTCNMHLHPHLRETVRDFGPVYRYWLFGFERYK GLIKNVSTNRKDSFEATYMQSFVQDTFKGDYVNVVLQCPSQVPFLPLLGKLTATAQPS SSKNKMTFPQRPFRLAAFVHAYSNPSLPVLGNEPLPPSTFPLHIKPSSAMSNVDYPHL LDYYKVAYHIPGLESYQFPSSSLSFVDNQSTKLRSINLLGQVYKGSKYASGRGSFVQS LFLGSQGNNRLAYTGQIQYLFLHSFTPPVVNTELQARIVYQDKHVFAFVKWFQIEHNR SRELESVNICSADFIACDFECILPVHRISSVVATCNYKTSANNKKTLVNALPKELLVF KTKIFNYIELLFQSMLYYPSTESARLRIYSQYNVLR PHYBLDRAFT_143828 MVACDIPTARKTSGFTAHNSTCACPKCVRQFTRLPNTNQIDSSG FDYLTWKIRSGLENRLHAEEWKSSSTPSGRHPVEIENCVRWSQLHRLGYFDLVHGTIL DPMHNLFL PHYBLDRAFT_180830 MTLLPLNDPTLGASNINIFLPLDLVPFGLEKLDRSGLVNLKILP SPVATTVGGAGTTKPADSKVNDLYCKPCKKKFSNEATWQNHIKSAKHIANQKKAGKVQ PIPQTQKITVEDDPLIVDALFKIEQANKKKVSDPDTATSEYWEISKVLYHLQQPQKTA DVLEELIQLTTDLKWKDTHHSAKLALSRLLCLYNKDFGNLSKARQLMLDTVEVQLSIC HLELLNVAQQCDREDVATLLSESRKLVTKYLADNSKRSDLVRLVLETSSLFAQNKRPE EKEEGGQNIGIVMGFLAVHLSASVEMKQKNMGRVGELFRIMGSVHRTLDARLLKGELG LDCGTSEQLKESLWDVADTLLVTLEAEDYVRAKIIEERLGNIGSYPDLELIMEISEAI RLLDVDRLKTQVSKLGYMGLLVSVGDEDLLMNQVGFSREYQLDILQRMRTLVAACQDL PHYBLDRAFT_180831 MPTRDLEYPQGWLFRWTRNKLKSVWQKRYYVLSETELRYYKDQQ GCELAGIIELRFYKSAQAYTTKKAPYSFQITSRCTERKSYIISAENEQDRQFWIALID AAIRDLESKTMQRFDRRETQVDEEQDYSNSVLDKWLDRLDLNDHNHSQSRIDSSLLSP KYAPSLISHDCDSIIDKYASIPPALRSCQSIESLNTNGSSLRSEPTTLSSGSTRSLLQ PSSYCSALSASSPGKGKGPEVVVTSSHSTPPLGSSLHLTTSTPSPSSFDATTSPSTRT RTSNPNPNYLWQPQPRNSSLSSTKTKDLKKAFSSTRSVS PHYBLDRAFT_64493 MPLFSKKILPTEITADIERATNPNTTELDWSIAFKLCESVNKTD LGAKEARKLLQKKMLSREPNTQLLALELLNALSENCKEKFKSQLSAKSFGENLETLAN SKHGDDRVHGKLVQCLQNWMAEFGRDPAFVAIHRVYDNTLDASIGQYTAQRPRQGPNH HHSERREQVKAADPKTDCELAKNSAQLFSQTLSFTDPTQEDITKNQLIQEFYAKCQSY QTIMSSHLQTCEDPDVISELLEANNELVNCFKTYDDMLERKAMTEATFNSQNLNHRNT QDALPSRDTEAGGSRPIEHSNPFDGFSKSVTLDPFDPFADSQQVDDGPARSNDTGGKD KSGAVNLPPPLTPQKLHN PHYBLDRAFT_143835 MSDTAISVDLNLDLNLNLDLDHDHDHDNHRDPTLNTRDQVYIQS LEASLQDSFRLMCSKEQVALARSMRILTKSERKEMKRCAKIWKPTPISSTPDNTSPND RNNEEWNATIECLMTLRDRYQSQLDLMRVRSLESDAHRSDMLTCVQDLSRRLGDSGQT HEELESLSGALEQLRRQWKKSKPEIESIIHSILSATPLAASGCRVVRATRSNIGLLGL GYRSINKHYLVFESGRTPLEDCKEILLKISSDFGQVFEENAAQNLASMEGNYQHIFMD HQDTGDEQQQVMQDIQRLADSIFTQSAPTLIIGHQPGSQPKPNKKPTLEHEHNPTHIS QSGNDNGTIIGDGTIIGNGNFDGGGGGGSGNTNSQSQVLVQSQSQSQVESEDNSPLFT MSRPVFEPAGWDWPTLLKHKQPNTRKTGWVRPFFQRTFSIRSKSTTASTTCTTVTATS TGSTTATGITNVSSNINSNSNININIKTTGLWTCSISRLADHFAKVGHDYYTNMLNNT NLMHSHQSQLFESSTRLLMKCYQALQIEHAHANIISTSVQVYQLKQEAERTNHHSITP PHYBLDRAFT_123953 MAYIPAESLRNLHLYKYAGVDKSLVSRFILTPYWNTLVKIFPLW VAPNLITLLGLFCTIFNVLTLFYYDTSIGPCPNWVYTSFGIGLFVYQSLDAIDGKQAR RTGASGPLGELFDHSCDALNTMLGVFTWASATGLGQSWWTVCSLFAGLCNFYLSTWEE YHTGVLYLGYFSGPVEGVLMLVAVHLWTGIAGPDFWKQNLNQVVDIDWLYSPYTHAIG KLPLNHLLIIVGAVVVVFNISSALLNVIKVKIYPTDTSHKDRSILKAVAGLLPFVLMT GLSVGWLYMWPSLVYEDLSTFILFTGLLFGHQVGTIITSHVAKLSFPFWDTPAMALLC TGCALAYVETSLECCSVAIDHRLVIRAFLVLAGAQYFIFIIGIIQQICTYLDIGCLYI KKKKTLHTE PHYBLDRAFT_186437 MSKERVCLIGSGNWGSAVGKIVSENILKHPDIFERQVRQWVFEE DFKGEKLTDVINREHENPKYLPGIKFADNLTAVPDLVDACEDATVLVFVLPHQFVRGV CDKLSGKIPSNAKAISLIKGLEIKPEGVTLFSEEIARLLGINVAALSGANIADEVAQE KFCETTIGCRSQADGELFFKLFNTDYFHVNVIRDYVGVELCGALKNVVAVGAGLSDGL GYGSNTKAAIIRLGLMEMRKFGQTFFGGVEDTTFFESCGVADLITTCSGGRNRKVAEA FVLTGKPIDELERELLNGQKLQGTLTAQEVHQFLAPRNMTSEFPLFETVYRIIYKGAP IDSIVKDI PHYBLDRAFT_166820 MLKISRTSPNDDENTRSNDTSNLMTTPHHTNSSPIPRAMNRPNY QPQTLHGSRIDLRLLQETLGDSFDDTLDSLDSDSLELIDPQSSASENDQDQQAKNKQP NNRNRSLNRNINTNTNTNTNTNTNNKQHQGHSNPKSESDNNNNNNNNNKDHKEEEEGD DDDAYSTDDMGSETILGLLRGHKDLSQQMAAIEQEIGWSPDDTSKSRHPFEPTLLRVL YMGSATTRDKQRFFRKLTDGLAAVVHSKASHPLPHVFKERKHHLLMMSVISDRDDTRT SAYEDNGVSVIEADFTSSSPTAISDPDGLNDIVLSYVSHQCDDPIIWLSNQSAKGDFK GFAYPEKTPNGIDLCVYFYDGSHPYDKGSKEYARLENEISTLWRIKRLKIPIMAILST PPTHPQVSSASSCSSSSCSSSVSKRNSITSHNTSSPPHSPHRFPSFTAKHSSVLSPQP HLHPSQQLPPSSSSSSTNTATANINSNSNSSTHTNPNANTNATANTKANINTNPNPNP NTNTPASTSTTTSTTSVPVSAIATATATPHSIAYTLTVADRRSQLAELLARFKIRCVD ITCLDIEQPSFQRRNFRKASTATAGTGLEYRMGHSWAISSITAPEPYQILTIDQFSNV HQNAVSELLRHTRRLAAERERKREIIRIQERRVTTADPQPPLNQPTPATKSTFPLTLF SLFPWLPQPPRASSSPLPSSSASASPLFLFLFLLLLLMFLLFSVAMPMCCQDRFCGVS KYYLPKPLSTKDSTYNHHYNHNNNNNHGHDQYEYKEQQKEWSASLHLTNESDQYFGFL IRVQQEQRTLWPPVDPVATLSNASVNVARSDEEGHYLFRIPKPVCGQQTDGFQNRAAD VRVAIPQVIHVLGSPILFESLPCYQPPLQQQQQQQQQQQQQRISSSNLGRSSLKHFTN SPQHPESNICPVPAPTLVPSPIPNSHWERLSWSEWTHEALYIVNSIGFYWDNWRLLAR EVL PHYBLDRAFT_64499 MSIEQSKETRILVDSIAYITLCTFSNSIIEHIILYNSASLSPQS GARDIQQAHHTDVAQLNQKVIITRKWPVLQLEHCTTLDNTHNQWAFDEQRKPSVEYKP LPFLLITVIVICF PHYBLDRAFT_186438 MFTKEKVKPPLSLRSARRSLVKNKISTPVGIPITHHASLAAPKK IIKALYDYQAQGPDELSFSEGDFYHVTARENDSKWFEACNPATNTKGLVPVAFFQVLD KAERNLAVAQPLNDLNIKSDSGFSDSADTMLPTPSKKTSHLYGVVLYDFQAERSDELN AKEGEPIIVIAQSNPEWFVAKPIGRLGGPGLIPVSFVQVRDAVSGQIITNVSNLRQTS SNFIPNVEEWKKMTQGYEASSIPLGRIDKQPQQQLQTQQQSQSQFQSPIQDTINEGRS VKSGSSWSTRSSASQPIVMAATVERNMPSKQPIEEEQLDDMMDIYGLKHIASPTHRPL SEEYLSRHNSTSRSRTATADGTQHTQAVVVSAVIDSFILEGDQYWFVVYTRLSNNTHR ILYRLYEDFYDFQINLLNDYPVEAGKAKRERILPYMPGPLAVIDQEITAERQRDLNTY CKDLLGLPRYISEGDLVQVQLFGIHEGDIETDHDPRSDPAMFTQQPQQSVSQPMPGAI VGGAYYDTIKIKIVHKDDIFAIKVPANTTLDVLRDRIHDRLGFNVQLNYKDEITGESM ELSEERDMEEAFASAVQRGKLTVFAT PHYBLDRAFT_166823 MLADAYDFLLKLLLVGDSGTGKSCLLHHFLENQFKNDSVNTIGM EFGTRIIHIADKSIKLQIWDTAGQERFRSLTKSYFRGAAGAFVVYDICNRDTFLGVRS WLTDVRSLANPELVIILVGNKNDRQEEREVSYLEASRFAQEHEMMFLEASALSGDGVE DIFFKCARSILSKIETGQIDPERSGSGVQFGDSSLRRMTQRTRTRRKERSCCF PHYBLDRAFT_180837 MADHHDDDLIPSQTAGYKPGEKKTLEQYQDLDAQDESLKKWKES LGLGNSSAISPADDPRRVVVDYIALEIEGRDDVIVDLSTQHALEQAKNTPFTIKEGIE YRMKVKFRVQHEVVSGLKYLQIVKRRGITVDKTEEMIGSYGPSADAYEKKFQLEEAPS GMLARGHYVAMSRFIDDDNVTHMEWSWSFDIKKDW PHYBLDRAFT_186440 MSMKKLQTEIDRVLKKVSEGVDAFETIFEKIHSTTNANQKEKYE QDLKKEIKKLQRLRDQIKTWLSSNEIKDKRALLENRKLIESQMERFKAIEKEMKTKAY SKEGLMQKEKMDPKEKEKMETCEWISSAVDDLSRQVEAAEFELETLQSTTRKNKKDHA KAERVSKIEHSLERNKWHINRLELTLRLLENDHLEPEKVMNIQDNVKYYQDFNQEPDF DEDECIYDELNLEEEEALFAIGAEEHSAAQEEEASKRLAKEKDDEELLNSPRKLDTEQ SSPKTMYSEEAPATPIDETRSTPSPVKAAAIPPSDLQSPVKSHEPTPSALKYAQAAAA AASLPSGFEPLRSNKPEDGKDEKQENAWVEPPKILDQPKSSHGQQQQQQQQQQQQHQQ AYSMPPTQSLLSSQYSGKPTSDMNESRLPSSLADLAPAFEAIRAKATGKEDMMYTHQM LDASLQHVPDLIDSERPKMYQPRTPFPTASYYPQQPLAIFDNPALFEKFDMDALFFIF YYQQGSYQQYLAAKELKKQSWRFHKKYLTWFQRHEEPKIITEDYEQGTYIYFDYEGAW CQRKKIEFRFEYRYLEEA PHYBLDRAFT_166826 MKLTIISDAFKYILRKKKYGIYILESLLTESIKLILRLRLIGVY IFLYRLQLPFLLWYRINQTKLQEETERTWSFTSTYRHLQVQKIKKVRNLSLRPARRVL ELQDTPYYSSQYF PHYBLDRAFT_180839 MLRGASVLTRSTARISGRSTRLGSSVRCYSTVDEPKRGSIGKTL LWTTLLTTAAYSGATYYALQNKAFYDTFTTYVPGGEQVLDFLEDLSQDEELRQYATKA NELKDFAVIHSALLKTKALEAKDKATEFYENTHDLVSKLKGEDQDVRAQGPAPITRVK RTLKKDGLFTNVMEGGEPVKAAFTPTNIPDLDGLAQTMARLVAVLNESGMTGHAKRLV DFASRDIQLLASDLKSQESEQAELVKQTAALLQIADELQNKVTEHRKEIASKVEEAKA QSDARIAEKETTMRDAFAVENATLEQHLMEVGQKELEHQRTVYLEALTNELKERAIEL QRGYVAQVKKQVEEERGGRLSKVDEVVSRQGALERMACTNAEYLDDSRKAHQLLVAIE SLKQVAYAGNKQTFLDELKALEALSASSSPFANNAEKQNEALVHVVVSSISKTVAENG IDSLAQLVDRFEIVSREVREASLIPEEGSSMMSHVLSIILSKFLFPKEGLVEGDDIEA RLARAKYHLDYSHDLESAAREVNQLKGWPKTLATDWLNAARRHLEVKQALEVMRTQAV LTSMLQLN PHYBLDRAFT_155082 MADVPEVPLALVTNGYFETKAASVRQKQILWEGYKQSKVLSVDQ VELIKAIDKKPHEIINSTFQSNGKVYASLILHALKNIARPDTVQYVCVLSDDILTADN ENSRFFYEAVDGDSVTLFAPFVKALQSDDEFTALQASKILTILACAAPAPSKVDLSDL YRWITLQLNQHRPEIVDLIVQELESILRVRQYRIAFWETPNAMKELIRRADKATATPQ MLYQVIFCLWLLTFEPKIAAEINEKYDIIPLLVDIAKAAVKEKVIRVAIATLKNLVAK APAQNLAAMLVVKLLPFTENLSGRKWSDADILEDVNYLKEKLQENFQSLTTFEQYASE IETGKLEWSPPHKSEVFWKENASKLDENNYSLLRALARILSTASSPLVLAVAANDVGQ YIKYSSKAGKRILQDVGAKQRIMELMTHEDQEVRYQALSAVQKYMHQAW PHYBLDRAFT_186443 MSTLPAGSIQYLTDDSQVQKIILNQGHGELAHNHSTLSCHYEIF LQGQSTPIDSTRFRNAPLWVKLGDGKVINGLELILSTMCVGEIAEGLCTSVYGYGKKG LPNRIPSDATLRIVVELLSVWESPNSPRQCIELALAYKNQGNTFFKSGLLNEALDAYE KVNSCIKRAEECTDKDRMELDALGIAASSNSSACYIKLKEWTKVIEICEKVISLDPTN IKAYYRLGQAYLETKEYEQGISSVKQGLKHSPSDTSMKALLSQLQQKEAQWLKSRKAL YKNMFA PHYBLDRAFT_166830 MINNPKNYVCVFPRTKSAKSTQYIYIKIEVTRQNVLREINEVYI YELKKLVYKLNSDALVLWHNYKSDEVFDIFVGLDYRTSRNGSLPNRGPKYSILLCVMT ICMHIVSTKIKMKLVHYKENNISIRKSMLLQRSWKTLEEYTHVLGFFELNNYEDRKKG NNVATDRESCLNSLLSIEIYKLVPREYFKAERK PHYBLDRAFT_143846 MSQDVSTFRNAPEIRHIKVIPIKGCIPDWFNGIMYRVGPGKYNL EKEDGSTFSIKHAFDGMPYLHRFEISSTSQTVRYNSRNLAESYEVSVVRDNGMGKIFY GHVPVMNSIWQRIYNIALRISTALYNSLFIDSLPASSQAIGVTVSPNFPIPAACVEED RNNGRDGRVLVTKTDANMLQQIHPDTLEPERLFNYTSIDNRIKGDASAAHHQYDPVTK ETINFVMNIFPTRFQIFSSTPEGKITILATITHRLDGTGQSIRPSYIHSFGMTKDYII LPEQPLAYSNIGLDLMKSGTVITGMKWDDTIDTYIHIVSRHGKGHITTIAVDPFLCFH FGNSWQSVNKNGFPVINADLCTYLDADIMFQVNTLGDPVRQSNYMDHLKEQARRKSQA TKVNGITVPPIKLESLCDLRRYTITLGKNETSVAYRCITENFDFPRFSQDFMMRESKY LYGCRFHPPTQSDGEHTRLIKVDTETGTTIQYGGPGYCCSEPIFAPRPGSDDEDEGVL MSLVNHFDNEDPDMDSCRWVLLDAKTMKEVASCEIGQFAITTFHGSFVDDYFESVSIN PHYBLDRAFT_158431 MTVPKDRSHAEEAEGKKEVEEEPELDIDIAKAYCELTEDTIRIF PSQKLEAMMGELTRLQRQASEYLGYLLDQREQLMMDAETYNDLISCIVGHAQRLREQH VGKDSSPAMVKKKKTGLTMSLMRRKPTNGPASYGTSMGGGVVGVKQSTGTQKKPMSAA EGRRSM PHYBLDRAFT_19908 MATGTKRIKGISITRPIYYGNNALPLTGKKANDSDHTHKWTVAV RGLGNDDLSYYIKKVTFKLHETYPNSLRTIEQPPFELQETGWGEFEILIKIHFHPAAS EKPVSLYHHLRLHPYEDDVSGQPWPKEKPVTSYSYDELVFNEPTEAFYQILSDNNALS PNLPVKKSKDSNIPQFSVQMEHEELDRLNAAQREVHSQTMALKQRMLVMEQENYSTKA PHYBLDRAFT_166834 MPLHSIFYSLTWADSVGVFQSSNLNWYGILSYTPSKCIIGLKYI LSDPNLVLFKHRRLPYRKKGKFCHSIVYPTFYATMFLQLMQNIFFPTASTQYKNLRRQ NYSSIIAYPSVTNSTPEPGLHPISKYCLQRSQEIL PHYBLDRAFT_143849 MDNRTSFENEYDALMDQAYQGFDQLLYNMNLLNKNLETLDAIGR EFQQPAKLWESFHRGIAVPAPQNSGWSTLMNVIK PHYBLDRAFT_64512 MAELDKSATARNTLHDGLWLNMDIFQKHCLSHEPYQVAYKFFTG QSTLDCQRGTRNDNNRIKVSTIALSILSINASILKCRCLSIIYQNPNLIGRTLNSPLC LKMLLVISVFQMNSFA PHYBLDRAFT_143850 MVIVADDVTCPIDGYRRGKYGMTILHHKLSIGNSDMTLEATFGQ VCSRFFHDGLKAAIVTDRDGVMILQCISEDAPAKVLEPAIPTTFAASKLGLQRNKCIV SMYDLFQVVQMDQNPLIITLVGDAAANTGLFMNLGQRIIEMTQPLVTALQERQ PHYBLDRAFT_180843 MTSHIDTSNWFYIQSAATGDVISADTHTTDLLRSQVYVCPPKQI DEELWKWDGRFIVNKATEMVLDIRKGRLRLIEDTEICLYNRKEIDQAHNQMWGINEDT SDCFGRPQPGIFLYSHYNNDWVLDIQANPDGANKLILFPHQNIDNDNQRWSLISASEL EHPLSRIPTLLSSPNSATDYSSPSNSLPSTPVHELTLGEFSGGLSPAKRGSQSSVTMI TIDAYKESHRMVYLESNPRLSDKAIAMAAAYQTWQHWKHDQPHPTMTFVDSQDVRSEL QTLAQAEASLVFDQCDQLSNHKETALSLASRLIIQLYENPSSP PHYBLDRAFT_64515 MTPLMLCTSLVILFVLKQGSILELQAQKSHEHTQEYNIRRPICY TILGQAHKLIYKNTGRIWVLTLLHTGSVWKFSRGKGVLCTIGFQANNLLTPELLYWDL FYRTRSVNIVPQSLGESPRIRIRDLDQQICTVIHANLREEEENILTKQRRQ PHYBLDRAFT_143852 MLHFIRHLAYLQTIQPLSQTSTDPQNISTFERNIRCCNLKLTLT PAYQSS PHYBLDRAFT_166839 MRRKKTPQETFYQGVNTLPPSFLQSNTKRTLSESFSEESFNKRN RVEGPVKDLEECFFTSMRGRVEELVKQTKTQDKTSELKNKLLNVKILPAAIITNAAQL AKCDRKVMRSHMEHRPGLKNVEEWKKFVSQNFDKIAEAAVGSVVKDTAKVYSSSTPTN KQPYEEMRTCTVQINSLFRSDLLPIVKTFVCTRLQDSMVTSTDYTLCFSALVNMMISE LKTSEFFFDNNDIKIKKVPGFNLAKLLPFVTTNEPKQTIQPMDKDLIASKRFETDSKC LFTSQHLQVVYSYFFGARGAKEENLNAHPVQNSLFCSFKESGLDKQSFYLEKASSSAM SMALEMYLVNFENMWDGKKIINKLLDKVILVLLRHHLARNRESKRISTTTTRNPPGKK DIRNHARYVCRAEDKKLKKLVQRKEKASGLEGEKWATKINSAKQRLANLRHTFKKNIS QMLNDRKEASVEHKLVVQDLNITEEQQDFLEEEGTLDDDVPERRLNQLKSVIKHLVFS NDTPVYLEDIKHQSPEATTTEQSVCLLICNTLMKFLPPKKQYHVIAYQMYFCIFANDV LKYARYTKFTRALCPSTSFSSLSALHLDSIALYQLLTQNIDQEKSEEPSSHTNQQEKK GYSRMILYGYNRDELIGSQDKARQNKDATFNAVFDIGEIQKACKSYGLSFAHRMTCLP GMKTVRLLGSKIKTHGTVKEETKQSYEARILRNPSIIQEGRKTKDVLFSELQSLTEEV KMLESVRKRELDLLKDSNFQRKIKECKSNWGTTDDKDQLYQTIEKYKESRYKSYLMVN KIRNELAEKRQQLYFRQMAIRFKSKLHNVKDVPHDSRSPIEKCGKGVTKAEDRTVVNP GDFNYAGTDNGLVNMTTSIPMSLQRMKFHLKLFNYYTALSKVSNEDSIGLNLSKEEES FLHLPSVTNTKASDVDVGCGYFRQRKYLERRKKYTDEGKKVQLIENSLREMESAPVTS IDMAIGNFRAKYDQRRSLRDFYNSPKIINQKRHVEIQQHRYRHHLCRRERLELKHSEN KSSSKKPLILFIGDRGTDSGSRIKGFRKYGGKWKQKIHGEAVNVCIINECKTSQTCIF CFSPLTNPRIPGKKEGSYKVNKGTFLCINPRCITAKNRCASKPRDALSALAIGLVGLS SVMFGAAPPPFYNVSRINAEHYTKITSDFCTRRDDLAATL PHYBLDRAFT_180844 MAIYYTLTFAILVTEMVIFGILVMPLPSRWRRAMMKGLTSSPLI GKALYGLKIAFGFIFLLFLDTVNRLQRIGSDVTEEQRHHHDFGFEANLKATTFYTQRN LYLTGFTLFLSLILDRTSTLVIEVLKREEELESVKKDAVSANNDSKRLVDIEKEFQVK ITELNNELKQLKQQERDFETLKKQADQQQKEYNRLSDERNALENSISGLKSESRKDL PHYBLDRAFT_77747 MIWKPLVLGFAVLFSLANAAPSHSKAEHYIVKVNDNVVLQDFMP RLLAAALDAVDDLVKKEESRHHRRCLEKHAEIVKTFEMGSFKAFSIEVLDRRVIDALT NKFPEIQMIVPDELIQYDILDVPNHKDSDLQKRYRIRYPRPNYPRQSTDDTDEDHEDN HEEYHRANPRLNRRCHKPAKTVASTNTTSDSLVLSQKNSLWNLARLSVRDRNLNAVYE YEKNAGYFTFIRFFRKSLLTGVTVYVVDDGLLITHKDFGGRAKWGWSPTSTYGKEGGG HGTHVAGIIGGTQYGVAKNVSLTAVQVLNRFGQGTVSTMLSGIEFVIKDAKKHKGRAL VNMSLGMPTGSNIATLIDEAVGTLIKNNIPVVAAAGNTPVDACNTVPAGTDNVFTVSS IDNNDTMDPYSAYGKCVDVLAPGISIRSTWIGSSNSETALMSGTSMASPHAAGVAALL MTQLGSNPTPAQVYAALKADATLGKVKDLKPKTPNAIVHHTV PHYBLDRAFT_19899 MIRFPGAQPVSFYEHQLKELQKEDYYVAEKSDGVRCLALLTVNE AKEPEVYLFDRKSNFHLVNNLRFPIPQDPSFRKCHKDTIIDGEFVFDKEEDGRIRLRF LLFDCLVVEKQVLTSRDLMKRLGYLQKEIIDPHYTMLRKQPKLGQLQPFSVKFKEQQF TYHLDQVFNEIIPKLKHGNDGLIFTSVSAPYLYGTSQKMIKWKPANENSIDFKVALQF PGSSIPGVCDYKAKPRIDLLVWKGGSDYARFDELGITDEEWRELSGKKPMLLNNRIIE CNFDPEIQSKLGLKSPWRFMRFRDDKLDGNHQSTVDNVMRSIRDAVSKEQLINTIPAI RKAWEERREKERKERKEKG PHYBLDRAFT_96058 KKSFSTKRSRYDNSTMASNFKAIEPAPQVTLSFEDPFERWKLAE LSGRQLFPIESPLNLPTDPLISLIEIIRQRSNTDIGNLVAFVSVDMKGKEVGDITWSK LDKRATDIASQLQSVGNVGDCVGLVYRRVEILEFIVAFIGCFYAGRIAVPINATESLS ELAFVLQLTKAERVLTTDQNAKAFLKDIQTSQTPFPKVVWQRTDEAAGWSGRQKQTTP TDLPKDAYIEYAKAANGELKGVTVSHNTVLDQCKILDAALGYKERTCVVSFWEPRQQI GLISSIFWSVYGGHHMIFADQNILESPPVWIHVLSRYKAALAMTDYRSLLDITYFYSA YPKQVEQYNKRVKPDLSSLSCILVDTVVVRPGWHDQITEQLLRPLAADNNITAIICPV ASLPEHGGIILSIRETQTAPRQYSKTDTEEENKEEKIEVQEYLLETESLWNNKVVVLA AGVQARQSASISTHYTAYVGSFGYVVPKATVAIVDPDTTLLCPGDTLGEIWIHGPALP DGFFALPRHTEAIFNAKPLAVSSETLVPELYQQTFLRTGLVGALIDGQLVILGTYEDR VRQQRRNDRLGVDRIYFSSRLVDTISQNTPVEQCVVFEILVHGQHMPVVAFESPSIPS DLPQLAESVHSALINYDSLRPFAIVILAPDALPRHRKHGRRQIHALMTKRLFLSGTMT IRYIKLDIDRTLLPLLTSDETNQEAWFKDLVHQRTIQTGVAPATVYQHTGVPPPTTII DERSRQDLSSFRSIVDCLIWRARRTPDEITYVAVQGSTSKSYSFRKMSAKIAMVANYL TKQGLRRGQKAIVMVSFGHAWVRTIYACFSIGVIPVPIAPPDPHHYPQRVQQDVKALV DALRILHTNILIVNPPSEDAIKHPSVAAALKSSTMVAGGPPKIERINIQKASKYNTPL GTENGFFVRPEWVNSDRKTPAIILPHRTAEGKLRLMSLSHSTLLHQCSILKTVCRMKA QKNIVVTGMKIGGPNLLQAAFCGIYSGSITVLISLPDFYSSPAHFLELLNRYKVKDVI VSQELIQYVMQRATNHTQKIPLSSIENLLVTSDERPKSTLYQQMTRFFTRNQLQRNSI QTSYSHLGNSMIASTSYDLAHPTPPLIIDIEKLRQGVVRCVLPEEGSIGIEVYTSGTV SINTTVAIVHPTYRTLCPGNHLGEIWVSSDANILSEWGLSEQDHATRFEATLIGGPDP AVKYMRTGDIGFLWSWPNSTFPGRDGDQWLYVLGSMEDTIERHGLIHFCVDLERTIQQ SHPDIPEESSIVFQDSEDIVAVIAVKFKPHALAAVPLVVNAVLESHTLLIDIVVVVDI TQLPRERHGEKQRQKAKIAYTTKRLLVFLSFIF PHYBLDRAFT_143858 MSRPKAYYISFVWHPLWRQLFLYGCNIRIKKGQKVLSFSASAEQ DKDMLKMESDKGKLNREINDNLENKIYCQSKTFLRNSDRTPNAPERAAKVCRLNFVPL QSTQTLQSLNRHKSSSQRCNIQQQWGSTWCTSSEQFIFRTLINPASVTPPRLLNTKLL TIAYTGPIPDLKQPTDSRAEDVLNIDEFGWNALLGTSSYITIFPASSCETLPTISFFH VFLKLACGYLSGRIPLGLLTLYSFSETVFKDCLFFSPKFSDIYYITLPGFALLVIGQH QWSTVFDHAYFMPSTVLFVVFRLAVIFKAEQTLNNLVCSSDVLIEIRNGIEYGDCIVF IIEHFAIAIIANEWRLRQCSSPLLRQANFLVWRHFIPLCNPTSPQKNVHPKKHSTSET NLLSWRLPVIPTDGLNPFYGIEYLRIRQ PHYBLDRAFT_158435 MAFTGNTSMTMVMVMMVMMVMMVMVMMVMVVVMMVVVVIMVMVL MMLVNKMILHVSWFGV PHYBLDRAFT_89857 VAASVLLYIYQCELIYPRTFPEGSRTDVAKPSDFDMKYTDETLI TKDNVKLHTYIIILENEEEAKAAPTILYFHANAGNMGHRLPIAKVLYQKHRCNVVMLS YRGYGHSEGKPNEKGLRIDSQTLLDYVKSHPILRHTRLVAYGQSIGGAVAIDLVARNE DAFSGLMIENTFLSLPKLIPSVMPMLRYVTFLCHQQWPSESSIRHIVKTPLLFLAGEK DELIPPSHMVALHDLSETRASKDFCKFSQGMHNDTCMQPGYFSAIREYL PHYBLDRAFT_143860 MTLPPPTNTDSTSKINTDRRHKRTQSIQVHSKPKSHIRHGSLPH FPLPHQSTQVSIEILNKADITQHARPKETYGLVGAWCVCVVGVVLYNKQLMGKDQYNF NFPLLVSAVQAAITTLISWAWISLGSDPNLSTSINSNRRSKMIPCGVAAALEIGCSNA SLVLVSLSFYTLVKASTPAWTLLFGYIFGFDRPLFSSPSGWKTMVVILVLGTSGVLAV AGEANFDVVGYLLVMVSCIVKALPIDHLLSLEVGPSEHPLVQMCCLGPVMTGLLFVLS VVFENPYVHFQHSYHFDSIVHVLESFGLMTIGGVLVFGSAYCELSLNRRPQTMMLGVV NVARQWVLIVLSVLLYGDTITLRTMAR PHYBLDRAFT_180848 MYSLLRKRGTGAVDPLILKRAETTQKAHKVELSQTKEFKRVHHV SGGGDGLLHVYDLQQEGRPAQIEPIASVATQDRHSYAVSSVGWFPFDTGILVSASFDE TVRIWDTNLMEPVTTFDLGAKVFCQAMSPIASHCLVATASDNPHIRLCDIKSGASAHT LIGHTGSVYCCVWSNYQDHVLYTGGEDGTIRVWDIRKASACLGSLDNENAEFTKIETN RAHQKAVNGLVLTLDGSSLVSLGLDERIKRWSTYDHRHSRTHYAGSGVRNHVRHNCGL AVSDTDVWPPLVYVPNQDHIAVFDVNRGNRVQKLCGMYGRPTCVDVEGLDVYAAGVEA EILVWGPLPPFDSEPGIEETTVYEVVLDRHGVAQRVPKGKGTHFIHVTKHNETKMVEK ADSGSFPCPEPTFQAPMRWSQRIHPKQPEQAELNPTLHEKEAVSPH PHYBLDRAFT_132744 MVGNKSFQPRQFLLQVHKNTSYNDLVRGEEHLRQGVDQRAEALK SLVHTNFDRFVSAKNTIDHVYEEMKSKQLNEDQDYGTGALRAALEDANTRAEQIYGPI VERRQRVDKVRSTLTILQRYKFFFNLPSTKLLFYFTFFLKKYIFSKYDTAIRDYKRGK YLYQSLNGNENIGDEVVSAMSNTETFGMTDLHRKVFEKVWAEVNKIVVELQSVLLKML ADPWRSMDEQEKTINFLFDLDTTKDPAWFYLDSQYLWIVGLMKETYEAGLEKIKLAMH SLESEESSIVRSLSLKKAIAQLSSAASNNTKNDGSNINSNSSGMGGRGGSGGISSIGI SASSGGADIRTWRAILEMVQSLSALLHRCLPDFWRLSKAFIEGKFVKKTGNSNTSNSS NVDQCRKMARNIVDLYSSLLSTHFGLDERVLPVRRMADDSEQTVLPSFLPPNANSIHV AEYFTRIVADLANCVNDMHGIHLAGDAFSGLTELMEKARWKFVDVLCKCWERDAKTFY MLEDWVLDPESPQCTGLLKRYYEYHKFCARSAYKMASLMAVTDDAIQQGRHDIGSDYV EKIRSSFLESTYAFLDGLVQLAFSDYVPLNERDELMLAKKRDKIDVHSMDIRILLTVS NLSFMRSTVMRKLVLLFEMAYKCSMEEDLKTLIDVVDQLDRILFNDYVKRKSLLIKDI IRQGILLSGIDWYSISKPTEVHSFVYEALMTLVMVHAQVSSVTRQLIHRALSLLLENM ANDCLESFRQVERFGMGGMLQATLEIEFMHQTLSQYLTPTASETLHLIYQTLEYAYNP QQQHSGNLQSELNHVKELLVFSRKSTVVQFLCFKQNKDRR PHYBLDRAFT_186451 MCKPYGHANSCLSTPSFKSTNTLCTRRRMEHNRPVATSLVVRNL QDKSSLFSQQHSGPFVRSKIGMNIDDVSLLCDCPPKHNISNSSSKNKRINKNKMFMFD ESLKA PHYBLDRAFT_100404 TASLKDYGECYRRLGEGTTAIVMVVRKLDSDGHSEKLYAIKQFR NRKKAESEKEYMKKLTGEFCISSTFSHPNIVRTIDLVLDDRKRYCTVMEYCPGGDLFT SIMADRMTEAEKGCCFKQLVQGLAYLHQNGVAHRDIKPENLLLTMDGKIKITDFGVSD VYRFAWEKDGHKSRGVIGSEPYIAPEAFTEKEYWGAAADVWSAGIVLYCIYLGGMAWH KAKKSDSAYAVFSRTYATQQFQLFQRLIPGARTLLYRILDPNPDTRITADEILQDPWI KSIDVCDQHHPHNHTVHTRPVAT PHYBLDRAFT_177326 MKDIYKDDELMIPAGVEVTVKARDVTVKGPRGVLNKNLRHLNIE IKFEGKDKLKFVVHHGLRKHVACIRTVRSLINNMITGVTKGFEYKMRYVYAHFPINCI INNGGKDVEIRNFLGQKVVFRVQMREGVHIEASKNQKDELTLTGNDLEAVSQSAADIQ QSCLVKNKDIRKFLDGIYVSERNVLEEA PHYBLDRAFT_143865 MRVAVHLILINAAGVGHVGPASDWCDSFRISHNGRLYPSLCIYC VHTGHNSDPQDLRNRTKITVNRT PHYBLDRAFT_186453 MASRVFSTAIRTAARAIARPAIRPASILAANVKTTAFKSVVASQ ARGFKTLNFGGSEETVYERSDVPKEKLLDTFKNDTIAVLGYGPQGRGQALNLRDNGVN VIIGQREGKTYDQAIEEGWVPGKNLFPVLDAVEKGTVVMNLLSDAFQKEFWPKMLPLL TPGKTLYFSHGFSVVYKDQTEIVPPKDIDVILVAPKGSGFTVRRLFQEGRGINSSFAV HQDASGHAKERTIALGIGIGSGYMYETTFEKEVYSDLFGERGVLMGAIQGLFQAQYEV LRANGHSPSEAFNETVEEATQSLYPLIGERGMDWMYSNCSTTAQRGALDWWKPFHDAS KPVFEQLYESVKNGSETARSLDRNSQPDYREKLEEELKEIADSEIWVTGKTVRQLRPE NVGKN PHYBLDRAFT_180852 MIILFSFIIALLTMVWVNPFGLVSRFTTYFNGQDLLAAAQTTVF RNTPEKRTPEWFPVKGRVPDWFNGIMYRVGPGKYNIEQANGTTFAINHAFDGMPFMHR FEISSERQAVRYNSRNISEEFESSIAKDNGQGKIFFGHQPTVTSVKQRLKDIYLRFDS MLLSRRPLDETSPSSQPVGVTATPNFPIPPVYKAADKNNGESDRVLVAKTDANMLQQL NSDTLEPKRLFNYGNFEKKLKGDLSAAHHQYDPITKETINFVLDMFPARLQVFSSTPE GKITILADFTHRLDEKRTRVQPVYIHAFNITKDYIILPEYSLAYTNMGVDFLVSGAVN TGMAWSNDRPTFFHVISRHGKGLVASVPVETFFSFHVANAWDSVDAQGRQVIDMDICA FENADIMCQLHTFAKPVRQAEYDSHVKKQLELSKQSQQYNGMNIPPLRQPSFGDLRRY QIVLENGTGEATYRTIASNVEFARYSQDYAMRKHKFVYGCQLISVTAKSNERYDLVKV NLDDGSVIRYSQEGCACSEPIFAPRPGGTEEDDGVLMSLVNKLDKEDPSKDYCFLLLL DAKTMQEVATCQVGQFTATTFHGSFVDHHFENVSIN PHYBLDRAFT_166854 MSVIEDDTSTVNSSQNNLSFHLSQEDSLYAQAVAVSQLNENYDD DQVTALTHAWINERNSPELLKYKRRLVESLLDKLREKIDNVLDSTTVDAKNMFVSVVY ETEVERVRYLIKSYLRARLFKIEKFALHLLRLPDYEDIMSPQEIVYARSYQELLDGSN HEAFVRLLPPSQHKQDEMSGELSMIVTPNLEAPVFCRFLKDGQRVVLSMTEMVDYEKG DIVLLRYRSVKDLLEEGTVELI PHYBLDRAFT_64532 MTYFLDRLGKNKTKQTPLKVITTLPTCPSPAQQKALKRNSKTWS RSSSISRNMPTTQPTSPTEVNLLFDQMLERRGIHDENVQKHMQSWDASKKWLMIHQDK EAEQLMLGHHDERQPSSTPLLSVLAEKAGHVYAATLEATTPWSATSGRARFTQSYGQG LSPITRAERSELGLEEHMTSDPNSPVFFIQMLMITRKRVVTPIAVSRLEVSLRTHPIS WVSQFIDLKGFRVLTNALEFMHRKQERAEEDNDVEIEIVKCIKALLNTKAGVREVIAY PNHIYPVIFCLYRSHWQTRKLVCELLAFLCYCHPRGYKDVLDGFELLKIYQQDLVLFE SWLKGFERIIIDYKQGEAPWSLREESGKTGDLNMSSNHLMEYAVSNMILANALTKVSK HTHCQIRIRNQLNACGMQTQILPLLDTLNYPLLTLQIESFRLVADNDFEDAFGDEMSL FSDVSEPSELFNHVLINLEDAPEAMEYLKNILRSMILVKGETDWKSNEMDPQISTRTH NYQAMSSSIADIVMGRSESSSSDTCSTLNSIPVGDLIQKYNDIGRMNYMEKESAEVRQ RMNAAFSEKHELEYDINSLKKPTASNSNTTIKCYELKQEEELMQMRNQVNVFVGILKQ HGLLPELDIGRKGSVKSRTKFMSKATKEDSLQNKSLKDNIQLSRSTSKSTRADSKEPQ LPLRPHPYPPPPPPPFPMRNRIQCSLGCITSIVSYKVCVFVLKYTFTGYQDMKRLEKT YLLQVCPKNTSRAGPIVSSGLNRKHINYIPSIKLKNLQWKKLDAHRVQDTVWSQNTLQ DNTLESILKDTGVFDTIEKMFPAQANTFLERTQKRIQEGKSERKLIKFLSKEKSQKIN IAILSKIKRVPSFGHVRRQILCFDKELCTETFLGNLLACSPSRDDNTKIMEIYTKKSE RECEDLDLPEQFTIEMQKIYRFESRIRFMILKVQFWERYDHLVKSMSLIIDASEKLKQ SENMKELLHLILMVGNFMNASSFQGGAYGMHIASLNKLVDTKASKTSSLSLLHILVGI IRRQFPHLLDITDELKDVRQAARVMASVQDLVQQYTELCQGLKDLKEELEAHWKDVQL PSEDFFEQIMREHSNHVSDYVNRLKSVYLRMDEAWKDTMAYYGESSKDMRPDEFFNVF ATFVTHWKDALVVEEKHTKSRACEEKHNFSEIQRKELINRNNKSKFEATASSDMYFDQ MGDDRRVMDNLIEKLRTGEGERRSHQRKKNHHRTAAGNHNKEVLRLPLTANCLENVLG SGRSDSTEIIQTAEFLLQTLHDE PHYBLDRAFT_77756 MLASEVPFEILTKIANLLQPSELRTCTVVCKSWHDSFNEVIWRE VTIETDIQLEKLCDISTAEENPYQDNGHLVRILALDQKLVMTVEKLNAIRSQFPHLQS LSIELSRNLFPRDIPAVFDVWPSLTQLHISPSRIAASDGVKEFLKFMTFFPNLRVLFC TEFSPFRTRPFNLIDIETLHDILPQLEVLRIGSVFAPLSSEDVLSLEKVVPARKLVKL RVGFLNLDSRWLCYYVHKYPNLRGFTLYNHGGMHRKSSYEEARAMFPKLSNCFPYLET LNLTGFSPTAWSHIALADLIFGFNNSIKHFSTYLRTNVEDPGIQQAVKSCMRVFPATI VSLRITSEFNFTDLEALPTALGACHHLKYLYMGAKDVSVQLDTILDNSPALKMLTLKQ VNISLSPGVPEAPALHGLRLLYIDADETDTGQIDTELFSYISVRCRQLRYMSLHGAQI TCSNVLSTDNLCFSMPHTNLKYLQLENISYQLPKMHSGQESRNMVIFIQKDAPLESGN SGADYLFIKSSLMPIAKSTWLQMYFDRKTQNSLVKLRVLTEGEAEIAERYSNSTELDN ILCSSSSDESAVFLSPLEATTQNLLSRGFVSFIFGSVERYDFCMDSDSNKKKWYDHPD ESFNQLPSQSCVLLFLICNVWIPSATAILAVSMSLRKLLFMTAPSVSGHVFFRGC PHYBLDRAFT_101274 QCPCHGTMRGYVGNQYKTSRVVFYPGAQYEGYWKSSHMCAQLTD TIPLFNAIHPNAVAVFLFDQSSNHKAYPEDALLAQNMNLCAIEVKDSDSGQGKFRDSS FYVRKQYDYAEQQKVFFQKKSNEEKLKEYEQRSMYRNEAERYSLKRSCNNVATADSRC CAIHIMEGQPDFANQKSALEEIVEGSRHKFELYPKYHCECNWIERYWGAAKKEARREC DYSFQSLNRKINSFLDSVCPPE PHYBLDRAFT_143872 MLRCDQTRSSDIRINIRISWIYSCSSFFSWPASLGSTLMSASKL PFEILSQVAEYLSFRDWWSCSLVCKAWHEPFNQCVWSIVEINTKSQLEHASFDSLEPQ NPYRKNSFFVKNIYIFWGLLLNDDQLNGIQKQFPNVKSLELQVPRYFSYVFNKNLFQY TNWRPWMSVKNLNITVPVHDITYGDMETLHTYFPSLEYLKISATFGNFQLIDPHILQS IQPATSLINVHLEVFDIDIQWLVYFAYKFTHVRTLTWSNHGECKMARVNYFVAKDVIQ GLPYIFPRLENLYMLRSEYKNWAYYTLLLVASTSGSSLRCIRDRLRNDSKEDRFRPAL GNSLKSYPDSVVSLTVENSCFYQNTLIIPAELGLCSRLAHLCLILPTMWLKLDTVLDH CEMLKILIVHVSKVSLRVQEYECMVGHDLRLIKIAAEEADVKVFRYLSHRCRNLKYVS LFGIKLVNVFGPISQDVLFDMRFSHLKYFELNKVTFYSNKDIMSSETAIHLIQSAFAG NSRTQLISGSSDRLDSPSRVPGFEGSHILHTWHQMYFDWSQTYPVYDVRILTQSEASQ AETLFSQPSMVQNSAKLAEKHERNLTADGSEDDWENVLLAGYVKLILGSAEQCNISTC TSDDFKKWKRIYEDELSLWV PHYBLDRAFT_99906 QFNVMVVGFAGVGKTAFIKTLLEALKLDSPKERHTLFDPPVLQG PLTKTAAPYTVSVDLDIDGEKVALTLIDTPGFQASFLADKQLHDILGYIEHQFDLTLA EESKVKRNPKAVDTQVHACLYFLDPTKSVLDEYDIRILTRLSRRVNVIPVLGKADTLT KAQRDRMKPAIMQSVYNAVNKIPIYGMPEEDEEDEDEEKDKTADEDTRILIDYIHMLP FTMIAYEDDPQTGKPIAIEGTPIGRDYGWGTIDCMSPTFSDFNDLKSILLDTHRGFLK TATIEDYYERYRTERL PHYBLDRAFT_132757 MHPPTFDLSLYPTMETIRLLASLLERMTAANDQINAASVAGRKG DIRRTVSASPLSSHQKPLAAVTHAYTRFHAHSIPSIDIFSYLARILKYCPCANECFLS LLVYFDRMSKQALATTGRPFAIDSYNIHRLIIAGVMVSSKFFSDVFYTNTRYAKVGGL PASELNILELEFLKLNGFNLAVSIVDLQRYGDQLVKVAHMEQEMRKTLEKVSYSPSLF RHGRSTSLGSLAYMDNLQNDAAIDRLCDLTS PHYBLDRAFT_132759 MSKASYDIALWLFNLMIDIFFREVRPRGSHKIPQQGPVIFVVAP HANQFVDPIILMRECKRRVSFLIAEKSMHQKGVGTFARMVNAIPVIRPQDSAIPGKGV IHLDREYSLLINGTDTEFLSQLKPRDSIVLPGGAGVAEVARIVSDTQLELCKEFKALK AIDLLTNSANGTKYKCMPHIEQGSVYEQVYEQLYRNECITVFPEGGSHDRAEILPLKA GVTLMALGAMAKYPGLDVKIVPCGLNYFHAHRFRSRAVIEFGTPLTISTEMVDMYKCG GNDKRDACGKLLDTIYGALKSVTVNAGSYETLMLIQAGRRLYKPAHRKLRISQVVDLN RRFLIGYNLFKDDPKVIDLQQKVLAYNQLLKYHGIKDHQVSKTSVRGRRRTAGLILKR ICIITLLALWAFPGGLLNLPVAILAKVISQRKAADALKGSTVKIAGRDVLATWKLLVG LVVLPTLYAFYSFIMLVVVLQTNLDPVWKWLLPIATWILLPFVSYASLRFGEIGHDMV KSLKPSIMALLDSDAAKTLCINRETLSRDITDLINEYGPRVFPDFDGVWYIDIILEEN Q PHYBLDRAFT_180858 MSIIPGEQFIRTLTHYLDSNQGRLLSSSSSPTSSFYGEDGMKGI MSGIMNSSNNLLRRTSMPAQKQGALGGLVPYMSLLSAATTASASTGWAATTSYMPKRP CLTLDIHHLYFLLVQFEHSGLDIGDPALLGPLPDGGTVETETSATMGEAPSIMSVNSI ASTLSTLSLSTHWNFWRQQPQQDKPIHMDIEHIYNYFANITALRLHMSVVVDPHTGMT RSGQRTIAGYETPLPQDGSVVLSLLPFKRLTSLELANVHPRMISDWTDLSKSLVRLVV KGANVEDCTSVLGLEDTWDRLRYLSLQDNNITTLDTHAMSQIRPVSHLNLSNNLLIDV PTALSTLFNLQSLQLAHNMISSVTGINTILGNILELDLSGNRIVQLAGLDRLWALERL DLRDNQIEDCAEVGRLTGLPNIADIWISGNPFTLLQPDHRVQIFKAFADVQLDIRLDG STPSFLERRRINPDKADTAAPPAAVIAGQSNSTDTATWPAAHAGEDEKPEGTAPDGPI AMVKPKNKKNKRLIRLGQSDDHTNDSTNRPDQRMSRIAELEQTVQNETLARRSSRRPR SSMRRSRSPGQQSTKSSKSSKQNRSLSPFTDRTDDVFRRKIEAIRQEAGTEWLRVIQE MELHEQQEPRQDKTSLPN PHYBLDRAFT_123991 MAKKDVAAKPSSSSGGKKAKKKWSAKKVKDKSNNLVVLDKPTHE RLFKEVPTYKLISQSVLVDRLRLNGSLARIAIRELEAQGLIKPLSRHHAQVIYTRATG DEKAAPVAADKAESDEE PHYBLDRAFT_166864 MTGDEYKRAQEAWVSDCTGGSTFEVITICFSSVASHILWSSLSR ANIKISDSYWAQVLIYCLPVFACQTLAADYAHLVSIGLLTASALVYNLTSESASASVS PLPEAKTSMFKSYLTVYRGCTMLMTCIAILAVDFPIFPRRFAKVETFGTSLMDVGVGS FVFSSGVVASRAYLAGNSSLSKSKALVQSFRSALPILVLGGLRLVLTRGVDYQTHTSE YGLHWNFFFTLGFLPAFVTLVSFLQRYASFGVLALTVGALYEAVLQYGLQTWILEAPR VDLLSANKEGIFSFFGYLSIFLFGLDSGLVVFKKYKADDDRCPTGRQLIKRAVGLWIV YMAWTGTTDFAVSRRLANGPYVLWVVAFNLSLLAALVFVDHKSQVKGQGPSLLFAMNQ NGLVTFLVANVLTGLVNLSIRTLYCSAIVSFLVCSIYIVAVTLFPWVLWTKFQIRFKL PHYBLDRAFT_123993 MEFLVKWKKWSHLHDTWDSYDYLRNFKGAKKLLNYIKSSIRDEI RFRNHPETTKEEIEQHDINLERQRDELKDWRTVERVIGMRGPSSAPEYFVKWKCLHYS ECTWESAELITKEYQAEVDAFLDREQNDQIPSRSVSYHRSGRPNFTFFKDQPAFIQGG QLRDYQLHGISWMYHLWCNNQNGILADEMGLGKTVQTIGLLSTLFHKQSLFGPFLIVV PLSTTDNWMSELRQWAPAMNALCYIGDRQSRQVIRDHEFYVADTKKLKFNVLVTTYEL AMKDRVELGAIKWQYLAVDEAHRLKNSESLLYEVLLGFNTVNRLLITGTPLQNSVKEL FALVRFLMPDFELKELDIDLDQQDDHQTDKIKTLQNSIRSMMLRRLKKDVEKSLPNKS ERILRVEMSEMQKNFYKNILAKNFAVLNAGSEKSKKQWLNIAIELKKASNHPYLFPQA ETISYSRREQLKGLVENSGKMVLLDKLLTRLKTDGHRVLIFSQLVMMLDILSDYMAMR GHPFQRLDGSMKTEERNKAIEHYNAPDSPDFVFLLSTRAGGMGINLCTADTVIIFDSD WNPQNDLQAMSRAHRIGQTRSVNVYRLVTKGTMEEDIIERAKRKMVLEYCIINQMDTS GLSLLPENSLVTASGKPRELPFSKEEMSAVLKFGAQNMFQNTENTEKLTDMDLDDILA RAEHTETLDRGESVGNEEFLAQFKVTDYGGTASDLRWDEIIPDEVRVEEEAELLLKQQ QQHQADLFERAAKKNRRPYLEPLEESSSDEQAIQTNGKPVGRRKRAGQSSSLSEKDLR VLIRAVLRYGDVEKRYDEAVQDNELRHKSKKVVLEHLQDLLSSCRTRVRDQLATSSTL QYHGGADSDSLLRELRHTKQKAILFTWKDIHSVNAGQILQRHHDMTVLARRLDAMADK LKFRMALGAKRVQGWSCVWGQKEDAMLLVGVHMCGFGRWCQIQAEPSLQLTTKFFLNN SQDDDDNAGGDDAEKSKDHDKRTPKSIHLARRAEQVESNSRDSQQSENDADRKYRSSK SSRTDSDHRHTTSITTESKTTTTTTTNTTNTTTISAYASSNSVPTTNTAPSSSSTSTT AHSHPPPASSSRSSAHRTMRPMRETLYRLRDESPRLSGPEKAVMIRECIQRVGQYVDK QLAHLRGDENTHLRTRCHRDLWLYTTKFWPNASITHTTLIEVY PHYBLDRAFT_166866 MPPKPAIEISASTVFDLKAQLAQHTEQFERGRSGGKQVSAATRR SDKKPTVWARQNKGVSSRSERDAPVLEAVESDVLMKSREALERKARLYDQMSRQVNPN DEDEDILIDFDRKYWQQREMNTTRQPKRKDRKDDEEDDPWVEHEDEFGRTRVIRRSQI PSRSPSPIRSRSRSRSRSRSRSRSRSRSPGNFIPRDPNQLADRSNILHYEADREIRTK GVGFYTFSKDEEGREEQLERLNALRRETEEARRNAQSVASKRKAIMARNAQKIHARRA AIQGKSTPTDKDDDKDNNNIRVNEDSVTEFLRSVRRKIE PHYBLDRAFT_111049 MDPNDSPRFRPFGCHVQVPVKVKKAKYSTSLDPRGYIPVYEYMI NGQPIMWDRETGYVFFTGIWKSLNNSKADIVKMVDSNPDLRVKKIRGGFLKIQGTWIP YEFALILCKRTAFHVRKELVPVFG PHYBLDRAFT_166868 MQEIVKLEALFRSYEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKTKNNKKQNKSKKEPLDLIDATKNKIKQIKKEPLDPVDATKEIGFKRPATAQED YQYDYRTSVGKRVKFQPGFPVSHEIVDDVKVGFNPTADGWCGFRVLAHLIYKDQEKFP LVKRDMLATLPKYSSIYASTFGTDVKQSEDIIKHGSDLCITNSNSNFIPACLDASMWF STSDCAQLAADTYKRPVYVYSDNPNTPSVSFLPFTLPKNISKHQQPLIFNHVNNNHWT TVHLSRNVSRKWPTIPELFFLGCVRNQIPDNFDTYWNKFKEFNKYDRRNAMFSFLSDQ EEHVDLTIT PHYBLDRAFT_186464 MSAERKIVFYNFPGCPYAQRAAITLNETGAKFEEVYIDLANKPE WYHKVNPELKVPAITIDGKTFAESLVIIELLSDLYPEKRLLPSDPFKRASIRFAIEYF ATKISSPWYKVLFNYGDETKEGFIKETEAGFTRLGELLREQSETGPYFLGEEYSLADV AIAPFLGRVLLTFKHWVGDYKHKAIQNDKRLEAFVEGILSRPSFKETIKSEEYLIEHF QARFKLPPSGIN PHYBLDRAFT_77765 MGIPLWKPKDEIKQIHTQSPTHSNDYQNLDILTFQQRPTMVSRH SSSNSSTSSANSIGSSSIANAIALANANGALGSGGVSVSVNSSAGGGISSSRPRHTHR ISPLDSSGGSNRSRRSTPISRPTFQPRRTELDRQIAIRMSEKEDLLAQLEVTVSLLDQ FLSARSALGSEVMAIPTFITQDLPALLASAASVSVSPPRDITFANSYQGVLDRVMQIS PYSSLLPQLESSIASAHRRIRDQLALLGTTIPSISPDNLLPLDSATSTTSTFTSTPNP TTTITNRNNNNNNNNNSHNRSITLSNSESDSA PHYBLDRAFT_143887 MSKAPNSSRFIESWIAKTEKETRKDDFDDLDQDMLKAIELSKQT HREEQTRLFAMFSDQSRSTSKVSFPKRRQQKSLFDDEDNENKINDNVQSRSVSKAADS NRKQFIPVRESIEELIQTHTTLPDKSSDKSRQKHIVIECEFSGNDRKKMNDKNDKGEE IVIEDVSTWLEELHSETPSHSKELINSSPPSSLIGNYKDDPPVVHTRTSYDSMASSMP SSLFGGDEYHKDRHTNKSSDKALNQLSSSPPDYFKQTECIDEKHPEDYHNQFGQGSFS DKFNKANDNHVDAQNKDHLDNFGWCSDNFDQIERTNSKLSGDSLDRQSLSPLSDQFVQ EKCQDRTDIPDYNLNNYSWSPNSGIFGQIENTHIQVSKPNLQQLSSSPPSDLFGQIDQ ASKDQRVKGQDKPFWSPILDRHDHLDLAHTEKSKPNLRQLSSSPPSDLFGQINQAGKD QREKGQDKPSCSPISDRRDYLDLAHTEESKTTLRQLSSSPPSDLFGQINYGSEDKPKD KQGEPSWSPSLNAYDGFDDPHAEETNPTLRQLSSSPPSDLFGQTNCASTEHPSYTPRQ LSSSPPSDLFGQIDYKSTGPEDARQFSSSPPLDSSHPTGHNDTDNSNEDFDEITSIPN SSTSDHKPTTEKAVPFGFRSSSRPIRIKKNPEIPEIPFSARKRSHATSPETPNYFEQR SSPSVLVNSDENSPLQPKEKSNQKHKIINPFKLDPVKQRRLQSDPPTSHNTEDVVGDF LSSWLTQGKTSNAPASVVPVFSKCPLCFHSFPDEVLQIHASGCRGGFTDTNDEHDQPQ RTPRNPRTSNGNPRSRSNTSRQEDDETALDNPSTSTSHSHRGRTLVRQNRTIARSVAR QDRHRHKPNRNETSLPAVNYYAEDDVLEGVEDGGFGGSLHGIAWESMGHTSYN PHYBLDRAFT_110683 LICSNCYTNKTPLWRRDDDGASLCNACGLYLKLHNERRPMSMKT DTIKKRQRYEGGHLNKKHPKKPRPTLPPTCSPKSHRVPKPFSPSPSPQLMDIGRSYYY QPPPDPNFSLSNAQNVYQSYASSFVMENGTARTNNNLEINIPHF PHYBLDRAFT_77767 MFRIRLSSFHSCGYSYAILCWGLFTLYCIWFQATRLYSYWLRQQ RIKGIDRQPFVMPGTSLYKKCDFLVRIPFVTNLIAAKHIVGVFILCVVNVLWSLWAPF VYTDAGWVLPAVGLMDRRLAFIAMVNWDFAIIFGTRNNIITHMSGLTYESLIPFHRWL GRLGLAEYVLHVVWRIIAGYQRTHVVADSLFRNTEYTTGTISTLGYLLMYLTSFEYVR RNHFEVFYYSHIFGFIIGTAFACWHETTCFLYFIPCIIFWLLDRAVRSYRSWFVKSTP IRVDETVAQTATQEGILRVLFEQNGFNNFAPGQYGFFAIASKANKWWQFAFASNWRPF TISEIFRGKKSDVASTNSKEIEERVMSGEKKNEKGHSEDSLLNFDINTPEGVSQLAQL RRRAPGVSAPNSEESILATVHIKGLGNYTRRILRAAAAGDDFAVKVDGPYGTKLDYRD HKVIACYALGIGATPAMTLIKDCVERRAAGVKTVTTEHIYFIWCVRVSEEYTSFMDML AYWNEKCKSAILPISLTVFIHVTRQKSGENLLEGYPGSDVFYGTRPDIPGYMQMIEDE EKQRGRDHSHVYVHTCGTDDFMRTVWNTALKHDWDTHRETFDF PHYBLDRAFT_77768 MEFYKHAATILEKLGLHQGTIKGLVIGDPKVRDKKKMYALVCET LKYKQVLNEIIEASDILKLEKKLTPTLALVLIHDLLFTKRGIPVPITVPLKKCVQKHQ ARLKAELAKIKIKRKASTNADLVSQKVKDAVHIPRYARVNTHKTTMEKAIKAFEKEGY TYIDTPDDLRTLEPKTFCRDLHLNDLLVMSPKTDLHIHPLYVSGQIILQDKASCFPAH VCQATPGAHAIDACAAPGNKTSHLSALMKNTGQIWAFDLDSRRLDLLKRLTTKAGCKN ITPVHGSFLETDPKDPKFANVEYLLLDPSCSGSGIISRLDHLVDDEDEQEDNEAAEQS REDRLTNLSEFQTSIIQHALKFPKAKRVVYSTCSIHAQENERVVKEVLESNPEFELAS RDTVLPTWERRGIPEEMDGNTGRADAVVRTIPAEDLTNGFFVACFVRKSGEKRALEEA AIESAPKAKKNKNKKKNKKKNTPKKALEEVLEVTNLSETEE PHYBLDRAFT_155089 MAAFVPVNPKPFLNELTGKTVLVKLKWGGEYKGYLISVDAYMNL QLANTEEFQDGVSVGILGEVLIRCNNVLYISGVEEDAPME PHYBLDRAFT_64554 MARPASPDTRSSASATDCMASTISTTATTTTIVAGITGAEVGGF TEFKLDPFLVSEASAAPAPHRLREARRSFVLYLQPSPNTPLQKSLTKFQEHSCRALGP NHAHNTPPHIPLLSRVDIERGPDFATKWQAVDNFVKIIDEEMARYRNMLSPPQFAGYD IPERPSRSLMMRLLSGSGWHKLLGAIEHRMQGKCEAQPMDRLLLAYNVLKSISRPTLH RLREMAKEDIDVDNWVLTGGDWQVCLYEIMVESGVLGVQHQMSQIRTWRLGTPHEKNS ICLVPTSLRVKFSVFMARYMPQDHTF PHYBLDRAFT_186468 MSAYENRLPRPLTPPEDHSLKGVSPTATQPTSIFSNDQRRASPP SVPSHGIANTANPYPNTTKADMVFNEPMGERTRKDGMNPFSSEQDRTHNTRIRNTQPT HQGAPYGTNTHENILNRDIGMNHEMKKNHDLNMNHDLNMNHDLNMNHDLNMNHDLNMN HDLNMNHDMNMNNDLNVNKNHDLNMNRSSRGTHNRLNNTSTDNNRNEVVSNIEREIWT ILKWDNPTRSGVILALLVSSIVVTRHYSLLQISAGILTLAIAINFAYVTTMVQGQKVF YDGKTSHPYSNVINDDNLTSMNRSRVHHFSTLMVDLAETIIRGLSKIILIEDSKRSLK WLAIFYLTWTASAYISTMTIILTFIISAFIFPRLYMSNKDVLDHHFNRGEQLLKTKLE RTQNMAAEGVSDAYSKTRAYVAQIGTTGTDAANTFNKEAVTLKED PHYBLDRAFT_166878 MSDWPIDVNTRIDSFGRVNWQNIALKLFYKPFGLLYKGQRACLS NKLQNLIYPGFYEFQAFPFATIRTKSNSIISSERQDEPLFNVPLWSRYCSLTVFQLYI SKRNIYQYENLRLK PHYBLDRAFT_166879 MQPFFDAHSKEVDFYKVLGCVSTSTNEQIRVEYRRLALMYHPDK LSIHDSKDAEDIAYKYKEIKAAYDVVGDPVKRAIYDRWQQSELQIPFSDFAQLNSHGQ VVHWQTLPAKRTLTTKENLGAQDVDERRPFVGETSSSIQINPVSFWAKDDIYSKFRDY RI PHYBLDRAFT_111020 MDFFSRGYAAIRGERGQPQEADETIEKLADCLETATLLEDRRAG VLSLKGLVRDWPEEVGNKSLPGLIKVLHTDYRDADVTKSVLETINVLCTVDHPVEDRG YRFTDYFIEDSTNVTLLLDILEEYDFYVRFNTIKLLSTILTNRSKRIQECILTSPMGI TRLVDLLDDKRDIIRNESLLLLIALTRNNTEIQKLVTFQATFEKLLVVIEEQEGISGD IVVQDSLTLMHNLLRYNVSDQVCTWS PHYBLDRAFT_166882 MPADEQPIEPRKKRAKIVSACGECRRKKTKCNGEQPCRNCLKSG VPCVYPSSSHSDDRRNAPSKAALEAIEDRLKTIEDMLKTILQSHLPVNDLDPVAQPIS QPPHSTPARPSTPHDLRLPSIHNLSASSAYSHHLSDTHESRDLPPLGLHDYHLYPTSY KSQSSNSCKSHSETEEYSLQPMKKRKR PHYBLDRAFT_143898 MQPFICEYGDNPISQQDYQAPIMELTTAPTNKPTRFSLQNRRLR SSSSVGIASSSRFRLAREDPILSCGINTLTSLYHRTTPSRTHVKPHLSSTSYQSTLSW SPPGTLEATKHSTKTLIESSLQESLQYSISGYSSHSASYHPQNIKVNKPNDQASRWSS SAHDQSQYITLRLEKPAVLRSILFGKFHRDHVCNLKEFKIFGGLDPNDLTELLHHKLK NDAVPETFELRYSHDELVFPVQYIKIAPLSTFGTNFNYSIWYIELNGVQEESIVSRIR KEFENYKETETIRLCLKHLRQRNLMDVFHVLKSRTNVELEHPLLSELHQYLVQDGNFE AAEQILIQANSSNIFELFSTHADYRPTWKRINVTNQEKNIPCPRGGHQMCIDEKEGKI YLLGGWSGKCDMSDFWYYNIRENRWHLLSFNTKRDGGPGPRSCHKICFDPVTKSIFVL GQYSEGQQESADSNIKPDFYRYFIDFNLWKKISTDTSKEGGPDLVYDQQMCINSMAGV LYVFGGRATSIDSISHHYSGLFSYEITANKWTLLRRDNDTMSPLSAERLPQSHNQPVN RMPLKSRVGHSMVMDTMHQKLFIFAGQRLKEHLSDLHTYSIEHDRVTQITQDYSKDSG PEPGFMQRATVDVNRQEIYVLSGSVSSQSNSAVESKLWVYNMRANQWKKVYQSETSDI VETWNKKLVIEPSPRFAHQFVYDNTTKVHYLFGGNPGGPEGSTERLGDFWELRLTKIG PEDVFRRCVFMTRVHKLQELCNVASDQHNQNNKHPNTNASINNETCNDTLYALDYLRT HVQPLVNHGDKEEVRLFRELCTKLLFAEKILPGERESNEELHENHFSDDLYSSRSQLF ANLLEYFPCRMKEPLGNLASLSGFGWK PHYBLDRAFT_143899 MNIQFMFENKKGSVVDKYGRSEPMDYIVDEEQFRLETLISHTQY NPSALKSEKEIGTMQVEKEVKCLSAATAAKQLGTHVRTAQKWAKQYETDPDWIFEKRR KTGRLCILHEEHKNAIIECIDGNPSVVLDELMKKLKQTFTELKVSKTTFFDFVKQHCN LSLKKARLQPMGQHVVHHTLPAIYANHSPSTLIRPISLLFPGPASSCPSPLRSLLQAS AHLRTSSSGSFLTLKTNDVLAEHGRLRIRRYQKAISAENMQYTLWLHHLLESQAQLTD TSMPDPLDLSPLTKDIIIGKTSLYKASSSDFRSHIQPHSLTTNLSRAAWIHCHFFDDD ICPICLSAIDTPDHFLINCPKKRLVWSIILSQPLQSMPSGELTERLSWTNSPSFLV PHYBLDRAFT_166885 MGYMYGSHCLSCDYIGEEEGIVKDEGPVRAPDGVSRDGRNCNLK IRGDGRRESSTREKPGNVRNIPRRTQKLPRAGKDERLERVHCRETEGTVRTRKERGDA VEYELSGWDFLPEDFPSERTCVVWHGPPPERFSGNISVRWRKKAIMQLRECRMSWGGT RQRPPRESIMKAWWTFFYFPMTTPTFQIPFERRQGTDMTTKNLLEHPEVKDIAGGTQS YVLYAPKVESPGNSPIIIEVQHSVNFAFVNRSISLLLVDQETCLLESQGWKDFMVVRL FNILQQILSKQFAMPTSKFIKKYRIPAVKRQFQEEEDQDTIAAKSDTNRESSIRSFNE QKHQRTMEFTEQLKKNSKKDELESLPSIAA PHYBLDRAFT_166886 MATTSVVTEQSESIITQPSIPSLELLSPSLSLNSNISSLLPDRA THSISKKTYSASILDGSCYLALSAIHCIYLACIAIATIYIHVESTITSLWVEQRHSIP DLIKHDKSRLTKIPKHLTIAISHELIHERSLEDWHAIVNDICLASCWAWEFGVKELSV YDKSGVLKSMAIDVYKQQVSTLHQWIKNSTNTEKSVHPTLQFSIISAEDGQPQMGRAV QKIAKYIKENNLGVSDIDINLVNQFVHDDSCSDPDLMLIYDGLPHNYISLDGYPPWHL RLTEITNGYTYHTLNYPFFSKCLYRFSKVEQRFGH PHYBLDRAFT_158445 MPQTIKTLTYCLRSDSKRAREKTDAYMNNILGKAKEKLSDVNEA KNARKRDVSISTQSEPAKKRTRAIVQKTKPKTNPKENNSAITNTNNKSTKRKNKRKAT EIGVPISIPSKVQSSVYSSIETGEAIPAAVASPYTSTSLSAQSVNPISTVHANAQPEV IEVIDNEPIDENVLLETVDPSSIEQKTLDRISRSRDEHMMVVLREMIEPFYEMFAVLG SGVNVYNVYIGRKMSCTCFDHRMRRTMCKHIIMVLLKVFRLPSNSIIFLGRGVTQRQI EAVFETRIPDVSVSDYQSQERLKTAIPDPLKTKVPPQRRSLDTSDCPICFEEFEEETI TTVAFCWTCGNNIHEVCFDMWKKSRHGSVTCVFCRSKWRNGNLAATKNTRNTKKND PHYBLDRAFT_166889 MSSKNISEAHTRVLLPSDASPSQCPSGLAKIGEVYGSKQYKKKS LRLDKKNSKTTKPCKNWHIHKLNNDILQQNVILSCRFSACGKNPYTENANTSYYPAVL TFSYVRKLVLPPMMASRADRHTEWDDTTIMVLLRLIIETGFYVKHLNGDSGRKASLWT NLHTEFCINPDVIRFAGTSAGHQFSLKYRDLKYVKERFQTVKKEFRKVVAGIQRTGSG GPPPHGRFQFFDAMKEITLLDPSFFPPMIISSSSMLVGANAAPVISVSDQELLLISEG SFEPSSQLSYQSSFRLPSASVSATMPAPTPAPAPAPSSSAAGTENRRMTQREFQSAFL RNSERQTAIFDEAFSSLSSQLEEVKDAFVRSVECQYIHAVSFWEARRLDRASRDNNTR EDVLARKQISRNDLLSREIIAREEREAKGKLLAFFKKSADTITQYLSASSTSHNNASD PHYBLDRAFT_143906 MTSHPPYRPAWRDLRVHHLYQIESNLDILTPITPSRPLPRSVTL NRILNRICDHTMVLHPILFQACIPSFVLAFHQPDLPIRDKSSIDLQPLLSAQLPGQTW SRLTTRSYCSACSHQLSDARPIHPPLIPRQLRSFWSFALPHRAWNVWFCRLHNKLSCR ALLYRIMSSTVSSPLCTICQVHLVGILRHRPTALCPLKCFPILRLPPTLNPAIPASSV FGLTILAIWDHHWSFHFNSVPFLPSAVLHTVRKSISRLCSELELDSP PHYBLDRAFT_143907 MHKTQAFSLSGSPLPDWNTFLCAYDVTDWHDRTSPTSLQYLGFP LFSSITQQDSYGTTLLSKIETFCSIHGSRSLSFFCSIVRGFFHVNSFPPIAFDTLCLP RLQGGLGILDPGIQQCALQLCWLKPLIRNPLLPHGLVPQWFSTLLCSDVPTVDPLLPL LFSDCHPRNHRTLDSPLHLVLKAMDTLP PHYBLDRAFT_166891 MIKIGTMNCRGLPKVGHPESRSFFIRHLRSQGIDILALQETHAS SSMLQSTFDQQFRSSSSLWSPHCGVVCLSPHIIFTDPLFSPCGRCITTTITHVDNNFS PFRIGVIYAPASQTSRYHFLASLLSTPDLIPPNPSNFILLGDFNYAIHSHYALGCCAP ADRLQFIDTNMTDCITPHGQHPQSTFH PHYBLDRAFT_166892 MALSTNTLEPPGVKNPSTTGSSPPLPTSFTPISPSSTPLYSQVA TQNALPLLKKQPHVIFSSTNNTTPCTWRVGSSKFSVFFTIPPKSSPKFDPFWRALLSA YPCEVNMGITLGSRSSPDTFGDSSFPAQPAVPIGTIVRRVFLTKLPRVPYHDLATQLA KCMSPFGKVREIAIHESYGFFDGSGYVVLANTPTNDVPSDSLTYQIAYNDTQKILGKW PSMGSHCTYCKEMGHDVAKCTKRPAKTRMRFGYNKTGHLQANCPYITDPSKTSKTSNK CFRHPNRNSKLDRPIIAPKPLIPTELSLIYGGSEASKHNPRQPALRELSKLSPTKTTF TLLTPTETPTSSGPRPQSRSVDTPTRGWDKEIDDRMITNLMDRDEARAL PHYBLDRAFT_143911 MPTSNNSLEFVMNKHCEMVSHSGSADQTQFSGIAFNREIVDEMH GEVALQSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTIVAQKPK TNLPEKVDPDSVPTAESIGRGSYQKYNQNQVNKLFSLVFSENQTAAATARETEINVWT AQNYVRLAREKIQADFDAATVETDESNGLETMEVEEFFENKPDATLEQARIAVMEEFS GLQITKSAIQKHLVKKCALTMKKLEKLPEKRDDVSTIEMR PHYBLDRAFT_166894 MLHKKLEEYNSAFKKIMEELEEPEMPEDPKSSAPSTTDETPKKS RGQYQKPTDKDIKKLLYLYFIRGLIIEKASKIHNYYNEILALLSYNCNSFQTMVNNCQ SIAPAPSPEYTELLRRLTAMEESLRTMDSNIGIVIKRNKDSLEILDSVANASEELLAV IAPTTTDF PHYBLDRAFT_158446 MKAAFTDMRKLFILRVYLIAIIKNLPNVSMAKKPIMYLDKYHCS TDKIWFHNLIFASPLKF PHYBLDRAFT_64574 MSSQNNNTNRRDSKLWNKDGVNGGLSSIIILVLWLSEEANYRRW KGSNAGQGIKDSLEENEMPEEEVASTMRETMIRKFQYFYDLEPVMSDRPSVIPPFPIS SNEEADVKSAFSLDTFDTFDDEEVLMNGRQEISKSSSFAQRRLFSAISHAASNRPGKK VCKTIDAGIVDIVRMAEEMQREKVQQFWQNLAAEEVFQKTQLVLDERRVAVEEMRALT ERIRADSESAQH PHYBLDRAFT_143916 MPRISDRKSILTSLKKRIYTNLVQHVVCDDLLMQNIVENISELF IFQLVSEQRYLSPRLDIPRAPSQMMMTLGRLGKYGTGASVGYVARSFGVSDENERVLI SQRIEEQTDFPSCIGFVDGTLIRLEYKPNWDGKDFYNRKSIYCLSAMTVCDDKKRVHH CFTGWPGCSHNARVYVSSQLALSPEAHFSNDQYLLGDSAYIPSMEVVPGFESLKGLHT SVYNKYDIEKIGYWIRCCYVLHNLLLDCGDDTFVEDSVRNTDTPRNDERLEPRTSSQL DKNKRERIKQIIIQ PHYBLDRAFT_143917 MGLIENELARHSFSANTIQDDMLPFMIINPLNEIGNSMENSNSK FIGLDFAYTVQRENNHLQLQRLIVSEYEVAVVNEEVENETNTVVISGRNNFVWLPRIM AQNTKLHYQREDLQQLMNVPGN PHYBLDRAFT_64576 MTDDTVCIKYSWQHPNHDPFKIEEISLSRLPDELKQWLEQAGNR SYFPSSLLIDYQYFLENLEEWCIDSTHKTSKSFNTVAGKGSEDCFLFTIVMQNPITNK GLSVCFFITDHEYTSTLNQWLTWVKNTFTLKVKRIMIDCSPIEIGAIEEVFGNSINIL LCYWHIKRAWEVNLKKHIKVQNSTHVSNIAHNSVHAVLSNMMHATTSVAYDILYNKFL VKFGEYENFILIMMQSMEIESYHNQLKTFYFGRLISLRVDRLIYLLAKVLTLDYRQES DSDTAMEMVEKLSDTAFTCRSFTIDLIIYNIELQNDFLQNCTCPDTSKLCKHIFLINC MLDIPYSLRQNLSSSSSAVHVSNTDTKAVVDTSLLSDEIEADIMKYC PHYBLDRAFT_166898 MSTFNIAASYNNRIISDYIEAVNSFDLSFEDEFTNWLNDIAKKH ANKVYHQSYSHKKNMVFIGKPLENPLKVKTVVYLCDYAGKSQVKKTSQHAQKCVRTTK SIKIGCPASIYNTMGKKWGFCWGFSWRFSLGLSWQFSRIAVESLVPF PHYBLDRAFT_5961 EDMPSFSDGWIAKLKKRLGVKSRKMYGKSCSAPKDTKEINLRVE EIKKKLRMYKRKDIFNFDKTGLFYKQPPVSTILTAAISGRKTNKVQLTVTLICNSNGF WKLKPFIIDKYAKPCCFGKKNGKLLHYLYYYHNDKSWMTGAIFRYICKIINRRARNLG RKILVLLDNAACHNTYDNYTNVEFLYLPPNTTSYLQPLDASIIQNFKVKYQYYQYILA TQRYISNMVINSDGYFKLSQLEGMNFIKLAWNQVTPETITNCFKHTRLFDD PHYBLDRAFT_64579 MSYCRQIEVDLAEIKQALCELQRQFSNQFASAVSAENLTTMQKS IIEQSSLEHIAGSVKRGQLTEYPDQLGHMVKHDIIHQDYPAAQKEWKNISEKNREYYM MHLERSFLQESFESNNQTYKRRMAEKNKTWQGISDSLLSSPDMSETDDVELPIMADVL SPPPMASVEPARKRS PHYBLDRAFT_143922 MTEVDQSILNDVDMYHDGNDTSNEDEYVSNSDNEKSSCNFENSE GEAHIYNSSQISTNTFTKADFMSIHLSQLMLQHRIARAAYRDKPGAKISHSKTVDVFL KSKSRVKGHEYDVCPSGCQLYGINDDQESCVDCDKL PHYBLDRAFT_143923 MSVEDMFSQMLADLATRELLHYRANWESVAGQLTNIFDSDIYKQ LVQQSLFSNSNNIAIGFYTNRYTNKYLLQLAILLGLKKPTHLDSFLISIINELQADMA HIGSHTSLFGCHFCETKGKCPANRQHDMYFDNISALLRSLEDFKVGNSSKNIYQLSLY TQLSTFSGSSFFVLDELYLIARGIEKLVADLVAIRNCITSSEKYVPISSLDSFVNVFV KIDSTCTVDWLDFLLYLVSTLVTLTPELLEKMESAKLPGSYTLYHQAARPSAMLLHSL NEESNWRLLKIDKLWGPFHQFVNLNNDLMEGVGGPSVKEALLKYYWRTTGLTGHNFCD SVVIVAARLWMNSTIYSSYMYQRKRNEISRGNYYVMFTCLYRNNLNVMKEHDAAGRDL SVPIVKQCSQSIYIPGHQTQPTYAVISVNDICHQVCLVQYLPN PHYBLDRAFT_143924 MSISNTQSNETIYTLATISQVLECSSVPEVMTLRLENIIRVKTS EWKECLTEIGKACAVKWVICNTNKQPTNITAEEAKATDYAEHTPGDMRSDICTLPLAK KYLHELAQQLKQSSKSASQIRIDMLRAINKKLYHFDKDQMTSFLIWMNNKLSALNFNI FKANTSYSLDPSAFAYGFMSPIQQEKMKTATSFCLDVTHAISSNVNEILYTLLMRDED IGRVWPVAFIVTNDRGVSPIVQCLQFLKRSSLLVDPKQFTIDCCAAEVHAIQTTFLAT SIQFCIFHETQAWNQKLSDSVKTPGSLPSEARILRGVMIKSLQEIIYEEDIDEFHHKI RNWCTEAKFKIWSRAYHEQQFSHMLTNNYIKSWHNQLKTVFMKRSRNKRLDKLVFVLL HDVEYYLTQEYERVMSNNDPMSSFTRQQRIHEMGAEEVNDDDREMMIVAPGTAEDVAE PNLIISCICFDYQRRYKPSSLDL PHYBLDRAFT_166903 MNDTDNIIKSLLLDIQLQLAVLHANQEQIKIDINSFGNEIMIKG SPKQNLSLFINSKSWNQSYSIQKSNTQCYKLVLITAESENAIKIGHPLQESVISEKTV KGGNFAIMSYPIKTMIKILTLPKSHYTAVNAQEDVTMHNEVLRLTIFRFYRFIKIMSV TQILERLYFLVDSKVLAKIEAYLPENKTKNTTIRK PHYBLDRAFT_166904 MSTITKLFHHECSICHKRYINKKLVAKCEVQYLEKVYKEMNNTQ SSQVASVSEQSNLILNFASSSTLEESTSMSIDKDITPSVINEIDEPMYDIEHEYSMEN DSAIMDVTENAIDDTPSQLVYDFSAPVPVPGYDDAKNLELMKIIKKFGISQKAHISLA KHLNEILSRSSEISYRACTPYLGTKLLSRFIGVDEETYHVCHNGCMLNNNNQQTECPH CDEAHYKTGERSQDAGENSIPASTMIQLPLGRQLAVALASNRTRKDMFKDGCRICHIV GQSPGHGQYFRMPSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLDSFSGPFFFAL DEMHGICHGIGKQVWGLVCGKYGKDHPLSLSFAAQKEIGTAMVSTRRSILTSFYGAWI NIATRSGYFRAVDWADFILFVIPTLVAECVHYQAASLLAKGEVQLKVFTINQHLLQHY PAIIEAYGPPRVYSARSVERAIGEYSRAIKSNSAIGINAGNIMLGLTQIRQMRVKNSI TTTGTLTATTLLQYDNPSADWPIDREGSNVGTDSDIEFLGPLRNRTIVDSFGGISCLP ELFQKFYKSKGEECSIIEAAIKTSCKTFVNGCVIDSALNHNCVREAHNVRLQVQIDEN RNIGQSYSSVYKDFFGKVVVFFEHKLNNKRWLLVLVNIYAVRLVYSIPAINNGQMKPM VVHLADVKELVGLVKSNTTINTITTIATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_143926 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDQARTTITNLQKQLGSQSAPEKNFSQISLSNLAGTVGTSDKNKEPGLEAST WASKASVSLPVTAPKMSAVPSAHRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKTKVTTSSVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHYDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKRQRSSSVTMSE PHYBLDRAFT_166906 MQGMSPNLASFLRNMQAQFMSLQQRTNELESLAATNARLTAQLV NAEKLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTEASTWATAAAAAHNSVVVP TALSVRKTLRPPSVRRVAASARMFAIPTGPKGYQYVYIPRSRRLTHREVRNSLKTLGV DTGRILDINFPAKDVVGILVYNQYAEKFQTTLTTVAIEILDTFDPLDPKNIADPKYKS LCDSELEEVAAELHSDRCLKALKYLRPHVAVPVGHCFCDQGWISKEDIPVHSVSGPGA GLWNANGLQPRAIYDVLQYCHSLHMLFITETWLLPPSRLPTSWSQIHLYGLPVAGNYR GSMGVSVLISPSCPYPVTQIPMSSNYALAIKIGSLRIVCLYLPLSMSNHDALAVLSSI PLTNDTIICGDFNSRLGSLTGDYATNTLGLALCQWLEERALTVVNGQLSPCIPTFISF CQNVEISSIIDLFITNMSLTNATLNIHTDLSLNSDHRLLSLSFTYAINPTSHAPPPSR KTWNLSRLQEPDVLKLYAHTFVTNSTNLKSTLQSTFEHPPSSRPPIDALTDEFNSLIY NSLSSSIGNRPPRPSHWKKVWNSVLQAAAEHRNFCYKKWHCACGIDRIHWWDKHLKAQ AEFRHQVQSSKRQSWHAFCKSMEQDFSKAISKIKQLKRQRQPQHMFQHSDGPATAATI MCEHLASVYSGSILPDQRPPPPLHSTSLSFASASSPFVSSVVEGCMQFMPNCKAPGPD HIRAEMLKVIRPQIAPLLSLLFTICTFGPSVVTHRRSGALAAMATLTAVGACRSGFSL LLSSHLFKTFIQPKFEYGLAITCLLQKDVLLLEKIQDKCLQMIVGGHATSSTAVLKHI CNLPTSTLSTLHSNPLFASIPPDLNCSSHIKLSKHFESFRQEKFAHFRLTNTKILIQA CHPLLEVDPVLFLPATRIERGSLVRWRMGWLPGKPKECACGFDHTSRRHFQFCITIPS QLFSQLSAPPTDEDNIIDFAISALPISSTHPSPLYWKALLTILWHIDMLCNPNGNYTH ETDHGSLWH PHYBLDRAFT_64589 MYLYSKTTGIVLIILKNMFISSLCQVFSALIPAIKVCIPCLLPK GFKLYIASKNLRTDNRSTLGFHIPVVMMIHISTIDDIVPLLKMIYHKNCDDIGSIIYI FGTFDPDEITLFFQCKYKRKR PHYBLDRAFT_166908 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENTKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIEKAMTSQNSMMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDLLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVQVFRISAEKIMARNKAGRRHNRKKTLLDHRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDRDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_166910 MSQLLPANCMQSLPVELVTFLTSMQSQFNALNEPNVRQENADLR SQLLQNNVTGPVLNSASLPAPQSTEDLGTAASTWATKTSLILPAKTSRVPSACRVAAS QRLFSDKTGPDGFEYVYIPRSRLIMHSEVRRSLRTLGVDTGHLLDINFPAHGVIGILV HVQYLEEFKSQLASAKVSLVNNFDPLDPKNVADPKFANLSVSGLETQALVLQNARCLQ ALKFLCSHLVLPVAHFFVQSGWIGLEEIPARPVAEHFGLWNANGLQPCAIKDVLNHCQ SLHMLFITETWLLSPARLPTSWSQFHLYGSPVAGNYCGSMGVSLLVSPSCPYAVTQIS MPNNYALAVKIGTLRLICLYLPPSMPTHEALDILSAIPLTDDTIICGDFNARLGSVTG DYASNPRGSDHRLLSLSFTYDLQHSPPASPPMRQTWNLSRLYEDDVRSLYDTTFVTKS ASLLTTLQDLVQNPPTICSPIDALTNSFNALIYDSLSSSIGSRPPRPSHWKSFWIPAL QAAADHRDGCYKQWRRACGIDKINWWSRHQHAHKEFRQQVQTAKHLSWHAFYRSMNSD FNKATSKIKHGHILSNIRPSPPLNTSLMPFASVDSPFTSSVLEAFMQFMSNHKTPGPD HIRAEMLKPIRSHISPLLACLFTICWQ PHYBLDRAFT_143934 MKTNFNTSIEKMYLLKTTLSFSENGYPDKQSVLQAIKNYALSNN FTVKIKEGKFPILHIACSKTGVYHDKCNISDEKRKKTPNSSLTGCPYLLRFSYKKKSK IYLSLFTYGENEHCHNHPVTPENLASSHQGRISLLTAEDATIAKTMLENHAKSRDVQK ATSDKVTGMRKLRISDINNLKYSATRGDEESAHGATELIRTIEGKGFSVLYEFNKRNR LTHIFFTNDIMIKRA PHYBLDRAFT_166911 MAMVDELFDNAGEIIDYPNVVFPLASEVKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFTEKQ KPLEEVKTTNFAKKQESLEEAEKYSSGIKRPKHLQDNYWYDLPSPRKQNKNVHDFALP AQIDQAVISLTFNPKSDGWCGFRVFSHLKEGGEDQFPLVKKKMLATMATHGKLYKHNF GMDVAKVTEVIAFGSEIDPALGKNIPSCPSSMWFSAPDCAQIIADTYNEPVCVYLDNR SILPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWKTIKVKPHVHRSWPEVNALYFDAIR RGSIIDCFSTSWNHWGQFPKNKSYLLPSTTTTTTITTTATNSPTNSPINSSDIIDLTH I PHYBLDRAFT_72876 MGATCCKRERKSERLNSFFAQRHLCYDIVMQGIYYWLNRIPRMT MGVMLGVSPGPMRHLIARVPQRDAATLLQVIKKYVKPNSIIHTDYWAAYGGLTSVVNM NYTHRTVNHNGDRSLE PHYBLDRAFT_143936 MNNTNNTVIQLLQEIQAALISLKSGQKALLGRQEALEKKQDAMQ LQMTSFYNEFKDQEFPDRTIVTSTSTLTGIIPRPVSKINDITLKHIYKMIRDDLRRKL IEETKRIVNTCTKVICDQLAALPSVQDLGTNSGWSLLLQENKNRLCINHFIILRDNGI DFTRCHRNWASIARVSQLWRGCKKQEYSDNAVSKFIYGLLMWRLWFDSG PHYBLDRAFT_143937 MSKITKASCYQCRTCKSIFNNSRTYKRCLERCMTNRAELLDNGE VSQTVSLPDQSQFTSAMVPNLAFGENINISSSEDMDIIESTEDDESMYDFGEECKNVI DKIEGTTPSLDFDFSQPLPVPSNDDKKNLAFMQSIQDPHLGNKLLEHFLDIKADGYNI CIRGCMQFNNKNDITYLPLCLADDKTRAEMFYRHNHQSSHNGQKADVFDGHVYQSMKY LFSGENDIAISLSMDGFNPHNVPGSVTIVHATVLNLNPTIHYEKNRMIQIAMLPGCTS PSAIWSFLEPTLRDLHLLQTEGMEVKTLTTTIRAKIHILMATGNIPALAKLACHVSHT SKNGCRICHVVGQTPKHGQYFRMLPGTQTHLLESFRNYNLASSEDRKGLNDQSPLASI ETFSGPFFFALDKMHGLCHGIGKQVWGLVCGKYGIKHLLCLSLATQREIGAAMVAAKS TISTSLHEWNLFLESLLSTADIDIGVFTINQHIIQHYPQMIDLYGPPRAYSTRSVERA IGEYSRSIKSNSQVSVNAENIMIRLAKSWHVAELTTVANTKTPPANLLVYSAYTDGWP VTEGGDPANTECEIEFWGPLKNLTIFDSFEDRSHLSLLLKTFYDLNGEECSMLEPSIK TSCKTYLNGCVIDTAFNQSSTRDICHVHVQLQVDMNSRRSRSYHPGYKHFFGKVPKTI VIHASDIVELVGLMPSNVNGSHYIIWPSLKRGPKLTLALQKTADFSS PHYBLDRAFT_158448 MHLHLHLGECVHDFGPIYAFWLFSFERYNGLLKNIETNQKGGFE STMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQL PHYBLDRAFT_166916 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLHRQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLRQRRVKSCERRQSALKANRA HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFV DELDADYEAAHDKKNNTRPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_158450 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPRSERLKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_143943 MNDTDNIIESLLLAIQLQLSVLRANQEQIKMDINSLGNEIMIKG FPKQNLSLFINTSSEFIFKPVINIHNVTLEHVYQMMSQHLGIKVTASKKATLRTCTKL VCNELATLPSVRALGPRPSWGSISSCDQTMICNKHANMLKRVGIDFTRCHQNWASIAR VSHLWKDHQRRQL PHYBLDRAFT_72883 MTQNTTFHHQREDLEHLMDVPGIDEVELQRKKFLINSPLEGIKM LPLNLAILVKASEWEKCLERINVLCSTKWNKKHKYSGKDTKTCSYTAALKIIQHLDNP NVVTFCQTRAQVNHVPGDWDEVRTLSLPSEAIKIIEDQLKSGSSYRSTRISVLRQIDS WGVGIRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAEQNYCIFEINLSVY NDGKKQFAFGFQSPIQVSIMRISQSFCLNATHSISSRSDEVLYTLVTHYPQTEKGFPV AYMHNLDSKIKLNASYISEQLENYKTALKNYLRHILIESNEDVFLRAIEDFKLMVQDQ PQFLKYFEKKWTKNKELLPRWGRPYISQQHQRYVTNNYRFERIYFNNGKMGPVDNELA RNFFVASKIQNDMLPSMILNPLGETGNSMDDYNGEWQIRSFVTEDKWYTVNISNDLIQ SCTCLNFLTRQIPCKHSHLLKHYCGAKFSFIEQREIAGVILNQQGTVNANENEVEEEV EEELESEGTAEDRDVYVFDEITAYSATMHHGFEDLQTLKIIPGLDQTKADLIKRALAD AVRLMDEYRSENPSYFRNLNTQR PHYBLDRAFT_72884 MAPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIAPRPENM SIPVSEFNDVVSLLATLNDKMTAVSSDVSELKVQCQVGAQSTGMQAVLDSDMDPQDII SSSRHPKISSIIRGRLRDINLKTDDLELIGENDDKPMWDVNVSLSDKFNKNLASDLML YICRQPVAAMVPPKELCGIIVNSYYNCLAASKLTEEVRQTNTISNRRENRKTALNKRR KRTYTKHKNAITEKFNRDYNSVFYRDIISDDKTETDTSVVASRSDWRSDKLNTVFDFL DELARDDLGKRAMQLKSWSHVLVYKTIPHGLVTRMLVWSKRV PHYBLDRAFT_166923 MDIWIANNLLDDKDFVEMQKEANRMVLSVGYTNLKINIGKKFPF MKADEWKAWCLIYSPVLLKTCLQDYLLSNSIQFVNACRELMKPTYKSLEEFCVGCEDF YKPDVFTQNMHLHLHLKEMIEDFGLIYGFWLFSFEHYNGVLKWFETNQKSGFENIYMK RFLESCYNGDVCQTHLSNVISPLLLSLFLKLSGHKIYNPALLPHPLILSLFHLPSFLQ SAEKPSKQIFGNEPLPLSTLLLCLKPPTTMRKSEYNCLLNFYKIKYDDDSLCSAKTTI TNCWFVNDQNQKISSINLLGQVYTGGEGLVVRGSHIQVKFIEKSGDSKERHAGRIKYL FLHDFTPNLTHTNLSPCHNPQHIFVLVEWYNIPCYQPRLKQGIELYEPAFLKYDYDNI LPVHRILLPIAIGSHVSDSGAAKVVVIHLPRKLYT PHYBLDRAFT_72886 MFDIANPNEVCYKCTRCNRNSLRYTMTNKRTAKCHTQNDNDRKI NKTINVLTAEVNTSEADMDIDQIEEHIEYNNDNYSVGAPLPEQYVNTHLPLLYTSESE SEYELSDEFEQEEQSREQEQESTENLPENIWHQVIAVFTVIFISSFIVDEGAVILITF INTILEHYRENFRLPTSIPDLRKMTGYNDLIKGISKYVACSNCHTLYNYSNNTYTFCN FKRVGSKTYYKNDLYKSSMKNTIVVSNIIVDLN PHYBLDRAFT_143948 MIALEHCINTEKEKITKKIKTEKEQKKQKISSAKEQKAIKRIIN LGSPCDSTLLTNLTIAPKHISTIFSPEADGNCGYRAIAMEVYQDQEEWSKVKDKMLET FLKHQNNYYHGRMEHGNMPASNNPLIRSLQDKRSPLPQQHWFGTINHPQLVADTFSRA VAVYWNTPIETGDCLFIPFATLPEKVEPIIIILDTTTAVAAHNSAVISIALSVSKALR SPSVQQVAASARIEVCNSLKILGVDTGHILDINFPAKNVVLEK PHYBLDRAFT_166926 MKDKENGVNMYVYKHAHFGNRTSNRAESAHSSLKHSLGTSLGKL KTVTLKVKKWYDELVADRKHRLMVESLGEGTKIVFDKVNAARLNDIRLKVCRFAMDQI KLELSKSIIPEKLAKECKCLIQYNYLLPCYYTLAKFDTIPISCIPRHWRKNYLEGENH LTIQNATPVPPNINNIKPITPEFNYALELICKHFANAQSEQEQINIYQLIEKTLKQID AQKLKKP PHYBLDRAFT_72889 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLNKPEQVELIVENTILDANAFDYLSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIR FLVESFHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGN PNIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMFSAEDNEYVLVVAPILWIEAD TPCHSELCGLLGPATTFPCRRCYIELRRAKDFVKDLSYFCECHERRTREHYVLANSSP GRDTEISNAPKIGMNTPANEISFRDRLTGRLLELQSFDPEKDTPVEILHTILLGVAKY MVIDLVKVVLKNDTATIARLSEFLTDYTRSTGLSRKFTRNLRHSGSFLGRDFKVLLQI LPVILITEFSGNHELDLVIPCFVELGRLCSLVFVRQVTSDFDNYIIRVDNAVKRLIRT LFDYDKGTKNELYKAYCTKPKVHYLTHLKEDIIRFGPALNYETEKGEQFNKHIREHLF HTNRQNTSRDVCLKFAKQVALQHVIDSGLWINSSGNREKSGTGIERFIKDNNESLFYY TFFGDSRELKDNNDTGDIEDDAVQNNSFGAFVFKDDPISRPRIGLVSGSVVKFLSIVP RTDNDRNNNYAKAVMTGEHSDVANMNLVSEILSCSYICVMSVLAQCYIFALSLPQSGF KPGL PHYBLDRAFT_72890 MPSYFQPIDISIISDFEEIHKIIKSKKASAIDIIVERSFTRSAI PEAAATEMALNTMIYLIWCFILNHPLNKIEEATKLSAKTIDTFMADIQKAICQHISSN DTLIGGEGITIEIDESKFGKRKTADNLLDIIQRHILPGSIIHTDCFKSYNQLETLGSL QKESGFGRILFFEFWQNLEKYFKHYRFSIYFFFEMGIRNFF PHYBLDRAFT_166929 MNSTTKTCTVICTCLSCTKNAIGGILQNAQTFKRHNNDDKLLDI GPKNRVNTEVVEEETDVEMVDVSETSIDSKTTVQSVPFLREDEIFQFEESDVETTSLA SDNDDPDSSDESEDESEVEVAGVEDFEDMVASEILAFVVASLKIHEISQTSQFMALFG IIFQAFYLVQAGGTAMLKFFCHLLVAFDKNANLPLTIDALKTMTGFNFMTKSIVKYTV CNKCFAIYLPGNR PHYBLDRAFT_166930 MQRLADDILMPPGYAVLSTKIESGFLYMKADEWQLWCLIYLLVV LKDALPEDDYKNWTLFLLGEFGKECETLYGESSITPNMPLHMHLHESMLNFGPVYAFW LYSFERYNGKLKNIKTNRCNGLEVTFMRVFLEKTFIGSFLRAYSTNLLSPLIEFLEGV AQVKSNSNSSSPLNLDAGHPPVLPFSLAMFQQAATNPWYNVTGSEALPPTTLPIKLQP LTMMKDDHYQWLFGFYVKAYRSTSVSFCVVGRIPIGEDVFVNNRIQKVKKILLLGQEY CSGKKKKRGSFVRVLFLERTNDDVSEFPGQIDHQPLADQGLQLWDKGFMEEDASCIVP VHRLHSCFALTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_166931 MSSNTQQSKKTKKTTTKKSVQQTAETAASTRQWEILPSLTVSAE LDGTVLSTLSTMSTRLNEYHSLLEKVYHNMEATNGQNNNSNHSPISQALTTGEYIKHR LPTVLRLIHSQTRAVLSTMPLTVNEGAFSTSNHPIADGKSFARKDSSTKSNFLMPVQK EKYYKTIHLADKANLESKFGETVVGLLDYDMLSNIESDEEKNKTRYTPRNRHPLVDKY FTVLKKQRLANKGPDVIGNSVYPIILRNTELSNEKKAHVAAWIHTCQQ PHYBLDRAFT_74175 MKNLRSDKSTWNAVKQQMASRSRTKKIVQWKLMQFIWRHKHAGN IWIGLLQTLARVSVVRQITDNNISLEQQLLLDLGPDYETYFTENKSSFSENKNDISSD SNNTRDSTNDSDFIL PHYBLDRAFT_143955 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT LNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRWNTTVNFNQSPNTELTENLVAYLERKFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNACNRRSNREKEHLKRRKTAYQSNKTAIDDKMKRDC SGLIIEEAMSVGESDDGTLPHYNHFITLVDNKVVADLGLNSHQLLSRAFGETVEGSVS DAIASQFPQ PHYBLDRAFT_166934 MPENPVHRFIATFVVMCASHYVVNKGAVVLIEFINKLLSIYEQD FQLPVSLSGPQSMTGFSAMTKGIKRFVVCQDCHKVYEESVPAPLNCDFVKLGAHTTCN CKLMLYCLRYFDLVHGTIIDPMHNLFLGTPKRMIETWTKIKKMKNNDLLAMQTVAATM ILPSNYTKLKMKIEKGFSHMKADKWKSWVLVYSPMLLKPVLPSNMFNGWMHYVKAYRI LVKPSISFIEIDQAHRYLQEFCQSCEDTYEPKVLTCNMYLHLHLHDTICDFGPVYGYW LFGFERYNGLLKNNKTNRKDRFETTYITKFTADTYKADYVQNTLSYPSLIPFLSLFEK LTSTTAPITTYATYAPTNQQPFQLQQFVDSSLSRAAPIKGNEPLFPSTFPLQSLKEST MSDIDYSQLLDYYKIVYVMPNLISYHDVRLSQYFVNNRITKLKSIDLLGQTYIGNNSS GKRGSLVQAFFRSSNGETSSLYTGKIQYLFIHSFTLPLHPNHQASTLHQDQHVFAYIR WYNLTNDNEHRDEGIAICLPEFSTDNYHSILPVHCIHLEVATAVDVTDMNEERILVIP MPKKYYA PHYBLDRAFT_166935 MNPNAPSYSATTSDVNVRMMEVQVSTSREAEVNRPENTKRSYAS KQKEYKDWCDEAFSSIPLKNRYTVYGDKLHLFLKDCVVNRTHRRDTGKTIKSVKAFVE TDVEPTDIFLQRALPLVARKLADMQNADSRFRRKVLREFGVLHQKIDDLVSGRIPLWS LQEDGGERGRARSTEVQALPVPANNSGPISQLPDIPVRYRMSREYMVDNSVSEETAVK LAEENRRRRQRTLNFLSKNSTQIFQ PHYBLDRAFT_166936 MLILQKKSCTTNLGFLSTEFYIPSRMGIGHFFAVRLPGSGLMKV VVCPLNLYSDDTSGNSTKQYNKYDSYLMYFAALLLETRNKRENALFICTSNHTLNAVE MLPPIVNDLIRLEKGIEMYSDDHGEVVLVVAPLLLFMSDNPHQSQLAMHKGTSAKKFC RKCLIPSPRIEQGSIPDAPPYSPVDHCGSEERTRDFLCAFANADSQSELYLNGCELSY IKNGSEEFLRFEAFDPTKDMPVKILHIIPLGLTKYLMTFLWKQKMLTTSEKGRLQEAL NSYKSCKSYSRTFRNKLHHTGLFIGRDFKELIQVLPGIMSKLFSDKQLGSLFIKALHA LGRLSSLVYMRGVNRCFDYYIAQIKHAVTDVTDLLFQLDVQILQKGFSKQDFTFKPKV HLLHHITDDIVHFGSVLQYETENSEQFNKFIREHLFKTNRHSTSRDVTTRFGKQFICR HLCNGGSYVVEKPAGNGTRSVRSSIGDFVKLAPVNFPGFYLHFFGSRVNSDSSGLSTP TLCDTLAGVFQSNGQLFLGQVKIVQARDSADRMRKAFFMQKYQIVLNSNVNCIYTPAV VTENYNNIVVLPLGGLVEVNKDDINIVQAVDIHLSVGSSNNQKFLNVAKFGMFWWMLM NIAKIY PHYBLDRAFT_143959 MAPTRKSNKTKECKCSVCKTRFGGSDTVSVQTFNFHKRRDNAGM NIFLIIKRSVETTVSYVPEVINNDEQNSVAIDNDYDMDYDFDEMDTSIEVDIETQEPI RVLSLSESDTVFGYENEEFNSDLDSDGCEDDSSEDDMLDSEDNFPEFNSELSFIHRFI IQVLALFVSLYVIDEGAILLIAIMNKILELFRDPFRLPVSIPGLKSMAGFNTFTDGIK KYVSCSECHSIYENNESTPRFCIFDKFGNNSMCGNSLFKSGNQSSIPKRTYVYHSVQN SIKALFSRPDFETQIDSWNHGLMWKDLKDTNGIPFVHGNRSLMLTLNIDWFQPFDGVT YSCGAIYLAVNNLPRSIRFKKENVILVGLMVSPYFILRRV PHYBLDRAFT_166938 MSNNNINNTIYDISTIQQVLINSPLEGIKMLPLNSTILVKASEW EKCLERINVLCSTKWNKKHKYSGKGLVFGETKKCHRAGQYITNRQLRLAQKDTKACSC TAALKIIQHLDNPNVVTFCQTRAHVNHVPGDWDEVRTLPLPSEAIKIIEDQLKSGSSC RSTRISVLRQIDSWGVGVRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAE QNYCIFEINLSVYNDGKKQFAFGFQSPMQVSIMRISQSFCLDATHSISSRSDEVLYTL VTRHPQTGKGFPVAYMVTNNQTAIPIKLWLDHLRIKSSFVPMNITIDCSIMEVNAIKE ALPHATIHYCDFHVLRAWQHNLDSKIKLNASYTSEQLGNYKTALKNYLRHILIESNED VFLRAIEDFKLMVQDQPQFLKYFEKKWTENEELLRRWGRPYISQQHQRYVTNNYVESW HNQLKTIYFGRARIRRLDRLIFILTNDVEFYFEQEVERIHFNNGKMGPIDNELARNSF VASKIQNDMLPSMILNPLGETGNSMDDYNGEWQIRSFVTEDKWYTVNISNDLIQSCTC PNFLTRQIPCKHSHLLKRYCGAKFSFIEQREIAGVVLNRQDAVNANENEVEEEVEEEL ESGGTAEDRGVYVFDEIAAYSATMHHGFEDLQTLKTIPGLDQTKADLIKRALADAVRL MDEYRSENPSYFRNLNTQR PHYBLDRAFT_143961 MPGPKEAKTSEINSYLRPLVDKLEKLYKGVRVQTYQCPNGTTIR AALFMVACDIPAAQKVCGFTSHTSTNACHKCNCQFSQLAGTSSVDYSGFDFSKWLLRT KNDNCKDAEVWRNATTEAERHRLEVENGVRWSELHRLQYFDVVRCTIIDPMHNLFLGT AKRMMEKWVADGLIDNKKLVAMQKIVENMTLPPDYTMLRSKISKGFPFMKADEWKSWC LVYSPVVLQGVLPKQKFENWMFFVNACRFLTKPNVSKDDVQSAHIALEKFSKGCERLY SKDLLSPNMHLHLHLCDTIKDSGPVYGYWLFSFERYNSVLKNINTNRRSGFEMTYMKT FIEDTRKGDFVRNFLKTSGPFNFSSIFDKLVTGYSPADSTTSTALYNWFSLPDFLDAA KNPNLSIRGNEPLPPSALPLQKKAYEMMPRQEYDCLVGYYQAVYNDPTISSCKDVIQD TAFVNDWIEMLKSVNLLGQTFKGSRGTNGRGSYIQAMFIEGRNEAKYAYVREIQYLFV HSFSPLVSTPHHRTPQSSQHTFAYVKWYKASKETSRKIAGVEIWDVAFSLPDFQSILP VHRILLPVAIVDHTTLRNISKKLIVPLPRKLYF PHYBLDRAFT_143962 MPSNATRKSGRKGKQNAQGTLNRVAAGRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDCTVEANTGIRFPVDAHNQAIPQQALVASSVTQ GVTAANVSTNRHTKGEMHAIVLNLINGRMWARNFRSNDPELVAENKSRRRWNMDERID HPDNVEVINYLRQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPEQVSSKRRNN RINSRRIEIHLRRVDTYINNWLAIDTKMGYKPGNPDEMAYLHLLEKSVMSDGESEDED VTPIIHVWVLQVARPSWRSAELNRLIQFIDFLAAENDKKIVTPQSKQRMPRYLKTIVV TPVPGHLTAILPVWAIQNQ PHYBLDRAFT_166941 MENHFTTKRNTFLTPTATDNRTFVCTVCETERVLGSLQGLRRHY TKKHPNEMGEYEKLLKRRPAMFDGPSSSASTATATTNLNSNNEPAPMEFIIENPQDTY GHEISDEDEYSDDHILSDSSDDYDETTDDEDTDTRVEYDSQDHIARMAAEMRTFQSLS HAMNAYSNEDSSRQTLYRPDDFADIFTGPTRPFKSKVEFILHALFYGNEDLASERSIK KIMFAMKMVLDVREESGVALDFPTPNAVINYHKQKKNQIPVFPTASFDVVNQDNERHV LWMNKPSDYIKFTMTCPGKSSQISALPDFTENQWLNLNQGEKWKENPLLQHPMITSNR MDYWVGDVVKVQGSPNWYLLEKFFTKDGSILANAFQVYGGHDPRLNHPDDTHFLRFGN STNFAVSTLKYTIEVDRIMSTVQKDSDLFLGRGFSVSYCPAKIVTYALTGVQSDLWLN KSRVEEFKRRHCKKNARFPYVIGCKILCDF PHYBLDRAFT_166942 MTEKFYGDSNMRLVSTPNITKQAVFSFTFVNNFTLISLDPPFFR FFVSILFSIHGNFSQANFKSSLDIEFKKISVGVYYIRANRELQHDFMNMYTKKHAEMK NCSKYPHVTMNLHTRVFRVISPVNVTIEDNPNN PHYBLDRAFT_143964 MSPIPLPIPTPTTDTSFTLWRITENVKCIDTSSLFNVLDLCLWL RKFKLEAAAVNAPLDACTKVLRKYMPPPICMWLTTLPDTTRSSWTLLEPVLLDHWATP SAINNHHTLCQLCQLCKLSDQTITEFAATWKHLNSLLSTQYSADEQVTLFIQSLDSVP MPMPDFLTSHWPNDTSSNNALILTSPHPWTLMLCTFHSTLIVHTNKTNISNNLSTNNN STNNTNNLLIALSSEPTPKKASPSAITTGLLVTEQSIVTHTFPLHPTHDNNLAITPPG INPTDCTTLPSLQAQFFYQNLSHPPTINALIPLLSSLSLRQSPTTIIQVGPLTLDALI NTGTNLSIIKSNIVAQLGLPIDHSQKQRFHTIMNESHCTLGVTYLSIFGVLLPFHVLN NVHHNILLRWNNLSTLGGIIHDSPPSIVYHPPGHPTIALPLSLSLLVPAIDQATITFN TVYPTPTHLFDSTIDAGDSLPMLVSPCHFRRDINDTLDKHDSLSQHNIQQPTLSHVPL VHMALKYFNHLPHKLSNYHYNASVHPALNNTPLILNSRSDAQAISAQLPPKENLRQKT D PHYBLDRAFT_166944 MSYSNKRTRSSTDLPSFECNFCSLTYPTSKQLHNHKRIHKTVNT PVAKENLQEPVVQSASAQTNLEDVPFDPFQSRIFKASCNFEAGDQGHIYNNNIFMTSE LFSICLNNLVTDFGVSTDLHSLLVDLLNTVIRNNDKLKEEYNPKILYAGPVNTLIKSK TDLKSYEYDICENVCLLFDITKDEDKCSICKAYRYKEIDGDSSLVPVNIMMSIGDQLA RLLGNDKTRAKLQCRANRQSISNEISDYFDGEEYKTLKEQQLFDSPNDIAIALFLDGF VNQEKSKQQLTIVHDFLYMGYLRLIFFFVSRCTDEYLIQLAIIPGKPKDLDSFLLPII DEISSLGKHGLIIKKFNGEQIKAKVHMVMASGDIPQVTQFCHHTGHMSNKGCRICEVE GVSPPHGKGKYYQDRCATLRTKDDFVGGKKATGIKEANIFARLPTFSGSCFYGLDEMH LIGHGIGKLIYKLVVLSNTESLEAYWPKSEDGSHFLKDRYTFSLKESDVKLAGRQIQY SRSNIPVSFQGSWDNIIDKREGVRAIDYIDFLLYVISTLLVPLFTRQTTRKALLALVK GCSICLQWNLNENMIVEMENNFNIWHQFLDSEITEKIYQSESSLPKVFSGKNAGNLVE RLAIRGYLNCSVDFDELVNPIIPTRTSLDDFLELSSLSPYNYDHQLWSPFETIPYIQE FTNIPTFTKELQTFYI PHYBLDRAFT_143966 MNSQLDINMLNTIRIVIREKLADIHTSVARIDENVANQQQFIRQ TFNTDRTNVIKQSAIKRQMEYLVSHPDDRGVRHITVTMNETHMGFIPRGTNKTPSDTA RINALINSLWKKQKGTDMKNQIRAGKKTLAWRQKHFSTV PHYBLDRAFT_143967 MESNYLTSLPRQSIRIIDGFPGIGKQFWLPRASVLPSASLQTKI FPLVEEILPLIEDGYEDEQNSTDSTTGVECPTNPIFCNLVFCDPEFLEFEAGLLEHVL TAIFKKIDNIAGSINFTNARQATSATKEEAYSGHWKDMQNVIGSLNGLNFSFNEFRSA CVNFGNNTTSAPIIMPTESGSID PHYBLDRAFT_166946 MSNFPIQAAEAQKETNIINVISQMKALTRCANTAKAYDNRRQKY LDYCARICHTSSALVTETKLLDFLQQDVVLFGNRQRARRGKFRPNGSPYPLSPSSIDQ YIKAVVDLHTDQKFFIVGINLQDPRGTLLKLYLRSLRLQEADRLRQSY PHYBLDRAFT_143969 MNMFLYVLNPTSEYLDYHKSLLEEPHFLKQHVLDKTGKPIDPKL FYKQYNPYESWSMKFAALSYKERSSIENIHFLSAVPKKKGASEMSLLPKIIEDFKRLK NGLVMFSAKDNKNVLVASPLLWIEADTSCHSELCGLCMPQVCTHAANVIHTARTKAHY LAAAFTSGRGSTISDTPLTGNALTASNLCFANRATDALLELQSFNPSTDTPVEVLHNI LLGVAKYLVNDLVKVILKKNSNQMARLSKALKDYENSQGIAVRYNYNIYINKVEKAVT RLIQELHHYVITCEIEGHNPYSSKPKVHLLTHLPNDLQRFGTALHYKTEKGEQFNKHI REHLMYTNRLNISRDVCLKLTKQSAMRHIIDDDSWVSKDKMREKYSNFTAEFLKENFN DNVKNILFGGSREFADNNDTDDITTKALCDNTFVVFMLKESRDQHAHPFIGKVPSLRI EYY PHYBLDRAFT_143970 MSNNNINNTIYDISTIQQVLINSPLEGIKMLPLNSTILVKASEW EKCLEQINVLCSTKWNKKHKYSGKGLVFGETKKCHRAGQYITNRQLRLAQKDTKACSC TAALKIIQHLDNPNVVTFCQTRAHVNHVPGDWDEVRTLPLPSEAIKIIEDQLKSGSSC RSTRISVLRQIDSWGVGVRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAE QNYCIFEINLSVYNDGKKQFAFGFQSPMQVSIMRISQSFCLDATHSISSRSDEVLYTL VTRHPQTGKGFPVVYMVTNNQTAIPIKLWLDHLRIKSSFVPMNITIDCSIMEVNAIKE ALPHATIHYCDFHVLRAWQHNLDSKIKLNASYTSEQLGNYKTALKNYLRHILIESNED VFLRAIEDFKLMVQDQPQFLKYFEKKWTENEELLRRWGRPYISQQHQRYVTNNYVESW HNQLKTIYFGRARIRRLDRLIFILTNDVEFYFEQEVERIHFNNGKMGPIDNELARNSF VASKIQNDMLPSMILNPLSETGNSMDDYNGEWQIRSFVTEDKWYTINISNDLIQSCTC PNFLTRQIPCKHSHLLKRYCGAKFSFIEQREIAGVVLNRQDAVNANENEVEKEVEEEL ESGGTAEDRGVYVFDEIAAYSATMHHGFEDLQTLKTIPGLDQTKADLIKRALADAVRL MDEYRRDTSEIRNCSCWKGGDSSRKTKEVLCLETREIIVNNDITNWSNSDICSKIQYL HNKFKDATNFLNGTGQDILNDINESEKTPEEAEKTLEDILEETCSHEEVEAEDEAEFD AEQIRKTINASISETIEESVKLNHIHVELMQKKFEAEVEVNERQFCHQGIVYGDRMMM KERWLNIEAKQLENDCNQSKLQYIAKLESLELSKQYILGKLDIKL PHYBLDRAFT_72835 MIKDHCVFKSDPHKNQTEPIIQMMLALEQLDGILINLEYKPTWK GENFYSRKSCYCISATIICDAENIIRYCHTVWPECFYDACIFANTHMTRNPGQYFSGD QYVLGNSAYAPSHIIIPAYKKPQNGLISVENKKFNYKHDNT PHYBLDRAFT_72834 MCQLTHVVLVFVNYKEFCNVTAPPDSQNCLPQNHFYYPVLQYKW RDGRVGLRRHVKAVISSEAWVRIPLSSFCVVPKFVVPDLGWSSFYYNKVKRSNPLGIK EYT PHYBLDRAFT_166950 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFGKEEHLCYWEIRL TGIAEDIILSFSLTLRFSSNQYSFTTLTTMEGVPYTSWVHANRLKIAKSDDFDHTWYY PTPAHAQMRRDLALDSSSALLFSLVDSSGVDRGLSTVSGGGDVGHYFDESVKSEDS PHYBLDRAFT_166951 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTKTRRNKRARVEAA MRNMDVDTEVIPTSRSDSVKAMDGQANSPFLDAASMFDNDRDDNDFDANVEDEVNEIE IEDFNSEDPFAAPDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACN CNLTKSISSGALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHNLFLGTSK RLMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSWVLV YSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTSHSLLEKFCNACNVDYTA TILTCNMQLHLHLHECIRDFGPVYGYWLFGFERYNGILKNFKTNGKDGFEATYMKNFV QNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDP YNPPKGNEPLPPSTFPLKYKKPSVMDDSDYLHLLEYYQVAYNLPDLASYQDTSYNRPA LDNQIIKLKSIDILGQHYQGTNNSTISRGSLVQAKFVGSNGNIILGFAGQIQYLFTHS FQLPPTHNLHLTRMVHDHQHVFAFIKWFRTSSDRSREDDSVEFCLPTFSPDSYHSIIP VHRILLEVATATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_158453 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVLLLEN LKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTCLRRAGRARDVSVISF TCIHRQQRSN PHYBLDRAFT_166953 MNPGERHQENFDKKTLSQFEIEERVQDLLPKELVNDYKKNRCVP HIPEEQRLKNTPAREQKWSELAKKLAATLTGKNKELEEMAPQTATVTAEAIAAAVAEA MKAITAQQESRAERIKQPDCFRGERSAAVVDGWLHAVECYTNGLQLGYQNNYASVPPP QQYQQQYQPQYQQQQYQYSGPEPMDLDAINNRHSNYQSRPPPRRTNATCHWCGDSGHF KRNCRDRLAAIKKLDEERLKKQGFCEAQP PHYBLDRAFT_72828 MSTLLPSSVMQGMSPDLASFLGNMQAQFMSLQQRTNELESLAAT NARLTTQLVNAEKLIADLRSQLASQGNCQITTNASISSAPTTPKEPGTEASTWATTAA AAHNSVVVPTALSVCKTPRPPSVRQVAASARMFAIPTGLKGYQYVYIPRSRRLTHREV RNSLKTLGVDTGRILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCMKALKYLRPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGIHDFQSPSCRTSVAMSE PHYBLDRAFT_143977 MANLQIGNKQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARATNANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKRQRSSSVTMSE PHYBLDRAFT_143978 MLFITETWLLSPSHLPTSWSQIHLYGSPVAGTYRGSMGVSVLIS PHCPYAVTQIPMPSKYALAVKIGSLRIVCLYLPPNMPTHDVLHVLSSIPLTHDTILCG DFNARLGSVTGDYASNSRGLALCSWIEERFLSVVNADLAPCIPTYIFFRNNYEISSII DLLITNMPLINPSLHIATDLSLGSDHCLLSLSFAYDLQHSTNMPPPLCKSWNLSRLNE PDVHALYAHIFTQNSTSLLSTLQDIVQNPPLTRPNIDAITDEFNLLIYDSLNSSIGHR PSRPNHWKSFWNVALQTAADHRNQCYKKWRLAIGIDKVVWWTKHKHAQAEFRSQVQQA KRQSWHVFCQSMERDFSKATSKIKQLKRRRQPQHTFQHDDGPAVAAATMCDYLATVYS GHILPATRPPAPMTTCNSVPFASDDSPFTSPIVEEFMQFMPNRKVPGPDHIRAEMLKP VKTHVSPILTLLFTVCWQWSYVPSLCRQAQVFPIFKKHWGIRFLHICDSMGNSE PHYBLDRAFT_143979 MPSNSSRKTDHKGKEQASASISTSANRVLAGRVGPQEIAPSFSS ATIQDQQYAEIVEMFNKVNNSINGVKDDIAAVNSNTTAFKNRMGVVIDTSGKTHTEFA DFATAYANDQTCMASLGLSLMPSYVPQTSLSDAEVSVIISKFESDDPALVAENKSKKK WNLNKKINHCNNVAVINYLKSYISVQTRLAGTHPWVISDKIKNIYKHSHYTFHESPEQ NAKKNSKGRANSRTLQSTYMDNWVAIDAAMRYKTGKPVEKAYLKLFQNDAMSDGELDI EIVDNILRRCLHVAHPTWRSEEFNRLLTTVDDIDHTHHVSNAGVGTKPRMNRYPVTLL PCSVPATLS PHYBLDRAFT_143980 MPKHEYDCFVGYYQAAYKNSQISGCKDIEMVKSIDLPGQSYKGC IGTNGHRSYIQAYFTERTGSEHAYVGEIQYLFVHNFRSAVSSLTYRNSHSSQHVFAFV EWFKSTSDKTRELEGVELLQDEFYKQDFQSILPVHPILLTVAIVDYKTTKNINKKHAI PLPKKIYY PHYBLDRAFT_143982 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARTPLAAPVRAELTVAAPVVISNHEPTREES NAVYAHIHNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAERLLSNLERR FGSSSMRRSDLRKRLHTNFTSRTRRERMSDDEIAETNALTRRAARADDNECRRVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKYVIHIVQPGWRSDECNRFIA LVDTYAVQAMGSSANQRIRRITTSVSNSAVPDNISPNFPRWALRDGL PHYBLDRAFT_166960 MFPSIQMHNTDCHCTRCNNNDQGVSRVSRRTAQRHNKRARFEAE KRSMEVDTEIIPTYQSDSVEAMDGQANSPISDAVSTFDNDVFVGNDYNGDESDTTDDN DSDDNGEEDTAEIYVEEFNNEDPFAASGMPENPVHRFIATFTVLFASRYVVNKGSVVL IEFINELLKIYGQDFQLPESLAGLHKMTGFSSITKGIKRFVSCPNCHCIYEENMSVPP HCVFTNVGARSPCGLRGTIIDPMHNLFLGTPKRMMDRWVDKKTIGAEEFAAMEKIAET MVLPRDYTKLTSKIGKGFPYMKADDWKSWVLVYSPVLLHGVLPFEMYNNWMNFVRACR YLIKPSITFDEVNSAHDYLEMFCKKATELYTPTILTCNMHLHLHLRETIRDFGPVYGY WLFGFERYNGLLKHIKTNGKDSFEATYMRSFVQNAFKGDYANAVLKSSSHVPFFNILS KLSPKFTPTTTVITLSSRPFRLQSFLLASSNPHLPPKGNEPLPPSTFPLQLKKSSLMD ETDYAHLLQHYKTSYDLPDLVSYQYATLTNSFVDNEITKLKFIDLLGQQYRGKNGSAS CGSLVHIMFVGSDGRNTLAYAGQIQYLFTHSFTHPSNSNLHLTRMVHDHRHVFAYIKW FNTSSDRSREDDGLEFCLPTFSPDSRHCIVPVHRIFLEIATARITTSRNVSKMLVIAL PKKLYA PHYBLDRAFT_166961 MEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTIVAQKPKTNL PEKVDPDSVPTAESIGQGSYQKYNQNQVNKLFSLVFSENQTAAAAARETGINVRTAQN YVRLAREKIQADFDAATVKTDKSNGLETMEVEEFFENKPDATLEQARIAVMEEFSGLQ ITKSAIQKHLVKKLEKLPEKRDNVNTIEMRRDRILEWQQLADFNYLLNCVFIDEAGFN MHIKRTFGRSVSGTPAKTTVPMQRGVSITILGAMRKRGIVSLSLKKPTAVATKKKRKL DIYTNVEVNGQIGTRTQHYLNFLSHTMDIGISEGLWVLKLRIQTPPFAYSVLKQSVDH EFNSHKRHINIYLFSLSILRRLVTCIYLKQCREYNQSSLSVHSLSIPPLSYVMTSIYT SEVAKRYLIAQGALYPRNHIIFTCSGGSSMNYVFEKDRGYSYRYSGVNSMGATYCKRE KKSERLDSFFAQRHLFYDVVMQGIQSHQLNERKF PHYBLDRAFT_166962 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHKHIANQELSFHTSVIDMTILASSMYSLGLQINPFASGRTTI YKQATHNWAFLWEILFGEYLFYQNIGHFKFACGKNPYTENANTSYYPAVLTFSYVRKL VLPPMTINLILMLTSTIKYYSDNENTA PHYBLDRAFT_166963 MVNNHQSIAPAPSPEYTELLRRLTAMEESLKTMDSNIGIVIKGN KDSLEILDSVANASGELLAVIAPTTIPASASVPFAASSVGSTLDWYTTPSEAFFGISS AAPSVAPSVAPSVAPSVGPVVLTGANAGELSKQNRTRVLALIRGELKKHNFKSNKPEL VAANDSKCSWDFNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADISDCIYTNFCGTR RRVKESYEARKKTNSWSRKAGRETDHFDRRELTYHTFKAEIDMKVGKSCDGLLQKEAM SEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDFNSHQMLKRSFG RDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_166964 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGTLKESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASHYVVDKGAVVLIEFINKLLTIYQQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARSSCNCQLMKTSASGALVAKRSYLYQSILHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNS KIGKQFSYMKADEWKSWVLIYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEV NTAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTCQTSAFPLLTKLTSSFTPATIP SIRQRTFRIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKV AYNNEQLVHFQQASESSYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGST GEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPE NDKSREYEHVETCFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQ YI PHYBLDRAFT_143988 MLHKKLEEYNSAFEKIMEELEEPEMPEDPKSSAPEESNDENEAD IVGVIHFAWLKQEGSTTLKLFQYFLVIYEKDTDPYVTVDVLKIMSGFDLMTKPSSKAH SAPSIYASNSLYARPAIENPVPLMVFPYSLLRKYLVTTFPQSQTDMLGEADGTFVNHA NSLMLTLNVYWFKLFEGRIYTGGALYLLISNFPKEDQMRPENIILVDVMPDCYGSIAV RTTKFPNGTTVYTAIMYVASKISAARNTAGFTEHDIKINYVWHVNENWESRTEEMISI YDNMWFCTESDVERENLEKQNGMQFSKLHRLHDLFEIPLYFSAAVIARIQCFADDIPL PPEYTVLFEKTNLAKTCRKLKGPLNKYLHIDYAGQPLGVAQVLSESHLLCLQCIDNDY PPALPFDLPMFQQVINSLWYNVIGTKTLPPTTMPLKLQNLNTMKYDYS PHYBLDRAFT_180875 MTATPLNIDEGTFSISNHPIASMVQSYIHMQPEVEYVLSSVVEE KARRHLSYKIHSAKSMSEKLAGRNRFSRCRTRKIRKCHFFYLEFLIVDIITQIKEYFS KLKGRRNDQKE PHYBLDRAFT_72330 MYGETLIVGFDYVKITKNNNQKYLKSFVYLRIFMESETLIAGLC HKKYGNIQLKENVGILIVGLYYENTRKIHLIVKTVTVDMSCEDSGHIKQAMYACSPGW KSDA PHYBLDRAFT_186475 MSKPVHSKNEILRSNTGLTINPSKVYSRSETRDKLTGLTIIQGG LFRQSQAIKNRFPSRATGTPRLDEHMLIVFCMLLVSSVQVKHQRSDQSPRLSVSIPSR SSFKVHVKVHGFQIKVHGFSIHSIQVKLQGSGQGQRVQVEVDGFQYPFHPGQASRFRS RSTVISIHFIQVKHQGSDQSPQLSVSIPSRSSFKVQVKVNWSRSRSTVFSIQSIQEKH QCSNLSAMVIAICVKHQGPDRPSMCSVIRMKRQGPNRTSMPPAIQIKRQGPDHPSMVI SIEQHYGHILTSCNILLVFSRPDLIYLQSPAIRIKHQDSNLPAMGIDLIYLQSPAIQS PAIQVELQGAYLPLYGYRPNSKDQNSNLGYSVFTIWLHNENFVFLPVRQVYTSSSAFS YCWLYLSTGFTVQASHHGSYIRPVLQSRPTTNHLVSAKNYRYKNAIGPLLIIVFVSLV SLQSRAEELGLDIHPDLQIYIFRWAVDYWLFLSVYCFYCQGQPSRIRSPSSMTVFSPP RSKNKDFFFIHGYSQGQSIQVYYPLGQTELPKFYYLPSARAEANDQSFDILLGSQQAF NAQLYIKGRRYTRIFKQFTKN PHYBLDRAFT_10461 SADSSVSTFTSALWFNAALGAGFFAVFNILRTRRRQTYTPRSYV VPKGKQPPPLPDGYFLWIPTLLYTSDKELIRCMGLDRFMVLKFLRMGIVIFSIATLFM VPILIPINVIGQLKSEGLNLLTIGNVSDVPRTWAHVILAFFFTVGLIYYTFRETRAYL VLRREYLMSPEFAESVVARSLFVPSIPKDVHNIEDLARIFNRFPGGVRRIWINRDLKD LPDDVEDRDKKVHSLETAITKTILSTYKYNNKKGIQPENGSDTEVLIPQELRPTHRVS SLPIGLPFVGRKVDSIDYYHEEIQSLNKKILEKQHLATNYECLSSAFIEFNQQIAAHM AAQTLIHSKELQMAPRYIEIAPTDIIWENMNIQSFSRLLRRMFSLSFTTVIIIFWAIP VVFVQGISTLESLSKLLPFLEAVQDLGPTVVGIIQGILPAAALAILVALVPIIFSMLS KLEGIPRKSFVELSVLHKFFFFQFVDVVLVSTVAGGIFNVLPDLTKNPASIINLLAEK LPRASTFFITYVMLQATNSAAQNLLQLVPYILSFVMPIFNTTPRDIYHQKRQCPTVNL GTLIPTHSVIFVLGIEYSTIAPLILPFVLLFFCFHYFVLLYQFMHVYERPYETGGRGF PRAIRHVYIGMFTWQITMIGLFAVRGNKAIGQLVVMIILLVAGCFSLALYDKAFKPLF KFLP PHYBLDRAFT_67724 MANFECYRKYLMDQKEAQKLHVKEVRSNQRYKKFLTTAKEHPGF RRRSLQDILVEPVQRIGRYTMMLKEILKHTDSETKECEDLTNACSISSRIATMKDDVP TKLATMSLSLYTSIKDSPCSLISQHRSLVAHLDAVEIHRDTNKPFRSVTFFLFTDKLL VATRPSHLKGSDLCHVLKDEELSTSKSAGHRSSDKATRKDGSLKFKAWLDIGQVELFE GVHDLQGSFLLRPLSPQSKDNAPFSTLPSLEAYFYKGARLYTPISPKDHNLTPETTKA LIQRKNDFLTACQRTQAISKSC PHYBLDRAFT_61323 MSFSKFCQSAKDTFQRKGRKSSKSESCKSVRFQSESGAVFYTHS SEDYDRRSSFSTMLDEQSEPELVAEMLKHAACGDQLSEMTQQDEEAFVLKLDEEVIRN TLVNRKQGYHSIAALYHYYLVYRCVLEVGRVEEV PHYBLDRAFT_186477 MVVKTPSGDISAKVHVLMTTGDIPALGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMSSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLDSFSGPFF FALDEMHGICHGIGKQVWGLVCGKYGKDHPLSLSLAAQKEIGTAMVSTRRSIPTSFHG AWINIATRSGYFRAVDWADFILFVIPTLVAERVRDQAARKALLDLVQTCNLLMSWELS AEEKTLIKTNLVAWNAYLEASLAKGEVQLKVFTINQHLLQHYPAMIEAYGPPRAYSAR SVERTIGEYSRAIKSNSAIGINAGNIMLGLTQIRQMRVENSITTTATVTATTLLQYDD PSAGWPIDREGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFYESKGEECSM IGAAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGIPVINNGQMKPM VVHLADVKELVGLVKSDTTINTITTAATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_61321 MATKDNWSSDLYSTNASFVPKLGSIILGMLDAKPSEHILDLGCG DGILTASLGDQCASVVGVDFSSDMIEHAISSNKHNNIKYCVVDGHYLPEWFDKSNQSS FDAVFSNAALHWLKEPVKAINGMHHVLKPNGRAVLEFGGHMNVGEIHTALITALSKRG FDGKALSPWFFPSAEYYSDLLEQNGFDVATCELVPRITQLDTDIKGWINTFGFSFFKD LTDVEKGQIIDEVVEHLRPGYQREDGKWFVMYVRLRVIAHKRSI PHYBLDRAFT_177333 MTDVARPTANNKSFQDKGKPMEVRMSNIIAAKAVGDAIRTSLGP RGMDKMIQTGGGEVVITNDGATILKHMAVLHPAAKMLVDLSAAQDVEAGDGTTSVVVL CSSMLSAAEKLLNKGIHPTTIAESFQRAAQKSVEFLTEMSTPVNLADRESLLRAASTS LNSKIVSQYSSLLAPIAVDAVIRVIDPTVTNNVNLNDVRIVKKVGGTIDDTELVDGVV MNQNVVKSAGGPTRVEKAKIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERQYLL NMCKKIKKSGCNVLLIQKSILRDAVNELSLHFLAKLKIMVIKDIERDEVEFLAKSLGC RPVADVESFTEDKLGYADLVEEADSAGSRIVKVTGMKHAGKTVSVVCRGANSLVLEES HRSLHDALCVIRCLVKKKALIAGGGAPEIEVSQRLMKFAKTLTGMEQYCFHAFAESLE VIPTTLAENAGLNPIGIVTELRNKHAHGEVTAGINVKKGTITNILDENVIQPLLVSTS AIELAAETVKMIMKIDDLVQSR PHYBLDRAFT_61319 MSSPVIIITGASRGIGRSATFLALSKFNARVVAVARDESRLIAL KLEAQAMGKADNLITVTGDVTNETTNSNAVDQALSTWGQLDAIIANAGVLEPVDTIAN GSLEVFKHLFDVNFFSIIDLCQKALPHLRKTKGSIIMVSSGAALKGYRAWGAYGSSKA ALNHLTNTLSVEEPDVTTIAIRPGVVDTEMQGLLRSIGKDTMEGDYQKFVDLYEAGKL IKPEQPAHVLAALAAKAPKELSGRFISWDEDILAEYRS PHYBLDRAFT_144000 MDDLSEEHQRLLTEFQVAMPMDSQQAMRRLNDCQWQLRDALQSP ATEAPHVPSSSSSSSPPTLPQLPVNRIMFILRWPAHLVWRMTLTILSFANRLIPRHTL SSPPRNDPRSAATNLLRCFEKKYGEIHVPFYQGSYSQALLTAQRDLQFVLVILFSDEH DDTDSFCRNTLTSEHLIDTLQRMDFLVWAGNVSDPEAFQVSAVLQATTYPFLAALSFP APSNNNNNGSNNNSNNNSTSSSSSSSSGTGNNNDISTPPKLNVVDRMEGAITPEDVVR RLSVVHERHVPMYTRLRAERDRVALERQLRQEQERAYKESLWADQEKERKARAEQEDL MAAEEEARANAAREEEARRTLEEKKIQYLHYLYSRLDPEPEPEPKQAQDKQASVTKIR FKIIDGTTVSRNFRGDVSIEALYQFVAVYPLIQRHEPVIDTDKPREYDHVYDFTILSN YPRIIYPPSDRLISDEDRLWPSATLMVEPSESL PHYBLDRAFT_132787 MADATTTTNPAVADVGAVEEPLDLVRLSLDERIYVKLRGDRELR GVLHAYDGHLNMVLGEVEETITIVDVNEDTFEELVRTVIRNFDMLFVRGDGVILVSPP SRA PHYBLDRAFT_36811 MDAKPTATAAGADQRRNKFKNKDAFQAEQVRNRRQQHTVEIRKQ KREENLTKRRNMVVGNDNLSDSEDGAETETHGELHQILTGLVADVYSGNVEKQYEATT RFRKLLSKERDPPIQEVIQTGVVPKFVEFLSSRHSLLQFEAAWALTNIASGSSPQTQY VVDSGAVPIFVKLLSSDVIDVKEQAVWALGNIAGDGPECRDYVLKCDALMPLLTILDD HHNKVSMQRNATWALSNFCRGKSPQPDWKKVFPALPTLAKLIYSSDEEVLIDACWAIS YLSDGLNDRIQTVIESGVCRRLVELLMHPSSAVQTPALRSIGNIVTGDDIQTQVVINC GVLTALLSLLTNAKETIRKEACWTISNITAGSVTQIQAVIDANIIPVLVHILGTAEFK TRKEACWAIVNATSGGLSKPEQIRYIVSQGCIKPLCDLLVGMDNKIAQVCLDGLDNIL KVGEMERMNDPEGQNRYALYIEECGGIEAIHQMQGHENTEVYKKAYNIIDRYFGDDEV GEEMIDDQQQGFNHQNQPQNFSFQADAHAPQGGFQFGN PHYBLDRAFT_144004 MSHPLTKEAKLEKLLDLNEKLREQLEIARVPVSEASRSLIEYCQ TTTDTMVPSVWGHKNLETFPEPIGVCGCTLM PHYBLDRAFT_101513 NIVLVKNIASTTQEKTIRDFFLFCGKIKEFEIIKDDEHQKALVW FERPSAAKTAILLSNASVDNVNILVEPYFESTDPNKTDEKENIKDTDQEAKPVSNVMA EILAAGYSLGDNILAKGLEFDTKIGFTSRVQQYFNQLQANLQQLNQKYHVSDRAMDVE HKIGIQDKAMSAVGAAQATASELLHTPTGQKVQGFATQVADQIASLHAEAKRLA PHYBLDRAFT_155094 MATLREIQQRLRSVQNIEKITKSMKMIASTKVAKAQRNMETARA FGVASNSLFQSAETKATEGGSELIVASSSDRGLCGGIHSSVSKATRRAVEANPEGRVV VLGDKPKAQLSRSVRSNITLSFNQIGKAVPTFTEASSIVDVIKADGIEFDSAKIVYNK FLSAISYEADSITVYSQDAFKASPNFAVYEIEDDVLANLQEFNFANSVYWAMVEGHAA EMSAKRAAMENATKNAGEMITKLTMTYNRGRQAVITNELIDIITGASAL PHYBLDRAFT_180886 MSASEVTPTSAPVQPATPSSEIDISLYNTVTEGKATILFPKSNE VFYNPVQEFNRDMSIAAIRTWSEIFMEEKKQRIEKKLAKAKTEEEKQIIEKKLADYID TKGFNILEALAASGLRSIRYAKEIPNLKQVVANDITPDAVESIKRNVKYNELSEDLVR PNLGDAMQVMYRTVGSTEKYDVVDLDPYGTAAPFVDGAIQAVSEGGLLCVTCTDLAIL TGSMHPETCFGKYGGMPLKGMFPHEMALRLVLQMLQTSAGRYRRHIVPLVSCSIDFYL RVFVRVYTSAAEVKKAASKIGVMYECTGCHAYSVQPLGKIQVKDNGQDRHTPGTGPPV GEFCSSCGSTHHVGGPAWAGPLHDKDFVERMLGHIDTNRTNYGTNQRMTGMLTVIQEE IEDPFYWTLARLCGTMHCNSIPMMDLFSAILNAGYKVSVSHCGPQSMKTNAPANIVWD VMRCWIKKNPVVMENIAENSPARAILLKEPSFEADFTRHPDASPKSRSVNLVRYQINP TANWGPKARAGKKRKVEE PHYBLDRAFT_166983 MQVPVIEKPEEWMSKIIKLEALFRSCEGSQQVADLLKKVKKFHS SIKLQAPENFKYPGRRKGSSRPKYLPKGFGRPMWRKTSVLAGTAGIKAMYRRKVTNMA QKSLSGLKVTTKQNKNIKKKSKKSLSTQEPLDPGMLSYTNMLFSRYPQKNGFKRPATA LEDYQYDNRTSVGKRVKFQPGFPVSHEIVHDGKGGFNPTADGWCGFRVLAHLIDEDQE KFPRCARNKIDDNFDTYWNKFKEFNKHDRRNDMLSLHSDLDQLIDLTPK PHYBLDRAFT_155096 MRSPETSYEKGARERLDRLRKHNFHPSNTPNSPDFGTNQYISIN EELKQKLKDVVLQFNAPVRYAFAYGSGVFHQSGYDQNKKPMMDFIFGVSHPEHWHALN MQQNPHHYSSVRLLGSRAVASLQEKVGAGVYFNPYIEVNGMMIKYGVVSIDTLCRDLV DWETLYLAGRMHKPVKILRDDPRVRLANQVNLTEAVRVALLTLPENFNEQDLFERIAG ISYVGDFRMVVGENPNKVKNIVEAQMDHFRRLYFALRDTISRSAMTQSIKGIVTAGPL RTTRYMGEKLGKWWSAKK PHYBLDRAFT_180889 MLFESWSPRAIQKLPSRNLLSTTTIRETELWSSVFDPILTTLFS DPDRDIFLRWTNVVPEEGCVSEIIRAQTDAMICEIEQLSWGCTIGYGEAKIAEPKPNI GSLANDLLRLAFVAANTMKLNGKSLNARALIYRLSKLLRMNTWIVRENAH PHYBLDRAFT_132802 MAPVSYITRAQSFSAAHRLHSPHLTDEANLALYGKCNHPNYHGH NYKVEITLKGEINPSNGMVMNLVDLKECIKHAVLDPLDHKNLDLDVDFFRKNPSTTEN LAVYIWRNFQYHFARHSAWRTSSASLYRVRIQETDLNSVEYFGEGEENNQESLPDVEF DNRRHA PHYBLDRAFT_180891 MSNTLQPSWDASLNPEERTQYNKLFDSTSTDGHTITGEQAAALF AHSKLSAQALGQANERNAGVLDKQGFFIALKLIACAQHGIRPSAKALSSKTPLPMLQP DTHETAQDTDVISPSDRIKYVRIFKALEPVNGFIRDEKARTVFMRSKLPGGTLGHIWN LSHKNQSDLMSQSEFVIAMHYIARCMAGVKQLPDVIPQSVIASASSKPPPPPKRKERH SMIQPKEVSSPKKETSPRSVPKPPVPLMKMNEPWNVDAIEKEQYKVAFDKLNINNREY IDGSEAVNYFKSSHLSESELGLIWDLADTQNRGCLSLKEFIIAMHLIRVRKEGYAIPP KLSDSLLASVEDLDRVSSSKRVEIPIPEQPTIPEEPEIEETTDSDSETSEEEDKKHTT EQLVAAPLPTTNLPLSDERDRSRIVDHSSKLDEENSELSNLQTSLDQTRSRTAHLLQK EGDLIEDLKRVSSEKIRVQKELEEAENEERVLQERISTLEKECETMRTELALISKASE DQEIKTGERQSELLAVKDKHTSLNAQLEKAHAHESTSSKTVDIVSPPTQKATPPPPPP PKSRMQRNSSILGEGLTKSQSVRRRAPAPTPIKRQPSSVKSPQAEEASAKSDESVLGS TFAPVAAAAATATAAFATAVSFINGEDKDEEVSREIEKKDAEPSAEPSSTEPTQTTKV GPIQSQAKLAEEPKTESAQKPQFDSVQTPQVVPVPEYQVESAQVPQVELNSIHESSVD PFTLNNETNQATDAGDTYPDKNMQEVDDHAVETAADNRHTMTEDEISAGSISELRQEE SNEQPQKSEDRTENLPLKETALEQNNIHQDVIGGQNSVQEEPVPKEIPVEVFPVTDDD TENKILNIQPIENTENVDTPTPANIDFEQPSGVTYQNNDVLQEDELPVREPTIEKGAT STVYPPAESSYKTNSVYTDSNQYSDNVPQSYMEQTTPEELGSQQTTILDDKPDVKNDH VLAEPTSTSNVIKDVSSNSSITSEESDEESIASEDKPLSNEIGTFGVSNTSHHDSENI DQNDTLPAYTADTSNDSIAPQNMLIKEVSSADNSSPFEQENTVAETGKPAAESDNERS DTESEENFREYSTFTPGQYANDTSGIDVLASHQEEFGQSNSESSKTTEHDFEVVDNAS VASSFVSAHTGDFTSHHGSLDEFDLTSFGANDPKVKSTNTTPDKEISAFEAEFDDMVD SDDNYENPTNLSQTAHIDENPFDVSSEIEDKAEKTPTLSQPEPATFSDNFVPPEPSTE TSTTTSGGHKSVLKGFEGFPFAFGDTEEPKPSTTNSQQKDISTQPQSSQPIEQSPPVE QSQFSTQTPTEQKLYSPQPEQTRPSSNSINTTPENTSGFASSVHNSINHASESINTPS VHTLAPKESEYVGVLMSMGFTVDQAKDALRRYDNDLQKATNFLLDQY PHYBLDRAFT_144014 MTVAKCFWSAIFNFQSLLLVVLLLICTCTYVRAQAPSLMDRNKT GVLGLFWKASRVGERLSPYVSLACIIMGISIMTS PHYBLDRAFT_166989 MMKLAITMQIPSDPKLKRKLQNRESQRASRKRKDVYMNELQTKL QTFEDSKAESMIKAQEDNAKLKKMVEQLRVTKTALENKLSSLFGPNSFKDFMKKKSTP PSTPPAIFASSSTLIQSPMPNSSFSTDQENDDPQMYFLPRKKPSEETGELVMPTDPTS LHPIYSTTPRPKELVESWERFSKHPRFDDIDLDFVCTEMKKKAQITDHNEKLNSIIEL HYPA PHYBLDRAFT_61304 MIFFFWLVSPFRELSYLSVLQLTKKTKKIGVTIKVYTLFRVDLS SQYHQVYKQKRLKVYYFPHSFVVSIEYLLFVACLNCLAPFTWHFVLDRVNIKLSGLQP ACTKFCRAQHIKESRNSKYLL PHYBLDRAFT_124034 MSQDDFLDAVAPGEDFKKIQREQFGLLYKIADQSKRGLISFNDF VIFQNLLSKADAEYEVAFRVFDVNGTGKITFDQFKSVLSTNLPEDAVPFDFDCDWLKL YIGNKEGHHELAYEEFAQLLKGLQGERLRQEFKHYDKKQAGYIAPEDFKRIILDVAKH KLSDQVIDHLPTLCNLYAGTGTNISFSNVVAFHNVIRNIDMVELVIRRAIEESSEKSI TKSDFLRQAAQASRYTIFTPMEADIIFHFAGVDNASGKLTLEEFSKILDPRWKVAKPQ PEAMDTHDAKDKNNKKKSGFLWSLVENSYAFALGSIAGAVGATAVYPIDLVKTRMQNQ RSKVVGELLYKNSLDCFKKVIKNEGAIGLYRGLGPQLVGVAPEKAIKLTMNDFVRGKF INKETGEIKFWQEMIGGAAAGASQVVFTNPLEIVKIRLQIQGEQAKMADVPRRSAIWI VKQLGVVGLYKGVSACLLRDVPFSAIYFPAYAHLKKDVFKEGPDHKLTITELLTSGAI AGMPAAYFTTPADVIKTRLQVEARKGQTSYNGIADAARKIYAEEGFKAFFKGGPARIL RSSPQFGVTLTVYELLHQLIPLPGSAPHNTPGPVLATDESRDNASIRSRNGLKMLLDL DYRFGVYNNQPAAAVAAACPYVPSPATEKA PHYBLDRAFT_144017 MKTVDTKGRNDTKEISQTKNNSHNNSYNFYNNNNNKNSTEDITE AAFVNAANSKVVSLLAKEAGFNSVQRSALDSLSDILGIYLERILYSSHTYAELGNRMR PNYHDVEQSLKDEGLPMQNFEKYLEKTKADKTLVKPAKRVLRIERSKSQNMDQTSHFL PSEDEDEDEFSDERRESSGQDLPSYVPSHLPRFPSKHSFRQTPVYIQRPDDPQKVRAL NSQQSRTVEENLKRLMSAENQHGLHTDDRKDSIASGSTVAMPLVNYENSMQRRARAKQ SGFSDFRASGRNSYSGDKPVIPLKRFSDTLDSPPKDARKNVGPVN PHYBLDRAFT_97264 RSKMEEEARKYLLEQTVEVTVPTYASWFDVNTIHNIERVSLPEF FTGRNKSKTQNIYQDYRDFMVNTYRLNPKEYLAVTAYRRHLAGDVCAIMRVHAFLEQW GLINYECDPATWPSAVGPPFTGHFRVTADTPRGLQPFKPSIKPTATGNEEKDAAGDLG MNVKLRQELFDSVTEEPEKQYYCITCGAECGRERYHSLKTKNMELCPICYKEGRFPAT VFSSDFIKAEPAAFKHPHEDDWTDQETLLLLEAIELFDDDWNAIAEHVSTRTREECIM HFLQLPIEEPYRSSLPTSVAIEHQRTPFSQADNPVMSILAFLASAVDPEVATAAASAA IECQEQLNNRNKRKTLDNDGDVEMGTDQDGRGDTTVDKKKARTSIEKAAAVALGSAAA KAKSLASIEEREIRRLVHAVVDTEVKKLELKMNHFDELEAVLESELEQISQQRQDIFI QRLAVKKTSVLLQDELDKKGGVV PHYBLDRAFT_144019 MNLKCKPSINIPVVPDVPDVPDVPDVSDMLEVTEASKFLVDWKR KSHGTHRTVSESSTDFPQTDITRMPSINSTVSSPSSYSIDWQSDKRTWSFKDDYVSFP SLEPEPVPCSS PHYBLDRAFT_132811 MSALNYNPSTYEIPGKNTLNHGVLLNKKDDLKIVELPIPIPEEG EVQIQVKATGICGSDIHLWKYGEIGIFPVTTPQLLGHESAGVVTAVGKGVDTLKVGDR VAVEAGIPCSKCDQCMSGRYHLCPTVIFKSTPPHHGQLAKYITHPARWLYKIPDNVTY EQGALLEPLSVAISAIERVGVKFGRSLLITGAGPIGLIVLAVAKATGISPIMITDVQP SRLEYAKKMGADFVYQVDPKRKDVEIAREIRDIFGGEGAEYSLECTGVESSFRTAIMA TKDAGVCCLVGVGKNDQLLPVNNFAMREVDIRGLFRYHHTYPRAIALMASGNLKIDHL ITHRFDFKDALKAFDTTADYSTGAIKVQILN PHYBLDRAFT_6403 IRLIPDLGLTSRSFVFEVIERTLHPGNIIRLGRYSEKTPASEKV SFKSKVVSRCHAELWVEDGKVFIRDAGSSSGTFLNRIRLSSPSTSTAGAHEMKDGDII QLGVDYKGGTESMYRAVKMRLEVNREWQSRANQFSRTAFQHLQQRLIAPGTATTMLEC CICMYAIAPFQALFITPCSHVYHYRCIRPILNQNPPGFSCPLCRTYSDLDANV PHYBLDRAFT_61298 MSLVADYGSSDSEDSDVETTLVPKKPSSFASLLPLPKKKATTTP TSSFASLLPAPKQATPEKPVFYVEVPSKHKDLEEDEESTKRSKKLKSSGATDFADLLP APRNTSLHKSIVPVTKKTTDASLMPLALARKQKAAREKKEAQEKAEAEKLLKSIPKVN SAPEHEEDEDDTAVEHTGSFFPLGPEVSKIPAYTLPIPKKKTLNTDMADKRTTSKSEK VEPELKMETTSAAAAAMTTTTATAVDAYAYSYDPNAMYAYGTDTSAYYQQHYLEANQY GGDQSHNGVDSNTDEVDADALGRLAGKRFKGQDSGIQFKTINQADMLPTEEWRMAALA STPKFTNDGPAITATTAQKKRNNLMALAAQAVEMQEKLSEQYAENKRTKRETKNKYDL DGRDLNEEQDGRKGENEP PHYBLDRAFT_166997 MASAVFILDLKGKVLISRNYRGDIPMSAVEKFMPLISEAEDEQV PLPCFTHEGINYLYLKHSNLYLLALTRKNTNAAAIMLYLHKLTQVFAEYFKELEEESI RDNFVIVYELLDEMMDFGYPQTTETKILQEYITQDAYKLEVQVRPPMAVTNAVSWRSE GIKYKKNEVFLDVIESVNLLVNANGNVLRSEVLGAVKMRCYLSGMPELRLGLNDKVMF EATGRANTSAKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGDFELMSYRLQTSVKPL IWVEAVVETYSGSRVEYLVKARAQFKRKSTANNVEIEVPVADDADTPKFKCSSGSVTY KPERSCLVWKLKQFQGGKEFIMRAHFGLPSVQAAEETEKKPPINIKFEIPYFTVSGIQ VRYLKIVEKSGYQALPWVRYITQNGDYQMRMPESIKANKQSTSSY PHYBLDRAFT_180896 MNPIPTQEAPQLLWPTKKGTATTTTSAEVVNSTITASDLPQPQN SNHISTAPFKDKLLTNSSYLAAEIPLKLGHFMSNLWTSGSELSSSLFLQRDASNSGQL PTSLWLIGQRYELPDHHFNVQENMLEAQSEDMQQIKQLTEISDSSDQTEPKTRPSPQF SMSLMWPPDFYADFTSRLWMTYRHNYPPIRPSNHTTDIGWGCMLRSGQSLLANALMIH MLGRGSSAHIQKVYDNNFRFVLDWRRTKPEDPSWKEYRKIVHWFLDELSPRAPFSIHR IALLGKQLGKNIGEWFGPSTISQVIQALVSDFPPAAMAVCIATDGVIYHEDVRDVATG KKPRGEFGYLSSRLHDLQSEDSGRAEAMAIYNRYNPPNIPSHVSEGVFKPVLLLVALR LGIDSLHPTYHAALKACFELPCFVGIAGGRPNSSLYFIGLQGDELIYLDPHFSRPALE TKNVSEYSEKASDFDRDLSTYHCPIPRKIHITQVDPSMLLGFYCQTQEDFDIFCSQIS EISKHCTPIFTVEQRAPEYDEDVRSENDFGIVSDEEEELEKSKLEDEDEDALFNVV PHYBLDRAFT_144025 MNTSPGSSSTRASPTHQINSQSTERDTNIDSTLPIVFRKSDFSY LPEFSDIINLILIGSHQDEIGKAVAQLDDRFENGRRVLQDLPGLQYVKEEQEAILKRE MAVLDAKKKQLVEHLASPPFVP PHYBLDRAFT_144026 MELNKVLREIQGIFKESSNPISNQLWYIIAAVIISALNHPKDLT EIYAILSNSLNDQEISLEFKEAETVKVVLRLREAILKSFIVTGFPKAINGLQHLYDTT PEAIRDMIQTTPLRSEDTWEDISIQRERGNSLFKKIYGRYTDLVLTHMGSSHPDLAQA ALHQLYGSILSETKIIGAKETSLIMVACLLCDNLPAQLKGHRYGALNNGATQNELELV DSAVKLLCNYYAQAPLPSKL PHYBLDRAFT_76627 MAEQMNPTNISAMAVDDQPFNGQHEEHELSHSTQLDSTTRITNA MEDLSVDYPEDSQDNSFDPSLEITSAYDNDDWEQQERSLLDDQGQPALSRSSSPFPPS TVKRNTVNLEGDTTHAKGFFNTGDISDIKELKVEGQLPEWLTGEHFTVGPGTYEVKYT RKIEIDGFLQSATGNFSFGHWFDSLPLVNRLDYNGSRNTITYRNKLTCRRMIEKLRDH HGYAPCHPAGLFKTDSNQTVLVKFIKSSTKATKPDGEPCGARIMHSIPGIDGRLFCQN MANHIQELDPFDLKPTRVLTTQEINPAFKGYNSCPNPQVDARTGEYINFTMDIGYSST SYHFFSLSAQDPKGHIIASIHNAPTGYVNSFALTEKYIVLFVFPLLANSGAVKYAWNE SIMDSFTFCPTEPTLIYVISRSENKHVATYRTDPCFAFHHVNAFDDDNNNVFVDIVCY PDDTIANQLTLENLRNPETMKPAQLAKSEVRRYRLNNVLDASKVFNANNTIIPTTSSI SSRVSSLWGYMRGAQSVPGATNDEESRAFATGSSGWHSWIPLAAYDKRLEHPIELPQV NPLYKARPYTFIYGIGFSPNTVNENGKIWDSIVKTNVNTNAVVASWHEEFCFPSEAIF IPSPKGGENAVEDDGVLVSIVMNAALATSFVLVLDAVTLEELARADLEFLVPLSFAHG SYKLRN PHYBLDRAFT_186493 MNSKADLKLAREAIGAKKYGEAIRACKRVLMWESGNYNALVFLG VAHANLDEDEDAEESYKRAIEINDTQMLAWQGLLSFYEKRGRYKDVVNTINHLLPRVV KSGEGNRLADYLNKLLDIYGSKEKDEEKYIEIMKYFLPGSKYYDLVKTASDIPHPLDI WKTLVAKTEDEEAKLIESRIHSRRFMVSAGPLSQVTAEVEAQVYGNSKLGEMYETMLS LNPNNMEELQIKLLNFWRKKLAGVPDKAELYEKIAKMAKTLVNLKAKDPLPYELIIEM SDVENADQYDEDILTYLCENYPETGLAKLAQGYSLYKQQKTDEAFDFFGDGLDLSPRS LYGYQCLSQIYYESKEFDNGLEYATRGRELVNELAKETGKILKNVLLSMELFMAHCYR QLDAKYYPDAMKLYKKILDTYPDQLSALEGSGLILCSDKKYDEALVCFEKVYASDPTR HIALAEIGWIYCEKEAYERAIEYISSAIEKSGDVTEYYYRLGRVYWAMGGEYQQDPGY AFKYFLQAAKIDPQFAGGFAYLGHYYRIVKHDHTRAKKCYQKAYLINPLDVDIAFQLS EYYISDKEGSKAEEIFRQITELVPKTSWAWRRLGYATMNVDNYSEAITCFQKALRTDT SDVRCWEGLAEAYAHEGRYVAALRAFERATDLDPLSIHANHQKALVKQKVGMLEEAIS GFLETLALAEKKYQSSYMPSILGLADTYLDRAREDFQQGFFGRTATECGLVIQTALTG LQQDPSVMCLWKLIGDACVMYRLVPSYLSLCAYEPLQQAMLLLEESPHKKLGLPEDES SKLVDDFTQLDVSPEEGEFYLPPKTVLDVVYSCAGVSYKQAIVLCRNHPAIAPAYWHD TALVYHWMAENNLAEATHDGLSDMAIKCIRMALKIEPAQYLYWNALGVISMRRYPKLS QHALIKAMEYSNRSAAPWTNYGFLCLSLEDYELANQAFETAHSLDPEWISAWVGQAYV ASLWGTDAAAIFEHAFESSNGSALEASYGYADTVFTQLSVTGNAPDGASLLSPAFALQ KLTEQKLNDALALNLLGLLLERLGQFERAAEAFAGAILALETQAEQGRIGEIELKKRM TKVHGNLGRTLCASGDFSGAIASYNSALAMGGTEGSARTYCQLGAGIAYYFEDQLEES LGMFETALNETESDPLLRQDVVVLLSKVLWALGGDEQREVAKEQLFSSIADNPNYLPA IFSLCVMGMLEDDSTLTGAALQELAKVSVSDAFESDKEQWIPWLFSRFYKLQGSQAQA SRSIAKSVHQLPWLALLWRNLASDLVNSSSDSRGNVLQTMTSSALAITLGEKNPSAED KAIAYECVARASKGQKAIRESQRAIMAAPWRLSSWQILATSIQ PHYBLDRAFT_124049 MALTCSDIFKFFFAVILPPLGVMFEKGCGCDFVINICLTCLGYI PGIIHAFYIILKY PHYBLDRAFT_180899 MSHDKYQSPLTSRYASKEMAFNFSEDKRYITWRKLWLNLAKAEQ RLGLTDITNEAISQMEANLTHIDYEVAAAEEKKRRHDVMAHVHTFGLVAPKAAGIIHL GATSCYVTDNGDLIILRDAYDLVIKKLVHVIDILTKFAQEYRALPTLGFTHFQPAQLV TVGKRATLWIQELLWDLRNFERARNDLKFRGVKGTTGTQASFMALFNGDHDKVEELDQ LVTELSGFTEAYPVCGQTYSRKIDIDVLNPLSGFGASAHKIATDIRLLANLKEVEEPF EKDQIGSSAMAYKRNPMRCERVCSLSRHLMVLVNDALQTSAVQWFERTLDDSAIRRIS LPEAFLTTDILLTTMQNIFEGMVVYPKVIERRINQELPFMATENIIMAMVKKGGDRQE CHEEIRVLSHQAGHVVKMEGGENDLIERIKKTKYFEPIWADLPALLDPSTFIGRAPEQ VDSFVKKHVEPALAPYANELKNKTKAELSVSPGADEQAHLSIFIRSKRQIILTGTETE EEAKRAVTIPISMLRAPVFKDVHTQRVHMKQKLAAGFRLLAKYGWDEGVAGHMTFRDP EYPDLFWVNAFGQYFGHIKASDLILVDHQGSIVRGNQFVNKAAFVIHSAIHEARRDIT CAVHTHSMYGRTFSTLGRPLLPISQDACAFYKTHSVYEDFGGVVFDEEEGQNLIKALG PTNRALILQNHGLLTAGSTVDEAIWLFISMERCCQSQLLAEAAAINGYKDLKLISGKV AEGVSKNIADSKACYFQFQPMFNMIVKENPDCLE PHYBLDRAFT_167005 MCTFVFTKVGIVCFEYIIQHSIFQLKIKVYGPSTRNNINLPVFY DIFLNNIKKCTSSKKRHLWLTPIPVCFAARKLTPIQHAHTFTALNNVNQALVDPLEKN YSIHQD PHYBLDRAFT_61289 MTDKFVGISCKIREIMIRSQTFRDYYILCQAGQPVDKKYICRTF DILRLATNRNNINHDLVASWNSFAPRYLQAKYDGQLFPDYAQCLLAAHIEMGTMYTSL VVERFASILKQYLFYSSVKDRAVSLALNDQRSAIGALFAQPSLIDIPKPTTKARLSSN PGVYIPVLRKIVRQYEKLYQVLQKARSQSQEFQEEDQQEALSHLFVLFPRSFLK PHYBLDRAFT_167008 MLECSESEKGAEIDLDRKKGYGPIGMHRANTEFQWEKGIIIFSL PWPAPWRWERELGLKEKHPNLNSRILSSLATVLADTRAKTTPNDIDNLLKRCPLAPLD LLPDNDSIAVPKQGYPVGNMTRRGHAALAALAKYVVNVSERNILDIVPQLIGYLRFLP AYEWEESLLEEGPPLPDSVTYTLTYSLLAICERQADMYDRITSVLWEYRRHLMQLLEL QDGIKFILKWIRWLSLLIVDKYTVAFILPSLVGLSRALQTSPFLYKPDQLMHVYTYTQ PLLKENLLENIRCAILRCLKEHPVTSYSRYILDRYWQSGMPLSSNRVVYDFLSTIRNV SISVVGNINPREIKESWECPESEENRRAAAKLPLLHLRSILLKQPAKRLDLSLSDLDE KNVLLNKTLRAIYVMSLGYYKDLREFAEKFVQDGKKWSKDIYMTEILSASLHVAAISS AYLHQEDDVLKDCLSNCLFTPVQVEDSRVHMAALDAVTLLTVNFPYLYTSMANIISRF LVTPSLVFLIKTESDEESQSIQQFAITRLGQCIEAQPVSQRPSLAASLLYALLNEITR YTNEDGSSESSLSSFSRTSTIYGVPRTDQLSESEKQQVCENVLAAIAGIAAYTKDSKI VMQAYSMLALRRKTLQKSLNLIFVKRLVDLALASPQNVFQDIINLISILSFESFSSDN TDLATAVLDAQLDLAQRISCVPEYYDFYLINLLKLFINIGNEIQRKDNRVNRELGTAY TSALGKLLPVFATLLDHKDFHPHTSATEEMVLFFRNFWFHCVIFGFVSDRAWVREWNN ALHKIAFKTPILAIESTTEQLESDIAFNSVLRGSNEEALLLPIREQLAQLFPTYSYEI KYYSFPYAVFSLSVYYVEIMRSRMGDCSFVLRYFMNTGVNHCTVSNCLELVATRVGDE YIKKSIKSSASQDLDNGLSEQIKTLLKFCCHRLKRVHILAVQLTDKISKQFPQIFSEK PVITLLLELLHLLWLSCESEYREEYTPVFHFTSKIVNVTIELGDSYSYRHSVSTHLYV TAKTWIEAAIECAPFETNGSLQCYLANFDKSDLYSLYEEAHVGRSLALELAKSAVESQ NGTVFAFMPHIPSMSLDNTSNFLYRLTARMYFIGEISGIKYISSFNEVKDKPLHKIRD MPLEDLVNFVIETLDDLSNSVKENKPVSAENLRTLLFQAGGFIISMKTIHPLLVNHIV RIPIYMFTPQSLVIGTDVWNWILTERPEVEHRLMINIKKMWDWAMQYRKGMFSPMLNI THPFNRKMTYTPSVKSIAIKNVQIADSLFLPHITWIRFLRSWFHATRHRSKHLMMIFV WLLRNSFRNANLMSNHPLARRVRFELLILGMDVLKLVKMETLAEYTLRSLVYDAAFDW FSYPPRWNYGSQKSLALHELKILSTFSKIVMNDMPNLEYSVTSFRTTGCPSDACYKTH VLFNGMNRVDILKRHAKVKKLLLLFVDSEISRLSVWSNPLNSVGVGNPPAYIGNTEKS LTTEEMWREMVRFAWCVSPRLSVQLESRFVQPVVHRELFNLISDKALDVVGVPEALTI LLGDRLLPESKAILKYLKYWAPVPAITAASYFLPKYSANPLLLQYAMRSLEYYPVDTV FFYIPQIVQALRYDVLGYVEKYIMEAGHVSQLFAHQLIWNMKANFFIDADKECVKPDS LKPSLERVIEKLTNCFTGDDRVFFEREFKFFGEVTAISGYLKEYIKYGQNEKKPMQKK RLDEELSKIRVDVGVYLPSNPDGHVIDINRTSGRPLQSHAKAPFMATFLIEKKIEDAD PILSGLEEVKNDVDSISQKDSTTRVWQAAIFKVGDDCRQDVLALQLIAVFKNIFMSVG LDLYVYPYRVVATAPGCGVIDVIPNSLSRDQLGREKVNSLYDYFAAKYGGPDTIGFQK ARTNFVQSVAAYSVISYLLQIKDRHNGNIMLDDSGHMIHIDFGFIFDIAPGGIGFESS PFKLTAEMIQVMGGGSQEQAFKQFSELIVKAYLACRPYAELIMQLVSLMLNSSLPCFR GETIKRMRMRFQVDKSERAAADFMILRIGESFENRRTVLYDYFQKLTNGIPY PHYBLDRAFT_124053 MADSAPADTRGRGGFGRGGRGRGGARGRGGRRGDEKTEWVPVTK LGRLVKAGKIKSIEEIYLFSLPIKEYQIVDFFLSNLKDEVMKIMPVQKQTRAGQRTRF KAFVVIGDHDGHVGLGVKCSKEVATSIRGAIILAKLSIIPVRRGYWGSALGEPHTVPC KVTGKCGSALCRLVPAPRGTGIVAAPTPKKVLQLAGITDCYTTSRGSTRTLGNFVKAT FAAIGNTYGFLTPDLWEETEFSKVPYQEFTDFLSQKQRK PHYBLDRAFT_144034 MIGVDEILKIRFLELIKSVQPAGRWKLVITDSLSAKILNSACKM YDILEENVTLVANIEKPRQAYPSVEAVYILTPCIESCTRLVDDFSRQEGPMYAAAHVH FINGLDNTVFSDFTRRLKAKGADQYILNLKEMYVDFIVREQAVYSLDDERKFNTLFGN ESAAGGSRNSSTNNVESELEDIAKQVLCMCVTLGENPLIRYHRPLDVQGTINRNIPWH LAKLVQAELDNFCKVNPEYPPPRDPPLPRGTLILLDRTIDPISPFLHEFTYQAMIADL LDTEDTPAGIKYSYEYTQEDGTTKGQEITLNDQDSVYSSIRHMHITSTTEKLIENFNA FASENKGASGGKNSVVSLNDMKQMIADLPHFQEMKTKFSAHMTIASECMSEFNKQNLE TIGLLEQNMACGETPDGNEVKNLIEELTPILDDPYTSEKIKARLILLWIATSDTVNTE DLDRLLSHARLDQECKDAIENIGLLGVQLSKSANKQGEKTKKNKKKHDSSATQQEVPF DLSRYVPIGHIKETIDQSLFPLLRVAEPENLRRDSTHALKQVPQLRVYKTQWHKKSTG NNAGPKPPSGPPIILFIAGGMTYAEIRSAYELSETFNREVYIGSTHIITPDQFVADLS GLDKTPPPAKNVVPPYTTSIHTSAPPRTSSSTGTPIPPNSAKAGGHKILGKW PHYBLDRAFT_180902 MDQIEQAVIYALGPHVEPSLKSQANTYCEQVKNSPDGWQICLQL FMKEPKAVAEARFFCLQVLENTLQNRYEALDASAVEYIQQTMMEYLRREFVENQTAGS EETFIRNKAAQSLTLLFAHVYPTTWPNFFKDVIGLAQTPSGTPSHEKAADFFLRLCVS IDEEIARLDIPRNREEVVRNTNIKDTMRMGDIQLLAASWFELLQEFRLTNHNIAQLAL KNIGAYIAWMDISLVVNDQVMRALYELLSDPNLRIAASECLADVVSKGMLPLDKLNVI QMLNLADTLGRLDLSDPEFVEQTARLVNTLGIELCKIYMDSTVGPEGKAATYALIEQI LPYLLKFLADEYDDTSTALFAFVNDMLSIYKKQKKAMQPFTQSQQEFLRSLLSVVIMK MKYDEDTEWGNEEDEPEEEALFAELRKNLRIFADHIAAISNELYIGYVHSVVMETLSK YKSGAELDWREVELCLYVLYTYGEALPKAAMQFVNGNDSASLTPLGELVSEMVMSNIS AYPHPSAPLQFFENITRYYQFFEHRADHLPQALAAFVDTRGLHHPLNQIRSRCWYLFQ RFVKMLKPKMGPYVETLLSSIGDLLTIQAETPVESNTMDGMPIPAASTFDSQLYLFET VGTLISLDSVDVMKQMEYLQIVLEPLVEGIRNSMSQGYNPEDELFMLQLHHYIMAIGS VAKGFPDVPKASAATAPWVVVFNQATEIILNVLQTFNQFLLIRDAARFSFARFITCLG SEVLPYLPTLINGLLTECEITELNDFLPFIGLVAHKFKPMIHNIMDELLLPLVKRVFD FLNTSPSGTDEAMLLFELRKAYINFIISLFNAELESVLVSERNLSHLNTILHTILHFA KDNSDPTIQKMAFGVFLRMVNAWATSPQQQGSIAGFDQFVYNELIPTTFAVPMHVAFN VADGQSLLVFGEITGIQKAMYTKQSNEFTEYMTNVFFPSIQCPREGAERYCQAIQQYD NKEFKKYFQTFISEAKN PHYBLDRAFT_61283 MEHYTPVDYPSNKDYDRVTHDTITTLIVKNVPLSVTASVDQASN YFSQYPTLDIRLMQGPAMRGVAFLDFADRSTAARVYQQLQGLTNGPDTKPLVVEYATP HPKRASQSRVNQDTGIQSTLPVNNISQKDVETKPEPVAPTLGLNYPPNPHLCYRYPDP TPEILSNIMHAIGSVPRLYVQVLHLMNKMNLPPPFKPVDRDSIPSLLKRKHSEMLASD ESELESDGSSDDEASRRLIEKKARQRQIAVKEQKKALRRTETKSVAEPVAEEAKDRDT KRIKIVLHNDLDIQPIQEAKKDQEQQEQQEQEPIEDDNTVSKNNFYSLDYIQSNRLPS QELKELAAFKNHSPGEVSNKLYIKNLAKDVQEDDLERLFGQFVLEADGKYTPGKLGIQ LMKTGRLKGQAFVSFPSPLSAQSALESTHGFLLHDKPIAVKFSKSSA PHYBLDRAFT_144037 MKQNENLVLIGQRTVLVPYKKEHVLQYHEWMKSPFLQEMTASEP LSLDEEYEMQQSWHVDEEKCTFILLALPKTSPLTLSEMSADEVKKNAIMIGDVNIFLN DPDNDPTFGEIEIMIAEDAYRRSGRGLEALKMMMSYGITDLGLNTFHAKISLTNQPSI DLFTQKLGFYQVSLSTVFQETTLEWSLNDVANAIAKYDDPDFEPYGSKATKEQRHTVE LMQKSLLDDWNKFVRREKWE PHYBLDRAFT_158473 MSESADRQLIHVNAFANDEIANTSTNSDSSCEMHMRGVFSSIDS FSLRISYSTQSVIDGYAGPKDSPEPVVMEVPCNKTTNLEFSTSYAMMKPNWKQIENFV DTDTGHVSCSTLLDQVHGSNSQDTRIVLDGGMIIHCQVRNQSQSTALTETNQTPISIE FTTIEVPVGWWLNNKESFTNANQQMCGSEFIFW PHYBLDRAFT_61280 MSTHQDSEPNNMTEPSNDSEQLAIIDMNFPLQPLYDRLLSLSFT ESVKAIEHCRDLCAQFGFTVKQEASTHRNIYVYCSREGVPDSLRKRGATPQRKRPSKR CDCRWRVVLYEKEGRWEFRKSQNPDAAKHNHELMRPDEIERNWPKAVIDMICELARQR LTTQEIRTAVKDQFTTISWNERRFYNRLSEERQKIKHREAATRARNLTELWTKVCMAA AGNEELSRFVETEATKILATICQTIQLEPDTLRPPTEIAEETVELLAVVNPTPVENDE PEGSNRAGNRTGENNARGRRATSQQLPVDSEDNTRPPENPPSSVKTNRQGSGTNTTKP PEAPKGYTSVIVPRHTYFVKLHSLRTIGELNIARNSIVAREGSTADESGSETVSPARR VLKRTRDGTLVDQTPGPADALHALQQQQQQQHTFLAGTHPHRAHPMPPAPVPQTMHQG PSSSSQAPFVYHPTYDSHGMPIGAPLPNYVHSTFYHHTMPPQAPNNGYTPNSDLNFHF NTSHGPMMRQHPQAGNSNSGGSGSGSGSGSGSGSGSGSGSGSGNGGGSSSNDNREQHG PHSGLQPNNMIQGKAPSSTPTPTPSAPPSNISNQPHHHAIQTLTIIHHQPPTQ PHYBLDRAFT_61279 MPIQLQSYDAYSLIGLPPAAEAFHAYLTTVVPSGNLVPEPTIKS FSDCTYYSYHTLGLSFCFKPTKQPDNSTTLNLDSIDIYNGHTRDGFQPFTGHSYPCGL TATMEAHEIVALHGEPDRKGGGGKSRMPCWIEYQFEEDGQTKGGILIQLHGIDWEDRE MGWTSLVLF PHYBLDRAFT_95946 ILRLEAINTFTLLLKGAGKASTESILKELTKHLKNGLVDKALVM RVSSAQVSVIQHGKQTFTVHDVEVLLQTFFKSFDNATFPVRRAVSSLCATLLVFTQSI STVDPNKPTIKYATTGEDNSPVQTEAQVVRADAKLMTTEEMFGQLSTHYNRQNSSREL KIGLVETYATLFVLLGTDFVESHYGVIVKHVLTELLDSTDKAVNPAESAFTRSQVYFL LHNTIGKRLLSEQSQANAIRLLVTDWVKSWPPLLPNQEPPNKHALICAANLISSLVCE LEGAVSSVQDVLVEPLFTLLTHPSFSVQMAAVWAIRCVCYSAPANLPYLLPKALNLLE KNLANLANPNSKTKVYRRTIAYAHTAAAIMSVFPSRPIYTSFELSARAMSLANQLLKN TNKDQKIASVQIQVAWTLVGSLMGLGPNLVKLHLPQLLLLWKMALPKPTGKEANSIRS EPEWSFLFHTRECATGSIYSFLEHNSQSLVTLDIAKRISALLNNTLAFLATTPATFAA SSVSACLPYPARLTDQYYALRRRIFQCFVTIRPMLTTYEASLTSLLRNSMSVFTELDK IAPSSGALNQVSASVPGQFVSVWSSTDGHGYGVTSKMQGYRVDVAVQAEERNDDNNSG KEWVTKDRFQKIEELLERPIIGSAELDSIYVYTTFHSDDKIDIPRPVSPSTSYIDSSI ELFATLFPSQPPPVQESTFEHIAKVVKDSRLEKNSPRRAAVLVNVVVALLGAFKNMMF YAKKKKMAPGESIASGRATQLVQEILMEAVVHPEPYLRNAASETIGRLTAIVGGSFVA SQMQQLVDLVVTNRDPDVRAGSALAIGYIYSHVGGMAAAVHLKTIVGILLSLSSDPHP VVHAWALEAMAMTVSAAGLMFSGYVNSTLGMVAKLYLSETHEPGSGSTAVSNAGMSVG FTAYQEFGRIIYELIGTLGPELQSSSKVRELCINMVEELKLEPDERVNVEAIRCIQHF LMFAPHHVDTKELVPYLQSQITSLHIPLKKAAVTCLYQLVQRDAEAVFKAALPGLDNE LFKMLDTDPNLSDVKNVIRSWLKDTAVNDPSIWVNITKRIMTGSSGNGPSNPARDAVK QKSKEDNADIPTSVADASVIPAKLSINVEIPPRWRTQLFALQCLHKTIELISASGIRE HFDLILARKRRQQAGIGDYLVFRVPDLIRLSFTAATAHVNELRLAGIGLLRMVIEKFA MTEDADLEGMLLLEQYAAQIGAALTPAFGPDSSSEIVSAAVRVCAIYVGSGIVKDLYQ LGRVLKLLTSALDKCKDESKLVGVGEVKDLSPHASVMVKLSVLNAWAELQVSSQKQDY LKQILQPNIAVLSPLWLQALQSYARIRLESDIVALSSSSEGIQASANGGFESMYSAAT KEVVLPLYRRSWLKIMEAVATLIETHEPSMISALEHSPDSANSESKPSNLFYILFGLC IESLSRISSSSNGRSNAPTMIICLNGLKTLIQPTLAGNEFVPKAVFLELMNVFDRLIQ TEGYRVQLIIIE PHYBLDRAFT_132830 MVKKQVDSRVHTLIKNCVQTKHRSFFVVVGDKGKDQIVNLHWLL SQAQVTKRPSVLWCYKKELGFTSHRKKRENKIKNDIKRGIREANTEDPFELFITVTNI RYAYYKETQNILGNTYGMCILQDFEAITPNTLARTIETVEGGGMVVLLLKSMNSLKQL YTMTMDVHSRYRTEAHSDIVARFNERFILSLGSSENCLVVDDELNVLPISKGKSVKPL PIKVADEAKSAEAIELEGLKESLADTEPVGSLVKCTKTVDQAKAVLTFIDAIAEKSLR STVVLTAARGRGKSAALGLSMASAVAYGYSNIFVTSPSPENLKTLFEFVFKAFDALGY EEHLDYDIVQSTNPEFNKAIVRVNIFRQHRQTIQYIQPEDAHVLGQAELLVIDEAAAI PLPIVRKLLGPYLVFMASTINGYEGTGRSLSLKLTQQLREQSRALNNSKEPAKGAENN LGGRDVQAKKSQADDPATTAVLSGRTLKEIKLEEPIRYAPNDPVEKWLNKLLCLDASI VSKNIQGCPHPSECELFYVNRDTLFSYHPVSETFLQRMMSLYVASHYKNSPNDLQLMS DAPAHHLFVLLPPVPENSTSLPDPLVVIQVCLEGEISRQSVMNSLSRGQRPAGDMIPW LVSQQFQDDDFASLSGARIVRIATHPDYANMGYGSRALDLLASFYQGEISDMNEAMDM DEETLVRVDDDELETADLKTDQIKVRDPRKMPALLTKLSEVRPVQLDYLGVSFGLTPN LHKFWKRAGYVPLYLRQTTNDLTGEHSCVMIKTLTGGGRVANTTWLDAFARDFANRFL NLLSYEFRNFTSVNALSILEAAKHGRDSEADEAHELTKEQLDLFFTPYDLKRLDSYAN NMLDYHVILDMIPTIAKIYFKDECSPDLKLSGIQSAILLGVGLQRKSIDDLQKELSLA SNQVLALFVKVIRKISQNFRKIESKAIESTSPELQKKKQEAEAALQAENPDKKDIEDE EAWRPLTEDLDAALEEAGNEAIEGLKSKQRELIDSLDLTKYAIGGKEEDWSAAEAQVG ALKTGKKVVSSVISIKNENSSKKRKLTESAMQMAKKERERKTGGKQKMSKKTKRS PHYBLDRAFT_180905 MLDKTTIDGQEDIVTHPVPKRLLNSAECPTPHVNSLEQYKSMWK ESVEQPEKFFGNLGRELLSWSKPFETVQYGSFEAGDVAWFLEGELNASYNCVDRHAFK NPDKIAIIHEGDEPDQVRRITYGELLREVCRMANVLKGLGVRKGDPVAIYMPMIPETI VAILACARIGAIHSVVFAGFSAESLRDRVVDCATRVVLTSDEGRRGGKNIATKCIVDE ALRDYENHSVEHVLVFRRTGSPVPWVQGRDVWWHEEMAKARTFCSPEPMSAEDPLFLL YTSGSTGTPKGILHTTGGYLLGVAATVKYIFDYQENDIYACMADIGWVTGHSYIVYGP LTLGATTVLFESTPTYPNPSRFWQLIEKHKITQFYTAPTAIRALQRLGDQWLDNIDMS SLRVLGSVGEPINREAWDWYNEKVGKGRCAVVDTYWQTETGSIIVSPLPGATPTKPGS ATLPFFGIDPVLLDPTTGKELTATGQTGVLAIRKPWPSMARSVYNNHSRFVETYLKPY PGYYFTGDGALRDDDGYIWIRGRVDDVINVSGHRLSTSEIESALVNHEAVAESAVVGA HDDLTGQCIHAFVSLKPHIQIADGLEKVLTLQVRKTIGPFAAPRRIYIVSDHPKTRSG KIMRRILRKIVNGEHDQLGDISTLADPSIVAVLINKVHG PHYBLDRAFT_144045 MSWNTKTWAWVKKYFSVNPLVTDGIPVVGQFRTPAVGSRPEKYV YPKSAASNISGNYYFQRDTRRNYPRLAIYTQQEVAGLIEGGSVKASIPAVEGQTAVEV TNPKPLVEVLNSHKLYSAQKPAPTPNWGRKMEWKESKDFVYPEDGSFFPMKVYTM PHYBLDRAFT_144046 MFGFMAVFDAIFTNLFDSLHVHPVRCFVNCPFERLLVYVANSLD IGNLVRNIGSTHQIMAYTINNRLLLRGHTANPVASYPLDHSCYRAVDCVSVSIMLARH APKWNAQSARDLLLGNSLKRSDTSDLLVLLVDVTTHAIWGAHWNFL PHYBLDRAFT_111385 MNGFPNVRITSFELPSDAPGGGINVALGTVLVSPSPIGVQLGTI NLAISYDSVDLGVVSADNITLQSGDNDILLKGVLKPQSDPVALEKVGVLFSNYVSGKI SQTTATGISAAPDGQNAIGWLSQGFESVQLHVDLAANGPMKIISAVNMGYLDLQFNQD SPYDPTVN PHYBLDRAFT_111666 MKALQVDLQLSTELNIGQYTDGLQFSQSNVAITTDDSVTRLIPI VGQPIVQQIVDGATLSFNTIVLSAPTDTNFKLVLNGAIEGTGPFSAQISFPQGLTVAW QGKTLGSVTMDMIQTKPDEGAQFNLPGEFTVTDQDAMGEFASYLINNKDFVWDIYTDS VSVNALGFTFSAIHMEKFVTLSGTNGFKDAVVINSFDLPSNDPDGGITLTAKSTIKNP SQVGFDLNGVSFNAYYDGVLLGPLGSEGAAVFPPQGAGDLSMKGRLIHQDSAEGLKAV TTVFENYLAANNSIVTIEGASASGPNGEVGWLTAAFKTLKIEGVVLPGPATKPTLIPS VTIKDMEIDFTKSAYSPPASSKQIQAQIKNPFGFPLSVTSLNMDAFASYDGKTVAELK IPDEKATTDRSGIVSTQFDNVPFASPDSAHSGFDSFISVLTSTAQAQFGLSGTANALT STAAGDLQLNNIGFDVQTSLAGK PHYBLDRAFT_61272 MNGRTPFSTVGHVDAAGYYWTIPEFTMIAHKKRIISPCFNINGC TWFISSLEIFVCLKEANISRSSSDQKRVVQATVSIEHANLHSCVYDPKITKEMDEIMD SFDERGWVSFIALKDITPYLIKSQLVISIRIKKETENLLTISPDIPRNLVPKLEHFAG SPEFHDVIIRVINCPKKESDEPSTSTVISKKHKHKIDSKGKDTTPIERLFYGHKVVLA SASVWFKNLFTSGMSESSGHEITIRETDPGVFEKILMLIYTSNFEAQDVFEALSISKA ADEFQLHDICNQAFSYLQTQVTRENLWLIWNHAELLENEDVQNFCQNYLRRNCIACLQ SSAWEDLEALWAIRTLQIDKLTSNVKEVDFYRAALRWRDNKQHYLAAKNESGDRSGLR LRKRAFQQLRDCEDERQINDDFSKMIHCIRFPQMDMQYLVTNVETDVPVMNVSGINEL ASIYYFFSSYDSLIIN PHYBLDRAFT_61271 MNEAEIALECYESIAEAMEYYENEHYFAGLVLMNTLLEKYPKQA DALSLKGLLMWNLGYKDEGYQFARKGFEYDPKSSACWRSYGYMLTQDSRVKESLEYYK KAAELSEFTDIETTNEYACVQTHLRMYSEALETRKKLLYQLHNEPSFWIGTGVLCYLL DQPEIAAKVFDSYCDTLMETSSEYDQSEVLLFYTDILEKQEKYQDALDHLEKIKDVVK DQRSWKEKQAYLLEKTGNIELAETTYRQLIYENPYDSRYINSLLAVKGYNKDNMRTWA RNLLLNLMKSYPRSNTIADISLNYATPDEFSLEVKDIIQKSLRKGVPSLFARLKKYYR DTEKKDTIEEIMLGLVRKLENSGSFDGNAKDSREPPTALLWSLYYIAQHYDFLGDREK ALDYIQKAINHTPTIVELYMTQARILKHQGDLETAARVMNRARKLDLQDRFVNSKCTK YMMRAGYIVKAKELFKMFLGKRTNTRKSLLDMQCQWFILEEGLAYLKKENYAKAIDRF LTIDSFYNDFKDNEFGIHSYCLHKNTLRTYVKLLKWEDTLRKHPYYIKAAKGAIKAYL ALDAAQKLDATEEGANRRLNSVLQEDGELSDTQDKETKYVETTTPLEDALKFLEPLQR VAPDLLETHALGFEVYLRKEQWHLSRRCLIAMAEINKSHPSFLICKEMFEKTVSSKSM EENALLKKLKNLNLLDVSSL PHYBLDRAFT_167024 MASKLVHNILELTISEMFGDKRLYNTGIGFMDSFFLWLLYILFF EDYEFPAELYQRDTGDFTIPVRTLLDFTPHILKEIDQEPIRPRQDSGWTSFDFSRNPI GKHASKISSERVSVIGEFIMECAKMYMETQRSDPTMSNPRQQRRYEEEEAKRKAEAEA KKKAEKEKKDEGKDEKKEPKDVQKTDEQQGNVNGMLLKSAAVVGALSMSVYSTYHASS LLGDVKFHDQLELLLTHVEGIVQSTSVWISEREKLGDFVPEQVKKDLSQLKQLIEYLG RLDPRSEKRTEAAGWGIGAVGSLSVLGGVAYGSAAIMTGGAVVVLGGLLVSVSTMARY SGKRTEGARVVLEGQVRSILETCKKDQPARQRVINNGFTAPELPEMPHVPQTDPRTST EKVEESFDLYM PHYBLDRAFT_29012 MSGMNFTEKVEKTLATAQAQAREFSHTLLHPAHIACAMFDDVDG QSLFKSILEKAGADPSLVERGYKKQMVHLPAQDPPPPEISISPQTAKLLRNAEKHMKD QKDSYISIDHLILALADETTTFQPMKDAGVTKKALESAVQQVRGNKRVDSKNAEEVYE SLSKYAIDLTEMAQTGKLDPVIGRDDEIRRVIRVLCRRTKNNPVLIGEPGVGKTAIAE GLARRIVERDVPESLQCKLFSLDMGALIAGAKYRGEFEERLKAVLKEVKESEEGIILF IDEIHTVLGAGKGEGSMDAANLLKPMLARGELRCIGATTLTEYKVIEKDPAFERRFQK VDVGEPSVAATISILRGLKERYESYHGVKITDSALVVAAQLSDRYITTRFLPDKAIDL IDEAGANTRVQLDSKPEEIDVLERKHFQLEIEAMALGKEKNNKDSQERLKVVKEEMAK IQEELKPLKLRYDMDKGRLDEIRDLKQKLDELKRKAVEARNRYDLDSAADIEYYAIPD VEQRINALSAEKQRKMAEQMANDTAGQASTTGQLVSEIVRPEQIMEVISRWTGIPVQN LAKSEREKLLHMEAEIGKKVVGQKRAIESVCDAIRLSKAGLQNPTKPLASFMFLGPTG VGKTLLCKTLAEFLFNDERAMIRIDMSELMEQHSVAKLIGAPPGYVGHEDGGLFEAVR RKPYAVVLLDELEKAHKDVANVLLQVLDEGFIHDSKGRKIDFRNTIIVMTSNLGAHLL AEQSAAGGDPDLIILKESILQIVRQHFSPEFTNRIDEIVIFNRLTETNITNIVDVRLE EVQERLADRKITLDVSKPAKELLGKEGYEPVFGARPLNRLIQQKVLNPLARLIIDGGV RPGEVAHVGLNESGKLEVLRNHEIQSDYEVEEPMEENNDSDEDMD PHYBLDRAFT_76614 MSIFKIALLCLPLLAIVCSAAPVQAPESQTVIKAIDTEWPMTPE YVIRDDIQAYYENTVDEVLSVRSEDILNWLATTVNSHSTEQTLRPQAKLMGLDDVHDA CLTMMPGFVAKHINQINTRLYAAVEPSVNEFLPQLWPDYAQTNQMTDLDAKTIHPEIA SSLYSLNQAIGSRLMEITTEYNLSEKIVDDMNLCNAHSQTETASTDLWMYVAKLMAFP RLFRDQLPNPRLAEESRLASSFSDTNNGSFIDIHLKSVYSSLWFEFDNRMNDLFSTIQ RDLVDGAIEA PHYBLDRAFT_158477 MEAAYFVGSELRPFYRILLLSCGVDIDTIVVNWDSVQANRCQER TGGVSRTTT PHYBLDRAFT_99197 NIVNATVGAGVIGLPFAIMLSGFTFGLSLAVFVGILTYVALYSL VPSGKRIGAYTFASLAEHSMGRFGYHMLNIMLFAQSAGSCVSYFILIADTIPILLGLY FPQYPILADRQIVTVAVSILMVYPLNLFRSIGALAAWSAFSVLLLPVMILTVLIRAPA YAPQHDAPLFTIGQDPFGAMGIITFAFVCTQVGFSNFLSQKNQSTSAWSITSFLSTAM SFIISIMFAAIGYLSFGMDVNPNIFANFPADDNIINIGRLALGVSMILTVPMAFYPAR DAIQKTLGFESASRQPTFFQHHVVTLIMFAFFLSLGINIRSLGKVYSLVGGIASSFLA YIIPGTSY PHYBLDRAFT_104334 LVCSNCKTTSTPLWRRNPKGQPLCNACGLFFKLHGVVRPLSLKT DVIKKRNR PHYBLDRAFT_167030 MFVQRQWRLGPALSTVSAIGAPATMHQSSFTPTSADQYILPHTL PPAYANYAPSIPTRPRLPAFFRSNERIIGGRGRNKIKRYQKTISTGTIQYTPWFSHIM ESQAQSTENKFGAPIISGKSVRFHVSGPRLPNVSQSSVYVPCRCIFFELLACPHQLLL SPFLHFQLKLFFPFFNQSFQPILIPSFGFFFSKNFYKGHILVKSIFYFNRFVQISVLK KDCEAYSLIAFLSRLKSVNINLSILARKQRQNACHLAIQLIQEGIHLLCLKAKFRGTT VPGGARHFDCIGPQIVALFPAWNYYIRSLRIQNTCIKIFFCALKELR PHYBLDRAFT_132838 MAKRTNIVGITGKYGTRYGASLRKQVKKMEITQHAKYTCTFCGK DAVKRTAVGIWKCKGCKKTMAGGAYTVSTTAAATVRSTVRRLRELAEI PHYBLDRAFT_180913 MHPRLSSLSSFSAKTVLKRVQATTPRTYVALAFNENSSQNLFGK APTVDRSLKSFQYSSTPNVSSAISSVGPEALGIDSTEPTFEVVSSGVGSALLIKLPPD TEITAATGSAIGSSSKITSKLTLDGNVLNAASKSLIGSPVFHQKFYTRHSAGDILLSP QRMGEIAVIELKGSGKHILRRDAFLAKTEKVTLELGLEGVKGRDTGLVNKIVNTVTGP GTIAISHYGGLYRFSLGAGEEYLANPRNLIMWDRRTNPTKLHPANPIVPSPRSPLRKY AFVRHVVDSPSLQTKLQYINSVSKTLRNYILGAPDFVRLKGPGDFYLSSRVEPRFEKS RLMNALAGMNDSAVQLFEQSAVFPSPPEQTAKINQIKKSNVGYASQKSTQGEVSYYAE VGPKSKVLFIPAKQSESV PHYBLDRAFT_158479 MSSLSSSQQQKLSQLIEFTRLSENDARRLLMISNWDINTAIDNF YQNPRIKHEPQRTDFNPRLAQKMFDKYQDPEKRDKITVEGCMLLCEDLGIEPTALEFL LISHQLNSKMMGEFTRQEFVEGCTKLQCDTPQKLKNIIPSLRNNLSDDASFREIYSYA FVFGRQFQQKSLSLEAAVELWRLLLTGRFSMLDQWIQFLEEKHGKAISRDTWNLFFEF ASQKDLDLSKHDAEGAWPILIDEFVEYLKQ PHYBLDRAFT_76609 MGLSDFLSSLMPTVYAEEVEEVVEEVEAEPVEVVEEEEEEEEEP EDPKEAIMEECAQECSSLKKHLDECNERVENGSHENCIEEFFHFMHCADECAAPKIFA ATK PHYBLDRAFT_180916 MSTLYGLDREIQKKLDSKYSVEREQEAREWIEETIGEQLPSDDF HESLKDGVILCKVIEKLVPGKGKYRKSAMPFVQMENISKFLSGAEALGVPKHDLFQTV DLFEKKNMTQVVDAVFAVSRYGYRAGTASRLLGPKLADKQQMNFSPEQLRAGDGMFNT FQYGNHKVPNQPRLSFGSRREIGGRDPHPELK PHYBLDRAFT_29004 MAARSKDDLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGGI TQQIGATYFPADAIVKKTAVLGPDRAEEVKVPGLLVIDTPGHESFTNLRTRGSSLCNI AILVVDIMHGLEPQTLESIRLLRDRKTPFIVALNKIDRLFDWKAIPDNSFQDSLAKQK PSVQDEFQRRVEQTQVAFAEQGLNSTLYYKNKNFAKYVSLVPTSAHTGEGIPDMLNLL VNLTQSRMSDKLMYITELECTVLEVKVIEGLGTTIDVVLVNGWLHEGDKIVVCGLNGP IVTQVRALLTPQPMRELRIKSQYVHHKSVKAALGVKISAPDLEKAIAGSRLLVCGPDD DEEDLKEEVMSDLTNLMSSIDRSGHGVWVQASTLGALEALLEFLKTSKIPVSGINIGP VHRKDVVKASVMLEKAREYAVMLCFDVKVDKEAEEMAEELGIRVFTADIIYHLFDRYV EYNAAITEQKRKDQAPSAVFPCVLKMVPGAIFNKKDPIIIGVDVVEGALRVGTPICVV KTNSETGAREINTLGKVTSIEMNHKSIDIVKKGQAGGGVAIKIECAVYETPKTYGRHF EETDEFYSKITRQSIDVLKQSFRNDMSKEDWALIVKLKKTLGVD PHYBLDRAFT_144061 MRRKKTPQETFYQGVNTLPPSFLQSNTKRTLSESFSEESFNKRN RVEGPVKDLEECFFTSMRDRVEELVKQTKTQDKTNELKNKLLNVKTLPAAIITNAAQL AKCDRKVMRSHMEHRPGLKNIEEWKKFVSQNFDKIAEAAVGSVVKDTAKVYSSSTPTN KQPYEEMRTCTVQINSLFRSDLPPIVKTFVCTRLQDSMVTSTDHTLCFSALVNMIISE LKTSEFFFDNNDIKIKKVPGFNLAKLLPFVTINEPKQTIQPLDKDLIASKRFETDFKC LFTSQHLQVVHSYFFGARGAKEENLNAHPVQNSLFCSFKESGLDKQSFYLEKASSSAM SMALEMYLVNFENMWDGKKIINKLLDKVVLVLLRHHLARNRESKRISTTTTRNPPGKK DIRNHARYVCRAEDKKLKKLVQRKEKASGLEEEKWATKINSAKQRLANLRHTFKKKIS QMLNDRKEASVEHKLVVQDLNIAEEQQDFLEEEGTLDDDVPERRLNQLKSVIKHLVFS NDTPVYLEDVKHQSPEATTTEQSVCLLICNTLMKFLPRKNALHLDSVALYQLLTQNID QEKSEEPPSHTNQQEKKGYSRMILYGYNRDELIGSQDKARQNKDATFNAVFDMGEIQK ACESYGLSFAHRMTCLPGMKTVQLLGSKIKTHGTVKEGTKKSYEARILRNPSIMQEGR KTKDVLFSEFQSLTEEVKTLESVRKRELNLLKDSNFQRKIKECKSNWGTTDDKDQLYR TIEKYKESRYKSYLMVKKIRNELAEKRQQLYFRQMAIRSKSKLHNVKDVPHDSRSPIE KCGKGVTKAEDRTVVNPGDFNYAGTDNGLVNMTTSIPMSLQRMKFHIKLFNYYTALSK VSNKDSIGLNLSKEEESFLHLPSVTNTKASDVDVGCGYFRQRKYLERRKKYTDEGKKV QLIEDSMKEMESAPVTSIDMAIGNFRAKYDQRRSLWDFYNSPKIINQKRHVEIQQHRY RHHLCRRERLELKHSENKSSSKKPLILFIGDRGTGSGSRIKGFRKYGGKWKQKIHEEA VNVCITNECKTSQTCIFCFSPLTNPRIPGKKERSYKVNKDTFLCINPLCITVKNRCAS KPRDALSALAIGLVGLSSVMFGAAPPPFYNVSQINAEHYTKITSDFCTRRDDLAATL PHYBLDRAFT_167038 MRLRNGEIVTIKNELPANQMQKVLRVQPLGSLMTMIQPILIKIN SNEVHVRGTKIILTQGCAVYILYSRATCGDFLSVYCANTTVSKYLLDILSIKNSMLLN DLDCNHNELAFLNPKFL PHYBLDRAFT_177348 MSKPSSFVREYKLVMVGGGGVGKSALTIQFIQSHFVDEYDPTIE DSYRKQCVIDGETALLDVLDTAGQEEYSAMREQYMRNGEGFLLVYSITSRMSFEEIDT FYQQICRVKDRDYFPMVLVANKSDLESDRQVSTSEGRDLAKKFGSQFIETSAKQRDNV DEAFFEVVKDIRRFNKEQEHHGRGGGQDNFNITDAPDVASDKCCILM PHYBLDRAFT_132850 MREYKLVVLGSGGVGKSALTVQFVQSIFVERYDPTIEDSYRKQV EVDGQQCVLEILDTAGTEQFTAMRDLYMKNGQGFILVFSIISLATLTDLRELREQILR VKDVDHVPMVLVGNKCDLEEERAVPREQGMALSQEWGGKPFYETSARYKINVDEVFYD VVRQINKQMPSKPKKKRFKCLIL PHYBLDRAFT_144064 MDTLSTELVQLCLQFCDSSRDIAQICRLNKKFYSITIQTLFKTV EIKGPAQYITFCRHMASMREKGLFKLVRHLDFMGYTTHGVRYSQEEARKAVTPEGFAQ LLDECIDLRELSVTNELNHVFQDSSVIRSIFANHQRIESIDLIGFFQLKYANTMSSLF AQNVTMCDKDLHEKHEALCNKPSDYASTLPTQLPPITISSYLSRVSFYLNATLTQSEF FTPFFKLLKENNISLTRIDVGHTRVTNDIFNHILPQKLTHFGLQGCRMVSLDDNASQF FARCTNLQELNLNMRAGIVIPRNPGDAPPCYWFSPQSLSQLLLSCNKKKDLRVLHLGG QGELDDEILGSCKPTTHRLTHFSIAGASKVTAESFLDTLAQMPHLEYLDLSNTFFDTT IDGLQTTLFVIKESQYLDSLKVIEFCSSKTMRFPDTWLGWKFMTHNLRSYYFRQDVNP CYSMPQKLPAVGRIPRSAMERYWRFSL PHYBLDRAFT_17176 SVLSSYEKTEILNYEKVYFVGPQAQKCAATVEQLTCNHGYDDDR GDYHIVLRDHLIYRYEVIDVLGKGSFGQVLKCFDHKNGQIMAIKLIRNKKRFHAQAMV EVKILEDLIKWDPKDTHNNVRMTGHFSFRNHLCIGFECLSINLYDFIKTNNFKGFSMG LIRKFTIQLLNSLSLLYKNKLIHCDLKPENILLKHPSKSTIKVIDFGSSCLENEKVYT YIQSRFYRSPEVILGMNYSMAIDMWSVGCILAELYTGYPLFPGENEQEQLACIMEIQG VPDKNLIEQSSRRKLFFDAYGNPRIMPNSKGKKRRPGTKKLSEALKTTDESFVSFVEQ CLHWDPEQRLKPDDAFLHTWILNSSSGSMAKKLS PHYBLDRAFT_61251 MSEGLSQDDFRKLMQTPRASSNSSSTPHPSRFKTPVSKTPQFLL FSFSLFCFRNERSEKRYDDDDDDEEGRSHQYRDRAAERRNEETTADEAEAPVQTMEDV LRSTLITQDSTLDAKQAYEKSKYLGGDVDHTHLVKGLDFSLLERVRTELETKRAQVDE IHREAEAENELDDCLDKIDRGESIATNEEEIAEDGSIITHTAMGRQIADLLSKTETKS GGINELFVPGRMAFVFELADEVGHYRDAFSIPTSIIRSKTDGVTRLGQTGWSEDMEAE STLVISKVSQVMANARVVEKAIETKKALAKSASSASAASTPAYLSAISKPNPMVTIDC DIFEDAGRDYQLDESTIEKSTEVDENGKLDYFKGFQPNGSDNKDNQNEDVEMKDVAEE QVSALLSQATGRGALATPDIPVAGSQPLENKNEEPLEVPSKRRRDYQADEVDADANDM DMYGLSTSALPTSFEDRQRTVAYDGTSDDDENEGTSVPTSLVDQGTHRNKKAQLTRWD FDNEEEWQKYKDTVEIYSKSAFQFGVKLGDGRKRNRERRGMNDKQKLDRDYQQVKNLM DKKYGKS PHYBLDRAFT_180920 MTHSFDPAVFEHELYSIYHSRLPVSASKIITLQDLAMAHAQHST SIIRSIIKFMKKSHPEYRLSGFYVINAISRMAAKMARKDPSRREGLENYLKSFSILFR NDVFKGAFSNCTTTEKEKVIKVLDIWNETKIYHPDDIAHLKQTLFHKSSSLNPNRPSE SSANNSTADSMVTSPVIEKRAPQASPEKRTLSISPPRGDESVESSEPVSVAVPLTIAQ LMSKLAAAPSEATAKLLAEALQRAHWKMDIDSAINPEETAIQPETWEKTPISDHIASP PHASQSSQPSSLQTHEEYDYDGPKTPPIEDDEDENDDHNGGDYDDIEGQGAHTMQIEM QTEAQNQENKHVNQCYKNEFGNQESSIRRNQREFENSPQQNHTTGHLEANSENYIEAH GSRIKHEEDDQAIIPMEIDNDGPKTPPYLPEDDDKEDAENVEHEEQHHIQNTYKEYPA HPSSASYGVVENNGVHGLTHPQEQNVIEKDRNHSQLLDTSIQEQPIQPSDADILAKWT PMYPKTAAPVEKDTRTSWLDLPQFQGRPKEPAGSSILSPSSQLRTSSSLSPSYASSFS KQIESFVESCFERNASDSPKPYPIYPGIDTLGIQSHLRMSPSVQQSSPTKDVDASVIP EPPIAAIPSSSISSSRTNNNISSTSGTRSTRGISGISGISGISGIRGIRGISGINGIG GNSGISGTSGSNTLDQSALLLEPLILPESTTTDIDNHRQKNSELPPTGPRAFLKRGAL QTPIVPEQFHKASSKVNASKPKNKPAKPKNKPAKPVKKRLPVVSRTVFVRPLATTTSK EDLRKAMEKYGVVTSLTTVKAKGTIISGFVRYSRREEAVKAVNSKVETGPNGEKVKLS WARTFGPSRGVVDATNGISIVELENVQRDEMHALRNSDIGGFGTHIVRDQYVVEEPET NYIYKGPHNTEPPSSLRSRLGRINRSRSRSRSRSTNRSTSTNRSRNRSRSTSRDIIAD TSPNINISRTRSRVRACSPSSQPREGLSDKLEYNRNLSSERYLMHSPNSYHSRDRSPP SSYGVRSMRRSRSPRSRSPRRRPRSRSPRSRSPRRRPPRRRPISPYYEKNPIKKPVN PHYBLDRAFT_11131 IRRVASAPNANSLNAHRPPNYPAQSQSQSQSQHQNPPHYSSLLQ MKLNSSTSEAFSSVPAFPGQLQRVRSFRRTYSSNSIKVRQVEVGPSSFVKIRMLGKGD VGKVYMVKQKDTDKLFAMKVLSKREMIKRNKIKRALAEQEILATSNHPFIVTLFHSFQ SQDYLYFVMEYCMGGEFFRALQLRPGKCLDEEGAKFYAAEVTAALEYLHLQGHIYRDL KPENILLHQSGHIMLTDFDLSKGSSPPGKPGVVKASSPNQPPSINTKSCVNNLRTNSF VGTEEYIAPEVIKGCGHTSAVDWWTLGILIFEMLYGTTPFKGANRNETFSRIMFWEVK FPDQPAPYQNRTLSSSGKSLIRKLLHKDENSRLGSCAGAADVKSHPFFKNVNFALLRH QSPPILPLIHKSNGIDAVNFRRMPPESMSLDLESDDVMVSIHNDHKNNPFEKFSSMTL YHEGD PHYBLDRAFT_180921 MDATKTLVVDNGTGFVKCGYAGSNFPEHVFPSVVGRPILRAEEK VGNLEIKDIMIGDEAAALRNSLQMSYPMENGIIRNWEDMRHLWNYTFDEKLKVDPRDC KILLTEAPMNPRANREQMAQIMFEEYGFQGAYVAIQAVLTLFAQGLLSGVVVDSGDGV THIVPVYEGYAPANLTRRLDVAGRHVTRYLIKLLLLRGYAFNRTADFETIRQIKEKLC YVSYDLELDQKLGNETTTLVENYELPDGRVIKVGSERFEAPECLFQPHLVDVEAGGMA EMLFNTIQSADIDIRADLYKHIVLSGGSTMYPGLPSRLEKEMKQLYLTNVLDGDVSRL NKFKIRIEDPPRRKHMVFLGGAVLADIMKNKDSWWVTKQEWEEQGVRALDKMGVLGST A PHYBLDRAFT_132855 MTWIDTLNSAIAHSFIGRHFQLEGSGARRERKGTLFSTEIRAGL TTFFSMAYIISVNSSIISDSGGTCVCEFTEADPVCSLNEDYMSCVYQVKLDLIMGTTV IAMISSILLGVFANLPIGMAPGMGLNAYFTYTVVGFHGSGKVSYETALAAVFIEGLIF FVLSIFGIRQWLARIIPMSIKIAMGCGIGLYLCFIGVQKSAGIGLVSLDMSTLVTLGG CPTEALSAEGVCQWGRMESGTLYMGLLGLVMMAILLMYRVRGAILISIIFIAITSWPR VNKVTYFPYTPQGDLMFDYFKKVVTVHKMEHTLGRFDFNLTGKDIWIALITFLYVDIM DTTGTMYSMARYGGFTDKAGDFEHSTWAFMTDAITITIGSCFGSSPCTAFIESGAGIA EGGRTGITAIATAFGFFVSIFFSPIFASFPPWSTGPALIIVGSMMTSGIRNINWDYPG DAIPAFITLAIMPFTYSIAYGVIGGIISYVIINGFAYVLDKATGGYIRPDYSQREDWW TAAMSRSFVPTWIQFLIAKSRGQSFDWHKDEYEFEDDVKLETPTGVITNHSGISQDNI SARQDFTSKAEKTEYYGS PHYBLDRAFT_167049 MVSMFPRIVSSIWIHGPSIFVANRSLMSFIRDGYHKEKSIDTRP KSQSLVSLFINDNSSNKYKYKNRIQRDKVLFERVRWGCIVRSSRFEHGPQIITIILDT ERRKWEFKDTKAHFYTLKLFFGFNARAPTLCVERLIQTRLLFQLLLYNNKIKPSQNKK QSILLLGESNDV PHYBLDRAFT_93779 MVRYAATPVNPAKAAKSRGSYLRVHFKNTHEVAVAVQGLKLSKA YSYLNNVNEHRQAIPFRRFNGGVGRTAQAKEFGTTQARWPVKSIKFVTDLLKNAESNA ESKGLNVEELYISSVIVNQAPKHRRRTFRAHGRINPYMTSPSHIEVILTEKDEVVPKA NDKKVVRLNARQLARNA PHYBLDRAFT_95092 AYVCICVYLCVCVCVCVCCLCACVPACLSACMYKCAYVCICICV CLFIRACVRAYACFICFICTCV PHYBLDRAFT_167051 MNTVYKPVTLDRMASQSIYDYATDSQRLSNNHPNQPFSHGPELP FAVEATQSQNNQCEHLSVMSTPSCSNDDQYPSYAEIEVIIQEYLDNLSSKKRDKALID RDRYMLILQVLKRPKNTAISTAQFRFWVKKMFRLVSCGGNSYIYHDDKPVATREDIYG ILLCAHNESRHGGRDKTSVLVRQRYSWIPKELIARFVRSCPVCILKRNGSQSPTLGFP RTPSDTCEPVQTMDGFVQMETHNAFSKYFRRDHPAIADEEWHRQNFGHNYQHYNQHLN NHNIHNNNSDNNNNNICLYHAPTHPVIDGSLGMSTSVPAATVTASSEQFAFGPLAPDS FDSSLYGHTCSESNHLPSTFGPFCNTCQPAPSDVGYTAEIMNASCSANTLTASHNYPR QPSSVSSLSCSPSTTSSEYIMQSTPSVPYAECAPNCITASLPLDRILVDHIMSPSEVS NLLSDQNPEESFGPYYARPMSSFVHMNTMPFDVKQNPNYF PHYBLDRAFT_111269 MIVHNSIDVVEPNQDQICALESYLGQLLQMTVMTSHINRVCKFL EMSALGILLSVIYPEGYHGKEGYLWITSRTDRDPKHSRRWATIKAGVSCGIVKRKTKH VPKWFIVRESYIVCVNDPHEYDFFDVFLVDSQFEVQHSRLHARERKAEHAIWSAAKKL SSGKTTLAIKNLQGALYLGAKDERQSREFESSIQKMARESVWSGLHRFDSYAPIREGC QTTWFVDGRDYLWDVSVAIEHAKESIYIHDWWLVKFSTLYLRRPATQFPEYRLDQILK RKAEQGVKIYIIIYKEVAVALPLYSHYAKKHLTLLSSNIYVQRHPSRALDVLSKSNLL FWAHHEKICVIDNEIAFIGGIDLCFGRWDTPAHSLVDDNDPSLKPDNTRPQMWPGKDY SNPRILDFHSLDKPFEDNMDRSRLPRMPWHDVSMRVCGQPARDIARHFIQRWNFLRRR KQTAPKRLTPLMVPVPDTVSSLSAKDPRICHPHTSSSCSVQILRSVSPWSIGFANRVE HSIQNAYVSAIHDSEYFIYIENQFFITSTKLGSTVIENKIGAAIVERIIRAKSEGKRW RAIILVPLVPGFPSNVDEAEGSTVRLIMHSQYHSISRGPDSIFGRLREAGIKDPQDYL VFYGLRNWGELNGQFVTEQVYIHAKVMIVDDRTVIMGSANINERSQLGSRDSEIAACV KDTELIDAVIGDTPIKVGRFAQTLRLRLMSEHVGIDVDSV PHYBLDRAFT_61242 MQSLMSTTGSQKSHNSLHSIRGYEQRGGTLQHSQYNRPRASSRC SSFNSRSQTSGVNERGVSCTAPLLQQQSHTHRSRVSSYPYARSLLATEPRTRKVSSKI KSIFNSTISVSRNATWNRVTQSLPELGARAETLVAVGLSETSAPSVHSDSASDVSDHS DSPRLSALSNGSIYDALSASSFERHRSLNQTPLADLDSQSLETHQDISPPQSPNRGEV SSPFSTRETTPEGSDQSFVSSESLSHETERDVEPIQEPTPEPTPINTQESAPSPIEDH TKSLAQEPVKHPAKDSAKNPADDYSPMRTVPSSIVRLSERLQSIVEKVVMLEQKQMKP KRSLPQSLLPAKPFIQVHEQIHTPLVTKRKAQFTEDPKDKDTKPTKRQEIALPRRSER LAAKRRKINMELSSKSGPKKGPKH PHYBLDRAFT_180924 MDQNAVYQLFVATYQPDPSVHKQAELNLRTIESHNGFLPIVLRI LASESLELGARQAAAIYFKNRMNKAWDRSSQATAPINEEDRRMVKQALLQALVTAPNA VKVQLTSTLNTILSNDFPEEWPEFVNELQHFLSSSDVRLVYVGLLALREIIKVYEWKS MERREPLKEIIKLTFPGIQTIGTNLLGNDSIEAAEMLKLVLKIYHGAIRAELPKCLQD PASLVSWGTLFLQLVDKQIPVSVLPTDPEEREKYPWWKTKKWAYHCLNRLFSKYGNPA LLPPSGAKYAGFAKSFVSNFAPNILQTYLKQIEGWIKKEIWIATKCLGLIASFFDDSV KHKITWQIIKPHTETLVAHFIFPQLCFSPEDEELWSDDPVEYVHKKVDPFEDFHSPQT NAVNLLVVLARDRKKHTFMTILNFINTVLNKYLETPDAQKNGREKDGALSMISCLAHE ILKKKSPVANMMEPFFVTHVFPEFKSQFPFLRARACDMARHFSDLDFANPQNLATLYQ NVLDCLRDGELPVKVQAALALQPMIRHESVREAMVQSLPFIMQELLNLTNEIDIDTLA SVMEEFVEVFAEQLTPFAVQLCTQLRDTFLRIMEDIAQNSNAATADDDQSFQGDMDEM SDKTMAAMGVLKTIGTLILSLESSPDVLQQLENALLPVITYTLNNRILDLFDDIFEII DSCTFSAKRVTPTMWSVFELIYKAFKESGIDYMDAMLPPLDNYISYGKDVFVANESVQ QMMFDIIDTVMKSERLGEQDRVCACKLMESVLLNCRGHVDRCVAPFLNLAFQFIFTGS MKTTEFKVHCIEVVINCLYYNPILTLHLLEENQWTQGFFTLWFNTLDKFSRVHDKKLV IVSLCSLLELPAEQIPSSLQAGWPQVLAGIINVFKTLPKAIENRQGMEDLYGEGFDGD DFDDEELSGFEGALSGDDEDFEEDGDEDVPDEDNEYLEYLAQQASSHANDSEFDDFDD EMEEEILFESPLDEIDPYVCFEEAFRGMQQTNSPSYTLLTKDLDAEQQNFILSILATG EKNRTQPAVSA PHYBLDRAFT_158491 MTDEKINQDLQNDTTNILRKPSNGLDLIDWEAQHEKSDSTDEPN QTPSDQSRASTPTDSEPVKTEDMLGGDDMPLLPLATCLEPTNVIPVRDIENSISPPQN TVKSEEKPVESVATPPEAQDKKLLLFQIETTKFGKQDLVLYENRDPIVDVKEYCEKYE MSDHFDKILEAVLESYTRKKTRRILGKKKKSKTIST PHYBLDRAFT_132867 MGRVIRAQRKGAGSIFKAHTVGRKGAAKLRVFDFAERHGYIRGI IKEIVHDPGRGAPLAKVAFRDPYKYKLRTETFIATEGMYTGQFIYCGKKATLNVGNVL PLASVPEGTIVCNVEEKVGDRGALARTSGNYVTVIGHGDDGKTRIRLPSGSKKIVPST SRAAIGIVAGGGRIDKPLLKAGRAYHKYRVKRNSWPKTRGVAMNPVDHPHGGGNHQHI GHASTVARDSSAGQKVGLIAARRTGLLRGTKKIKD PHYBLDRAFT_167057 MIDNTSVQSIATNTLLIPDIPKNFFACSSAMKQIEEKFAEFGTI SQFILMKGFGRIMVIYEETMAAIKAKLEIDRATLFWKQENENVEIIAMGKDLENIWNA NTLEIRIYFGQHNPINPDLALSRLQVPDLERNFLISPPGSPFEDWEQTLESPPNKAVL ASDLSHALADYSDDNMEDLDDFLLDDSDFFEVQEKLPLIQIDAEDNSRVSTPSTPNSA DSRRSNGQKLKVVLSENADGAENLPRITIQDWDGDNLSFNSGGLFPGAPKTQMPKLKV EPTARPPLQA PHYBLDRAFT_61237 MKSFISLSVLMAAASLVSAVPVLNARDSCPINALSCSTTTADTC CSPKYGLVLLVQQWVKNYGPADAFTLHGLWPDTCSGGQTGSSGCDSTRTYANVGTILK SGNPTLYSSMNTYWPSYNDDNNVFWTHEWGKHGTCVTTLDPACFGTEYTKYDDMYGYF DKVLSLRQEYDLYKIFSDAGITPGGSYNATAMVAAIENALGVSAKLTCSSGTLSEIWL YFYVKGTSDYILTDSIASNTCSGTVKYPAKY PHYBLDRAFT_144081 MQDSIQLKKEGKNVENESHGYTLSGHSREALKDTTEFTDNYSCH KWGHVKNQLQWSDNLAEVPDEKISNIIDNSKIVFGLLAILKTVAGHYKYATIEDFREP KLYFCSQAENNIFLGKDLG PHYBLDRAFT_61236 MLSTSLIVRKWKPKNAILMTANVFIFPEYSYRAIVLSTPRNKLL KLYRELGISIFREYMLVKVISELTCAGIHWCDIAVKTAIIRYEYRRKLLYVLFNFSSH PSLIKGLLGLYTKGIKIWDPTLHRQFFLVSIDRHNNLIAVEFCQSRVGNLRMSNQKVY DAFCN PHYBLDRAFT_61235 MDMRPQTIALTAAATLAALSIGYMVYFDYSRRSDPDFKKKLTSL SKYPPHTGRDRKMAAKAAKESEESSKMGRVELIEQVIIAAAQENYPTTPEEKEQYFMS QVAAGEALCAQGEPFYNEAILPFYKALKVYPAPMELIMIYQKTLPPPVFENIANIMAI EQQAAAPEEFKTETVEIEIDN PHYBLDRAFT_144083 MSSKHLITTTFTRPAVRVSRIVSQQSMRATSHMSDNDPKILEEE KNKTLNSKNKEWNEKLASVSEAAIKAEHSPDKPVKELQEETKKQLKDSHK PHYBLDRAFT_158493 MSNYNQDYQGESNSYRGGEANSYRGGEANSYRGSQQQNDNSYNN GYGSYGAPPSSGYQQQQQHSNNGYSQQESNYNSNSNDGYGSYGAPPQQNNDSYGQQSR PSAGSHHASFNDRDAANYAAKYADDGEDQEVFSSVLSNISSKQNLHQSAAQPQELQNA QQSHQQFYGNNDGNQRSASPTDMGTAAAVQALENHERSGSGGGMNDMIGMAMAEATKL FSKSGGTNASSNDGGKAQVLQQAAMMAVKLYMAKQAQGAASGGASGGGSGISSMLGML MGAAGGQQSQQSQQSSGGGLGSMVSSFMGGGGGQQQQQQQQHQQQQSSGNGLGNMVSS LMGGSHSNTQQQPQQQQQQQQQQQSSGGKMAASLISKFF PHYBLDRAFT_144087 MSGQVQETMLNNETILPLKRAGLELEKDEPKTEFVAIPSAKRKK RDKAAKNGVRSIIVNGELILIPKVALEGRREYASIKDARNFIVNILNKENKFPKWVNI LGEKEKIKKVVLLDCPGLDPTELGLPLGDCFVSSSKTPEIYKALKDKGLGPIIGMNEY LETKKEDIVAVATSAGLDNTKSLSDRFNDLLRSPLSKNQIKQIMNPENNNQSNKIESE MLTLNHEELIDEGYPIPSSIDSESELVDGWVETLPGSGSTNKKLMALDCEMCNTANGL AVTRVALVDQDHKILINSFVKPDEEITDYLTDYSGVDEASLENIETTLKDIQEQIMEH VDGDVILVGHGLNNDLNCLRMRHPYIIDTSTIYHHANGPPGKPSLRYLAYRWLRRRIQ IKPEDKEETSSTQEASSEEKGHDPCEDALASLQLVELKLKYGLDYGLNSQFISETIID VFKRLSMKCAVIEVGAHKDVLFAKKLQDTGEFYSVPSDDAAMETLLEKHATCDAVLAK LKLENAKEDSLLGYIKSVYEALEQKTALVLLCGNRNNDELDRLNKLQASYRRALATTP LENIPMEERWTLANDERLRLILDTHRRGLLFASVKWENQG PHYBLDRAFT_61230 MSSLTNSLTKKIYPWRNEAIKVGEISQDDCCKNNDLVQDICASK VGLGMIQVSKDNQDELEVQRSIVIRMCSPLNHSRAHFCRIREFLSKYLLGMQELYGAI DDNEKAEAGLICQKLLSQLPQIENTKASKRAKKKILLGAKMVRIFCEGQRQTLEGIQD ALTEKNDDRLRTTYMPFVKEFQSWVRNSLPQLDDYQCSICLSVLYLPITLEVCRHSFC KPCLNLYMNDKIWHPEYPEYPPTQSRPPDCSQPSHTLTDETASSAFKCPLCRSHFEET TYQSDVGLQNLLNFYFPHEQRRRRREDLGIIRAWFVSAHLKLSHRALEDNNNNKKRLR DSSGRTPKSLTQQVVNLACLNPVWNVYEDNLERERQDQRAGSRGRRAQDANYYDDLTQ AQGMIFLYA PHYBLDRAFT_61229 MHWLVLALFPLLIHAQASDENPFLWQVTVQYDIIKSNITQSYVV DTSQKLHSLDFQTVYPLNDYFGHNERLVMDMGDACTNTTLDDLEHRNGNTPTSPSMMS QPSIGLVQRGGRCSSWREKINTVQALSSAYRLQITAVLIYDNVSYNDTVIQSDPTTTT DYPVWDPATLPPERNVSQMSENDLDLKTTFLAVYFVPFSYGARLLQSLESIFSDQAIK QQYIQVTTFLGETSFVTEGVATTNTTSNPHDDSNRDDIWSLFSGTRSYIIYIVVAIAV LILGVFFIRFFIVNRIRRQSGSVTRQLEESPQVTLAIIPIGSETERPPGTTISEKKLE KMSPIQAYSNEKLSNPEDTLCIICLDDFTDLSSVRTLPCGHIFCVPCIDRWLTKKSGV CPVCKFDCAKATDHIKDEEEEEEESSEETKTSCPTPLQ PHYBLDRAFT_89728 RHVVIIGAGVMGACTAYYLTKNSTDVEVTLIEKTDIACGASGKA GGFLAFDWNDGADIGELSRKSFELHAELAQELGGEKFGYRPVTAYSVLIQAGKAGGKR INEVDWLLDENVRTVELLGTKKTAAQVHPGLFTRHLLEVAQKTGRVKIECGQGVSELI YKNKTVTGVILEDRREIKADSVVVCMGPWSGQLPLKGRSKRGQLPITGARAHSVILQP EYKFTAQVLFTAVTEGKKSHEPEVYPRPDGTVYLCGATDNEPLPASAANVPVDPAATT LLQNLAGKISLQLSTDNATLLTAQACYLPISRDNTPLIGAHPAYDNLYIATGHSCWGI LNAPATGLMMAELLVDGKIT PHYBLDRAFT_124102 MGHSSQDHSRDPCPWVILNDLGGAFVMGAVGGGIWHSVKGAKNS PRGERLVGAVTAMKARAPVLGGNFAVWGGLFSTFDCGLKGIRQKEDPWNSILSGAFTG GVLAARGGAKAATVSAVVGGSLLAVIEGVGIAITRFTAESNRPVMPQL PHYBLDRAFT_28972 MSTEGKVIHCRAAVAWEAKKPLSIEDIEVAPPKAHEVRVKIHYT GVCHTDAYTLSGSDSEGVFPVILGHEGGGVVESVGEGVTEFQVGDHVIPLYTAECKKC KFCLSGKTNLCGSVRATQGRGLMPDETTRFTCKGKPIFHYMGTSTFSEYTVVADVSLV KVDPKPSLQKLCLLGCGVTTGFGAATRTAKVTKGSTTAIFGMGCVGLSVAQGCKANGA SRIIAIDVNPKKEKIAREFGATDFVNPKDYPDTPIQQVLVDMTDGGLDYTFDCTGNVN VMRAALEACHKGWGESIVIGVAAAGQEISTRPFQLVTGRVWRGSAFGGVKGKSEMGSL VQDYLDGKLKVDEFVTHTFDFKDINKAFDAMHSGDCIRAVVTVTK PHYBLDRAFT_158496 MIRRSTNLVYWISSTILDEPDIKKRAQVIKYWIKVGDYCLHLSN YNTLMAIRCSLNSSGIARLKLTWEIVLRSTKHKTMLETTYRVADSQRNFANYRKCLKN ATSPCLPFLGIYLSDILFLDEGNPGYRVSHIKPHQQFVNFDKYIKLSRVLAEIKLFQV PYKLKLIEDVQRYLLHCLEMDIETDESLIYTKSLEVEPKFVDPAIIAS PHYBLDRAFT_76593 MPSAIYQSPSPSDAPRSVTTEAQRDVENTVLSQNLETLTNIDTI RESLRLLDEEETRIDAALDAMLSKETELLDVLGTLDGLRPQLSTLQSNSSTILETIQR TSVLAEKISDKVRQLDQEQSRAKEAIKYVEDVQELKYCVAGVQEAIRRKDYDEAAGLL QRASRIDKAILEGSLAEFTVPNSENPDHPAKTLADAKASLFKIFSQRFDDAVAQRNEA DITRYFKLFPLIHCQTEGLDKYSRFVCNIIKARCAEELRIGETAAPIYFADAMTRLFE NIAVLIDQHQPFVEKHYGSGKMLRVLQRLQEEADIQSGNILDRLLQTRKLESKLVEIQ SISITKMRLNNVNRSANTLATQSPMMSDTTQSLVDPRQLDISLLELSLISQRSALFHS FIHERATEEMETMADDEKQQDIIMNGKDKRFYGDNGLLLSSGLTKRVKELMNIYLVID EYLLKGNIEKAMQLDDYDPAVGPTSTCVDDVFFILKKVLKRSITTSEPEVVSATMRVV LKTLDTGYIQVLQRKMATTFSGHESGSRNAEKLLEQAKITYMVVLNNMNVSADYTLRL VEELRTETKAVIWKNTKEDMENVEKALEDLGSITDKFQRLLKGGMEQLMIQILKPRVR PLFQEAYREVKYVLEEEEYNEADSEDMFFKRFRYGFDNLIKLYRNTLTTANFSTLAGL VLDATTLQWERIVSQTRFNQYGALRFDKDLRSVIQYFTSMIEWLSRDRFTRLNQMSTL LNFEEPTEIYEYWGSKAGPVSWRLTVTEVKQILALRLDFDSEEIDGLSL PHYBLDRAFT_180932 MRDFDIASFRDRPLKLKKKKKQKTNPGVKKRVKDSFVDYLEKSL DSESDKSSSEDDNERIRKDASRAQVASSSSPELRKESFENIQQDSQSSLERFKQNEDI SQQVQSTPDTEGIMLLSDEEASEPTQMTVQSSPYSRSQSQSQSQSQSQSQSQSQSQLQ LQLQLQLQSLLQSQSQSQSQSQSQTQLESQTSLPQTPTNDKNDIKSQTEYTDDLDDLD PELARIAQSTDISLAEINNKSVEQKEEQKLRPQKIRIKIQYINTAKSTDDAAKGLVQM LQKPVRFVIMENDKFETLFRHFCKRKFLKQEDLILTHKNVHVLLFATPASLGMSSNVE NTLEVYTRAGYDEKLKQDREKRLENQKKAGPLLNEDFFNGYRAQAEPKEDESDQGKML LTLRDKENVDISLRVKQTTQIKAIIHQYCHIRGFGPEIESKVRLSFDDETLDPNTIVK DHELEDDDMLSVRITVSEVALITQLQIVG PHYBLDRAFT_111597 MFLFIIKASHLARLRHPSILEVVEPVTESRSSIAFATEPLYGAL SHLVKSADNYSSQSEQEFELDELEIQKGLLQVGKGLQFLSDAKVVHHNLTPDAVFVNS KGDWKIGGYYLILLCWDLASFSTIQRNLQQMAMTIMTPEYVLNNEITQANDIFSLGCI AYAVHNKGVSLLQTFNNRRTYERKIQAIETLDFSKMPPHLQGVIRRLLARQPSQRLTI EEFQKSKYFDNLLVSTMKFMESFPEKTREEKAQFMKGLSRVLSQFPNRILKRKILPSL IEELKDHQLLPYTVPNILLITQELTQEEFCSLVLPSLKPVFLIRDPPQNMIVLLEKLD VFQQKTPREVFRDDVMPLLYACLESPAPSVQEKALRIVPSLCESLDYTTIKSSLFPRV QALFVQTTILSVKVSTLICFHAMIKTIDKFTMQEKLVPMLKNIKTKEPAVMLATLAVY DEMGNHLDKEIVATEILPQLWKMSFGPLLNVDQFKKFMKTIRELTTRVEESHTRHLQE VKSLEDQT PHYBLDRAFT_158498 MAPPPSNRAPQNIPMLSGPSSYNTSQTTVPPLSSIANLSIKQPS GGSNYAALQSLVSTGSGSMMPLIASSPVPQQSNTSGMGLLQPMSSRPVQSNSSVSNNG SIGHKLNNLNAFDPLG PHYBLDRAFT_167075 MTMHYKQHKTNTGQESPDKPRSPKVKVKDVLLHDKRLREIDSDG ILQDELLFIQIVSDTREDVTDCIFSGRRETADKDSNEEDREDGYRENDKNNDNNNDSD DSDDDENKISITSTATTQIRARAVESLKNNVYVYNPMFVFSSVVSIILFRVVNGEIIY YQQVNLALINFKLLSRFKCCNNIDRNIDNNPCRA PHYBLDRAFT_124111 MAQPFARKLLVVGGSGFLGQSVCQMAVRKGWETISLSRRGEPIS FSEKGRPEWAENVKWASGNSLEPNTFKDVLHGVTDVVHTVGILLESDYKAVIQAKTTC DAAKGVANVLAEVAGMKDDGNPLDPKNQQRAGSATYETMNRDTAISVAKEVMRLPSLD SFVYISASDVFPLVNPRYITTKREAESFLLSHRSIRSIVLRPGFMYSDQRPAAAFMAT NLQLVNTITSPISKGLRSLPFGKVLTTPPLHINTVAAAVISSIENSETKGIFDVQSIQ EMAVRY PHYBLDRAFT_111191 MSLSENLAQFGIQHITRGAVRSTDLVICRGSGIYVWTVDGKKYL DLSTGYGVTNTGHCHPTVVKAVQEQAANIPHAQMNMFFHKPMLDLIENLKPKLPSPKF NSFSFWNSGSEAVEASIKLARHATKKYNIVVFQGAYHGSTYGAASLNSSNSQFSAGYG PLMAGVHVAPYPYYQKTPGYISDPTTFTPERCGEDALCQLETLFKQRVAPTDTAAILI EPIQGEGGYVVPPKGYMEGVRAICDKYDILMICDEVQSGFGRTGKMFAIEHTSVLPDI MILAKGIASGYPLSCIVSDKSLMDCQPVATMVGTYGGNAISCAAANATLRVFDEENLL ENCNERSKQFFSGFLTNLPAALPEGATVDVRGRGLMIGIEFLGVPAGFAESVRMEALR LDTIVLRASIYETLRIVPPLNITKEEADLAIQRVTEAVAAATKNIKA PHYBLDRAFT_186529 MPTAYNSRPYDIVVFGATGFTGTYTAEYLLGIGDKTIRWALGGR SLAKLEKVKERLIKLDESAKALDLLIADTDDPLSLDTLLSKTRVIINLVGPFTKYGTP VVEACLRQKTHYVDITGEYTWIRQIIKRFHEKAEAENIMIVPTCGFDSVPSDLGTFMV VEHLRKQHGLATESVKMSVVDIFGGLAAGTIHSGLTVMSDRSITGPQSVDPYLLSSSR GQAKPSLPWPHRDRDFHGLWQTFFIMSVVNEKIVMRSWDIQHKRGKDYGRLFTYHETQ SMPFIQAMLFSVAFFVLLPLISLLTKSQFCLEKIQQMLPDSGTGPTPEERAKGYSSIE FLATAETQPYEDPVQVRGIVKGFGDPGYSDTCRMVSESALCIIKSLDTLPGKQGGILT PATAFGNVLLDRLRINNGMLFEVKEVSNP PHYBLDRAFT_158501 MSESMLKTRLAMDDRPVKNLEKKVSSWLAHLPNESIESAKSNCD ALLIQISNYQTSIERHPLIQEANTKDITKYADIVEDTVKKEAEARDQISDLKEDLKKA QKVRDNKLEYDQVAREILKLETREAYHESIAQLQSDIDMLRREKLNKEEALSSRKRKF GEMIDIVKRLQKDIEEERARGHKTQKILMDMGRDYESSDDESIHGDDHESSPEADALG TTGNFHRFQERDNDDDDEEGEDEEGMVPDGEDGAVVFNEAN PHYBLDRAFT_167080 MDKTIIPIAYSADQTYTGAGEPPFYQPLLRLFNKEWNYSKTNLI GKVAIVTGANDGIGKESAIDLAKRNATVIIACRDSEKSRQALEDIRLASGSDKVTLEY VDFASLQSVKDFYTAFIATHKNLHLLINNAGVFNTTRKETVDGFEGTFGVNHVGPFLL TELLLPTLIASKPSRIINVGSAGMRWATINFDDIQLEKNFSGFGSYGQSKLANALYAY HLSRRLSGKGVTANVLHPGAVRTSIAARDKKLLNTITTTIFGLFALYTKSPIQGAQTI LYLATAPEVEEKSGLYWENCAVHSPINKLENDEDTQNRLYEISNLLVKKYI PHYBLDRAFT_167081 MPITEVITSRPPNDPIAFNKEIVTIISEINPEDNATTTARVSAA IKKYMDIDNTHGIFLFTDYPSTNRLNVYSRHKYPQKKDNFDRFTKFFFFSLGLEVQCL IIKTSSERPVIAELKNHLSVVFV PHYBLDRAFT_124113 MNIEALFSVKGKVVLVTGGSRGIGEMIATGFVQGGARVYISSRS SKACDEVAAKLTALGPGECISLPGDLQNLADLKGLVAELTKRETHLDVLVNNAGATWG APIDSYPDAAFQKIMNLNVTRIFTLTQACLPLLRANASADSPSSVINIGSINGESVPG METYAYSSSKAAVHHLTRHLASTLGKEHILVNAVAPGSFPSKMMAATLRDFGDEIIAK VPVGRVGTPEDVAGTCIYLASRAGQYTCGSVIVVDGGALHSSSKI PHYBLDRAFT_61213 MWEPNEQLLLRAPVRYKKHEGTLFVTPRRVAWQQQGLPQLNPSI HYGEIGSLAQTPESSAKVLLKITATAPTPKDYTFHFISPKALTEREAIKSQVTELLAR ARGLMATNTPVQTSSVSSSAPSPAPFTPPTTNSATTTTAAPTSNDAGTPHSIQSIASP LPVSSSSTSTPPPPPPASSSSTNAWRHEEFMARRQLLSTSRELHMLHMELVVTGKSVS EEDFWSSPYVKRIRQKLKKDAISREGRQKGKSSKMVELKPGQQEGSDVKYTLTSQIIH NIFTEFPSVKRAYDTNVPDKLSEQQFWKRFLASEFFHRSRTGGRAQLTPYDDIFDRCL QEEDDENSKAPEILDKIKRVIDLTATEEDHGECGNAPDYAMKPGENLQVLSLIRRFNR HSMRVLEIPSTKSKNEEKSVDDDIEKEIIISDLTDEPPPEKIVLDIQDTRRYFESQSG GQNKMQLQEEEGDKLLQSFKIHFQDWQPEMTKHVMKPKVADKVCHDLTMTIKRKTRHD LRSSVTDAKLPPPVQQKIQNYHSATNEILRHFWSSFDPYRPEKNVRMVEGLRKQQEKL KEVLTVVNSYQGDVNRCRQTLMPVMTAVDRALEAAKKRGMKRRILTTSLLFMNFDLLN PHYBLDRAFT_124115 MDSSSTKPTTILNASPKIPASPNIGQPMSSSYEHRWLSNDDRKP QSASSLRKSQFSEESNSAAANATTKAQKPNEKEKTNKDQSKQHGRRESKTQLDTNPSR KSQKDMTKAERRALQEQQRAEKQSRLASGSSKPAGKQAEGSRRKSVSGNS PHYBLDRAFT_132887 MHLDVPKKPDTSNKELHPAVLTLGLYFSEFKIVGSNARCVAMLK TFAKVIEDHRPPADASFSRNIQKHLDPNIAYLLATRPMSLSMRECIRWLKKEMSDIVE HDPPLTDEESRTRLIQHIEDFIRDRITLADQLIVQYGLQKINDGDVILTYGKSSIVEA LLLKTKEEGIEFKVIVVDSRPLFEGKHLLKRLSTAGIDCTYYLLSSIYVALKSVTKVV MGAHALLNNGAVYSRIGSAMVAMAASDRQIPVMICCETYKFVNRTQVDSLVMNELGNP DALVNTKSITNSHHQSNPTSEEFALVNWRDQHNLRLLNMLYDVTPSKYITLVVTEVGL IPCTSAPVIWREYNEEFGNRAGAK PHYBLDRAFT_124118 MAAVARLYIASPDPNKWTYTGLWGAATFCKDQKRNNSYFIRLID VENNTGVRWEQELYEDFDYVKDRPFFHTFETDDCLAGLEFVDEDEAETFYKKLVNRNT VKLKDDTHSKAALFGKTQKKTKVDKNQIGMPADFRHVGHIGYTPGKGFRVQNNDPEKS AIFDQLKELGITPEEIAQNEDFIQGFLQQHDSTASSSTPPPPP PHYBLDRAFT_111355 YLADLIVGAGDTTASLLLWLFAILAEYQDVQKIICAEIDTFMMK HGRIPSFSDRLDLPYTEAALLESARFRCVSNFGVPHCVTEDGNTVQIDEYCIPKNTLV VISMYAMHMNPDVYENPHKFMPERFFGRTNSWNTSSNGSIKDRDIYMFGWGRWVYTNV YTV PHYBLDRAFT_167087 MEKISKCIFESEYAFTVSKAIVASVAIKLAYILYSVFCKSQNDT DSWNREGFKEIPSPPEKYPYFDTKAINTWLLNTKGHILSLGNMPSLQVEKWHKEHGPI IHLNMGTAHWVFINNPQIAHEVLVKKGAVTSERHNHKFAYNMYSKNGSGIVFNRTGKK WKNSRNIANSILSPQNINRITGGLEQSIDSSLETLKEESQKNEPVCLLPYLELITYGV IIKAVFGKDVASIDDPLLKKFIYISRGVMEHSGPKGNIASVLPGFSWISRLTSVEKDM HAVVNIRDVSVKELLNDAVSGETDCLVKHAFSVKKENNLSDTDIIVLACKSR PHYBLDRAFT_61208 MLSFQPLSPAKIASCANGAFWNNLVKNHESIIIEADNLDFEKTI YRSLSKSTTLHRLILERNVASTILPDTWQKVHLHTVTEMGLSLDSNIASMNNLNLEVS ALVQCPRKEWITDMSIQIDTRGLTWSEWSDMKEAPWPGFQNSALGGLEYRIRSGSIDS LPKKPFYLYIRPLASSLSLSVQNHNSQNLDVLPLAIGPISITNKPVDSSAYESIIPWG PEEFQTNQNHRALAYPGDGITRYFLLKERWGCGTPGKLWDSAIVLSNMFSTWFINNPQ GLAGRRIIDLSAGTGFLGLFISHLYQSQGMNAPTITLTDIPEATSIIRENEQLNHMHA IKQVRIKPLLWGSATNARSAKDNNIVDIVLASDVLYNESDFPLLIKTLCDISTPKKTI VYLGYKRRGFTQKEEDMFFSMCSKHFRVSEYGTPVPEDLQAEWEKTTETGISSRSHNI DSLLAYAEILENTGVHVYRLMRK PHYBLDRAFT_132891 MRSFLKEHTAYDVLPVSYRLIVFDTRMPVQKALFALVQNGIVSA PLWESKTQRFAGMLAVSDFINLIQYYYNHNSVEEALKDIEQFEIAHLRDVEKQVNAPT SQLVSMDPMLTLYDACRLLAESRVHRVPLLDREPNTNTEMIVSVLTQYRILKFIAMNF KDAQALRQPLSELKVGTYEDIATASLSTPVIQVINMFVDKNVSAVPIVDENNVVLNVY ETVDVMSIARTGRYNELDIPVGEAMEARPKDYPGVHTCTLNNTLDSIFRIIRKQRVYR LIVVDHENKLVGIVSLSNILGYLVGYRH PHYBLDRAFT_180941 MNLNDETKQKRNKPCEQCRGQRRKCNALPGMPCERCIKMDLECV YKHTEKPVIPKLAVSSAKRNKVIENTQHIQRQVLGMEQQLRALKVIIQLEAEKEKGTC EEVQGACYCLDPSDCPHLQPRVPSTAPVDWQVSLHRGKNGIVFETSIKTMADVATLFT ESLRYFSIPSLPNGPKFPAEHKIRVTHKMLQIEQLFRVMFRQKTPEPAYRPMVPIVHS DWIRSAAKLHLIDAYFSCAGMINPVFVKAYYRPYLARNPHGMLASAMAGFAGYSQCRH VHLEGFAYPRQELAESFRKEGREALEEVLFDSEPEIETAATLMLIGQCALIMLRNQEA RTCIGMAWRMAADLKPRYFPLIGHPDNNLSARAETWRRLFYTVRFLEQNMLMLYDGIN DISCLAIHTTIGYPTLLPCEENDPEVVNAVNVYHHTARMGDCHISTQLDAVGYKLFAG YLDSVSFHAVQRLESTLFSFWYSLPPHFHLSEQPMEYLQLDRIQQCPDNYILYLNQLY YMYWMTFQAKLMKAPSSDDLKGASLGNMDSRRALVIVSICCDAVAKIFQVLYCRLPCM VELHWLLVAGDAMGLLKDAANRSIRTRAQLNLQTTLRILGSRMQIVRKNTRVNIPIQD VPSSTGRIPLTSFNNQNSRNHVGQSDNLDSASMEFCHSTGSVARSSSLDGLSTSSSIS SLAEYDEQDQFPEIDTPGIVRQPSPYFGEVNKTLELHYASFGYPGARDAPHHNLH PHYBLDRAFT_144110 MKQLSDLSLELTLNIIYYLDHRSLVRLSATCKKLSTLIKNIVGV HGQIRIVDETPLDAPVSAVLNYRAGSVIGGILYMPLGHKDSLCHTFNIKTGQWSYHTM HLLGNIPVESLISKTAKVGHSIYMFGGRETSSLTLSNGLLVMDTHTFNVRSIDNSTGE LPRARHEHSIDVIQDRYLVIFGGLCYNSVGENDIFCYDTQMNHWMSPSITGHIPHMRF GHATAVLGHDLYIHGGAQLGEDGYIVYDDLFRLDCDTWKWYKYEHPEREKQLRSSRLS LPLEQLRNPIARHAPNTPEILLTSGEDPRDRFQAAMIVWHHKLIVFGGHTVRLDDDDE EVLMHYPLDKLCVFNTRLNTWTPVDLQSISDEPIWISEMSIGTRSSRDGLDVWVVGGR LVGSHSQPPVTRPTIHLDDTEYDEDQEHLTSISPNSELRTPNQTEQSNRVAGLFETEP DLASGGKEEQNSEPCVLLLHLAD PHYBLDRAFT_155124 MSKWYMITTNLLFACLGIAFMAFGLLGYRDSFKGATLFPHIIFT LMAILGAVIIVAALFGILGAYVKKKSLILVYMAIVLIALVYQVVIGVKVYQKASNTMQ YLSTLWSSSNVHYRTHIQDQFSCCGFNNVMDNPAITDVCNPQHGIASAPPPCFDALTS YVHTSFKQVYLVVFAALAIEILALSNAITLLCTQAMDPDDEERRRRRKSGIRLDDLQS PDTLTGPNNHYYDEPKRENRKPDTINDNRYDSYDQYKQKQSNTYGDKYY PHYBLDRAFT_61203 MDTANQDYLIPITRLSKDTQPMPHKHNCLPSATFQNSIGDPTPE KDTTDVWNLQATPNSPSLASAVSEADYGWLMDIDDTTSLSSCDSNLSNAETASTSTPS LIASPSPQPFNHPYNLRIRGNDSNRHTPEENYPNDIGSGYIHNNNNKKRRRQLSVSSN STSTYSKRRRGYSRHGRSCVSKPIVIGTPRPVSAGSIRITSEPPRPLSRLVVSCSLVD SDLSEFSVLPHS PHYBLDRAFT_158506 MTLISFNASLVWALCLFSLVSVQAKLSIYHIQDGDYIKRGEITD LSTTPVYTPTKHEILVKPEAKALYKLKIKDDSTGRLMMSSIPYRQLVANDWQDTFKIH LDDKGEVYHVDYYAGENACTETKTPLVGEAFKTTIQLIKPVPGPVPRLIQLNTNKPAS QPSSGVNKEGTVVEIQEEQSFFRKYWYIIALGGILFLSATNPPPEEAK PHYBLDRAFT_100613 FLQHYRVIGGLGRGGHGYVMSAIHRQSGLCVAVKLIIKADLAPN SLVKDPVEGGLIPLEIFLLRPLSHPNIIGYREHFQDRMFYYLLQIASNPNLRYLSIIP RRKYDLFECIARYKRFPEPIAQHIFSQIVNAVSYLARQGIYHCDIKDENILIDNHFNV CIQVIPRHTLLKQFHGTITFASPEILMGCRYNPEPAEIWSLGVLLHIILTGGLPFKSA DEYIYSLYVPLDTMSPLLADLMISMLHKLPDQRISLEKLQQHPWI PHYBLDRAFT_76580 MYPTHNEGSYGAPNNGYGQQPPADAFSRMNLGGHHFRLPSLHNY HQHQGAPQLEPIVHEPSEVVCGPLLRYIEIDYRRRTWHGSSLVVSNDQRPPKLELKVQ EKDSKTVQTIQVQGELLDVFRNKYYFWRFAINVPLTDEGQVATYTLSCLPETQSYNFH LPAYDESMRFMFHSCNGFSDIAQEIKDSFGEKEAPLWQDVLDRHEVMPFHVLLGGGDQ LYQDRLIHEEFMKPWVEEKDPVKRVGMTLPDSMREGFEHFYFWNYVKNFGFEGNPVVA NAFASIPSVNMWDDHDIIDGYGSYPADMQRADCFTVLFANASRFYYLFQHHTTIELAP RHGMIRGSLPTCNHIVTTLGAGIGLISLDARGERTKYAVCTQQSYDAVFNTLRKTMPK TIKHLLVLTGVPLVYPRLTLFEKAMDGAAGFNLATIAGKTGALGDIISGQLNRWNGDP ELLDDMNDHWTAANHEVERRTFIEKFQQYAKEYSIRVSFLGGDVHCCGAGRLYSKDMK EKEEADPYFMVQIISSAIVNIPPPQALLTVLNQNSSYETFNPNVEEKMYNLFKFSPNG NSRNNKKLMGMRNYTAGYYDEDTGKMNFWIQAEKIVGKKGTMGYLIDVPRLVFGANAE NLHRFDTRHLLSLPHELYNTLASAASRVTGLVDHDAPQPYGEADRVGGFVMPPRHD PHYBLDRAFT_132896 MPFIKQQKNKAYFKRFQVKYRRRREGKTDYYARKRLVVQAKNKY NSPKYRLVVRFTNKDIICQIVYAKLQGDFVLAAAYSHELPRYGIKGGLTNWAAAYATG LLLARRTLTKLGLADKYEGVTESDGTVSMVEAIEDGPRPFKAFLDVGLARTSTGARVF GAMKGASDGGIFVPHSGNRFPGFDIESKTNDDELLRNYIYGVHVAEYMEYLEEEDEER YKKQFSTFLKQGITSDKVEDMYTEAHEAIRADPVYKLTKKNIPAKPYPIPQRLNKKQR DSKVQAKKDAFEKKRAQE PHYBLDRAFT_61198 MPSDNTRLPRHLFRKNAKLNKRKQRRIAEATERNQNTACVEETP EEHSLYLQQQRQWEERERRENRIQMARQKAQELEQKAKANAEQKWKDALLRIPLLPPA FPSTTVPSTAESKLNMGHVPQFISSKDENPPKRRRYKDIQRERNAAAAAANKNNNTTA TNTTSTSTITATASATATATTTTTLTIPTETTNSTMITIEESKEAAPVALPRTLIIDD DDDSLEFQGNTRHWP PHYBLDRAFT_180946 MGISFSSLFQELFGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRISE ARDELQRMLNEDELRDALLLVFANKQDLPNAMNAAEVTDKLGLHSLRNRHWYIQTSRA TSGNGLYEGLEWLSDNLKRKS PHYBLDRAFT_132902 MADNTPNIANPIYVPENLLKKRKINEKKAIENARKRAEVRKQGK KDSKTVFKRIDQFIRTNRDLERETTRLRRQRVKAKQSRAVPDENKLLFVLRHKSTPTL HPSVRKAFQKLRLTSLNTGVFVKLNEKSAPMLQAIEPYVVFGEPTLKTVRDLLIKRGY AKVKGKRTAISDNAMVEEALGKQNIICLEDMIHEIVNVGEHFDAVNKFLFPFKLSSPV KGWRQRRLKEIMDKSEGVDQEGDDINKMVEAMN PHYBLDRAFT_124133 MRTGPFLYYTLALSVGLLMAVEGSPASNHDSSKNTGESHDHSHH GSGSTDEFVKVDGQGFTRLGKPYLIAGANYWQGMNLGADKCNGGDRDRLTKEIKQMAS MGINNLRVMAASEGPDDQPYRMRPSLMPAPGKYNEAIFVGLDYLLDTMGRYNMTAVMT LNNFWHWSGGFSQYIAWVTNNQTIPYPDADDKWGIFTDFSARFYNDSSVSHKANAMYQ QHIKTVQSRRNTINGKLYREDPVIMSWQIANEPQLGPAWWYKQTADVIKKGAPLQLTT TGIESKVDEFDFMNAHTPESVDYCTCHCWVENWGVYNASDPKGLDSALEYVHDYTISR AGWADKISKPIVMEEFGMARDAWKNPGSLKYKYDPSTTTSHKDTYYKAIYDQIYSLAK NKSFSGSNFWAYSGIGRSTDQPNSHGMVWLGDPPHEPRGWYGVYDKDSTVDVIKNYCS KMKELEN PHYBLDRAFT_132906 MPSPPSTPRQTVQETLSSQYLRNRRLAPDFLEKYALGQELGSGG FGFVLSAYERYTGIERAVKFIFRDKVPASAWIRDRQMGPIPMEIYVLKHVRHPNMIQY IDSYQDDSFFYLPDAIFGRRSSCDLFECIEQHQNFDEPLAKNIFRQIASCVAQLDKLG ICHRDIKDENIVIDRNYQVKLIDFGSAVILPRHYGDNRPCLFTKFYGTVSFASPEILQ CQPYLAEPAEVWSLGVLLYTILFGEVPFHGPHMTLTGRFAQPKINVSEKCMHLVKSML EISPAKRPSIHQILTHPWLADF PHYBLDRAFT_186544 MSFGTKEGKDTVLFVDKEYVESQTPVEPVKPVDTPEENDQAAAY DPETGEINWDCPCLGGMANGPCGDDFKAAFSCFVYSEAEPKGVDCVEKFKNMQDCFRR HPDVYGDEIDDDDDEKEEQGKPESVGEKVVEKAVEKVEEESTPVAATDSATTVSPSSV LEESESQSTMSWISSWF PHYBLDRAFT_167104 MQKYIAKQQTIDTLKKSREISEFIAKKEKKNTVIDEEVNVKLEQ MATLKELEQTLQANRYIHKGGNVLPDLESKERTLGFLENLDADGLKEEIKMCKSLFYK CYRNLRILCEFCTFAFW PHYBLDRAFT_144122 MEDYSAIDCASSLCIPIPGPGGKTKAALCSEIKAIMFENGITNR SNSSIRDKIQYLHDKFRKTSNYVNGVKQRMLDDLHEDGLSEDEAIKEFERNVKFKFRY YYDLKGVMGSSPLITSSFSMSNCNGIEGAQKALNNYLWSKEFFALSQNPQRPPKRARR TVEPRILEIIEEPTRANHRNSDYMQQKLKYEKEFNERQLCEQMKIHQSRMDHQKAVHD DNILIENKRLEIEAKIVENNLLQTKIQYMQKLEDSGLSKEQIANHLSKML PHYBLDRAFT_158512 MTKRVQFSCDVKFVNTFDNDEYDRTAQEVAKLTYKDMVELLTMK SQWRREMERMLAERAAGEKRDDDRDEPPRLFVSCEIYT PHYBLDRAFT_144124 MDLSWCIICDNRVEDNMSEQSSLYCSAACRVNDQSSQQQQQQQQ HQMFSTSPTTTAALSLSSLSLSSRSQPLKSSSTTTSNNIKNTIFTNRSILKPSKTTTA ASAAAALQLLRNKRAPSSNAYPWVPLYRRRHGVLVARRCQPVVAGSPVVASAHFNARP SMVL PHYBLDRAFT_144125 MDYSGYNQGGDSSSKKQEVMDQVRSELALANAQELINKINEKCY LKCVPKPGARLESSEQACLSKCMDRYMEAWNVVSRSYVSRLQRESQNQMGGGM PHYBLDRAFT_61187 MSSGFNLGHSPRRRETEHGAYCSSPTDAVAERAQQHASHKNHQS IQKGTPIRGDTSINIATAFHQQQMLDDTPLTKRLRPVQLSPQWSDRFTKISKEHWSSI NTNNNHNNNTQNHNNAITSASQDQEIDAFFGHSNTPQQSQSLSQSLSTPEGWNPYEEE EMLSQHPEEEARRRNVVASGGEILDIDGIDYNGEPARTNKKSQAKQDRDEDDGEDEYD QYDDNQNPGEFESINPDEDQDEQYNDDSDLEGVAWMKSKLAKVYDKVLLMVFVRLFWC LWIVKEIISRCTELLLLIAGRAIIQPFTNLLKALGIYNPCPEPPLRSSWRWNRLVLFI LILAGALNGLLSSFPDNQFERTPIIRHVLDNQPIRIDKFRTIWPFFHKKPFLAEFNTN KSKSKSNNNNRYDNQQSPAETSLIESSIASTESIPSPSPSPLVIQDPDSIQAYISKEV ERQVQSRLHQVQSNSRPTIATVATVTDATVTDATTASVGAATIPATTIATATDDTIII NGANSDYDNSIGSNSDSLLPELHSYIDNRCASRTELEDYAAALDHVRQEINDQLRSTL QDIPAQVERETDQLRASLEVANYLQNHWEEVQKLLDNIGNNPQLLSSSSSPSSPSQDQ QHYNQQQVRQRPVPLNPWDTLPDYALATSGASVIGRLTSYTYTTYPQHPALRQIARLT GIGTRNYYAPVVALMHGTEPGACWTMSGAQGTLGIHLSQPILVKHVTVEFPPPTSSTV NRTTSPREFEVWGLQSIGGSQTKNSGSSLLGGVFGPVRFPWDYPNPDSTLLGSFEYDI EGAPIQMFDVPSTHYLIAQAVLIRIRSNWGHPDYTSLYRVRIHGYPEE PHYBLDRAFT_144127 MLFIDSNATENLFTGDEESQKTKAKKRSRKCLNLKDLSNTECKQ EFRFTLPEPLVYK PHYBLDRAFT_180951 MHTVSYPPSSTYNAPRDVSLGPPDIRSLLLTVVNTTHDLYSMEC RDRPNVLARLLVSLYELGADKERLSKAYLTEIADLELLRSSVSPTITKTNWQDHLGKQ NCYRAYLDFFDKQVTLNGLETVLETYFYTSVLHQSIGSQLQPLVHIAFGLEQNLSPVV VQGLAYLASTFWDVGDFLDAAETAAAAEAAEAEAEKIKAAGVAARSPQQERPLDPEGL LLDLVLSDQRFDGKIEGTNTFGSAVRLLLKSKSDLLGTYVTEWATAGQQLKAEDCSTD DDNDNKGNNGSGSGKRLSSLTRLAVRLMATSARNTSGTIEMDGFLGGQLLESALAIQT LANHRLGAQDHSLFFNRLINLQFLAMLCTYIVQGRPRISNIINTINSSGSLVSDRQLS LSSLLGWKECMNIVVQSDDSKAILAIRSLATARDRLGHDSKCLETANLIAKFVQQGSG CRWIKGGTGWV PHYBLDRAFT_180952 MIYVSRSKILTIANLTFNVLNVLTYTLVFAAAIVKGIDGTLSEI VQTIYCTIISILLIINEFKTSIVAEKYFGFLGTHQGKGTLSIIMTPPCPFWRNYRLYK EFCAEGLDLAQPDIQNYNQHQKHKQIEGSCTMMIENHHYHNNNNQNPHASILPFHVSQ PCVNCFESSSNPAYFGTQALVPAPTAPAAAEGGGGRVCGVNVYSSPFHVSTPSPSRIF QTIAVLTTTTAATTRILSYSLQTMTHFYLSGGRALTGVLLSDSHSFSMGVRHETPNVN SSEPFCIGNIGSLGTHNTESFSPIERSEHCLEMDETPSRLSNDSSLSSSSSLSSSSSS DGSDEGLALNLARHGVKSSNLNKSIAAAVVNYRGPVKKRLEHSVLPQRLAEHFPSDEY PNINRRLTVIESFLDNLNVLKLSTNALCEFDLQIPIPQLKKLLLSNNRLTDVSHLPAL LPNLEMLHLDNNLLERLPENMGDWKKMRQLRLGSVIGGNRIVSLPQSISEMACLEILE INDNRLVCLPSDMYIPQLTFINLSNNQLRFIPKSLARCPHLESVMASRNQLVSISSDF AGAKKLKILDISFNRICITPNELLERQGLTVHITANPMILLPNTDNSTLYEELIESMA DEKRVFVQVPRTQPGVKILPIQEDQDEDWYFDARLEQEEIRLNGQVVNRNANPNHRPT TPWETERALVLKRLRSESDLFLSTLGSLPHYPVTSLREITAKDTIRLKIPLQDEFLPR GITDDLEFKSHQRCYGCKGPYVNTWITYILVRGYHGHPRVVRKIRCCSRRCWDICHEK YFHWKILQLERLLNVEMPRGIPWIDEYGVRPDHGTFEWIIAAAHAADEQNSSLYIL PHYBLDRAFT_144130 MTLDFSLCLPQLTMLLSFIILITLSIPPQRQPLVLSKLGKIAKA SLPRFHSYSSLIANFLIRLGSYWTHALKQTSFSSSLPDTEETAFEEQFKYFIVTSSLL SDTPITQTTDLTPADTNDLPALKQSEKTYGVWKKIILISSVLSFLWVAGGMAISSFGS QPDTSYSHQLLIISVCIGHLIGYFIIRRSHRRTIIRRLHRTALVKLCQIVDLFQNSDT SLLRLLDSIRQVDLISQGYTLASACSAPRPLREQPNNKPRQITEICRETSELLHALFE PLATCITQLQPLTHQANLLRLREMYNVEELPKNFVDTADIRKSVMPTDRLDHISYAVR CRRRETLMYLLALDIMTSGHDSERDDYEQKWENAVNIMSNLISTYTEFNANINKLLDA AIFQDGLSREYDCGGRSFDPRAQTLLHRFNVLENYIRNIQSKIFLCKHDTKTFTSGRG SAYSIERIGERFGTIDQDFSHMLSQWEETKETLLGFTRQEISPRTSQLPSPPTSPRQT GIRSSGTIKRLSYIQQSRQKPSPVTRHSLMTAAAVASFLENKRVHRLQARQQIASEGI VNDYKDVPALPHSIRRPSTPISTHSTDDE PHYBLDRAFT_124139 MAPNPTSDWILLHDRFYRKQEIYSLSWKQTDLSKFMIASAQFGG PIAMIRDDKKVLLLQKQQPIKPTIYIYSSAGILIEQILWDKGRIVSLGWTDQEQLVVV TEDGSVKLYPLYGTNTQFTLGKDAKEFGIIDCQIWGTGLVAMTGNYQLISVTNFDEPR PKPMADTGLIEPPHSWAVIPPQYTLSRHVEVLIATGATVLVVDSKESQDQLLQQGPFT KMEVSPNGKFLALFTVDGKLWVVSTDFQKNLSEYLTKSKIPPQQLVWCGTDSVVLYWD KIVLMVGPFGDWIKFTYDDPIYLAAEVDGIRIISNEKCELLQKVPAATEEIFKIGSTS PAAMLYDALDHYERKSPKADENIRSIKADLIDAVDCCVEAAGFEFHHHYQRSLLKAAS FGKCFLDHYNADRFVDMAQTIRVLNAVRFYDIGIPLTYAQYKRLTPEALVDRLVQRNH HLLAYRIAEYLHVRTDKVLIHWACAKIKSSSDDDDTLCRTIVDKLAKKPGLSYAEIAT TAYNSGQTRLATKLLDYESRAADQVQLLMSMEEDETALIKAIESGDTDMVYLVIFHLK RKLPLGEFFRAINNKPLACNLLEVYCKEQDKELLKDFYYQDDRRIESANVILSEGFDM DVATERISKLKVAGKTYHEDREHVFEAKSVDEAVKLLQFQVQLEKDTNQPFTGLSVSE TIYKCTVLGQHAKATKIRGDFKVPDKRFWWVKLRALVEVRDWENLEKLAKSKSPIGYE PFVEECIKAKQYQEASKYILKCDPPVRPMLFIKIGAFKEAGEQAFLNKDMEGLRQVRA KCTNHIVAQELDSLLAQLNAK PHYBLDRAFT_180954 MSETSLSESDSKAIVNAFEALDTRAVLDRRFPDIGDAFAAPSDN DYLKAAGTAVLPIVVRQSLPLPKIAYEQIRLKPNSPSGILPEINRAYFAIGKRLYFVD YSQSNELQVFEESSEIVGIELVKPKPDVFSKEITHVLVIATVDEIKIVPVSLKKTDTG NTLSLYSSGLTTPSGNVHMTSFVGTPFGRIFMLGNDGNLWELIYKSTEGWFNRKCYKQ LHQPTTSFSFLFMSLHDPCVAISASTDGRVLYQLHQSSSIQVTYLGEDGQSFITKTKK SNILQDVHNSCPQLPLNSENFKFIALYATSPEESTKYQLIAITSDGCRLYFTHFKSST QLTKTDPPDTLELIHVRRPPPSLNPQFYPTVFKKAIYKDSTMFLVGTGADEGKEIIMS TSPDIGKLANLGARSGLTELMDQLEVQGKVFSIVEIPSGPFELNELLTDSKSHSRYFL VLTSLGLTVLKKQRPIDMLKRLLSSVGKDVRARADEYETFFAHFGPASSSALCYELAC SEFSNWSPCPQLTADIPVTGDMIMRVTDLLETLGQTPSPIPPSFSSRHDGLALYLGRL IKPVRTHPLVVDSHNIKNKPSKRAATREELVAIQRTLLRLKAYIDQNASIFHHLTPRT NEDQSIYSLYKLIELTNESITDIVKRLP PHYBLDRAFT_36696 MSLVVPERNQFQHIIRLLNTNVDGKNKIVTALTSVRGVGRRFAN IACKKADVDLNKRAGELSNEELERIVTILQNPAQYKIPNWFLNRQKDIVDGKNSQVIS NVLDTKLRDDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKK PHYBLDRAFT_55724 MTDSKVKVQVFTDFDGTLSLDDTGLLLIDDELSLGPERRRVLEH KIMDHALSYKDAVQEMWDSVHISWDEAWAKYLNDCRIDPGFLSFNDYCREHDIPVTVV SSGLYPVLERIMKNFLGEKAKDIEIICNKGEIKDRDWKIIWRDDSEYGNDKSVALSDA RAKASPDTIFVFCGDGVSDISAARHADVLFARKDRDLEIYCKRYSIPFVSFDTFDDVH DVVKRLVDRKSVIEKDEKTGFCSVVDV PHYBLDRAFT_167116 MDTMDWTSEAHRAPIKRNNKEKNKEWKDRFRQQWVDRVKSSRQE RDNKRRGDTWMQIIVKEEWESFKKENEEAMRFEGVHITDQDIEEEIMSDESMKERYDT SYEDFLQEEQNTIAAAIAMYEEAQSQESFAQYNMCQPRMQCFNCAQFTLQPSIRTNQS RGVMCTYCNFNATEQARYDIRNKPIFLTKKNPIGCAHDSKEPSRACHCLFWSYRIHA PHYBLDRAFT_76569 MYESKGAVVLGKEKSVLAANLGLVPNVPCDWFSMRASWDNAIHL IDDIGLNMETFTTNTNSLTLHDVSNKSEIPNEQELSQQKLIVNDGFHQLVESFRKMEN SQNIDRTHNYLLEDERKILEVLRVTLEKAESFCASSQYSLLSLVHNILLGGIPNEETM LSLSSNLLDGLIKDFGWELFDYIHPFLISL PHYBLDRAFT_158518 MCSDEVRMFAFTELLERCPYPSMKTASIGLFKNQINGAFNSKKD RPPSVFASPVIVDKFFPILFRTSKKWCTEEDTFWDDYSYQMQALNLYLFLLICDKSEN RTTVFDQEKQVWMNNEYIHHLEVTIDTIMERHKKDSNDSDEQQSGIRLMNLEMMKNVI EQIKQRMTLSV PHYBLDRAFT_167119 MKSQECTPRLEKVQRFKIVSYNQIRRYRISLSNLSRTTNIKRNS LEFLGYDSLQKISRYRKQGISLQKISYLDLVVLLIRNLKSIKNKGDYSWARASSASIA LVCYKTDIGIEWSNYWRDGGAKEVRLLKLDGARKQTIKCLSQHYVLESASPERMLIGF FLESCTRVHPELLEDRDSWKCPEETDRLYAANEDLMAYYWSELSSSSILNTYKN PHYBLDRAFT_144137 MSLNPFLWSSSELLHPSWSISTLSLLVFAALQHVLPSISPLFHV WLRVVLSWALTISHFRKYDSQKRHITNEIQNKNLGAFFYFATIEAYQTS PHYBLDRAFT_61177 MSHCVMYFKEKEREKRHDLNQKKVNTRTQQSAPWKSNYNCSSKY GAGRESEDRTTDILACIYPSYPFSFLSFLFILDLDWRTINFEIGIDILLSYGYCICSP HSVTCYHREFMHGV PHYBLDRAFT_74489 MAAKELRNLVFVSGNKNKLIEVQAILADTVNVVSHKVDLPELQG TSQDIAKEKCKMAAEILNGPCITEDTSLCFNAMKGLPGPYIKWFFDALGHDGLNKMLE GFEDKSAYALCTIGFCKGPGHEPIIFEGKTNGKIVPPRGSGKFGWDAIFQPDGFDQTY AELSKEIKNTISHRYRSLELLKTHLAQEQAK PHYBLDRAFT_167122 MILIYKADFIINKRIIKNYFYHYETPLVTRTGLHLEPEVLPEGQ EDHVYNLWLEREQERTIDLDTKFGDKVKHLPESTKPSLDDKPFLLLLVAFELRLNKRY LPVRTPIQFKLESSSKM PHYBLDRAFT_144139 MDIIELIHSEKSSAKLRYPCDWPGCEKSFARPSDIVRHHRTHTN DRPFACNVQSCEKRFIQRSALTVHLRTHSGERPHACEFDGCEKRFSDSSALAREYTLV TARTSVKQNIAKKGYYTKKRFLARHIRTTHGPKGYFQALPENYNKGQLIKRKPSIIPE EQPQYQYLLSPPSSQCSTTISPQNSPTFSLSPLSQPSHGPPSSESLSQYLFH PHYBLDRAFT_61173 MMGYLYVWYLYRLLTMNYFSYYFSKRVKLAEVKNMISLLVFLMI PIQLAIDTTSCKIKYQEGLFGSSGTIISKPEHLWTPSNQMLVTPTYYGSSVVLSTQMC AIILLQCYWDYLSKSLIRFNFMKNTENLFYMIWAISNLIVFPMLQWFLSQISSDYMWR ESIPNLIYGIEIMVLAFVGLNSHLRFENLLRNSTSLENLGPIEYVVRHFQPANVLMNQ QLNILLTFALFISSAAHIALYCDGLMGQSPISHKFISDFLICNISTSNILIWFLVVLI IHPNLTIVQGSSIILLSEILSESRDLTDPVNSHGPVSDIESICAPF PHYBLDRAFT_177367 MANLSTNIAGLHLPNLFFNASGVCCQHEHELKQLLESDSGSLIT KSATYEMREGNPSPRYVPLPAIQSSINSMGLPNEGYEYYLAYAKKYDYSNPKPLFVSL SGLSVADNKKMVEGFVAANLPCVLEINFSCPNVPGKPQLGYDMEAMESALNVLAPIIK KPFGIKLPPYFDIAHFDTAAAIFNKFDNLAYLTCINSVGNGLAIDFETETALIKPKDG FGGLGGLMVLNTALANVNAFYRRCPNKKIIGVGGVTSGKEAFLHVLAGASAVQIGTQL KEEGPKLFSRVKKELEDIMVAKGYKSLEDFRGKVKTL PHYBLDRAFT_111402 MDRPEAIKLSTAPVRKRKKNNTATTTATTTPITTTQTVSTGTAI TTSTTATKGGRRQTNRVLYCICQKPYDASRFMIACDECDQWFHGDCVNFTESESELID SYICTPCTKGTLIADLA PHYBLDRAFT_167127 MYNFEIFLRVISVGLIFVTKTSLILEYFLQVCIQQILPKFLINT NMQGKHTESWEYTPTCPTKIGLLTNQVSHFAVYGMEYSRKFTSIFFSCKTKGLRYFRN ANDRPIHSILICNNWMSWQQETCSILNRRFEVAMVGQKSSIIDKDQAQQHKAPQ PHYBLDRAFT_132918 MLDLPGGYESFKERLKIRDPGFDKQWHIINRDHRGHDINVAGVW SQNITGQNVVVAILDDGLDMDNEDLKDNFFAPGSYDFNDHTNLPKPKLFDDTHGTRCA GEIAAVKNDVCGVGMAYGAKVAGIRILSADITEADEAAALNYKFQQNDIYSCSWGPPD QGEVAEAPKGIVLDAIKNGINNGRDGSGTIFVFASGNGGANDDNCNFDGYTNSLYTIT VGAIDRLDRHPYYAESCSAQLIVTYSSGNGGHIYTTDVGGNSCSDRHGGTSAAAPLAA GVFALVLSVRPDLTWRDMQHLCVRTAVPVTLEDDDWDVLPSGRKYNHKFGYGKLDAFA IVEAAKNFKSVGPHTFLETSAGLLEKRIIPDLTDFKNGIDVSKALTSVVAIDQERLDS VGLGTLEHVTVTVDIEHGRRGDLEVFLESPNKVVSKLGASRKFDNSKDGLVNWTFMSV KHWEENPVGDWTLRVMDIKNPQYTGSLIQWSLTLWGET PHYBLDRAFT_61167 MSYNRPTMQSPRQGTPPVTTEGSKWQTWSASQVDRTRSPAPVKQ ASPPPRHQNAYQPQQHSSYHKQNPYKKHSEPPSSPQQRKQSYVSSTSNQHNSSALNGA QENAKPAHEPSSTPNISIKLSDILGGSVPASFSSSTASSNDGHASTGPVFNMTIADIM SPSLTPASSSPARSPAPSPARSPVIFDRPTLKAPPTTTIRFGDLCANGTKVDKPNTIR LNDLGTTKPLSNESDHSVPISRSSSSSTSTITSTIRLNDLRSGSSLSSESKPANTISL WDTRPVSKNQNSVNEHHLNGRFQEKPQHSMLGDRSVDAMAEKMRDLKLFNQANTIDHD TRNPSKTGSLVDGHNPSTKPAMTEEEIASQVYSDDIIADRKANAERKLRQAHNRGWDR EKTVPRF PHYBLDRAFT_74487 MLSSSSFLKAAKAVAPAVKTYVPARTYAQVGTWASQKVAMSNLE KDKFINYQRIEDNLEIVRKRLNRPLTLSEKVVYGHLDDAENQDIVRGQSYLKLRPDRV ACQDATAQMALLQFMSAGLPSVAVPSTVHCDHLIEAQVGGVKDLARAIDINKEVYDFL ATATAKYNLGFWRPGSGIIHQIILENYAFPGGLMIGTDSHTPNAGGLGMVAIGVGGAD AVDVMADIPWELKCPKVIGVKLTGKLNGWVSPKDVILKVAGILTVKGGTGAIVEYLGD GVDSISCTGMGTICNMGAEIGATTSMFPYNERMGDYLRATNRSEIAQYAKAFSHNLRA DEHAQYDELIEIDLNTLEPYINGPFTPDLATPLSKFKETAKANDWPAELKVGLIGSCT NSSYEDMARSASLAQQAMDHGVKVKSKFTITPGSEQIRATIERDGIMETLTEAGGVVL ANACGPCIGQWDRKDIKKGDKNSIITSYNRNFTGRNDANPATHAFVASPEIVTALTIA GDLTFNPLTDSLVGADGKPYKLSPPTGDELPPRGYDAGENTYQEPPTDRSNVSVAVDP ESNRLQLLKPFSKWDGKDITGVPILIKVKGKCTTDHISMAGPWLKYRGHLDNISNNML IGALNSENNEVNSIKNCFTGKYNGVPEVAREYKADGVRWVVVGDENYGEGSSREHAAL EPRFLNGAAIITKSFARIHETNLKKQGMLPLTFANPADYEKVQPADKVDIIGLTDFQE GKPLTLRLNHEDGSKEDIKLNHTFNPGQIEWFKAGSALNLMRQKAGLV PHYBLDRAFT_100400 VGKGSGGSVRLIRRSTDNKTFAVKQFRQRSPNESIKEYTKKVTA EFCIGSILHHCNIIEALDIIQEGNSFFEIMEFAPNDMFSIVMSGRMSPKEVDCCYRQF LDGVAYLQSMGIAHRDLKLDNMVLDERGIVKIIDFGCATVIRHPYEKTNRMCSGLCGS DPYIAPEQYSKSEYDASLTDVWSCGIIFICMSIRRFPWRIAIPDKDKTYSDYLRTCLS GTGIVQIFQLLPGYSRNIISRMLAPDPDKRWTLDQALGDSWLANIDTCTVEHPTQAH PHYBLDRAFT_61164 MTRDNQSERWLVIDPDPRVLSKLCLSMGVKGVQVEQIQPSNRDF IREQRPVHGVILLLHQVPPAINGEETSSNGIYFSNQIVREGYATHALINILMNCGEMV DIGSTLKEFKEFTREFTPIEKGHSLSSSHVLREASNNIAKRQESRGVFHAITYVHYGG FLWELDGCRKSPSRLVACTETTWLGVAQNELRRKADMLYRHQTPYSMWAVIEDRRQVY HRQLVGKAYLRQAVENELDSLYPAWRVTLNINRWEEEYQYHMEHNVNQAGKELVRERD YCRVFEDLPVDEQISIQTSIKTDVRGWSEAELTDRWMQTQDESLRLYERLGTEYQKHK EYRDDTVRRKYNYEPFIEAYIKCLAKHKLLDYNQAP PHYBLDRAFT_180961 MRNPLKKRSKRHQRHALQQDSGFTPEQFESPEIPIPWSAIGLAT LLFSLGSLLIVLGVLIKLGYIVSDVWLDRGIPFLVLGSIMFIPGVYHLYLAYYAYYRY PGYDFAMIPDWD PHYBLDRAFT_124155 MEGLWMTNPVKQGLRHLVRHETLWTAPLVYLLFGSLVRWAVALH PYSGQNTPPQYGDYEAQRHWMELTINLPTKDWYTHDLTWWGLDYPPLTAFHSRLCGSI GSMIDSSWFELYSSRGLESMGSKLFMRSAVFVSEMIIYIPAVLVFCQVQYGSKDIFQK HIGAILIIMQPALLLIDHGHFQFNSVMLGLTLWAINAFLTGYYVWGAIFFCLSLGFKQ MALYYSPAVFAYLLAQCFKNKQGLILFCKLGITVLVTFGILFSPWLGSLDSILQVIHR VFPVARGLFEDKVASVWCTLNIFIKIRQLLSLEAAVKMSLVATLLAVVPVSIQLYLRP SPYRFLYALVNSSLAFFLLSFQVHEKSILLPALPVTLLVLEDPVAVRLFINTAMFSMF PLLKREGLEIPYVATTLLWNYLLGDYPKSTLSTLRNTINVVYAGFIGWHFIDLTVAPP EKLPDLFVVLNAAMSCGLFCILFGYFLYRQWTCSTTTLSTIKSH PHYBLDRAFT_111203 MTSRRVVVTGLGLVTPLGVGVNHAWQNLLKSQCGIVSLSNTPGF ESLPVRIGACVPQGPKEQGQFTASEWLDKGDDRVMAKFTQFAIAAARQALEDAEWKPN DESEKERTGVCIGSGMGSLEDIVATSAAYAASGHRKVSPMFVPRILINMAAGHLTMKY GFQGPNHAVSTACTTGAHSIGDAMRFIQFDDADVMVAGGAEASIHPLAIAGFARAKSL ASGFEENPTESSRPFDSDRAGFVMGEGAGVVVLEELEHAKKRGARIYCELRGYGLSGD AHHMTAPPSDGRGAEKSMKRALARANLSPADIDYVNAHATSTAVGDAAENNAIKRVFE GQWDNVAISSTKGATGHLLGAAGSVEAIFTILSIYKNILPPTLNLHTPGGPDNKDFNL NYVPLVPQEGKPIRAALTNSFGFGGTNATLCFSKVE PHYBLDRAFT_111684 MALLSRQFLPFSQSCRRIFGTAFVLNLQRTKKSISFEPEEPTQK GRRKELIEAVLYGSKKVKEEERQTHSKMLARGKYVHELQKHKVKPDKVDAYIELMTSH LPYIANDPLNDVHLCGSWMIDIGEQDTFVHVWEYKGYPGHLQTMERLAKDPNHIRFLK ELGPLLSSRENNMMLEFSFWKTSPPKISNGLFELRRYSLKPGSLLEWEMYWRKGLECR RQFCEPVGAWFTQLGSLNTVYHMWSYPDLQTRKTSRESAWSLDEWPQTVYNTVRLVDN MTSYILKPLPFSPLR PHYBLDRAFT_61159 MNVARQVLSTITPKPSVFSKGGHNLYETLSVLPNYGVGSRVVPN KFLNNPTLNDSYYEVTKVHLKPGLAHGRAWGVQVLKGRTMADGKPVEIRGGLKFKWTQ A PHYBLDRAFT_61158 MANVLINGATQHVIWNLNVWESVHRDSQFTSRSYSCTLTWHSRN EMAFTESKVSEVFLAFLYLCVLLVIWRCYAKDEFIESRESSEQRRETDLWAVLRAEGG CKGIEKKWKSRCEKGRGTSTKNSDQIPIQITDLRVHPKNKCACNSIDRWKILQRCGYT VGNMMQCISVEQEIL PHYBLDRAFT_61157 MQTSALRSTKLPREPVSRDIHTLLMLEFSSVSPQLSSGSLKVKA HKTLNSPKMKTFLPEYPYYFPCLLDFLHIKRNGQNRKYDVYYGTSLPSKTRSSQCNSQ ENNSSQ PHYBLDRAFT_93314 VLSREVGHRVKSISASKFSGPEILSLQQGGNGIAQRIWLSKYKM TDSPEAESDNDVRLFMRQKYYEQKW PHYBLDRAFT_61155 MLVFGSGMFSKDGVKLRGHRCGVVGKLYKVLKKQETEGQLIVIT ISEFKASKTCSLCFFGDKKIIKQNRLKVLPLYLENNNMISISKSIWSGEGRPDVFIPK KK PHYBLDRAFT_167142 MKYSDATHSTYIRHLIIEKSKNQLKAPSEIAKELNILHSTMNSI IGRFERTGSVEYKSLGGDFRTIIKDHHKQFILDMIDSNNTITLAELQQELVNHFDDLE KISISPCVITSATLRESLSKEQHQLRRRRTTK PHYBLDRAFT_186558 MPCKDCERRHYSHKFKKDHLFPSDIQDPRQAPPEWLNFISNHFV DCVTLSGEVPAPTFHRQLCSSSIDYVFASSDLAPCRQSSSVSYIHPNWSDHCLVATTF KFTANHTTGKGMWRANPRLARNHTFCTEFDAYISISVFLLSPYMSPQDQWDRLKHMLT KFIKSFTRRRTQTLTTLEARLQKRASHIHLSRALSFRGRATVLNSLILSKLWHVLRVV SVPGTFFTKVRSLMGRFLQHRSFPPIRLDTLCLPTKMGGLGVLNPKLQQGALQLRWLR PLFQSTSSPSGLVLPWLLYLLRHYLPDVHPHLPFIFPDLRHPRFRTYTSPFFNLFAAC DLLPHDFDSTVINLPTCLDIPLASAVVVPHGLPAFPASWRHLRIRDAYEINTTLDILS RRLPSSFPRSPRILRKVLQRVDDHSLFLHAFVIRACLPQSILTEQFPDLMARTGTEVD PSTLLSALSPTFPWKRLSTRQFRSSCEVVTSSIEDVPHNIRDIKWRQFWSFILPYASR NIWFRLLHHKISCQSVLHNRIPTSFPSPNCSLCGTEIDSQDHFLYACPLKLPLWHTLW LAHFGFSPQSSDIHNALYKFSFPLPLDPTSHPASILGSVLLALWRHHWAFIFDQSPFV AANATTTANSLLSRLQSEKHLDQRPFSV PHYBLDRAFT_61152 MAKFPKLSLFLIKASLHQQWFLTWFLENINTSSSENMDIIDSTE DDEFMYNFGEECENVIDKIEGTTPSLVFDFSQPLPVPSNNDKKNLAFMQLIQDPHLGN KLLEHFLGIKADRYDICIRGCMQFNNENDIACIKCGEARYKNGQTSESDTRIPVRLIV QLPLARQLALCLADDKTRAEMLYRHNHQSSQDGQKADVFDGHVYQSMKHLFSGENDIA ISLSMDGFNPHNVPGSVTIVHATVLNLNPTISYEKNRMIQIAMLPGCTGPSDIWSFLE PMLRDLCLLQTEGMEVKTLMTTIRAKWAKLLNMASTSACCLEPRRAHWRVSETTIWQA VKTERGSMVWGLVCGKYGIKHPLCLSLATQREIGAAMVAAKSTIPISLHGVWRDMTKN AGFFRAVDCADFLLFVIPTLVTEHVQDSVAQKALLGLVQTCNLLMSWELSAEDQTLIR SNLVEWNLFLESLLSTADIDIGVFTIKQHIIQHYSQMIDLYGPPRAYSTQSVERAIGE YSRSIKSNSQVNVNAGNIMIRLAQSQCVAELTTIANTKTPPANLLVYSAYTNGWPVTE GGDPANAEYEIDFWGPLKNLTIFDSFEDGSHLSLLLKTFYDLKGKECSMLEPSIKTSC KAYLNGCVIDAAFNQSSTREACHVHVQLQVDMNSRRSRSYHPGYMHFFGKVVIFFQHV HNSKRWPLALITIYSVHLKNGLPITSVVKSKTIVIHTSDIVELVSLVPSNVNGSHYII WSSLKHSPKLTLGVLSNIQDFQTQISFFCKLLTFGDN PHYBLDRAFT_167145 MDMFEVDNTVIQLLQGIQAALISLKSGQEALLGHQEALEKKQDA MQLQMTSFYNEFKDREFPDRTIITSTSTLTGIIPRPVSKINDITLKHIYKMITDNLRI ELTEETKRIVNTCTKIICDQLAALPSVQHLGTNPAWSLLPQENKNRLCINHSIILRDN GIDFTRCHRNWASIARVSQLWRGRILASTIHE PHYBLDRAFT_144159 MLHEKLEKYNSAFEKIMEELEEPEKPEDPKSSAPSTTDGTPKKT NSDGNGSKFRWQWEQIQLAMDANSISMEANQMSMGSN PHYBLDRAFT_167146 MDKVRFHVANHTWKGYIEGNARNKFKEEKILREASDLAMTISAK DRGDEMMHIALYGHSEPEILNTDGSSSRQQAVAETLTGNEEDERENERVERDDDSSDV LLENSDNIYSDAVINRKILSFLEKQDRYLEKQAWLLYPT PHYBLDRAFT_167147 MKTVWGMSKGNIACIINGFSVILLDKFKYGFDFDTQQFSAENCK KIAEAIYKKSWVYKHIVGFVDGTMQKIHIYCLKYQAIVIPDDITSSLLGPFTWSTHNI RMFDKSRTLDHLILHLSTLTPERNSGLHYIIYGNQAYRKSPYVYRPFTVYEIKEHLFL MSCHQQKLFLQAVVEEASTCQKKPLAFPCASVIFLEKKEKQSADEKYGETLFIDEKRD DLLLLFAM PHYBLDRAFT_144162 MDPQTNITTWDEHLNEFQLAYNSSPHDSTKLSLFSAVFGREAHV LAQHDLGVKPVSLVGYHSSIKEMLSHAHSLIQLENLRSQAGNAITYNQHCTAPNFVIG NQVLIKFPILSNAAAGRSAKLSPSLHGPFLVVRSIGADRFDLLDPVSNKKHTNIHSEW LKKYSFRNEDISSS PHYBLDRAFT_144164 MEWTCISAGNAAVTNLLSLRSFLSTEVNKATTKTIVGQKPKTNL PEKVNPDSVPTAESIGQYSYQKYNQNQVNKLFSLVFSENQTAAATARETGINVWTAQN YVRLAREKIQADFDAATVETDESNGLETMEVEEFFENKPDATLEQARIIVMKEFSGLQ ITKSAIQKHLQQLADFNYLSNCVFIDEAGFNMHIKRTFGCSVSGTLTKTTVPMQRGMS INILGAMCKRGIVSLLLKKLTAVAAKKKRKLNIYTNAGEWPNWYQDPALPQLS PHYBLDRAFT_144165 MTEIGQSILDDIDMYHDKNDTSNEDESVSNSEYTMESMELDNTI SYKCACNFKDSEGEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDLVQF INTVIRDHDDIMIEPGAKISHGKTVDALLKSKSSVNGHEYDVCSSGCRLYGINDDLES CVDCSKPRYKTDPDQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQL TNILDGDNYKQLVQQGLFSNPNDIAIGLYTDRLIHQPTHLDSFLIFIINELRDLEAHG LVVKHNGVELCRSKVYLMLASGNIPAVADMVHIGSHTSLFGCRFCETKGKCPTNRRHG MYFDDISTQLRPLEDFKVGNLSKNIYQPSIYTQLSTFSGSSFFALDELHLIARGIGKL VYDLITVTLTKETKFYYTHSDNILNTTKYPFHIPRADLVTIGNCITSSQKYIPISFQG SFDNVFAKIDGTCAVDWLHFLLYLVPTFVVSYLPNRAVKTVLLSLVKGCALALRWTLT SELLDEMELYFKHWHSFLYQKVQNNTLSRSVFQPVQHYLVHIPYIIKQQGPLQCYSTR SMERQFAIHNYTSMAISICDEINLIRPKLYGRESYMDLPNDPCGAQLWEQFHQFVNLN DDSVEGVGDPSVKEALLKYYWRTTGLTDHKFGDSVVVVAARLWMDSTVHFSCMYQRKK NETSRGNHYVIFTCPYRNNRNVIVYSWLVSTVQFYFQHIDFHSFPHFLAFVEVIKEHD AAGHDSSVLIIKQQSQSTCTLGHQMQSTYAVISVNDICHQVGLVQYPLNGNQFYVIVP YYIFNNNMHITKGKLSIL PHYBLDRAFT_167151 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSVK DLTIMQQSIIEQSSLECIAKFVKRAQLTEYSDQLGKQVINTGGKFKGKNKAQKYNLLL QILHEQNWKAHYKEFPQGQPLPPLVPLSDHDLTVKRLHLKTLGRIVKHDIIDNDYLVA SKEWNNIPEKNREYYMMHLERLVKNGGLHIYQCKRMWCARSLLWESFKSNNQTHKRRM AEKNKKQQDISDSLLSSSDMSETGDYESPIMADVLSPPLTASVEPARKRSRRSNIFVL IYYTRELFYYIVNVYFTEQIQFN PHYBLDRAFT_144167 MSSFKFVYEDSQEKSVNEDSSQALIHIIDEDYHGLETIATHSQF LESRNRDRISEVSESNQTENQNIIKFFKLKDEKLLSAKTAAKQLNISARVAQFWVKKY NEDPDNLFNHDKKEDTSVYVKDVMDYCILVFGNINVLKETVRRFMANKCNLTLKKIYK QSVAKNSEENIEAKYNWILQMKKTSANYMTNCVFLDKSAFDINMWHRREWFEKGKKEV TTTPTTRFHPLTIFGAMLAKGLIYISLRNPKFCKQFSSAESGSKGTVARHFFMFVKAV FDKIDKYVNMKGNFLVIDNAPIHQSADISLYISSR PHYBLDRAFT_144168 MQFYVFFHSKLDLLDICESGHFFQCKDLTATFKALQVSDVERDL DLTTTTATATATATATATVIPHCSSCNRIGHQWSNSLQCPNNQRNRNFVPSQLTTTHN MAQRTTASATIVTGSSAGKVVLIPRIKLNPTGLIMSIEFKQCQFPVCLAFAMTINKFQ GQILDKVGLYLPDHVFGHGQLYVTLSQVQTQ PHYBLDRAFT_144169 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAKSVKRAQLTEYLDQLGKNEAQKYNLLLQILHEQDWKARC KEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGCTVKHDIIDKDYPAASKEWKNIPEKNR EYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRMAEKNKTQQDISD SLLSSPDMSETGDVESPIMADVLSPPPTASVEPACKRSRRS PHYBLDRAFT_61142 MTEIDQSILDDVDMYHDKNDTSNEDESVSNSEYTMESMELDNTI SYKCACNFEDSEGEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQF INTVIRDHDDIMMEPGAKISHGETVDALLKSKSSVNGHEYDVCSSGCRLYGINDDLES CVDCSKPRYKTDPDQSQTPAASMKLISSHKRTPLLQSKPGICSWSANRHF PHYBLDRAFT_61139 MMEAQVSTSRKAEVNRPENTKRSYAPKQKEYKDWCDEAFSSISL KNCYTVYGDKLHLFLKDCVVNRTHRRDTGKTIKYGTAMAYLAAIVDMYQKQVKVAVGQ HEVHNERAHRAENQDRGLGTLVDGYTTENEIASIVSYYFLRSSGGHPLWQHGVFHDPK YIEFKRSVKAFVETDVEPTDILLQRALPLMARKLADMQNADSGFRREVLREFGVLHQK IDDLVSGRIPLWSLQEDGGERGEARSTEVQAFPVPANNSGPISQLSDIPVRYRMSRDV QTVPDLWREWHVGLSGCVSIHEMETRRKRIVNRIKKYMVDNSSLVGSLSESVTPLKTL PHYBLDRAFT_167158 MIIDPMHNLFLGTAKRMMDRWVADEIIADKKLAAMQKSVKKIVL PPDYTMLRNKIGKGFPYMKADEWKSICKEDIESAHTHLQQFCHKCKSLYKLDLLSPNM HLHLHLWQTIVDFGPVYSYWLFSFERYNSILKNIQTNCKSGFELTYMRRFVEETYKAD VVDHVMGIADIHSYLDIFKKNPQQHLFFSFSLTEFVAITQDESRMVKGNEPLPPATFP LVVKSISVMPEHEYNCLVEYYQVVYNDYNISCCKKAMTSPAFVNDRIEVLKSIEILRQ VYKGCNGNGHGSYIQALFQENRTNARYGYVGEIQYILVHTFSPSTTTLLSASYNNQHT FDFVRWFKTTSDMHRQPEDIEIYHDNFYKLDFQSILPIHRTLLPVAIIDYKTRRNVNR KIAIPLPQKNYA PHYBLDRAFT_167159 MGLTVEEDSEMAFFGAIQKGAMLDSESDTEELFPGFPVHVLKVA HPSWRSNEFNKFLGLIDESMHSDHKAKGNAKPRMPRFLRGKKNVAVPCRLISSLSP PHYBLDRAFT_144174 MAPITPRIPRYNARNSLTQVAVGRVEQHLVVPAVTQEQCMTEMS TRLDNMGAMLGSLYNRFGQFIDVQRRNTKTVGAIAMSLASTSRQVFSAVAPSAAPSFD QMSEEETKVAVLALIREKIWKKTFRSNNPTEIAKNEARQK PHYBLDRAFT_144176 MQKNTRQETYKIVKACPPKRQGELNFSINLGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVKNTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVNNFFDIEMNSNPVFNVFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGTVNNPTSCYPFRDLQTMILFTFIDGDNDMISQQMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKIPIFPSQRISVPGSNGNAFAHINLLSNHLRFLMANPKK SKLISSMPNCTPNQSICLEQDVWFGNIVYLKTNNCSIRFLIESFHMANKNIFARGYLV RAISIVCYGIEVAVTNLRVEQISHVDTTPVERDHYYSISSSLTRLSPAHDFLLFGVHP MKKPMPLSVLPDDTSGNRSKQYNLLESWLMRCAALPFKDRNSIANIQFLSTIPKKDGA NGMSLLPAIVDDFEKLEKGVKMFSVEDNEYVLVVAPILWIEADMPCHSELCGLLGPAT TFPCIRCYIELRRAKVFVKDLSYFCECHERRTQEHYVLANSSPGRDTEIPNALKIGMN TPANKISFRDRLTGRLLELQSFDPEKDTPVEILHTILLDVAKYMVIDLVKVVLKNDTV TIARLSEFLTDYTRSTGLSRKFTRNLRHSGSFLGRDFKVLLQILPVILITEFTGNHEL DLVIPCFVELGRLCSLVFVRQVTSDFDNYIIRVDNAEDIIRFGPALNYETEKGEQLNK HIHKHLFHMNCQTTSRDVCLKFAKQKSGTGIERFIKDNNEFLFYYTFFGGSRELKDNN DTGDIEDDAIKNNSFGTFVFKDNSISHPRIGLVSGSVVKFLSIVPHTDNDRNNNYAKA VMTGEHSDVANMNLVCKLDLHIFCNSFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_167163 MYSSTNSKRGEQIQMPMGANSVGNGCKFNFYGSQSDVNRPIGNV KIFYPKKSNITGCLQFPILDTNVYSDTFTITKIKRIYVSPPEIFVIILGENWHLSNMI GLEAKIL PHYBLDRAFT_61131 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSVK DLTIMQQSIIEQSSLECIAKFVKRAQLTEYSDQLGKQVINTGSKFNGKNKAQKYNLLL QILHEQNWKAHYKEFPQGQPLPPLVPLSDHDLTVKRLHLKTLGRIVKHDIIDKDYPVA SKEWNNIPEKNREYYMMHLERLVKNGGLHIHQCKRMWCARSLLWESFKSDNQMHKRRM AEKNKTQRDISDSSLSSPDMSETGDVESPIMADVLSPPLTASVEPARKRSRRSVNAYF TEQKLTILLKPQRKNKRLC PHYBLDRAFT_61130 MTEIGQSIPDDIDMYHDKNDTKYTMESMELDNTISYKCTCNFED SEGEAHIYDSSQISTNTFTKAELMSIHLSQLMLQHRIAKAAYRDLVRFINTVIRDHND IMIEPGAKISHGKTVDTLLKSKSSVKGHEYDVCSSGCQLYGINDNQESCVDCGKPRYK TDPNQSQTPAASMKLLSVGNMLSQMLADPATRELLCYRANQESVAGQLTNILDGDNYK QLVQQGLFSNPNVIAIRLYTDRPIHQPTHLDSFLIPIINELRDLEAHGLVVKHNGVEF CRSKVYLMLASGNIPAVADMAHIGSHTSLFSYCFCETKGKCPTNRRHGMYFDDISARL RPFGDFKVGNPPSIYIQLSTFSGSSFFALDELHLITRGIGKLVYDLITVTLTKETKFY YTHPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIPTSFQGSFDNVFAKIDGIRAVD WLDFLLYLVPTLVVPYLSNRAVKTALLSLTLTSELLDEMESYFKHWHSFLYQQVQNNT LSHSVFRPVQHYLVHIPYIIKQQGPLRCYSTRSIERVIGVFSKLIKSKSKGGQNASFL VKQFAIHNYTSTAISICDEVNLIRPKPYGRESYMDLPNDPSGAQLWELFHQLVNLNDD SVEGVGSPSVKEALSKYYQRTTGLTGHEFGDSVVVVATHLNRNVIVHSWLVGTVQFYF QHVDFHGFPHFLAFVEVMKEHDAADHDSSVPIVKQWSQSTCTLGHQTQPTYAVISVNV ICHQVGLVQYPPNGNQFYVIAPYYIFNNNMCITKGNLSIL PHYBLDRAFT_144179 MLHKKLAEYNSAFEKIMEELEEPEKPEDPKSSAPSTTDETPKKS RGRLTIKKASKIVNMKQTTAGDYILK PHYBLDRAFT_144180 MSTSNNSLEFVMDKHCEMVSHSRSADQTQFSGIAFDPLCNFNQE IVDKMHGEVVLRYVTDIFVNHANMEWTHTSAGNSAVMNLLSLRSFLPTEVNEATTKII VAQKPKTNLLEKVGPDSVPTAESIGQDSYQKYNQNQVNKLFSLVFSENETAAATARET GINVQTAQNYVRLAREKIQANFDAATVETDESNGLETMEVEEVSVPKERKHGNQKLFQ DSCHGRVLWAADYQISNLEALGEEVCSDNKEVREAA PHYBLDRAFT_144181 MHIKRTFGCSVSGTLTKKTVPMQRGVSINILGAMCKREIVSLLF KKPTAVAAKKKKKLNIYTNAEVNGRISIRTQHYLNFLSHTIDVLDSQGMQGCYLIMDN TPIYKTNEVKDFISFCGYKCAYFPVYSPFLNPIEEMWSKIKFGVCKEEITESDGFILR ITESAKTVTLLDCLGWINHAISFFPRCLNRERKL PHYBLDRAFT_144182 MSILILRNEIILEGLGIRGIRSKISQETWGTTLVPPDMSLGRLT ININAHEFSTARHGSPLDQTTEGIHRLVSITRNKLQLDLYTTYVTTDMYVALAEWLTR CPAKALSFGRAGSNPAGDVVF PHYBLDRAFT_61127 MLPSSYPAPMGERKLYNISKYLSAPEIFFGGPSSESLYWLLHMD RLKKDAGMTNKEAILVVATHFYGMAAKWWAICEAKVTTWEVFSEEFKKQFASRQIEDV RWTEIDKTRQGAGQSIGEVTIHLQELFGLVALANEAQKIQILLETLHPEIAYEVEKSG LSRSWDELVHLALAVLVLALAVLVLASVVLVLVVLVILVVLMGSLVLVFVGLVFVVLV LCLVFVVLVLCLVWLALLVLKLIVVSMFEQEQRFRKRPRASVSFADAHNQPKVSVSED TAVNMVETAPVYAAKHARVVDPSLSKGLYTHVIFLEKKEKQSADEKYGKAVNKTVLLH TLSNTLPPLQQHLYAQGQLNTFPTNDTYMTLAPDLSPPVSSFPLAPKPHRLRPSPREL PVHISHNTAKGGPIQYTKS PHYBLDRAFT_167168 MSTQLNESHSLLEKVYHNMGATNDQNNNSNHSPIGQALTTGEYI KYHLPTVLRLICSQTQAVLATMPLTVNKGTFSTSNHPIADQAEVKTVSSAVVEEKTQR HISYMLQRAKSLPEKIAQQNQISRRRSRKRNILADYKAIHLADKANLESKFGETVIDL LDYDMLSDIESDKEKNKTRYTPRNRHPLVDEYFTVLKKQRLANKEPDVIGNSVYPIIL RNTKLLNEKKVDVAA PHYBLDRAFT_167169 MSSQHSAANSLPREEQSPLTEVGIPSPVLNEQTHLTEIGDISPL LGSTALSIDGDIEMIYTSLDSLPPLSVVGSVPPTPVPTVPSAVNSNTSSSITLEMLLA SAKEDLSIKKNNFYVAYANYVALSKVNPMSDAARHASSIKKEAQELFEDAQKTFKVLE KANAPPAILEDKKSMVVPSNLPFLQLCTETRVKQNRDVFDSVYDFCQEFTMVLESHSL SLDSCWERLLPICLNKEERSWFEDKLKGKAYKWKKAEGILLDHYDTPFRRFLNMGRVW KMMQKKGESVRAFGAKFQTARRQASLEDGIQMVLCFWWNLRPEVREASLIPLLANYGT KLPSKVEDIISLVSVATSDSTALLNQPAESGTPAKWKSFANAHSISSSISHKGKKRAI VRDNDPKHAKKSWNFKKAIKDNVCFSCKGAWEKGHTCPERDNYLTKVSRMAVRSPADR SVASSPACGGFPPSRDSHFSVRGNSSPVGSSSTSWSMDQDNTSALAKMALNCKDNHKD MVIKKDFKNVSTNITFPILANNSIRTIALLDCGATFSSVDKNFCLQNKISINYVNHIN KDLVNNSNVHKYFIRLADSNTHIKRIGTCVISITCNSKTIQREFEVMNLTNSYEYDFS IGTDYMSTLGIGIYGLPLSYDDADSSKERREADRRFNNKSDLLESIERENEQKENNPA VGPKQFEDAMDYIRPFIKDNQDIPKGLFCTIPESVVCLDTPENAMAFRSPYPIPYKMQ GVVDEQEYWQRIGEAPESIKDINKANKRLLKDMKVVNSIPKEKSGIKRKNYAKTALQK KKRIKQLSLQEQMNNLFILLMAKKMINVGY PHYBLDRAFT_102084 NLPREERMKPENIILVGVMSGPKEVKIDQMNNFLEPLVDELVEL YSGITVKTAAFPNGTIVCAALMCVACDIPAARKTAGFTGHASTNTCHKCKCHFSVIAG SSKIDYSGFDNESWVPRTKEMNAIYADMWACAESNAERADLEKQNGTRFSKLHCLHYF DPVWCTIVDPMHNLFLGTAKH PHYBLDRAFT_144188 MLYLLTTSSGFNLKTFIDSAEVTINNVKGNETLPPFTFPFGLGK FVQMQEDKYAHLLKYYRMSYCDASLRGYQEVIFVMFYEINNHRRSTFTSQIQYIFVNN IINPVTYQVDRHIFAYVKYYRTSSQDTRSKQFVEISKFAFTRNDFQNSLPVHCILMSA ANGVYTTATGNTHMLIAPLYRKIYT PHYBLDRAFT_144189 MFNFNKTKQLTILPRNSDFMKTFEGNADHCDLNYHSIQTRPVKK SRFVLIKKPIYMEEEETLLVLKKYFVDEMTMAEAGKSVDMPRSTAGRVIRHIKSRLNL LARRFRMHKYASLEEYEKTLCKYVKTMLLQEKL PHYBLDRAFT_144190 MNMIFDATTMDIVDDYEERTTYTMANAVLHEWEVTYPNSGNGIQ TLVTKMDFEQTTTNEETCIQGSDVETLEEENEKTEKKPYKPRGTYCKYMPEQVQGLFE LVIENRWTAKMATEKMGINVRTGQNYIAQYRKDEEQCLPGSTGKRIVGAPHKLLQQHS FFLIAYFENNVAATLQEVRTALLEEFQDLMITLSGLQKHLVNKCCLTLKKLEKLPAAR NSEWVIQLRKNKVTEWLSIPDFDYVRDCVFIDEAGFNMHIKRNFRRSTRGKPAKTTAP TQQSVSITILGAISQIGVISVTLRKPQAVVSSKKRKLDKKVEKINGHVGT PHYBLDRAFT_144191 MALSTNTPEPPGVKNPSTTGSSPPLPTSFTPISPSLTPLYSQVA TQNALPLLKKQPHVIFSSTNNMTPRTWRVGSSKFSVFFTVPPKSSPKFDPFWRALLSA YPREVNMGITLGSHSSPDTCELHLPTSADCKQACSQPLVVGDSSFPAQPAVPIGTIVH RVFLTKLPRVPYHDLATQLAKCMSPFGKVWEIAIHESYGFFDGSGYVVLTNTPTNDVL SDSLTYQIAYNDTQKILGKWPSMGSHCTYCKEMGHYVAKCTKRPAKTRMCFGCNKTGH LQANCPYITDPSKTSKTSNKRSRHPNRNSKLNRPIIAPKPLIPTELSLIYRGLEASKH NPRQPALHELSKLSPTKTTFTLPAPTETPMSSGPRPQSRSVDTPTHGWVKEIEDRMIT NLMDRDEAQALQLQSASHHTHLRFPRPARPTGRNTSLSPPHFTHPQATKALGAEGINI LVLQETHASSSMLQSIFDQQFRSSSSLWSPHCGVASSMRLLPKLHVIVFLPLYCTPDL IPPNPSNFILLGDFNHAIHYHYALGRRAPADWLQFIDTNMTDCITPRGQHPQPTFHRA LSSTTIDYILASSNLHPCTTDPQVSYIHQKWSDHCLVAVSLSLPSTKSSGKGLWHANP RLAQSLSFHTNLNTLLSTLVPALRADLSP PHYBLDRAFT_144193 MPSTVSSPLCTICQVSIKTQEHFLLACSLKSAVWTGIWLEFFGT IPLPSVLSNTFQFFAFPPTLNPAIPASSVFGLTILAIWDHHWSFHFNSVPFLPSAVLH TARKSISRLCSELELDTP PHYBLDRAFT_61119 MTEACVDFIPTRTNKIPSATAKINVLINYLWKKKQEMDISIFLE EQKLIQLQFYMYTRQCQHIMREDGILSREVQGEELRLPFTKYRQYFVFNHNYLKLLMN ILELYTTLE PHYBLDRAFT_167175 MLIIILILILTIILISIIIGKFLEKPVYSVWSSWNMESWGKKLI NEYLKKQKFSSSDQRKVAANAAKLGWVLTSYFTGGYNHGLVLALTAYLRSQPQSAGIL TIDLARIVKNHFCNQVHESCRMSLSANRKRTASKRQQHDLLLICQHFMTYLKNKEVIN TVTKRDNCAYILQKAAMSDD PHYBLDRAFT_167176 MLVGALAKIVCMLDVKANRSKQRNKKKKLARIDLEALSDDTCKK EFRFTLSEIKAMCATMNLPLYLEFKHSKSYTVRVEREFAFALVLYWYTFPQKYTTMKS VWGMSAKTLGLIVNKFTELLRNKFKNELEFDTRQFSSENCKTFPNVVGFVDGTMQKVS RPSSYEDQKLIYNGWKHIHCIKYQAIATPNGITSSLVGPFIGSTHDARIFDESKTLDC LIVHLDHISKDDNVLFKYVVYRDMAYPKSDKVYKPFPLSEANNDKLKKINKSIRKTRI QVEIEFGKVSQLFKFCKYNYGIKIFANTKPATIYILSNLFKNFHMCIKGSAGSKLFKL QPPNIHDYIKGLMHEYQPEDTIDNYKTILNNASNLVETVTVPDN PHYBLDRAFT_167177 MQSIYDCRPFANDYSNKSLIWDEVTADVNSVEHTHLHPLTAKGV RARKNLLFKKLNLVVNKDNERSLPVFNPHVPENLQRLLYNVYTAIYYGLSRVEGCQRQ GNQNKRKRKKGYSKKMMVIATYGQENLSSDNNSSDSDSDSDSDVSHGRKNPQSASTTN KSASLLKQQLDILQQQQHTNNLVLRELKKTSQSNAVLVESNQAIADSNKVITESNSAL AKSLLTIADSISAFVESYKNNK PHYBLDRAFT_158527 MFTCPYRNNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDSSVPIVKQWSQSTRTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFY VIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_167180 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLSKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSGHDLTVKRLHLKTLGRTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQRDISDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSRRSVNAYF TEQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFFFEKW PHYBLDRAFT_144199 MSTIPELYNKKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCEKAILTHQSGALEESYTQTNSSVWEGASMSDTEDVSVTNDTISNGDNDDSGSNSNE ISEDKSEDNVIELDNNELISEGQLVVDKGAIVLIEFINKLLTIYEQDFQLPLSLPGLQ CMTGFSVMTKGIKQFVVCQDCHKVYKESASVPSHCDFVKLGAHSSCNCQLTKTSALSA LVAKRFRDKIRPWNHELKMVNMMCDIYNGAMWKELKDKDALWRRKW PHYBLDRAFT_87100 LLFVDSSSSTEKSLLFKALLNCVRAQNKTVFSVASSGIAAILLP GRRTAHSRFNIPLNINHNSSCSVVDSAYKHISFAGKMFAFDCDFQQILPVIKWATLSD VVGVCLNCATLWRSVKVLHLTQN PHYBLDRAFT_61110 MSQTTQYSRSCRSQNYSCPSCGLTEYRQSTHYSCTNNTINISEN ISINNKDRIEEETSFHVVKSVSEQIVIDIRTCSSCDSNTNFKIIHHNCPFNPERVSGM NENASTTLQYRIAYIVSFISESIIWPNICLSEGDQYARRSTLFSGFDPSTIKTTQNAI NLCNAFIHTLKSVAEQFCDQPIGTLHVIFREAREIERHYNIQSSPEVAAMIIKESVDR VALLHNIIINNCITGYCSISLMNTTYITFHYVSMLSFGDNEWNMDLKCLSPNL PHYBLDRAFT_61109 MCKTVSQNIAYGLTVSAILTHLGLSAKNMTIIFARNVINQRILN TILVRWAFQVYMVRSMGKNNGVLNDNEFDICMIAMSVSFKVEFYDMCVVAQKRNLSKS FVLRESGMNCDPEEGHK PHYBLDRAFT_61108 MNAVLNSTSAGVVAAIDTSTPEVAIDTASEVQVAVTPIDHVLTL LAANNVSIQSLQENAKGVTDAITHLKNVLDLSNKTNEFLKNSVLQLMTANAKIKKAMT SQNSMMPSAVLANSSSSMDDDLDLGVKHHPLISQLINSYIKKPNFVSTDLLKVAENNN RSAWSMTGTYGNKYNKTLTLALFKYLRPQRCCTNVSKSVIMNIIKNHYQNQVRVFWTS AEKIMARNKAGRRHNRKKTLLDRRIITYQTYTEAIHEGMNRYDCENIFSIDVMSDGKS DGDNEVWAYRPRWRTDELQTFISTIDELTVIRLKKNSESLKKCIPYKKEVSISENLAV TLPDWCFSK PHYBLDRAFT_144204 MEIYQDQKKWPEVKENMLEAYLKYQHTYYQGGMENDNMPATTNP LTISLKDKRSPLPQQHWFGTIDHPQLVADTFNRSVAVYWNTPRETGDCLFVSLTTTPE KFEPIIIILDINHFLLAKRKPIRNFIWPEINPFHKVIVKRYGLSNNSIMY PHYBLDRAFT_167188 MYVYKYSHFGNRISNYAESARASLKHSLGTSSSKLMTVTLKIDD CKCRLMTECLGESTEVVFDKVNGARLNDIRQKISCFAMYKIKLELSKSIIPEKLTKEK DYLEGEDHLTINNAEPVPANIAKITTISPQFEYDLELVHEGFHSTHSKQEQIDIHNLV KNILEKMTKQKLEDLNGPTIVEAIKGQPKNTKHKMIALEHCIEAEKEKDTKKLK PHYBLDRAFT_167189 MTNTRCERAIALCPVEITNQQLMEILSTVRADMSTIKGQIGNVE QTLTNMNGRIGVLVTTSTNTISAIDSLARAPLAAPMRAEFTIAAPVIISNHEPTHE PHYBLDRAFT_167190 MSDDEIAETNALTRRAARADNNECRHVLTYKDNKEAIDLVMLRN CANTLQKTAISDGESANEMDNDGIKHVIHICNRFIALVDTYAVQAMRSSANQRIRRIT TSVSNSAVLDSISPNFPQWALRDGL PHYBLDRAFT_167192 MSTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFNPLCDFNQE IVDEMHGEVVLRSVTDVFVNYVDMEWTRTSARNPAVTNLLSLRSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYQKYNHNQVNKLFSLVFLENQTAAAAARET GINVRTAQNYIRLAREKMQANFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ FFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMNKLEKLPEKRDDIST IEMKRDHILEWQQFADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKTTVPTQRGV SITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGQIGTRTQHYLNFLSHT MDRAKALSMSFMSI PHYBLDRAFT_158528 MTINKSQGQTLDKVGLYLPNHVFGHGQLYVALSRVQTPDSVKIM VDIDSISTETTSN PHYBLDRAFT_144210 MRSKLDENMNKVSLRVNEEDNNVTKKSYKVYSPDQKVLFLYYLQ VKLFKAAKAARFSDVSKRTGQQWTKRIRDEPEWNIFEKQTNKDKRKTGQLQEEHKEFI IDLFDKNPQTRVEDVVVSLQCSFANFSLKETSVRNFMTNECNLLFKRATLQTKERNSG KTLQKRFEWVEQWTTTDMDFLSNCVFVDKSGFDINMRPPSAWSKVGTPAIVETKSTKG DSHSILGAISSIGVVDIELRVTEKPKQCKVDGVERKRKQTSNPKR PHYBLDRAFT_144211 MSSSDTKNYNEEEKTVILLKKTCSYCDKLYQSNQKAVNHIATTH KKKVERIFSGNHNFGVYNQTNVQKYKRLGYTIVVQFGCVSCKEAFVMKKELEKHCDLK HVVTSQPEIGIITAPDDITKLGWIFCCPDNDHLVFQNNDITKGFHDFHNYVKTIIDEL RLLTYESHVQHILALSSILLLKPARTNSDLHKFIGREICEDLIEYLLGEYGIQSCEFD QYTQLAAEQIVKTCIKKKTTDFQDSQKLMALMVASNDVHNRVLFCFRNLLEQLPLEPI SQKVDESKLITRYVTAAINPLLENLMKHVMFRWTSVDNDECKSSEMSLLLARPDSMVS MIIGTEIGQTVGFGEVKPVLQVLNHKLVGKDLVRLALLAKNAIDTYCSKFVLSFLVVG HHATFYLTDGTRNGLYPIVEIAHIPLPMSLKELPLFIAQADQLTLISSAFWSLCADQK TNQQSSLMPTLSNNEIASIMDTYANRKRKASKIYNEQGNDAMEWSEEVDPFHLKTLTT LCEYQQAQPSKQKQMRSKLDENMNKVSLRVNEEDNNVTKKSYKVYSPDQKVLFLYYLQ VKLFKAAKAARFSDVSKRTGQQWTKRIRDEPEWNIFEKQTNKDKRKTGQLQEEHKEFI IDLFDKNPQTRVEDVVVSLQCSFANFSLKETSVRNFMTNECNLLFKRATLQTKERNSG KTLQKRFEWVEQWTTTDMDFLSNCVFVDKSGFDINMRPPSAWSKVGTPAIVETKSTKG DSHSILGAISSIGVVDIELRVTEKPKQCKVDGVERKRKQTSNPKR PHYBLDRAFT_167195 MEFNVSSRVYVRVLVESPANCRNGSDSNTEIEVVQAEVVGTEVV ETEAAGTEEENHNSRAEYIHEYMQKYQHRRRLTAEIEVAQAEAVEAEVVGTEVVETEA AETEEENHNSQAEYMCKYRCSRQSRAVEENERVNNCQSANYEN PHYBLDRAFT_167196 MRHKVVFQDDSGHLLLEYYFLQPEFCQAQYQICVSLFGYTLSDI SKMYLYSKTTGIVLIILKNMFISILCQVFSVFIPAIKVCISCLLPEGFKLYIASKKY PHYBLDRAFT_61094 MTKHIPTAPRQSNLCMNAVLNSTSAGVVAPIDTSTPEVAVDTAP EVQVAVTPIDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNVLDLSNKTNEFLKNSV LQLMTANAKIKKAMTGQNSMMPSAVPADSSSSMDDDLDLGAKHHPLISKPNFVSTDLL KVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSVIMNIIKNNYQNQ VRVFQTSAEKIMARNKAGRRRNRKKTISFKINFLTVLQTFISTIDELTVIRLKKNSES LKKHISYEKEVSIPENLAVTLPDWYFQNKSFFSCQLF PHYBLDRAFT_61092 MKSPYFSSERWYMKPCKHMHLLHIHMSGFAFLSVLPANNVLPIT ISGEQFVNDNTMSIDDTTERTGHGYAIEAFEYAKNCLLTTRHNEQDLYQLMQYATEEE AEIIRAAYAAPIKAFQEIKAKYEAHFRTLNTQCH PHYBLDRAFT_61091 MSDTERTPVLHTKLRKGMKYSDATHSTHIRHLIIEKSKNQLKAP SEISKELNILRSTMNSIIGRFERTGSVEYKSLGSDFRTIIKDHHKQFILDIIDSNNTI TLAELQQKLPKEIFYSQNCIFINEAGFNFNLVKGRARVKAEEYALMPTKSKRAKNIVE GGTAGPIFKEFVQQLVEKLDAVNAEPYNFVVNNTRIYYNFGLREWLEQRNLHKLKFIP PYSPFLNPVEKCFSKLKNFVKKHPLNGQEMLVKRIKDGNNTITRQDCEGWCISDDFFA VASKFLSYTANLI PHYBLDRAFT_167201 MSSTIKQNFEECYCTECIKNYNGYTLVSKRTAQRHGKKAALKDA IRTFILNTGAQRHVMNFDAESIVVQESGSIEVLAHQSDLPVLDISPMSVDYEIDVDFN DMDFEYESNENVKDTVDIDVEEVDTEYLYENMFSNSNMSENPVHRFIATFIVLFASRY VVNKGTVVLIEFINKLLKIYEQDFQLPTNLPGLQHMMRFCELSKGIRRFVACEDCHAI YKENQSVPSCCVFVKTGACAACNCELTKKSSSGVLIPKRIFYYQSIKNTFKILFNHPG FEEKILRGTIIDPMHNLFLGTAKRMMDQQIERGVLGDRDFTAMQKIADKMILPRAYTA LKSKIDKKFAFMKADDYWLIAFERYNSLLKNISTNGRDGFEATFMRCFVEDIYKSDFV NSALTCPTQAPFLSALFKLVCSSIPVLTLTSASSTIVQPPFILQAFVNSSETARITTG FIHSIGAHFHLISIRFHLIRTH PHYBLDRAFT_61089 MAAENPTILHEKLEEYNSAFEKIMEELEEPEMPENPKSSAPSTT DETPKKSRGQYQKPTDKDIKKLLYLYFIRGLTIEKASKIVNMKQTTAGGYILKWRKSP VVFFIKNNKEATSDKKMNLLFETYSAIKKAYK PHYBLDRAFT_167202 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDANTIEMRRDRILEWQQLADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKT TVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQH YLDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_74204 MAMGANSVGNGRKFNFYGSQSDVNGILGNEPLPPTSFPLSVSKS SSMGDIDYPHLLEYYKLAYLTPDLVHYQNAFASPFFVDNQIIKLKSINILGQVYYGNN DITGCGSYVQSLFLGSDRSTETTFTCQIKYIFIHSFTPPSTSPYYEADSTHHDQHVFA FVNWLPLLRDKSQEKNRVDICSSTPSLLNYYSILPVHRISLEVAIANHTTGLV PHYBLDRAFT_167204 MVRAATNAHGRQAARETEVTLQLLRNGICVKQECHCCFNEKNCS GLMIRSVISEGKSEDEFPGRPCKRIVKVTCHFWRSDEFNNLIFNIDEIVKANLGNNIC QLLDRNLTRLSEKPVPNDVTLCFFPWTLRDGPK PHYBLDRAFT_167205 MSQQSAVNSTIVSGEETARVNSGVIPPPSAAGSADHDGDTVMTP LCSEDGAFDSEEGSSGSNAGRSGYEGANSHRIYLGNSGVRVDNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEQILKDLKASTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRDVFDLVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLDHYDTPFHKFLNMGRVWCMK QGKGESARSFGAKFQKFRCQASLDDGVQLVLCFWWNLRPEVREACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSHKGKKRSFS RERGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEREKYLTKLSRMAVRSSAGRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFPILA NNSIRTISLLDCGATFSSVDKNFCLKNGIVISYINHINKDLLNKNNVHKYFIRLADSN TQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSY DDADSSEERREANRCFNNKSDLLESIERENERKENNPVVGPKQFEDAMDYIRPFIKDN QDIPKGSFCTIPESVVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPEGIK DINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_144221 MQRDKFTSNDPALVSANEAKPRWETDVFFNRSSNKTIVANLLGY LPPKFVGQSIKTSEFRTMVHTNFWSTTRKDREDPVVIQILGSIILSV PHYBLDRAFT_144223 MTEICQIASNLSDEYKNLLANMKEMEKSMVNVCGELTTMHKAIC AGFGQGKGSQTSASVSLDNPSVAASFIVRIPAGIASEISCENKDKVFFVYIHLTPHV PHYBLDRAFT_167207 MPSSCHIAKKYSLQLNTAMKMMNNPLSNMPCIKNYHPMLPSLTC QRKSEQTPYLTFSVSFCSTHLFLVFSIKQIQYYTERHASFSDRYIAKLKKRLRVKSRK IYNKTFSAPRDTKKINLRV PHYBLDRAFT_111655 KLKSFIIGKYAKPRCFSKKNDMLLHYLYYYYNDKSWLKHAVFRD IYKIIDN PHYBLDRAFT_167208 MSGVEAASLELFSMFIENNVSCNVFDKCVKMMNKYMAECGLSVI NSLMSYYKIDTLLRQEYTGRPVMDDMCKKESNGKRKAVDWIDFLLFVVPTIVIDHFVF DRTKAAVMKLVTACRIAQQWRITAANIQETEEAIGCWHAFLHCEIKEKRLKPTVFVMI QHILVHLGYMMWEMSPLRAYSCRPIERTIGVYSTAIKLRKKSGKNMENLLLRKAAINH CLGCWPVICGKNDRRTSNFEATRSSLAELATAIGIECQNLVRSLVPFWAREGIVSFEE NDEVVCANKMWKDLVVYRAWSSVDSRHGRANNLAVLNNAREVTRLFAAIDYLSDVQRV NQNLFPAWDSLASDLVKVVDVKSIKGIAGLVHDPNNKAIRHIIWSSPKYNQ PHYBLDRAFT_144226 MDIDVEFGKDVPTDIKTPLESGRGYFCVHNTVDHVHFPSQYESD ENDENKLDETP PHYBLDRAFT_167209 MKQIVLTAEVNTGKTDMDVDQIEEYVEDVNHFIGAPSPEQYVHT YLPLLVEESLFGTEEYTSEYESEYESSDKIEPEEQDREEEQESTANLPENPWHRVIAI FTVMFILIFIVNDGTVILITFINTILEHYGEDFRLSISIPGLKKMMGYNDLMNGVSNY VACSDCHTLYDYSNTTQTCCNFKRVGSKTLCRNDLYKHMHETVIDPMHNLFLRTAKRM MNNWIACDLLDSNDFAEMQKEADSMTTPMGALTKPAITEEEIDKAHVSLEKFCHGCET MYKLDLLSPNMHHHLHLKESIQDFGSIYSFWLFSFEHFNGVLKGFQANQKSSFEKTYM KKFVEDSSKGDSYHTHLSTITNPSYISLFSKLTDSITGTIPSGNHQNSPSFFHLPSFL ESATNPEHQTFGNKLLPPSALPLALKEATTMRKAEYNCLLKFYKIEYDDETLCSAKTM LRHRISVYDRIQKIASINLPEQVYKGGEGLIMRGFYIQAKYMETNNNNKEIYAGRIKY LFTHNFTPNPIYINLHACHNP PHYBLDRAFT_167210 MIYLMKYQIMAPRTNINQNAHTNRSASRPLINTVNTGCIGSSNP MIAPTPDNMSIPVSEFNDVVSILATLNNKMTAVSSDVSELKVQCQVGAQSTGMQAVLD SDMDPQDIISSSRHPKISLIRENNDKPTWDVNVGLSDEFNKNLATAMVPPKELCGIIV NSYYNCLAASKLTEEDIQTNTTSNRRGNRKTALNKRRKRTYKKHKDAVTKKFNRDYNG VFYRDAMSGDETETDTSVVASRSDWRSNELNAVFDFLDNLARDDLGKRAT PHYBLDRAFT_144229 MVITFLNALLIKFKTGNNIPLENISHLLAGEQEIEQVPNNQTLR DIERSDETVSDNSWKLSAAAIHHAMTIYLTEGNEAAACIMYRSASEIVCSLLRAAFKL FHDQGESVPLRNNLKKSQKKNLIKNLELHASFRNIYLNRFVGSWGAKYMLSQK PHYBLDRAFT_167212 MSSTQCRCAACHMLGHSRSTHKQCLMNPKNISLHIPQKRTNVDE YPAESSQTAALRIRSEPVQDQNLDIETSTFISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEVTGREEVEEISTVNRGSILPHCPHCNGTDHRRITSRFCPNNNSSRARGSR NRGRGLNNIARLPAISEPAVDNRGDMDIECRFCGAMMWAHEKNSRSSLRSPTFSMCCN KGKHVLPQIEPTPTGIAELLNYRTRDGKKFLENIRSYNSTMSFTSLGAKIDTSVGNNI NGAYNFRIHGTICHRIGSILPVTESDIAHPKFAQIYIYDSAAQIDQRQYHSPQLERSV LEKIQSILMETNPFVHLFRTMDQISREKGQSIDLTLRLVAEGPRDQRRYNAPTASEIA VLIMNNEKGTSRDIVLHTRANFQQNINEYHRSYDALHYVLLFPHGEDGWTIDASSLSG EHVTVMQWYSNRLMYRRNTQHLLHLFGRLFQQYIVDMYAKVEHDRLHFITSNQNRLRV DLYSGIQDAVIHNDCGMGKTFVFNTLLQKTRQQGKIALAVATSGIAALLLDVRLAFAM TINKSQGQTLESVGLYLPAPVFSHGQLYVALSRVRKPSTIKIMLDTPANSNEMANTVF TDNVVFKEVFDI PHYBLDRAFT_167213 MPTSNNSLKFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSARNPAVTNLLSLKSFLPTKVNKATTKTI VAQKPKTNLPEKVSKLFSLVFSENQTTPATARETGINVRTAQNYVRLAREKIQADFDA ATVETDESNGLETMEVEDVSAPKERKHGNQKLFQAHSAFFLKFFKNKPDATLEQARIA VMEEFSGLQITKSAIQKHLVKKCALMMKKLEKLPEKRDDVNTIEMRQDRILEWQQLAD FNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKTTVPTQRGVFITILGAMCERGIVSL SLKKLTAVATKKKRKLDIYTSVEVNG PHYBLDRAFT_167214 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDETPKKS RGQYQKPTDKDIKKLLYLYFIRGLTIEKASKIILALLSYNCTVRIKNLSAMYPSANSK RGGANSDGNGSKFSWQWTQIQFLWKPIRCQWVLIRWKQMLIRWKWAPIEWIKPVVLIF IELELLSIVLK PHYBLDRAFT_167215 MQCDLQKYHFYVYLAALRLLLSESLVLSASCRITFENPIVLYHS KCDQRKLSFDKLFENLLFQISENNLFIIQLKSRELKQLKHSSKNRKQVQLAMNKNSNF MEANQINKFENNHLFPIVIYLISKYLAIKSITVKAQYSDMDSEQKFYYYHYIKHDFFL LRALFSDISNATVILTTAVVESLSCTVVIVFCMIISS PHYBLDRAFT_111325 KLNKTKATIFAERIGVKDFNASQVRMEKFGKCYDIKMNQIHDKA GLTDIELLQIDKTAIKEKIEVYSACDIYKFDETVLFYAAPPRKTISYQKFSGWKGNKK WLTVNLLCNANGTDKWSDILIISHARRQNCFNKNNKKQEAVDHRFFMYHYNNNAWMTR SIFHVFFHHFDHSMKAQNCKVLLILDNFSGHKVNYVPTNVELLFLSPNTTSHLQPLDG DII PHYBLDRAFT_167217 MNEKLPERNYCIFTRDLCVNNIESNIFTFGFQSPVQVRVTRIAT SFCLDATHGISARSGEVMYSLVTQHNVTGKGFPVAYMVTNDQTVRPISQWLMHLCERS YFRPLNITIDCSIPKVNAITSAFPHVAIHYCEFHILRAWQTNLNNKVRLDASFTSAQL AAYKQELKNKLKYILMESNKEVFLTRILDFKRDIPNQLHFLRYFETRWNGSEVLLKRW GHPYVNDSHRRYLTNNFIESWHNQLKTIYFGHARIRRLDRLVFDLTNNVEYFYEQEVD CIHLNNGKMGLSITAENDLIECCSCPRYISQQVPCKHAFLLKRYRKINILYTIQRDVN HLAMQRPAVLAEEEEVVIVDEEDGREDVVGAQNDVDTSTTDLITHTTLLHHQRLNLEH MRTISDIDVSEINYMTRCVKELLDRIDNIRNRNRNSFRNMNIQRQ PHYBLDRAFT_167218 MLPFKVVIPVKAKYIFGETRQCYRAGIYIPERDSRPAQKESKAC GCNGALKIKQFKKSPTIVTFYMTMDHNSHVHGDRSEIRTLPLPFEAIKLIEDQLRSGS SCRSTRISVLRQIDSWGVGVRKPNYKEIYNRMKKVC PHYBLDRAFT_61073 MNLQNWNNTNRRDSKLWNKDGVNGGPSSITILVLWLSEEANYRH WKGSNAGGITKETLCSEIKERFAEHGIYHCKNVGIQLKIQSLHKTYHETYQFLHATGQ GIENSFEKDEMSEKEVASTIRETMIRKYQYFYDLEPVMSDRPSVIPPFPMSFNKEADV DSAFSLDTFDTFDNAEVLMNGRQKIGKSSSSAQRRPFSATFHTTLNHSEKKVCKTIDT GIVGIVRMAEDMQREKVQQIQQNFAAEERRVAIEEIRALTERIREDSESAQHQEALIQ ALSAAGFLKEEIAKQLKQNPK PHYBLDRAFT_158530 MKKDCNATLEEPTNAMRLMIGKYICKNNICKAIFFSKDGNLRIW RFPLEKFKSDCIMPRVQFGGGLVMVWGCPLKRIINSLDQFGYINLLERKLLPFYGCLS ERHDGKFIYQDNNAPCH PHYBLDRAFT_61071 MLSAINFQWRILSETFQKFLFFSWCQNKDILAHNQTLSEYLVKQ KHLIIQMIVTLKRLGRFGTDASVGYVAKSLGVSLESVVKYTRRFIAGIHSIESPTVFW PDENKPVCISQQIEKQTDFTLCIELGDKNFYSHKSIYCLSAMIVCDKKKRICHCFTGW PEFSHIARAYASSQLALSLKTHFSKDQYLLGNPAYTSSMEVVSEYKKPSNDTLSANNV LFNQLHTNLYVQIEHCIIILKSRFESLKDLHTSVHDKYSLLN PHYBLDRAFT_167221 MRIGKEEMSQHEKDKLEDMNIMREVLGKSKTKSPAVYNTTMSLD LNKAQVISKGEDGEDGENDGDDKASESSESCKDQEEQEADVSNEISSQREVIVQSNSE SSTFKHSDTSAYSDKRRPKFFGKIVKNTAKRSVKSIKDIGHHIKEFNDTQILLLESKY EEELKNQKEKLQKELQCKQWVKGVILMAKTFDWSEEKTKNELEEMYNQYIN PHYBLDRAFT_144239 MQVKIKNEFGILKERFYSLKSIPKAKCRWEDIEKAEVECLQKTG YSEESDVVDGSQNIDVNTK PHYBLDRAFT_158532 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPRSERLKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_158533 MHLHLHLGECVHDFGPIYAFWLFSFERYNGLLKNIETNQKGGFE STMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQL PHYBLDRAFT_144241 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLRRQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLRQRRVKSCERRQSALKANRA HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFV DELDADYEAAHDKKNNTRPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_167224 MSSQSDVNVLNTIRIVIREELADIRTSVARINENVANQQQFIRQ TFNADRTSNAHRDVVEQSAIKRRMSYLVSHSDDRSIRHIPATMNEAHMGFIPRRTNKT PSATARINALINYLWKKQKGTDVNISALEQKLICLQFHMYTRQCWCIIKDDGVVEVNW GKLNSQKKLYYSLRLVELIFNNYNFPLYDCQDQWAASLLLQEVMKAERQTEKRRKYFF EVYVLYLQYQSKVLRIFKLLSCELLTFCGGLLFLID PHYBLDRAFT_61068 MSYSNKRIRSSTDLPSFECNFCSLTYPTSKQLCNHKRIHKTFNA PVAKENLQEPVLRTFEASYNFEAGDQGHIYNDNIFTNNIFITSELFSIYLNNLVTDFG VSTARHSLLVDLMNTAICDNDKLKEEYNPKFLYAGPVNMLVKSKTDLKSYEYDICINL RSLFDITKDEEECSICKARRYKEIDSDSSLVPVNTMKMMSLGDQLVRLLEYKTLKEQQ LFDSPDDIAIALFLHDFVNQKKSKQQLTIMHVIVLNYNPEIRYTDKYLTQLAIIPGKP KDLDSFLLSIIDEISSLGKHGLSIKKFNREQIKVKVHMVMASNDIPQVTQFCHHTGHI SNKGCRICEIESISSSHGKGKYCQGLCATLRTKDNFVRDKKATGIKEATIFAQLPTFS GSCFYRLNEMYLLGHGIDKLIYKLAVLSNTESLEAYWPKSEDGSHFMKERYTFSLKES DVKLAGRQIQYSRSNIPVSFQGSWDNIIDKREGALLALVKGCSICLQWNLNENMIVEI EKDFNIWHQFLDSEITKKNLSVRVFSSVNNYIINIGLITRKMDNLRVYSTRSMEQTIG RYSKLIKNFDELVNPILSTRTSLDDFLELSSLSPYNYDYKLWSPFKTIPYIQEFINIS TITKDLLTFYA PHYBLDRAFT_61067 MLKMIKTLSDSNAIACEEDHDTYKDFANICKLKLQSEKLIVMKA QVKISKDVMYELGDDMMISCNVCFSTIIFYDLALMFLVLLTRVLVFVLLYQQLSGYYS INVRLQISIVLDRLRSNDNSLSSGHLAKRSGIEKDFIKNITTRFFKDFQTLLDFLINV YLSWQKHHCGSQSSFFGCRSTYCVNSVAVCGHRCKIRYMSPSFFGCLYDMRTLSECEL RKFLEQFFLGDEYVLANAGYKTMNYIVPIKKKAKNSELSLADEEFTL PHYBLDRAFT_144245 MSSFGENDKVTMPQLSTDEVEHVLVTHDESTFYSNDRKEAMWLV EVQCLMQLESNAISLFEVIHPGYKKWKLDCKNNASEDSKYCAHHFLASRPDFMSQKTA LDEAIEVSGHIFELYPKFHCECNWIERYWGTTKCEAQLLCDYTYKSLDKNIHSFFDHT GKLQII PHYBLDRAFT_111447 HTAANTRKWLKAEGIEVIDFPPFLPDLNPIENLWVYTKGKLYQY EEPFSLMREL PHYBLDRAFT_144247 MPPASKKSKLAKSKSQSESGNCFFKNIETSNNDLIDLFEIDIEE DITVDDRELQGIADDVTSAIYSSHFLKWHEEADKSLLESVNEELKPVEATSRKISANT ISLLKLAFNNIKEEIASFICISDSSLPVDYYELCKLKSVESYLRYRLSESKTMEASKK AAMELWLLWVTSQERKTHQALVHFTLLQ PHYBLDRAFT_61063 MTSRLSNNKEVAGNKSLSNNYLCGISECIYCLTSVSVTIMTSSH KSALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIRVSGSPQLSKYYLLVIH QLYHIFQNI PHYBLDRAFT_74162 VTISNTHLDTKNVQANIRAALNLIMHSDNEADYNAHWQKFRLDY VMQFPVLTSYMEVTWKPKKKSWVKAWRQNAVCHTNNLTESYHNQLKFFYLGRSRNCRN DKIVYLLSQLAERDYRQDTLETYFGIKSIRLSVDDTERKRRASVIAIEKANGLIEEVE PQALQAYKMYSCKSFEEGCELVYFIKFTTHLHDCSCPDSARLCKHIFLVSRVFDLPVT PHYBLDRAFT_167230 MSRPSRTKINICLATLEEGFARLRAQVENGQPIPTQFLQESPQG VVNHHVHALVEDCVRDIKRPAFYVQPRNWCLSTKMLKRAIQEHLTQGNKNAAHEMYKV AAKLATKLVQSIFIKARTNNTPITTWGAVPDYTKSRLACMLERKANKRNIAFRRFETS PHYBLDRAFT_167231 MNNNILLNHSSENEAIDDFINQLLFEEQPNESEDFYSTTVFENL GNSDDMSTDGESAYRAFSMNAVSVQAESQNDFSDDTDSMSDDGQGYSCIRNLDNSDNI TAEYNVEYEQRIPLYKPNSRAKAASLKLFSMFFEKNVSRDVFDKSVKIFNEYMKECGS PNINSLLSYYKMKTLLKEKYPIKATAYDMCVKGCCWFSTVVESIDIDENETCPLCGEA QYKLRGNRIIPAQTYQRFKLGHPEERAKMTYGARRLAEGQSGTRQDIFDGDAVC PHYBLDRAFT_111204 MTTLVNILKNLFRYKKENMMQLAIISGPEHPKNIASFLEPIVED LNALGTTGLWFQTGGGYVVAKVHLVMATGDTPAVSDLMNLTHHNSQYGCQHCFAQANS EFRTMCIVEREGPATLRDVESIRYSVGNIGSNSTKV PHYBLDRAFT_144251 MSHLPGVLFFWKDLERPIDMILLQSDQSKSFEAWESGMAKTIKN YWNATIFCFIEDKDSEDNNVNQSIIQQHPEEKFLAESLQEALDKISGLDLVCIEDCLT NESDSLYVRQCTHRVINDNEITNIFKCVCRLLNILKDENVDRNFINTVKDY PHYBLDRAFT_61057 MLSCKHTIQLQLFVLRVNQEQMKMDINRLGNEIIMKGSPKQNLS LFINTSSGFIFKPVINICNVTLEHVYQMISQHLGIKIIASKKATLRTCTKLVCNELAT LPSVQALDSRPSWGSISSCD PHYBLDRAFT_167235 MSTIAKLSYHECSICHKRYTNKKLVAKCEVQCLEKVYKEMNDTQ SLQVASVFEQTHDKKDKYTNVSNCDIEHEDSMENDLAIMDVTENVIDDTSPQLVYDFS APVPVSGYNNAKNLELMKIIKEFSISQKTYISLAKHFNEILSRSSEISYRACTPYLGT KLLFRFLGVDEETYHICCNGCMLYNNDQQTECPLQDQQEKPICCFKHFDEVASSSKKG LTGQYPFYLLDSFSDLFFFALDEMHTICHSIGKQVWRLVCSKYEKDHPLSLSLAAQKE IGTAIVSTRRSILTSFHSAWINVTRVHDQAAHKTLFDLVQTCNLLMSWELLAEEQTLI KT PHYBLDRAFT_167236 MIEVYVSPRAYSARSVERAIGEYLQAIKSNLAIEINAGNIMVGL TQIRQMRVENSTMTTATATATTLLQYDNPSAGWPIDREGSNIGTDSDIEFWGPLRNRT IVDSFGGISCLQELLQKFYESKGEECSMIEAAIKTSRKAFVYGCVIDSALDQNCFRLM RTTTLGKVTLQSTRISLGRLSFFFEHKLNNKRWPLVLVNVYAVRLVNSIPAINNGQMK PIVVHLADVKELVGLVKLDATINTITTTATTYAVWSELNHGPKLSLGSLADL PHYBLDRAFT_144254 MHFGCTTTQRVESVHQALKREISAISSLGLAFEAVNSYVDRLER DFNSIKIRESTTVDALVGCNKQLSRLFMKVSKRALLVIDQELRLINRDDEFCHCKNRF EFGLPCRHSLPAGRELTLDDVPERWIINPVLKETSFEESCTVHIIAERPEEWMQEIVK LEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSINFQAPEKIKYPGRRKGGARPK YLPKDFGRANWRKISVSSGHVGLKAMVRLRARTRDGKPAATQKTKNNKKQNKSKKEPL DLIDATKNKIKQIKKEPLDPVDATKNKTKKIKQEPLDPVDATKEIGFKRPATAQEDYQ YDYRTSVGKRVKFQPGFPVSHEIVDDVKGGFNPTADGWCGFRVLAHLIYKDQEKFPLV KRDMLATLPKYSSIYASTFGTNVKQLEDIIKHGSDLCITNSNSNFIPACLDANNPNTP SVSFLPFTLPKNISKHQQPLIFNHVNNNHWTTIHLSRNVSRKRPTIPELFFLGCVRNQ IPDNFDTYWNKFKEFNKYDHRNAMFSFLSDQEERIHPTIT PHYBLDRAFT_167238 MLLRRLTQTATLNNRQYQKAYRYTGIRSFFSTQKVSTEDGEKGR MNIRVIEDVRGIMRKVPQPVVAVTTCSPEDPTQRRGITVSSFTSICLHPEPLVSFCVR TPSRASELLHSSGKMVVNVLSHEQVQQSIAFSSPGSDQFKDIPFYDDPSTGLPVLMGT VGSMYCKTFKVLKLGDHELWITQVLKVDEGVGSTEGRCEEGQPLLYHDRRYRSVGEQV FMKAFEDSTLDTSQWTHRAHVRMAWNHLRELGIRDASTVIKRTIRTHFEKNPNKLKYH DTISSFYIHLVDLAIRQDDHDNDDFFGFIERFPVLVDRTIIQQYYSSSLLASDKAREQ FVPPDLKPFPAQLPSSS PHYBLDRAFT_61052 MEDFTLDLLLNDSKKKYLPGDHVKGIVTVKCANGSTNTPINLRL IWAGNATSQDKDSEDSFPYFLEAWELENQTLNSDPSELVFPEHLSFQPVNISLNSTYV LPSSLALDGICSFEFDVIVPTRLYLPGTIKTEETDITASITYSIVAHLDQYEYPGNIG VAKAEVILAETFNHFYKSGFQSKTVKYSVGTLSNTSTVQEYDYSASLSIHILNPRAER GGVTPLIVHITTDCSHDRDEIITYLLVRICSIRIQESNCQFNDTVVWTKQETINMKQS FHQNPKKLDRFLEIPDDILPTITNNDGIFEVNYKVCVMVSGNDTVYAAEGLPENRYVI VDTPLWIDSVSKENIELVSPLHRAVSDPKKDDVKKRNIMDRFKRQIKPDDVEPLEPVK PGFRESSKGLFSFGRSKAKNKKSTSNTTPPESPSHNPITVSKSPPSTPPPPGLDSNSR PVQRVLSTASSISYRSFISSPSLGKYTMHPGISERGTENMSGSENHFRSANETYTKPN TSEDTTSDGYPQGSTYTYEKASSQASFLHNRSCGESKASNQHQESEIDILYRNILGPY DNTPLYTHGSEDNITSSISMAPSIVTSEGGVETHDMFDDSDDEDIEEYQARMKALEIR QMEEQQRFTNIRSNSQRSNISNVRLVSNRLQPSITSNTLLYHNHDEYISASETSPQRS NDVFTANGEYDLDDDSSSDEDELIHQAARYNEQQLRLGHYRN PHYBLDRAFT_167240 MVDTKNVPSQYDHQKVCCLLHSWLTLENLASDKHKSLINLVYDD LFRVCIQRSVVNICYFKNFEFSKLKLKRYKPLDFDYITEDLQEALVHVDLEIFPLTAI DYGHSSIFTSVSGVHKCHCEEIPPFVPKSSERAELNG PHYBLDRAFT_76560 MKLKRSPTDSDWQFFLYIANSNEEKIMPLMKEMTKMNPPSQDSH NFVQPHLAHNAKQLQFIRSCFAAIAGSAAGILGFTNWSGFLFYALGWSILSAFVVIFS CGSKPSRFFVHGWRDLVIDGAMNGMLSYTLFHTLLYGLVHLYQ PHYBLDRAFT_61049 MWYCMFVCELGLQPMHNQTSVLCHQQNQALTETCIHDDYTAKRK FSNKLQRQSTWIQAFCPGVNMDRNYSDTSTLTPSDENSESSYDQSSSPVKCECGKTLE SGWSCENCRRNCPYCNRMLTTDPEDYCTRCYRYCTQHGAYLIISLSPTENSSAKVCPK CAES PHYBLDRAFT_76559 MQAKIQKDALHTIHNDSGYNANVFPGKKDQMKKVVYHLEQTGFL PKELVENEVRWFYENLNIDDYYFALESVETIGSHIMALYGAKILAYTKNENVLDINLQ KESEESSVYVHSSKPGVSVLSGPQIEKMIDEKWLDPSTPTQAYRIESYRSNGSATPEN TPQLRSYFATRCQFANPEPKPDKETDIRHVSDKTFLVTATEHTLKIYEQVMRNALRRT GPVIEMYEVQGSRERRLIVGYRQRSTTGFFSALSDLYHYYDLYSTRKYVEQFSNGVTI ISLYLNPLPISKAAPIEISIHQVIKEISLLYCLPMTPLQEYFQSGQLSVQEAVYGFVG WIFAQHFLNRLGKEYLSLNTILDSNNPIHQEVLTKMKKRLRQETFTRDYILEIIKNYP ELIRLLYGNFATTHYVNQREASLKPTISYQRLTNLEVMNTAQLTKKIKSVTSNAHEQL VFEAFLTFNQHVVKTNFYQSTKVALSFRLDPNFLPEIEYPTKLYGMFLVVGSEFRGFH LRFQDVARGGIRIIRSRNREAYSINQRTLFDENYALAATQQRKNKDIPEGGSKGTILL DIDQQDKALVAFEKYVDSMLDLLIVGETPGIKDKLIDLKNKSEILFFGPDEGTADYMD WASQHARRRGASFWKAFTTGKSQSLGGIPHDLYGMTTRSVHQYVLGIYRTFSLKEQDC TKLQTGGPDGDLGSNEIKISNDKTVAIVDGSGVLYDPKGINRKELNRLADARLMIVSF DTSLLSASGFRVLVDENNVKLPGGHKVENGLHFRNTFHTNPLASATLFVPCGGRPESV DLNNVNDMFDEEGSPMFKYIVEGANLFFTQEARLRLEKAGVVIFKDASANKGGVTSSS LEVLAALAFDDAEFAQHMCVKDGKVPAFYQAYVKEVQQIIERNAAIEFDALWREHTRT KLPISLLSDELSVAIVQLNDQLQETGLWENQKLRSAVLRSAFPKLLLEKLGLDTLQKR VPVAYVKAIFGAYLASQFVYKYGAQPDHFAFFEFMRENYYDATKGALGA PHYBLDRAFT_158536 MRRARLSQRPPTQADEEPVSGPSGPGLGSGEDMARKMLAKYGWQ EGQGLGRSEDGIREALRVQATGRGGGMIINSQPEPKTQTPLHKRQSQVILLTNMVGPG EVDDMLQEETADECAKYGKVERCLIFEVPNGQIADDQAVRIFIKFVQVEAAQRAINDL DGRYFGGRVVSARFYENDRFDRLDLAPTSEEYARNQ PHYBLDRAFT_132938 MLAHKEAQKRDWKIVAALTVWAAYVRLWKIWQPSSVVFDEVHFG GFAAKYIRTRFFMDVHPPLAKMLIALVAKLSGFDGVFDFKDIGKDYIESDVPYVPIRV FCGICGLLVVPIAYLTMRGSGHSVAAGLVVALMVCYENGLIANNRLILLDPPLLFFTA ATTLMWINFHNQKNKPFQFWWYTWLALTGLGLGLTVSCKWVGLFTIATIGVSTIKNLW DLWGDSRIPNVFVRHFLARAACLIALPVVVYMLMFGIHFHSLPNSGEGDGFMSPEFQQ TLAGHSMADTPIDIAYGSNVYLRHVATRGGYLHSHPHDYLTGSKQQQVTLYPHRDNNN WWTIHKSTTNETVGIEYVKDNDIIRLIHRDTGKRLHSHEHRPPMTDLDYHNEVSAYGF PGFNGDANDFWRVEIIDHDKRDPESEDRLRTIHSRFRLIHVMSGCSLFSHSVKLPEWG FGQQEVTCIKSGKIPKTVWYIESTENSQLPDDTEKVNYNRPGFIGKFLELNKVMWDTN KGLTASHPYDSRPSSWPVLKRGINFWGKGDLGLHIYLLGNPLVFWGSTVAIFSYIAIK AVFLLLEKRGFQTDFGGLRKFYDESAGFFLMGWCFHYFPFFLMGRQLFLHHYMPALYF AILLFGVGFDLLTIRLVNRKRLIAAAAFVMCVIYVYRSFIPITYGEPWTKKDCEKAKW LPTWDFDCIQFRDDISAYYPDGKQPKLELMQEAIKSYFAPAESKEQAAAAIDAVNIIS DGIVLAAQSDPVLKENPQQQVTDVDAQG PHYBLDRAFT_61045 MPATKRNKEPKKTKTKPNGNHVDRIATIERRSKRTRIQRVPAKA IDDSQDEYEEEEEEEVKEQDSQNVVKNENDIASKLKPSTIKIPHPKGSPFADALSPKV LEFLADLKENNTREFMLSSQDRWKDTQKEFTDFVGMLMEQLHDLDPTILVENPKLSVY RQHRDLRFTNDLRPYKTYLSASFSRGGKKSPFAGYYFAVAPGGGTYIGAGIWQPSANR LQSIREGIIDHASLLREALELEGMEKIFGKHGISLLEDTDKLKTAPRNVDKNHPEIEL LRYKSFVISKKFDDLDVVSEGFLDKILDVYEAIVPFVTILNSWTG PHYBLDRAFT_167248 MNNNTNSILDSYESTDNALIDSFKAAALKVTTLYKDSLVQNRKA YAAGYQQALQDLYGFISSHPSTTVSQQGDPYTGHPVERGFLPVQDLLEFARERNTQLT SEMGSDDGMAPAQSQSMHITQPATSNPHTQRPSPPEPKTPQTSFGHSNQTQHGSTSGH QYETQAPLTSQATNPFQVDPNTQFTFSLPHNAPTLRASYTPVMHTYDTNHYPETPSDG FKRRYTPSDFSFMGRSINNMNLDAWCEPPHKRGRSRRDD PHYBLDRAFT_124173 MATLLIDNYDSFTYNVYQYLCSQGADVVVYRNDKITVDEIVKLN PVNIVISPGPGHPSHDAGVSRDVISYFAGKLPILGICMGEQCIYEVFGGTVSYAGDIL HGKTSTIKHDNRGLFKNVPQDNQVTRYHSLAGMPSTLPEVLEVTATTDDGVIMGVRHK KYTVEGVQFHPESILCEHGHTMISNFLSLRGGNWDENPAAGVLAQKVPAAATEKAAQE ASPAISTPNGVPTILSRIYAQRVKDVQAAKEVPGQSQADLQKLLNLHIAPPLRDVVNR LKESSPALMAEVKRASPSKGNIDITVNAAEQALQYALAGASVISVLTEPKWFRGSLND LRQVREALSLLPNRPCILRKDFIVDTYQILEARLYGADTVLLIVAMMSDEVLRELYQY SVSLGMEPLVEVNNAEEMARANAVGAKLIGVNNRNLHSFDVDMETTSRLAEMVPEGTI LCALSGISTRADVETYVSQGVHGLLVGEALMRAWNLKEFVAELLGYKKKDPVPHTPVS RQVQVKICGISSVEAAVEAATAGADLVGLIFAEKSKRQVTVAKAREIVDALHKLPTRS SQLPVKSQKSIDWFDVQTEMVEQRSGKPLVVGVFVNQSIEYMSQVAVEAGLDLIQLHG TESAEIARFLPVPVIKAFHMDASSFHAGQIPYVTQPGNNQFVLLDAKVPSLPMDRQGG LGQKFDWTIAQDIVNVKRPGCSKEQTFPVILAGGLDPSNISEAIQQVRPWAVDVSSGV ETDGKKDLKKIRAFVEKAKSINLQ PHYBLDRAFT_180973 MPSVDELKEAFAFAGKDIKAREKAVEHYALRDSEDWYYYSGLII LQRLADEVNSKDNKDPRDPTAEERELMNCFHKHLTGYEAKLKLRTSNAKYDNRYKALQ SRYYVLVYLLDKKESTQFLQDALNLDKIPAIHDEDQDNQSTDAQIQKILPSTFSQKII NSEELVWKSISQIAKDGDSLDVEYLALPVLSRLIKKPLSSSQESVIFAKLLSFPQASQ PLGGQGIDFTEFICKYLSGKDEKYFKDNLPGISLDNLTIDQMDIIRKSVPLVVLCESF LTTYIKKLVPLEYLNEGYTKATDNFWDDENRILEGYLSRLWTFVEDLPVLYQPLKALI GFHKLRLQISRENFSEAYLLKYLALTQGRILENVMSPVPGRPVDLKQRLSKATTIKIP YLTNYATDSREEKTTVYVYLTGVLTENPDMSLDPILKYLDLNDTLKKLYTTVQLTHPL RQGVSLESSNSLTTYELDKLINEVKLEFAPSTINIGRILLADTPIKLSLQLKQVGHLT VRVYPIDLYNYWRMHSNKRVLSMSKEESARLDGLCPLWEDTIDFTKKTALCTWKESFV FGKDHLASDCVQGRGAWIIDFVGENIQCRAIVQRGHLRHLVQDTSAGHLIQIIDENNI PLKQDGRIWYADSYYESDANGNILIPYRAGETKNTEILLLSKDGFCEPVAFTHKTETY DLTAKFYVNLESVLTNRQAKMVGIPRLTIHEQPASLDLLEKMTLTVTATSLNGVKSST TSQYAPLTNNSFDYEFTVPDLLNTLELKLTAKVKSTSTQSDGQEVEVSKVLEMRAEDN PLPKPYLRINKNNEYYICSLGKNGEIYKNKEYTIKLEHSMIRAPIVTNMQTDEHGIIH LGPLENIHSISVGSPELLEINWDILKKSNAVLPTTFNGTANTPLMFPFAEEANRMCSI YQTDGSSQVLHDFTNRLSYENGYLKIAGLPEGRFTLYLYSQGTKYTVTIWIFNSQVST ALAACGSHWSNWILSYSFYATNSRWFAHSPLVISDTTVTDQSIVVSLNGWSKGKTYAL VTTTAFIETRKSLTKSLLTLPTTSPKKYASVLDTRAVFITGCKLSDEYQYILKRARAE KWVGSSLVKPSLLLYPEALASATSDYCVVNEREHMFAGGGYRYTDTTDSIITSGVSRG KNRRPTDYGFLDHRYSILRLEPDEDGKLIIDRAHLGDGNILQLAVLSGEQIVTKEMVL DSTTTELRLKNRSQTIEDSKSEQAYVRIKSVSTLYPENLDSAIQDDPAAHASLQLDGG EQEFEVVDSFEKLFNLFKVLSNAEINTSLEKFKFLLTWPSLSLKKKLDHYNTNVCHEL NLWLKHKDVSFFEHNVKPFIKSKVTTSFMDLYLVDEDLNEYADSLYLYNNLNMIEKAF LAKRVPGHFDSILRSFEDASTAPNEASEDIGFDTVMSSNALNQQPAQGEIQKSSMNYF LRSAIPQSAMFASAPMMGAALGKGGARRDRKILPQDESDDDMGFADDFYDNPSNTVVE EYAVVRETVVEENDDDQIQDDKKKLDEDALRARSAKQQIKVPYSFVKPTKEWEEKGYY NGLPSFGQSNNSFWVDYIKSTRAVFLSKNFLVAINNFTEIMAALSVMDLPYAVDAQWK CKSDSNSGALSIISAKPCLVFHRTLKATNSIAPTNPIVLLGQGIFNKSAQHSSREDIT MINPTDLTAATEYSWHLVVSNISSKSFTCEATLQIPSGAVPIEGTPYCQSKFIALRPY STWESVIGSFYFPHAGNFTQLPITITDSSKLLNKSQPISLSVSEPGLFINQKLGTSNI PWSVVASKMKNEDVLDYLSQYKKLDELNFGLITWRMSNKAFARKVFDVLGGQRRYHTG ILWQYGLTHGFLDIIRQLIQIYADGGIIPHIGKAFVSPLLCTSILTKDTINVLDYYPI ISARAHSLGTKVEILNTRFSSQYNDFLGYLCDKSAPSTSDLLVLGVYLLLQDRIGESH RVYNRILQELSGKIKIPGATTPESNVQLDYLGAYLQTRLLESQVSQNPESVDLNGVRK IAQKYRTCGNLRWRALFASLEDFVDEVDGMTSSEDRTGSNITSERRQERALHTEPVLD MEVNEKDGLVVHYANMTDLQVNYYKTNVEVVFSGSPFMANEAKQSGCQQGSGHSLEYG WVKPNYTENYTLESNDDCVMKEVQGDEDFDMIGVNRIKMKTKIIPLPQHLISTNVMVE VTGSGLRRSQTFFAHQLTVHIVEPFGVVRVAQKQTKRPLAGVYVKVYCRSNGKKEAKF WKDGYTGLNGVFDYVSVTEGNELVGTDRASSGQESLSDLIKKINRFSILISSEKDGSV VKEAYPPS PHYBLDRAFT_76554 MKNSPLVTDSYETSNLEAYSEMDSKETIDSPIEHNESVRDEDPD VAAGDTSFANAPWQYKLIALSTALLLPVGSHFSGSALSAMKTSIKTHLSIDNTRYGVL SSAVSIINTVFPIVGGMFIDIFGSVWGTLAVNLLVILGSLLTAIAAKYTSFPLMVVGR VIFGIGSGLIVTMQESLLSKWFRTQNLALAIGLQLSISRLATFLGTLVANPIVDATGN WVWAFWLSFIICCFSIMMNLVYALIVRHLNGQVISKTDVKKLKAKKTFKWRSVLKFPV YYWHIVLIEFIFSAVWSSFQTISTEFVSKHFGTTDILAGYNASASQVVPIVATPLLGI IMDLFGCRIAILLVSAIFLILSCAILGWTYVNAAVGMVFYSVSLAFGPISMITSIGMI LPSDYIGTGLGIYKSSNNIGTTILDIIVGVVQDNTANQAYTSVMIVFLVLSGVGFCLI SLLWVTQRIYLNNLLEISGKKRTIMMKETNDKEIAFIKQGMDSYTDTPIVKMNYVYCG IFIICFLVAWVLFFVFAAGGRVSA PHYBLDRAFT_167252 MHFIEKKDSRRKKHNKRVTLSKGMFCRSQIIFTADNEEDEHEEF EDWEEEMASDAEDSEHLDGSHISQETTSSPSTISPGVAKQNQRQIAESSEQQAPEQIS PDNYPKLSPSGQPLSPSSPAQGDEWQGAAGTLRRLFSRGKKDKPELVTKSSNGSLTVP SHGDDSSLGSNVSIESTVSSEGSAHDPTPLIFSANRSNTQLTVLRVFAGNINVSATFN TVLVDEHTNADQLLKKAMERFHITQIEGKAASGIEYYLTVKAMDGDEITLAPLDKPLA IFKSLTTHLTTPMPSLTHIKQLSQHLSTVEVTRVGVSKARQRAKARFGEDSVIRFYLH KRIRRINERDGLVYVKLSYYANIPIDEKKDTSLRSPFSTKSLRLKSTPKPPKTVSRSE RIDKLVAISANITIADLTLIALDKFHIVHGDKDITPKKNEAPDRYRMTLSINENEKSL RSSSRLSEILIDSRLIPKGTVEKRFVLRKVKESVNSSRQINQVRPVTNQDLNLSGPPL SLQTSRSLVAGSMLDSSTESVLKRLDAALLSMEAERQRKPLSKNEGSSGVAGGPRVQD SEVQRTRNLLHQAAMLEKERGGNNPINGPTLASLPSADVFDTNKNQPTSLSSLDDLEK ELQRIIAAHAF PHYBLDRAFT_56811 MTKRLDFVGKPAPPNYVAGLGRGATGFTTRSDIGPARETGDIGS DVKHAPGKEGEDEDDERFQDPDNEIGLFSKAPYEEDDEEADRVWGMIDEKMDERRRVR REAREREELARYRLERPKISQQFADLKRQLTTINEAEWAAIPEVGDLVGKNRRRNKMP ERFTPLPDTILAAARDRTQYETTLDEAEQKLGGIASSTLDNEMMTNFKEIGQARDKVL GLKLDQVSDSVSGQTTIDPKGYLTDLNSVVVKSTTEIGDIKKARLLLNSVITTNPMHA PGWIAAARVEEVAGRAVQARSVIARGCEQCPKNEDVWLEAARLNTTENAKIILANAVR HLPQSVKIWLKAVDLETDQKAQKRVLRRALEFIPNSVKLWRAAVNMEENPDDAKVLLS RAVELVPMSVDLWLALARLESYANAKKVLNVARTTIPTSHEIWIAAARLEEERGNPEI IDRIITRAVYTLSQTGSVLDRDQWLKEAETCEKNGSVATCQSIVRATVALGIEDEDRK STWMEDAESCMAHGSIETARAIYAYALKVFPGKKSIWRQAAFLEKSHGTPESLEELLQ RAVRYCPQAEVLWLMGAKEKWLAGDIQGARNILEEAFRANPNSEQIWLAAVKVESESQ EYKNARKLLEMARSQSGTERVWMKSAMLERQMKNFDECLALIDEGLKKYPTFDKFWMI KGQVEDTALGQPAKARETYNKAVKSCPKSITLWILLAQLEEKMGMVTKARATLEKARY INPKTSELWVQAIRIETRANNTVAAKAMAAKALQECPSSGALWTEVIFLEARPQRKAR SVDGLKKCEHDPIIVTTVARLFWTERKIEKARNWFQKAVQIDPDQGDSFAWWYNFELQ HGTEEHREIVVKRCVAAEPHHGEHWQAVAKDVANIGKKVDELLKLCAARLDQLK PHYBLDRAFT_61037 MGTYTSSFLHGLIFWTRASVLFISIFVILITLGLYSSVSIPIFS ILGNENTFMYGTLENSHQVWAVEMVQDRRVIATLVATQASIFCPLFLLLGHHFQPAPR ASHREPGSKSITGTGAGAGGDVWRASAELICQLLMPLGLSMSWMFCILFDRKTSFALS GSLDEYWFWPDLCVSRPAGLLSYSPSSSSSSLSPSSLLSSSLSLSPSSVALWGWSTCA TTHATHALKYCIIAILLTEVALVLFATFSFGFTTMCGYQESEEPAAEKKSDVVGYCAN SA PHYBLDRAFT_167256 MIKVGDTIPDGVLQYVPFDPKEELAACPRPLAFKVHEKLKGKKV VLFAIPGPFTPACSEEHVPGFLNAHDALKSKGVSEIVCLSVADGFVMNAFGKVSQTKD KIIMAGDGSAVFSQALGLTQDLSKNGMGTRSKRFAIVVDDLVVKYIGVETKPGVTSSG AEAVLAKL PHYBLDRAFT_124175 MPFLQRFTNGQPSFIRFSHHKSIKVIRLGYNQYCRQPHSAARCL TTKSSKSFTRTHPSAQNQHEHHQQRPGFFTPFFSRHNDKEHAKFIRSTANDASSLPNE PTRTKMLVRDFIDNSLHHPNYGYFSKQAALFSPEVNFDFLSMRDHREFMNILGKMYIR MENEPDEVNGIRSRQVWHSPTELFKPWYGHAIAKYMVSEYKLNLYPHKDLIIYEIGAG NGTLMMNILDYIKEHEPSVYKRTQYNIIETSTKLAQIQSERQDIQEAKDKHACVKISN KSIFDWDTYVPEQCFFLGMEVIDNFAHDLIRYDLETMEPFQALVSIDDQGDYTEMYEP VGNDPVIAQYLGMRKQTHYRSPVLSSRVWHRFLGSLPIAPNMTAPEYIPTKLFLFLDI LKQYFPHHRLVLSDFASVPDPIEGVDGPAVQTKYRGSTVPCSTYLVQPGWFDIFFPTN FELLREIYLLVCRGSRAGNQKSVRILTHRNFCERYGEIEMTTTRSGENPMLMYHRNMK MLLT PHYBLDRAFT_186571 MINHIPPSSSANVFLQSLIETTGHLAITWSLRNTKYSWKCLSPT LLRRTAKEACPDRTDAFTLKYVHLSLFSHLPPWYPACATPHWTPAPPAPLTMPDESAF EKRLQKAIPKINLVNAPKARYFGSKEIGTGVNGSVVQVLVKSANNGLRLALKRCKLDH DREYRAALVRELKIMATGHNNLIKLREAAVFKSEVWMAMDLMRCSVFAVLCVRGLPEE YAVYIVQETLNALMFLHAKGFIHRDVKCENLLIGPNGEVKLADFGLATSSKHVNRERL GTAKWMAPEVIREQPYGEKVDLWSLGITLIEMMDRVPPHYALKKDEAVFEKILEEASP TFTYSYPSVYCNGLVAWLLEENPDNRPSAKDAATEIDAHISQDLLKTTNAQGLAAFVT KTM PHYBLDRAFT_158541 MLLSRNVEPGPRCPAFPVFFRAVEAVLSIGAGPVVVDEDNDNVP VPIPIPVPVPAVAVIVDPDPDPNPGPDPEPILDCSGDCCEYCDIKPGLLAIASFEDLI FE PHYBLDRAFT_180978 MASTTTPSLADLFKTLQFAWFIGHVLTLFGSVFYVLSLIIFRSS IFAYTLAYAGALVSYAVVLYKTYGSPKLTADYGRRLSTDENTYYLVLSLLWLSQPPMA VTLIPFVTFSAFHVLGYLRTTLLPVLLAEQQQHKQPHQTGSSSNASGSQTGAEPWQTK TQQQIKTWTDTNYGPAMRFVAQVEVVGVMGRLLLGVFSLRFLPIFLFAQFLRSRYHLS TYTRMSFSDLASNLNRVLLPPTASSKVPLLVSKVYTNVRDMLIRFATNTTPAAATTPT PATTSTSTTN PHYBLDRAFT_132951 MCKPSAQTPVRQVLPTNVKPVHYDLSLKPNLKTFVFHGRVKVDL EIKEDSTTIVLNTHDIKIHSATLASSHLKTESTQTATEITYDEKRDLATLTFQESVKA NTTAVLDIVFEGVLNDQMAGFYRSSYKDDNGEVQYLATTQFEATDARRAFPCWDEPSL KATFDVTLIVPDHLTALSNMNVLSEKPYHEVGKGTEEVKYATTPLMSTYLLAFVVGPF EYIEAFTSGEHNGQPIRSRVYALPGSVEQGRHALNVCVLALEYFAKVFGEAYPLPKVD MVAIPDFEAGAMENWGLITYRTVALLYDEKSSSIVFKKSTAYTVCHELAHQWFGNLVT MEWWDHLWLNEGFATWVGWLAVDNIFPDWDVWTSFVNEDMPRALNLDALRSSHPIEVA VNDPAEIHQIFDAISYYKGASVIRMLSSWLGVETFLAGVRRYLHRHKLSNASTNDLWT ALSEEAGVDVSNFMTLWTKRVGYPVLKVHQDDPSSFQVTQTRYLSTGDLKEEEDETVW WAPLGVLTPEKIESWTLTEKTQNFDLTSETLFKLNANQTAVYRVNYPIDIIRKFADEI KKENSGLLHNTSDRVGLLADSGNLCVSGEQTTAAFLELAEAFENETDYFVWSQLSTHL GNILSTWYGQPPAIRDAIKGLRRSLFAPVAHRLGWEFAATDDYLTNILRVLAISNAGL SKDAATIDEAKRRFWLCAGGDTEALHPNLRGPVYNIVLRAAKDEEEEIRLWDEIHKIY HDQTIPTDQRLIALSALGGAKSPTLIDRYLQMSLDENEVRGQDSIYVFRSLSTNPEAR DLFWDFFSQNYDMLHAKFAKSLSLFGSAVRSSVSGFTCLDKIAEIEAFFAEKDTREYA RPLQQAIEGAKVNGKWVQRDHESVAAWVEKNSGRFA PHYBLDRAFT_144278 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANLPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_167263 MLLYIIPRNLDKMPLIASSINENSGIPPDPLQCMTPPIKIVMIK GLHVQAIAETVKIVLGSAFSLRSSLYLAQQLYRKKKLSHIFVFIVTGAFLSLSVFAFS LAHLMLLYFNDPEQPEFKILAKYAPVSLLLTIFSFLLIFPIGYLTEFHYYIICAGVTT HEKLKLNSCCLSPKVKELHGRQKFVTHPLPLQTISMTTYLADNRYSTISPTL PHYBLDRAFT_61028 MKITNACAALLSNYEVMALLDERQNIQIELQRNDASIEYPENLR TIQFEVTEYLKTSPCSTQTPAQLKDFLDALKPFKLTRAERLQILNLRPKSAVEIYLII EECEERFSEDDLENMLNIIITTLPRDDDEVEEEEAEEGEEGEPMEQYD PHYBLDRAFT_158543 MGDEVERVKELLVYESRMTAMKHEAQIQNLNSEHTETLRELREQ FDNEKEVWKIEHQAALDDLKRQLKREHQNVTRELEHSWKDKMADLQSLMSIDSLSNQK HWENKMSEIQDEKESRVNRLQGQIEVIKGRLGNEIDKRRQCQALLEVMKQKEYQDQEQ GEIYRAQVSRLVKVQKLTEHEIDKLKREHRMANKLARNMLSMVGLEEEKSNGQNIRLA DMLERIVHHIVLQSSGRLASEQIMMMNGYQ PHYBLDRAFT_144282 MTHFPTDLDPLFLREHHLSLTRQQSNSSDSSNSSNSTMNSHYKE DDHDTMSDHPIPRMPTLRQFFKSATATDHSSRTKTENLNRLVSFYFIFLM PHYBLDRAFT_144283 MSNKLFEQLYENSKLLVKPPSDRTLPLIERGLSQIHIESEQIAE RVANKKIDPKVSSEAHYFLAHHGINSQKATQSIETLDTSKTFTPEINYNDTNVEDFLR REQEKIRKRVLEELGKA PHYBLDRAFT_124181 MFARTLALTTKPSFVTMPVRVSAGVMNQVRHHASTVNDSTSSGS RLSQLKSRLAAEEASASLDEFINPGLNQKLTAEEALELRETVVGTKVKTTKLKQPRLP SWLKTDIPAGQNFGKIKKDLRGLKLHTVCEEARCPNIGDCWGGGEHQTATATIMLMGD ECTRGCRFCSVKTNRAPKPLDPHEPEHTAEAIKRWGLDYVVLTSVDRDDMPDGGSSHF AETISKIKLKAPHILVECLTGDFGGSLDCVKTVALSGLDVYAHNIETVEALTPYVRDR RAGFRQSLSVLKHVKAVKPDMITKTSIMLGCGETDDEVLETLKELRKADVDCVTLGQY MRPTKRHMKVHEYVTPEKFKHWETQGMAMGFKYVASGPLVRSSYKAGEFFISNILKKR KGLPVSSMEPSTEKTA PHYBLDRAFT_13358 LMSRLPPLPEFARLSDRVWRVMGLNPGRWTLQGTNTYLVGRGAR KVLIDCGAGRPEYLPLLIQSLKTISEDCFISDIYLSHCHSDHWGGVEQILTSPLSKPN VHKFPLPPGGKDETSFMGSFPWSLVKLTPLEDNQIIKVDPNTTLHVLHTPGHANDHCA FWFEEEQSIFTADCILGHGSVIFSDLSEYMASLKKMEALVPKRLYPGHGTMIENGPAK IKEYINVRKQREDQIVRIMHSDTKKQS PHYBLDRAFT_167269 MAGNSQPSAPATNPSSSHNVVGVHYRVGRKIGEGSFGIIYEGTN LLNNQQVAIKFEPRKSDAPQLRDEYRTYKIMAGTPGIPTAYYFGQEGLHNILVIDLLG PSLEDMFDVCGRKFTPKTVAMLAQQMASPFFCLILNRVESIHEKNLIYRDIKPDNFLI GKPGTESANEVFIVDFGMAKLYRDPRTKQHIPYRERKSLSGTARYMSINTHLGREQSR RDDLEALGHVFMYFLRGSLPWQGLRAATNKQKYEKIGEKKQSTVIRDLCEGYPEEFGI YLQYVRKLGFDEAPDYEFLRELFSKVIKKSGEVEDGVYDWMTLNNGKGWETLSKRQAS RQGLPTPAKKVIENNKRQLNGTQGTPAMVSAQETPAISQPVNQDASEPRRKQGLLSKL TCGLCN PHYBLDRAFT_61022 MRSRGGACVQGDLIVGSGKFFHPNNKRQEGGEFSVTSQGLREEK EPVYSIGFKSGLCTGQLAQADIIPILYREYSHFSELFRNIDAYKVSSNWCHNLVDS PHYBLDRAFT_144287 MSTIPLTPIVKLDGHKAPPDHSILIYHNRAHVVVYYIEDNTCRI WDLRSSRVVKGIQNLNSPVSSISFAPQSSCPYIYLSSGTKVYTFDLRNTDMILAEPAR EYNFSADEINAIDVNEKNTFLATADDDVDLSTHKIHKSFSKKHNSIAMAVKFRPKKPW QVWSGGLDSKVFQWDFSRGMITETFDMNPSEPTAAQMFNPPFVYSLAVSKDGQIIAAG LGDSTVQLISCNTKKRLKNGVPTITRLENGHKSMVNSLTFLPSTRTKLVSGSANGSLA LWEWSELEGEQSPTLGQTFQLDNGFVKLNWVESFDVGDVRLAAAGVGKAEAGALAIYS LE PHYBLDRAFT_155147 MIHTVERRPPSLQTGRYPLHIRCRDFVIVTLAMLKDGEAQYVYD YIQKYTCVDSTEKLLAFRFRPITPYTSTSGWSVYDTAREYSRMGVGEKTGNWRISTLN RDYKYSPTYPRFLVVPTKITDATLNYAAKYRSKARIPVLSYLHWSNMATITRSSQPMV GFKQARSIQDEKLIEAIFASNVPVVPSGQTLYGSTAVNMIVDARPMANAMGNVARGAG TENMENYRNCKKIYVAIENIHVMRDSLTKMVDAMQGIDNGGQVNRVALQRSNWLKHIS TVLDGALSIVKSVHVYNSHVLVHCSDGWDRTAQLVAISEICLDPFYRTFEGFQVLVEK EWVSFGHKFADRSGHLSNEKCFVNLAHTGGNAATNTIKDMQNKFYKSNYYQRETSPVF HQFLDCLYQLMQQFPTRFEFNEAMLIELHYHLYSCQFGNFLFNCEAQRSAHLVQQKTQ CIWDYFNTEKDRFLNDLFDPMKDHDLVDGGGVLFPDTHNTKYWSALFRRKEDEVNTPI VYGIQDQNDDHPLA PHYBLDRAFT_132962 MFQASRIRLSTNFSAVQRRLISGYEHLSPRKQSFIATKGVYPDG FKATGIFCGVKKNKNKDLALVLSDLPCSAAAVFTTNAFKAAPVLVSKATLEENPSGIY GVITNSGCANAVTGAQGIINAKRMRSAVDALVNKPLSALTMSTGVIGQQLPIEKIEKG IADAAKVLSNTHEEGWALAAEAFMTTDTFPKLRSGQFSLKDGKSFKMAGIAKGAGMIH PNMATLLGFVVTDAHVTPALLQKALTYAVDRSFNAISIDGDMSTNDTISVLANGASDV KIEEENEDYIVFRDQLTSFAAELAQLVVRDGEGATKFVTIHVKGADSFENAKKAASHI STSMLVKTALFGQDANWGRICASLGHSGVKIDPSKVSVNFVPTDGTETLRLMVEGEPE VVNEARASEILKMEDLIIEVDLNDGQSDAKFWTCDLSYEYVSINADYRS PHYBLDRAFT_155149 MVALNLPADVLASADGSPKLFNKWSYEDIEVKDISLQDYISVRQ HVYLPHTAGRFAAKRFRKAQCPIVERLTNSLMMHGRNNGKKLLAARIVQHAFEIVHLL TDQNPIQILVDAIINTGPREDSTRIGSQGTVRRQAVDVSPLRRVNQSISLLTTGTREA AFRNVKTIAECLADELINAAKGSSNSYAIKKKDELERVAKSNR PHYBLDRAFT_144291 MFRKTIVEDDSHDTEVLEENSRNIIVGIISQLRKDMDLSRVALP TFILEPRSMLEKITDFMAHPDILLEASKTIDPVDRFVEIVRYFMSGWHGVKKPYNPVL GEFFRCQWQFRDQTKAYYVAEQISHHPPISAYYFGSPDNGIHIEGEIHPKARFLGNSA ASIMQGYSRIHFTRFSEEYHITSPNVYARGILCKYHVLMFGKMTMELGDRAIIRCEAN DLVCEIDFKAKGVFSGQANLISGKIKRESTSQVLYELSGAWNSHIFIHKAKKPSSPIS FFHVQTASKPVPKRVDPEEQQDLNESRRLWAKVTAAIKANDMDLARDEKQWIEDSQRV ETTKRQKQSLVWQPRFFVATGTDYRFKGSMAIQPRQTQQDLESWIFGPLSSTSRSTQE ILQERRPVQAELTVVAV PHYBLDRAFT_132969 MTDATNPAHDSPTSETKPHSVIDPKDVVVLEGHENEVFSCSWNP VVPNLLASGSGDATARLWQVPEDKGKVAEPIVLDHLPNHNNNKDVTTLDWNPSGTFLA TGSYDGQARIWTQTGQLRLVMAQHHGPIFSLKWNRKGDLVLSGSADTTTIVWNPETGD MKQQFNLHTLAILDVDWMDNTTFASCSSDKTIYVCRVGSTTALRKWVGHEDEVNAVRW DPTGQYLASCSDDMTTKIWSLSSEHPIQVIKGHRLQIYTLQWAPYLPSKDESAPRILA TASFDATVRLWDALSGTCLHVLENHTEAVYSISFSPDARLLATGSFDEVLNVWYAKDG TLQKTFKANGGIFEVHFNKNGDKLAACTSNKQVVILDMKP PHYBLDRAFT_92567 LTKQLAEKVKKIAELQDAYLRCLADQENIRERSRKEVLSSKEFA IQKFAKDLLDTVDILGMALSAVPLELREKNEKPSKEVIHDVERVLDQLSNLYKGVSMT ETELLKTLKRHGVEQENPEGETFDPNRHQALFQAPMPDKEAGTVFSVQKKGYSIKGRV LRPAQVGVV PHYBLDRAFT_132974 MENSEGKFVDLYVPRKCSATNRLITAKDHASIQLNVAEVNAEGR STKAFSTYALCGFVRKNAEADDSLNRLATQDGFLKNVWSYQQ PHYBLDRAFT_167278 MYISNWDEFQKAAEELYAGCPENTRYVTHFRRTDGELILKVTDD RSVIKFKTNQASDLKRFIQLNHNLMVQMQNKPSVGTEKKTSTEEVPVPVVVPAITSSG PQIPTVATASAGSKGKKGKKRK PHYBLDRAFT_61013 MFASLRRAIAARGLTRSHSNLLEYNTVFPLDNDDDLQSLKSLVE YYGRLLLTVTRGESTDDGSDSQSTTDSERMEESNRRSGWVEDVRPPGHDYCVVGEPGV IYDHPSFSLCMAIEMPLCTWSEDSLSTPSSTPRRRRRSTKSSKIKGGYSDASVSPLAL PLPLSLETNSVYSVDDSSATNSL PHYBLDRAFT_167280 MKLAQSLKPEKNLPSLLLATLAKAEYFYGLNYGINQDSCPTLED VKKDLTALKPYTNRIRIFSLSVCNQAELALQATQELGMQLYLGMWVDRPDTFDNEMKA LDNIASKYGFSNVDAIIVGSEVLYRNDTDSASLANYIKKVKDTIGPKGVKVTTADVYY ELPPDVVQELDFVMIYWEGVSVQDGASTLMNHYDHVVTIAQGKPVKISETGWPSAGDN FGDAVPSPENQKTYLAAVLCEAKKRNIDILWFSAKDEPYRSGVEAHWGILNANNTLKS NIPLSTLQNPC PHYBLDRAFT_180991 MASRLCTAVKVFSPNAPASRAFSASAAILAGRQVGRQRKPDKKF DVDHMEKFNFDDQTTIGHDLFENIREVRKYLRMTNYELPKLSVFAKPFVPPTSGQILK FKSHTYLGEGHPVERKAVLNVKVSDLKLTEQQRHTLLLLSGPRYHVDTDELIFSSEKF PNRKQNKKYLSDILDKLIEETKKAGDAFADVPLNLREPKKRLEFPKEWARPAKAEAQ PHYBLDRAFT_180992 MTWFTFTTLCATALLLRVVLLFYGEWQDANLTVKYTDIDYIVFT DAARYVTLGASPYDRETYRYTPLLAIFMTPNIYLFQSFGKCVFVAADLMVGYLIHRIL VLRGMPSQKALWLDSLWLLNPMVANISTRGNAESLLAVMVLGSLYLIMTRRFYAGCVA FGLAVHFKIYPIIYVIPLLFLLDERYGDPVDWPQIIWSYRRSRFFLLRMYLKNDPSRL EINEPGRGPIVHKTDQQKQLSIESIKSKLFDIYRTAMYELVLFLSPTRILFGLVSGGV FLVITWAMYQLYGEDFMENTYLYHITRKDHRHNFSIWFYQMYLAFDSPVAGKLLSVLT FLPQMSLVAAVGIAFAKDVFFACFLQTFVFVTFNKVCTSQYFMWYICLIPLILPSTSL SLRWKGLGLLLAWVASQGLWLHFAYRLEFLGQNTFFSIWVSSLLFFISNCWIIVELMI NHRFEYIYGKSGRIRWVWGMGDPGPRPSESSIGNSPSVH PHYBLDRAFT_180993 MSQPTNNVSMPTIETYSAPQAMSTTENKETIGKNSAEELAKEDD SSFFSMLRLNGGGCCKGFFAGLCCCCVADAVC PHYBLDRAFT_167283 MPHTPINILAKSTLDASAAITQHTLKKMIKMLSDSNVIACEEDH DTYKDFASICKLKLQQEKLMVTKVQDKISKGRYFFKGQNKTKKLVTQKERLNFLLALD ESGFLEEFRMSKSSFYKLYDLVKNHELYQQLSGFYSIDVRLQISIVLDRLGSNGNSSS SGRLARRSGIGNDDKWSQEAKRRWEDREKAEVERLRKTGYSEESGVVDGSQNIDGNTR WIQTQRVVMSKEKNKSS PHYBLDRAFT_144304 MSQREKDELEVYLNDICPNFTQMRKVLGESKAKSPAVCNTTTPL DLNKAQDMSKDEDGEDGENDGGDEASESSKSCKDQEEQGADVSNEISSQREAIVQSNS ESSTSEHSDASAYSGKKRPKFFGKIVKNTAKRSVKSIEDIGHGIKEFNDARISLLESK YEEELKNQKEKLQKELQCEQRVKGVILMAKTFDWSEEKTKNELEEVYNQYLN PHYBLDRAFT_144305 MSTPSAIEFVSHQEDDNQSHSWMKPDGGKDGLSSIDRLQYFLLK DDADNLRKYLGGFKNGKKVKVSKTRVINDCCQYFDKQGVKRTSSQIKSKLTYLITKQY PIAFKA PHYBLDRAFT_61007 MSPSQERNTHQTADTCNLHTATGTANYYISLPHRYQRDSTIKLW GTVKTDGVEILILKHIHDTIQESTYRRATIANTEDTFIIGAFSSEQQEIISDHFIFVD SGRYLSYCMCEDFTVAGPRGYWYTSSQQRVEPKRGKFF PHYBLDRAFT_132982 MSTPNLNEKVYLSDSKKTDHIEDKQDGSEISSIDEEDALANYDH SFEWTDKEEDAVRRKIDLRLMSFVLLMTFVLNMDRTNISNAISDNLAADLGFTNDGVN TATLVYSFVFTLFTLPSTPMAKKLGAHIWIPFLMSSWAIVTWAHTFIYDFKGFMCIRV FIAVTEAGFIPACLLYLSLWYKTTELATRLSWFWGIQAFASAFSGLISSGIFKMHGIA GLEGWKWLFLLDGIGTQIVGFVAFFYLPGSPYKTAGLLRGKGWFDERQAKIAYTRIVR DDLTKKEHNKTLKLEDVKLVVVDTKIWTHLLITFTVIMTTTPIQGYLPTMIKQSGFNV TEANLLSAPSYLIGLVISIFIARSSDKRGNVALHALIGTVWSLTGFLLLALLPDGTGR WSLYGAALFTASAPSAHGMEIAWMASNIAPLGKRSFALGCVIGAANICGVPGSQIYRV ADSPRYHHGNFINSGVHIANIILLIVQRTRYAWLNRTRARKWDSMTIEERKIYNKTTK DIGSNRLDFKFRL PHYBLDRAFT_167287 MALPAKTPPPLPRDPSPPPGSPTPSLNTPSTPTGSPPLSPSYVA AAVKSVDNSCTSRIIGSIAGNGAPRIWKEGSSPFSVFYEVPTEGNPLRPLFFEALNTA FPLGVGRGLTYASRTSRTSFEFHLVDQEACSRACQVGFPFNGRTVFASPAIPPTFKLL RLRVSRLPLHGYADFDELAENLRRCLAIYGQVQEISLNLKYNYPDGTGTIHMLRPPNP DLHLRHLEHEIKYNETTTFLATWARMGTHCTFCKEMGHEKEACTKRPKETRTCFRCGK VGHLAHQCPRNEEAESKRPRKTARSPTHAPAPPAAQMYHGLLPSETIYGSQHAPQNIP LPPLATESLSRPRAAPGAIPGVLPANHPDFLIEAAASTGMDDNVQSVKTSDGELGNEE TKKSDDEEYYSDDDIDEVAKYFAQMEDDPMDGENDGGQDPPNPALTL PHYBLDRAFT_167288 MDIQNTFNMQFRTTSSVWSQHCGLVCLTPMISIVNPLTSVCGRC ISATVEHANNNFSPFQICVVYAPATVGQRYKFLSALLANSLLLPTHPSRFILLGDFNH SYHTRSPRPRLAPHTWLQFLSDHLFDCVTMPDSTPMPTFHRGTTSSTLDYIFSSSDIF SHRILSSVDYIHPQWSDHFLVSASFLFDSGTVLGKGLWRANPRLSYNQHFCLQLDSHI HSLVHSLPTSLSVQEQWDSLKTDVIHFIRSYCRRLRRNLTTIEAHSIAQRDAFCSSLL TTIQSSCAIHLTRSLSIRGRATVLNTLILSRLWHVLRVISVPVSFLDKVKSAMGQFLQ HRMFPPIKLSTLCLPLRSGGLGVLDPSIQQGCFRNIFSAIDRLSHDFSSLAPNIATCL ALPLRSVCLPATSTTSFPPSWQHLRVEDAFLVDPSFDVLCRRAPADFPRNPLILRKFF KRVDSRDILLQPFLVRAFLPSHILQLNYPSIPSRSGSSINASPFVCGLLPGIPWSKLK PRMYRSLCSSSVSPPLSSTLSSSQWRIFWNLPIHHHVRNIWYRGLHHKLSSRSLLHRI LPGPFPTDSCPICEASTDTPDHFLFSCPLKIDVWSTFWQDVFGSHPTLPILHDAFYNL SFPYTRPSDIHAASLFSCALLAIWRHHWSTVFDNTPFVSSTVLSTASRLVAIFKAEKS LDDLACSLAT PHYBLDRAFT_186588 MVVKTPSGDISAKVHVLMTTGDIPVLGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMSSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLDSFSGPFF FALDEMHGICHGIGKQVWGLVCGKYGKDHPLSLSLAAQKEIGTAMVSTRRSIPTSFHG AWINIATRSGYFRAVDWADFILFVIPTLVAERVRDQAARKALLDLVQTCNLLMSWELS AEEKTLIKTNLVAWNAYLEASLAKGEVQLKVFTINQHLLQHYPAMIEAYGPPRAYSAR SVERTIGEYSRAIKSNSAIGINAGNIMLGLTQIRQMRVENSITTTATVTATTLLQYDD PSAGWPIDREGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFYESKGEECSM IGAAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGIPVINNGQMKPM VVHLADVKELVGLVKSDTTINTITTAATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_186589 MNGRRVTVTYCDEYGVWPLIADDLSSRLPLKNLKWQPSSQRGPS LIHSLEVDLKRFSFDQTPQLLSTMQTNYLNLYFVACEDNEIYKNKVKKQIKQWVDFIT GKKNQEWMVVYVAGQDAKKTNSYLGLKTTVYDKIRTDFNQGKQERCSYIRIHDPDGPA SENWAIFLDKMKEYILSSFDMHVLQTQEDTRRLDMQRHMPGWNYCTFFILKEGLAQAF EIMTLYEDALIQYDELEASFFQVLRDKALAWFGHFGGTDFGDDSGNVLDFKRKDYRDL INKNSISVFDFRSYLFARQCRMLLKLQRVIEVCGRAQLFITNFIPAIRENTDQLADDF LESWVFSACMNVVNECEPLCPQLAAMDSGFTKPYSAVKSDLLLTARRQLDKLGVKHGS LPNTIPFSIYLDIKPTLKSPSQGDLSPKGTITNQNLLEAISSVESFDKMYMALSTRAT KGYIISNRSKAALSVHGDIAALKYVRGKYEEAVEIFEPMIKQFGREDWGSIENSLLIK SSITYVESVLALLKNADYLSQEDANLYMDELLRDVINLETDIARQFSPMFSIAVISII DDDTVNSTSVEICINSHLPKSLYFDSLRLCLVGNSPEQIWFTIENQTLNPGKNSFYLT SDTSTSGNYVVEMCEMRLGKLMFYHNFMRAGQKKRVVRLNHDVKQLSAIVQQPNEVCL GERQKFLVSIKSGLSTITNGRLLLEPQTDGLKILRDEEVCGYIQNIKDREASPKKHEL EILDSGEIVLPDCEADHELEIYVAYEGLYTEYEYRVKTTVSYTTENKARKFISADTIH VIEPLTVTESSIFRETCVFLKVELSCNGKWPVRILGSTLRPSKIYVVESQPKEEQWDL TLFPKQLATFVYKLVKRDVSDEDPTLKSKVQFNVKYRTVKNEVETSVEVMLKRKLDEH KLSQHATYVFSKVREKFLQSVDYASYGLTDVVHLDNFDAELCESFLLHRDLKTKVELL DLIEDFFEEHEAITVHTIKEQTPSATIHGVSFLLDVPISKILHTVELALSVEKDLLVS EACPCVLRIKQSMYWSAESDDSKPFEDFFYDIDVDYDNWLLSGKRRLRFTSKPGEVAE FSISLVPLKTGNLLLPSVRVSAVSSNVFSATVYVNSAQQILVKPKSTTATFFIEQQQR FLQPQMLPTFASNPERPALSPDISSANGRSGLREIVGEAT PHYBLDRAFT_144311 MSKRGHDESELVNSLRQKLKVDQSANRYYAKKTFELLMQAQRPN QRNSFNEPSYALFNTDIKDPGFSLKNKVSCHRCSTPGHANECTFCEKGFCADCSQRCE LSTRPRKTEFSVCHV PHYBLDRAFT_111328 MRPFLALVFSAWAFLPGVLAQVSSDQNNQQAAVPLRTHSLSVPF IDDDLQNRWFDFGGNAIVNTNHHIRLTGNRPSQVGFLWSRLPVTATNFEIEFEFNVDG PTGHLYGDGFALWLTKQRTSTGPVFGSVNQFEGLGVFFDTYDNERAHKHTFPYISSML GDGIKSYDNDKDGRPTELGGCEADFRAREIPTKARLTYYKDNYLQLDVQWREENVWEE CFKVHDVKLPDQFYLGFTAHTGELTDNHDIVYVTTKTLIPKPKEYIPVSRMICIGKGR NMYKLGFFINFCHYRPHLLPSQRKSLVDSLCLF PHYBLDRAFT_75021 MASSEQQAKELVAQAQKKLTSWSLFNPSNKHEDAAEIYEKAGNM YKLAQQWTQAGDCFIEAAKLFQRGGGAKFDSSRAYENASKCYKRQDPAAAVGALKEAV ILDQEGGNFRAAARHYQEMAELYEGELDDIQNAYESYIKASELFSADDSPALANKALL KVAQIAADLGMYEVAIEKFEKVAADSVDDALLKWSLKEYFLKAGLCHLCTGDTVRTRQ ALNGYCGMDMSFETTREYQLLKGVADSVDNGDVEQFTQVVYDFDKLTRLDSWKTAILL KIKKSLEQDDLR PHYBLDRAFT_98660 NPLYALTRRLAETYELRNAQFQYNPRRNPKRALTRPSKPAKNDG YDNENNDYILRVGDVLGEGQEHGYRIIDLLGQGTFGQVVKCEKLKTKELFSVKVIKNK AAYLAQSSMEVEILKQASKQETDIYLSQRINPDDQDSILKFEESFTHKNHLCIVFELL SVNLYELIKQNGFKGLSVDLVRVITAQLLDTLTLLQHAKLIHCDLKPENILLKRVDSP AIKIIDFGSACHDSNKMYTYIQSRFYRSPEVLLGIPYTYSIDMWSLGCVVAELFLGIP LFPGSSEYNQLTRITEMLGYPSAKMIRKARNPSRFFNKHDRGNGCFEYTIKSRDQYTQ EQRKNEMHSKQYFPHNTLEDLILNFKGVSRANPTMSDEQIQLDIIKRHALIDFLKKIL EIDPEKRLMPQEARMHPFITGE PHYBLDRAFT_111119 VRRYVRKGIPADLRGRVWFHYSGAEAKLDSNLGVYERFLAKAKE LGSRNEFADIIERDLHRTFPENVQFRTSVADGQQLASTDNVPVISALRRVLLAFSIYC PSVGYCQSLNFVVGMLLLFMKEEEAFWTLVTIVQNILPAGVYDVTMEGSNLDQNVLMM LLWERMPHLWGTMCDKSFWESEADGVSMPTITLVTSHWFLTLFINILPTETLLRVWDC FFYEGANILFRIALTLFKMSEHMVMPLGDSLEIFQVIQVIYFTI PHYBLDRAFT_167294 MALEIQRALRRSSLAQFSWPGQRFDDHGVVLQLFEVSISDDQSQ AVAIKSELRSTAVINKYLLYSLTVRVILKSLAFLSSSNSRETGSLMCILFTDMSLSVG LNMLLLVNIQLESILQVLGAHIQVLYLDRFMHRLLEHWLQYVCFVPYTNSYQKSQNED LSKRGSREAKTILIGYPYFLGLWRVLEKALNSRDLQLWPKIRNFGSPPPAY PHYBLDRAFT_103966 DKRRRNTAASARFRVKKKIREQFLQKTLDEKTESAEKLQNRIVA LEREIKWLKDLIM PHYBLDRAFT_186592 MAATTYQRVGGNGELGSLLFKYKLAKALTFVSLVAIVLMAIGSL VYPGGSIKQDYDDYTNNRSPGSSNLPTEEAVDYGGIKTTGKCELPRKITIAPSGDETY SPPPTDASLLKAISFVTKSEYEDYCQQWDPATGFTDAEPYNTRGECGTWQEKYTALHK HRLEQLELIKSGDLQGFTDSDRPTYISYVCKEVPANSNRGCGGLADRMSGMISTFFFA LITDRAYLANWAEGNPIPLELLFDRPNVDWSFDPKEISNLFTGEKDSLLGMEQVDLLN QKYPGMGSIMFRDGPTQDFNALWNASYIEVRSNRGYIVRTFQMSSVYPERLESIGLTK ENAFGCLADYMFRPTVGSRRFLNAYKQMFEMNSILSIGLQIRTDDNALANPQHDDNSL EKWNHFMICANQLASVHKQPHHKRVVYFLVTDSLKLRDEFVSMNEDPALAEKYIGTGH KDTTTVISGLPIEHIEPAQIAKYIDVEIPKEINRARMTPGVSSALMENWMLGYTNYRV ISPQGYGKLAAFHSKSDNSTVAMPRFRQRDRAPDCTKPGALVKYDWLSTQWSLG PHYBLDRAFT_144317 MSTIQLQDDWRSFASGGDITEDGSFSKWNGSPKANGKKITPVMN DFNDFFNSSPRSSQPTPKPAATSSDKTVPQPCLTTSHSHTSLSSASASAQPTTPWIGN SGSDNLLDVYSDDKQVSISSSFDMPETIIENPPISKEPEVLDSQVDPKFGSIVLDTSE KNPTQSEDLIDTTDHDGASQNEDIHEPDFLEQIQQEDATNEIYEYQDTDRKQSSDNFS CPETEHVSVQPFTTSPKESQLDKLESPDLPDISSDVLEGSSIHETSLCLENIIIDKNS QETDHSSHSLTDKSIKTPEKTNVDELDAFGDFEDFDDFNDFDSFDSFEELEASKEDED FKNFDDMLKIPENKPPALRIDTTLGARTSQKTHVPPEELAHFDHYNYRPIFISIEEAV EMWKPVLNAMNDIPELKNYTANAPKSIKHLVLVEADESLHSRLTWTTVTHCMDNDTGI PRVKWSNSKTESMYLEALHCKREKSEIAVIPSMLRITTDEPTEEKKYFSASTANPPVH QVPSPVQSPASVKPSSAGFGFSFSKLLPRLSTTSLPKSPASPQLSFPQQHPLNQSCLS QPCTPKASFDRQHIKAASSISTASTHGRSNSASNLGSNNSRNLHTPPKRSSTIATDWL SSDDNSFLSQLNQSKVATHSNMNPHRPQSNSMDILDFTDSVPVNSPTRPFFSSLTPLV PMKPSKNTQEQPDKNVQHRHTMPTELLSLWNSPSLSVSPKQTYPTSPTSSIILSPVHM DNTSNRGSLVGSPTQINSIKSMDQFLSDKPVQTETTKPPRPILPLEDFWSNKPLQPSK SPAETRSTMPIQSLIPVDDFWAIESTQPIRTTQEINKNQPSLMDDFWSNDFIQPTKQT QPTEHSYPVPSMQPFQTTHNAIIHKKETSLNNTAYNVMTHKAVQNRTLQSGTKASETK IDFEFGDFLAAPLETNASDDFGDFASSSQHDDWPSTWEVADTSTTASKQPVKEEDEWG EWATFK PHYBLDRAFT_111450 GAVSRYPYPKQVWSPAGGWWAQPKTWKSNTAIAALGMIVTLGAV WSVSAEKEVCCINTTVFVHT PHYBLDRAFT_158554 MSDANFDALSSLPGHLSSFSASVDNGKVINSTASPEETAREARV AYQLLNDASHLGTLFNENEADKLKRVTVTFGSHYHTFTANSDAIYGIQRRTSN PHYBLDRAFT_111254 RRMLLRVYGIGCDQIVDRAKELFWLARLSDLKIGPALLGTFENG RFEEYLDSTTLTSDAIHQPIISQQIAICLRRLHDIINVYPPSKSQTLEVWCNIDKWYR VVLGLVPSLKNKNADWGKILDAYNLDQLGQEILQCKAILERVQSPVVFAHNDTQYGNI LQLEKTGELVVVDFEYSGYNPRGFDIANHFCEWMYDYHSDQPASLQADRFPTLEERLR FCKAYIDAGEDNSLCAEDLEREVSTWLMGPHVFWGLWGLIQASQSEIDFDYFLFFTQR ISAFRNELAKWSDN PHYBLDRAFT_144321 MPSTASVASASGTFLPVGLLLPTGRPFPPTSGPVISRQWTFSYS RRLPVASCQLPAACFTSTGGSIYLTGRRHLSHWSDICFLLLPVASAGEPDGSISAAKL SAMLCPQDTSSKADKAHQKPNMGACKKATSAHKRGQSMRQSKDQSGPQDRPMLQVRTR ERANQPTRKAKVCARRKGQTSPQERSKYVPEEKGKPAHKKGQSMCQKKRANRPTRKAK VCARKKDKLVRKKGQSRRQSKHQSGPQERLMLQMRAREKKVFQRPSRSLSRFKAKSR PHYBLDRAFT_144322 MAIGRPSWLLCINDDRPDINPYITRSSPSSPPVDTATCEPVVRI PVYYWLLSVVSSGGRGWYHLFWSVASASGTFLPVGLLLPTGRPFPPTSGPVISRQWTF SYSRRLPVASCQLPAACFTSTGGSIYLTGRRHLSHWSDICFLLLPVASAGEPDGSISA AKLSAMLCPQDTSSKADKAHQKPNMGACKKATSAHKRGQSMRQSKDQSGPQDRPMLQV RTRERANQPTRKAKVCARRKGQTSPQERSKYVPEEKGKPAHKKGQSMCQKKRANRPTR KAKVCARKKDKLVRKKGQSRRQSKHQSGPQERLISSPIVTSTISKIVKPRYIAMSKSK SNSKSFPSLFQVQISKCPNTVQVLF PHYBLDRAFT_67738 MNKPEEHCIGTWTWIEAKLRTGTRLWIKTSKLVKSVHLIWWANS HFVPNFCSRDLSSGSFSSLWHDGVWFWYSSFKNIVLNLENWKTGKQSDLLSILKLPQN SLNCHRILLNYYKIYGKMIQNCHNFPPAIRYLKCLEDVKVLILYLDIMAI PHYBLDRAFT_67739 MSQILRNTQLFEPVSSIIPANILTANLVETTRDDDELMEDVNIE QNFLNMNIRDNNEFDQRIGGLYLVHSLSSLTAKLIVLFSLKNTIITCRTFLTGINLNA PHYBLDRAFT_181001 MLSRTGQHSILKRASCLFLRSSGLNSRPCISVVATHRLSAWCPT TRSLSFAQPLWTRCYSTNDISNSHLEDKTPIKSDNEESIFEAVRKLENDSFSNVPTYS TAELNALLETLAQKGEAARAQRLFKAFFQNDKYSPTLVTYTYLMLAYINDGNYQMAMD IYYELRDRHEPDESIATPKTVVLDSTLYLTLINALTHHSVSTSPRESDSSGNSYAYTV EDGPDELYNIDGTSQPLLLTALTLFNDMRQLSIKPNADTYIAMLQACGKYEDEYVLEQ IHKLIRMDIYFDPTIAVYRALMNAYSQVDNCQQVLEIFDLLPVSSIGNDMVSVALKSC LKNGQAYKAPHVWHSLETIGFTPTSEHVRMYLEALCRSSQGLEIALDLMETIGSSLGD KKPHLEEATVDRLIQYAHTKGESEEKLADIAAWK PHYBLDRAFT_132990 MSHRLGGRRKNVKKGFQFTLMVVGSSGTGRTTFVNTLCDSGVLA KKVCDSPEDAHIEAGINIKPVSVELDEDGVRISLTIVDTPGFGDNIDNERCFHEIVAY LERQYDDILAEESRIKRNPRFRDNRIHALLYFISPTGHALREIDIELMRRLSPRVNVI PVVGRADSLTPQELKDFKRRIMEDIEHYNIPIYNFPYDVEEDDEDTIEENSELRALLP FSIIGSDEEIVVNGRTVRGRQYPWGAVEVDNPQHSDFGRLRSALLSSHLQDLKEITHD FLYENYRTEKLSRTVSGGDQDDAAMNVDDMASQGVRLKEEQLRKEEEKLREIELKVQR EIQEKRAELSSKEEALRSLEARLAQAQIADA PHYBLDRAFT_167302 MALFTIQLIVPSDIDDNLYEITQLVKQLSPSAIEANVKRSLESP LNHIVIARDMYDKKIIGSATLVITHCMTGLRAHVEDVIVTELWRGKGVGKALLKKIIE ISQSLHTKTLDLTSRPERETANSLYQKLGFVRRETNVYRYSL PHYBLDRAFT_167303 MTSLPLASYSQQLVSLLTGFEENSDSWKKCVNKTKTKLAQHRFT STDEYNIDTRYKNIQEKFSIKNRERLGQLLEKYKAELLDESRPIGSCISNQRILKYDM LALILACSPSLAYNYQEPSSIPQPEKKELTWADIIAEDPLEGDHWAQNEDLSSDELIE EYDSDELRDKAKESKKLKLKLGRRRIDPPALTAEEEDAYYASLIKIDDRADPDTLEDM ESLQYWRDDYYSPMEISGVSSMQDPSQLSESLSHYNYGEYCTESLEYLDEADMVREVL FLLKGYKGVVFKETSEREDEQISSDKFYVDPSYTVRHLSHRLLTTFLGEFCETGNLLA DLRQCIYSILEKPSNIYGQTCQAFSTAVINGLNEFENILSDLEAKCSYIAYEKDLSIS LLQIKQTLSEPIQRFKTIHSILFNGPFKDQFPVPRELATYLISTLYDVSIHSQLAGKT HSLHSFSYLFRQTLVPYGQLMDDWIFYGTLRGDVAREFFVTRIMSVKETSLSFWSEGF RLHLADQEHTSFSCPLFEENMLARVFFTGKAECFISRILSRNECYEMEEHTFFETALN TILPFKHEFFFNTTSIVEEAPVPTNVDPFSKAVFPLAFEPYFKKTRVKHQDVGQEISS DPADEKGSLLDRDFAYCLETYIGSSYEQSAGSLNVILHKNGKMDQHLKCIGSIYLMLE DNMMDIFCEALFLQMDHKQAWHDKRSLNKIFSDAVNASGRQGAKSTLLYMKKPDKEDE TSVYLDDQSYYLGYIVFNYMIPWPINNFIRQASLAEYNKITGLLLRIKRAKYILEQKT LFQGRSRVEALTSTMRFYALRMRMMWFVNVFWGYIMTTILHSETRKLQNNISLTADAD EITLLHDQYVGRIVDRCLLNDKSKPIKKAMVHILSLVERLFILSETYVKAPLGEEDPN KETSAKAFANKIALVEKDFNRTNEFITTSLGILGKKGGFSWFVELAASLSAQ PHYBLDRAFT_111376 MDPNISLYDYQSIWSSYFDKNIKDKYLKDDKYNTDPIIVRSYAR VGLMGNPSDGFYGKTMSLLISNFWSEVVLVPNSPIKPGFGNITFMTNMLADPRSFSNL SSLVTVCENDGYDHGDRLLLACCKVLFTHCKKNNIPIDTSQGFVVMYDTNIPRQVGLA GSSAIITAFWKALLKLYRITSIQIPIELQASLVMAVETEELGIAAGLQDRVIQAYGGL VSMDFEKDHMQTHGYGKYTILDHSTLPPLWLAYVASPEDSGKVHSTVKQRFLAGDSKI IEGMQVFATLTDKAQESLKNGDHRGFAELMTCNFENRRSIYGDAVVGEVNLRMINIAR QNHCAAKFSGSGGAIVGMWNGSNIESRKQDLLSLKQSLEKEGFVYVELVPMGRQI PHYBLDRAFT_181004 MSRIACETQSRSTMFPFRPQQPVPSTSTETTSTSSRRQKILNNL AKATSPSLFFNHTSPTAQNNSRVVPTLSSISGIPALPAAESWSGHQKKISHTNRSSIG TLDSDIGMLNPEANRWALRVINPDPDNSSEDEDEVKTDSTGTEPEPSNNSHINSSTPD HISLPVLPTHEEGTSADAKLEYDPTMDSHSQFSHSHHQSPTTSFLSMPPPPLVRRQSK TLEEGPPQTPPLETLPPIELPLHLFGKEDGSLTPNIIIQPPSSIKSNQCHSPQAVSPS LPHLKLVTSTSHSQGIMLSSVSPTAYASHDNVMVNTAPNMHPMARMEERTLPSWYQGS STPVVSSTFSNESTIHTKSSHINDKSDEREIPKNGVHNGPDTKVMVSQVQEPANPIAA FAKTSTNMAALTGVNQLSKYAKASYSLTNNPNAIKLYRNQALKTGDPGVQLSYAKYLL EIASLYDHSSKSNSLKPLSLFGLSSSISGGRRKSSQVGGGRTSMDTRPSSSATSPGLA SQSDIYSSARVAPSLDYDQRQSISSGTVVLGDETSRRKKKLLEEEGIRWVKRLAKEGV GEAAYMQAVWIDRSLYGLKKSASKTFRLFNIAAKEGIPEAIYALALHYEKERNFSEAF NNFKDAADKNLPEAMFKMAKINLHGELNQRQNTVKGLQLLQRVIDMGSDEYSEPLYLF GLILTNTYPKVDIPQQLVEPYGGLYAAIVYFERAARSGHTEAQGRLGYIYEHGMYGVP VNLSKSVTNYEAAARNGNPQAMLGLSRLYNRGSHGPDDRDEKTRLELDESGWLQANPR NEDLAFSWCQRAAEKGLADAMWYYEMGIGVPRDHERAITYYKKASIRGHPGAEERLAK TNSGSRQQYEDSRRGNYLRYTQDGNKENQPCTVM PHYBLDRAFT_158559 MSLLGYVVIFFLFCCSYALNLTALLLPKWLIFVVPKPLYSETNY GLFKLCQSVTGECRPFPREEEGDCTEEGFCQLWQAAGAGMILAAVIGALTLVALLGTM CSSRRKRERGWKLVAGMLVLHAIPAAISMSVVAYLVNTSSIFYAGTRYDMSFIMGAAT WCISLVIALSLTLATLLGPPEHGYERLD PHYBLDRAFT_78879 MCKLNCQDFLQMPKKRLMVTEKISYQGGLLSIVELERTQVTRTT IEQFYYTRCPGPLFLTLHSQMYFHSSSSRHEQYASMRSKRLHSKSHHSLSSNTSGVDS GYTSSASGTPSPTTSEAHTEGHISRPSIDSPPSILSIVTESSLATDTTSATSPKKKLN RPKKAALPPRHPDLVYSCPRPLAFPFHNDGHFLPVAEADPRDVARITPSRALPSIKSG KASTIVSAPFDHQSSFGSIHYEVNDSRIDTASISSRSASILSSVQRGTVRSLRSLFQI PSTNEHECTTHPDRLPRLEIKRGTVQTLRDLFVKRQTTPVTPSYPHQDVHHATSKTPF VSQPGFSSSATTQSSNSTSASRRFKDLFNFRSSQKMTNPKPQTQAQAQTQTQTQTQTT PSKPPVSRSSAFAARNFWVGRTDNERSSKKTASVAPLPTTPHNYPKVPTTPPTANRPI EVQVPKQKKTIKSEIKKLFSRKESPAPVPAPTEAQIKPSVALPEAVATPAPRRSFFPT LKRDNSVAPRPQEPVKPKQVTAEPVQPQPTQAPLTVGRMWKSFKKLIGKKSSRVGVI PHYBLDRAFT_124225 MPLQCAYIGLGAMGYPMAGHIANHLQTLKYPSLLVHNRTLGRAE SLASSVPSRVARSIAECAIADVVFTCLLNDAIVEQTVTSLLEAGLKKGAIIVEQSTVA PALVDSLAAKARASGVFYLACPIMGPPQRAQSADLVVLMAGPKAQQAIVKPLLIPAIG KKAIELGEDPAESIRLKLCGNFLVTSSCEMLAEALTLGEAAGIPQDKVGELVGSVFSS PLLNIYTTRMVQKTYNDQVHFSLTGAKKDGTHIHNMGIASGIKLPITEAFLNNVKSAH DKRGDIDITGIVGAVREGAGLDFDLKKD PHYBLDRAFT_177387 MEQQPQIISSLITLLVLLLSIQLRYQRLVLTVGFLSVRLVRLSL KEEHIILTYICTLDMEVDYVENELSIFCTLIDKIRMYDPDILVGYELNNSSWGYLIER AAANGMNLVNELSRIQQESDIIARDSWGYKKASVFRVVGRHMINVWRLMKGEMSLTSY TFENIAYHLLRYRTPYYSNETLTTWNKRCVPVLKHRLYRYYADRAKMNLDMLDVADII KRTSESARIFGIDFYSVLVRGSQFRVESIMFRIAKPENYVLITPSRKQVGEQRAIECL PLTLEPNSQYYNSPVVVLDFQSLYPSIMIAYNYCYSTCLGRVRAPGDDTAFGVCKLDI QKELFETLKDNIIVSPNGVMYVDHSIRKGLLGKMLEDILNTRVMVKRSAKDYVDDKGL LRMLDIRQLTLKLIANVTYGYTSASYSGRMPAVDIADSIVQTGRETMERTIKFINEHP TWGGKVVYGDTDSVFIHFPGKTRSEAFTLGNEISEQITKMNPAPIKLKFEKVYHPCVL LAKKRYVGSKYEFPTTLEPEFEAKGIETVRRDGTAATQKILKTSLKMLFKTQDMSQIK DYLYRQWTKILSNRVCLQDFIIAKGVRLGTYTEQSLPPGAQVAYKRMEQDPRAEPQYG HRVPYVVIYRDGPNAKLNEQVVHPEELLSDNSLRLNAEYYILKQIIPPLSRVFNLIGV DIKGWYDEMPRSQKAMALSFSHYFGNEARKINRIDQYYTSSHCIICRDITSQPICPSC LKQTSKSIFTLISRQKEAQKKWNNISLICNSCSQLPPVLSNSIESGDTEHPCNSLDCK VFYERNRTKNDVYALSIYDTLIDQWPVLDT PHYBLDRAFT_144334 MSAYKAAGITYLQYTNICARALRNSLNEKLRTAAAPLNQNGLKF AKWENGRASEQASLFLELLLFKI PHYBLDRAFT_144336 MGPLTCFLLWVGVLSFAVVDAAYDIPSTLVGVIENRSGPGGFVL NNTLYNYGGGTYEKAYSNIFSAITLAEDGQLVYKDVYQSTPGILCARPYVTLLEDQQT IYSFTGRYPGYTRNKTLLVMRYSFQDQNPSWVPILPTNNETWPSARKSLSSVVAPNGK IYLYGGQDYDTEHRLNEFYSFDPATNHFTNLTTASLMYSESHSAVALPNGLLVYTTGY LTPTRSGSIGMPYNRVLVYDTNTDTWETKETSGLTFNERILASATLGPDKKTIFLFGG SNPTTVLDSEEDEATLYNDILMLDTSTWIWKKMETQGYPPTARNSAFMGFISDNILLV AFGQAITTYINDVNVLRLDNQELGQSTWLGSPADFLSNKLLKEKTGTTLSKGALAGIV IGSIAFVAFLAFCIWKSRKSFKYLAYYFRHKILWTPRSGEPIWAEGCRLVFRCVILAL FLLFFGFTIQEVVSTDKSIMTIRMGSTTVQTPDIRFCYDGWDTDESTGKNLRPHIICS TDESYDCSAFVTPLNMTVHKPAFSDRLGDVACFLYSPPSWFGLSNSKGKGSNGTTLLF SFYGNPDTEGAIHTTFYPPGKDPNVVKYGVYTTDVVSLVGRTELESWIVSDLEDRYAA NTHTIIPNTVSTLSYQIKDHQYITDDRWNSVGFLPIYGHTPEVETTFRSGYQSKLIQS RGNYHISNFKIFPDDFAIIKLQEKKVVTLLNAIGSMGGVISLAVAIQVWIFGFRPNSP WGIIHRWSVGPMKTSVKKGLISQFYSLYTPVPLVSHVNQRVSAVSPAIQNSIDEKITT APYQIENVKELTQKQRLVQMEERMELMEQLLKSYYVDDEIFRELDRAINQNFVNSPAT DLPLEESRRGSTGVRQRFLPKTNP PHYBLDRAFT_144338 MITTNGRQNPPDPMHSTINNPRISPQPSLNGSPTHLHTVSPSDI SSSTLSQSAKPVPIFGNWARIARGKPVTIFDDASAKAELAQKKANQMWISSNEDNAVV FDITDSGLDAAQFFQALKSQCPSVVGALGQDRRDRNIAIISFDTIEDVPRACSEGVVV GHQTLLATPTFGGDSNILRVHLDKLPLRRADKLEPQVQEVMGLFGRVIHIGLYMDPQF QLFGGKGFVMLDTAPKEGIEYIPLTHKIDFRGEREIYAKWQNMPVACNYCHGEGHKKA NCEKRTKSPRLCYGCKKPGHIRAQCPDETIEKERKRQRQEDPQVISPENGGNNRQLEE ELARLVRENAKMQEALVQSENALEDKIALVEEQQRSLEGITESSEITVGPTTEAQGGI AGDEDTIMTNEAMANPPKATGRKSRLRNDVDPTLIINGKRNRNNKPTQGLFPDPSAVH KTIVADGSGQ PHYBLDRAFT_124228 MSEPVKEPVEEITQKTEDLVFDDEGKPISKKALKKLQKEKEKAD RKAATAAKLAEEKASREAGNVDYSTERYGKLPMNQSQTRTGSKREDITTINASRAGET VIIRARVHTSRPTGGKMCFFVFRQGVSTVQGIINADADKISKQMIKFCVGIPAESIVL VEAKIVKPVEEVKSCTISDAELAIEKIHVISEAQGRLAFSLEDASRPESEYENEDSQF VRVNLDTRLNNRVLDLRTTTNNAIFRLQSAVCLLFREFLIEKNFMEIHTPKMISAASE GGSNVFKVSYFKTNAFLAQSPQLYKQMCIAADFGRVFEIAPVFRAEDSNTHRHMTEFM GLDMEMAFEEHYHEVLDTLDDLFVYIFTNLKQRFGKEIEAVKRQYDFEDFEFLPKTLR LKFSEGVKMLREAGVEVGEFEDLSTPNEKFLGKLVKEKYHTDFYILDKFPLAIRPFYT MPDPENPGYSNSYDFFMRGEEILSGAQRIHDADFLTERANVHGIDLTTIQPYIDAFKI GAPPHAGGGIGLERVVMLYLALGNIRRTSLFPRDPKRLEP PHYBLDRAFT_111594 MSYFLEKSELTKLLQDLKAKTIELKTQLQPVMQKLADGEIKTSK GVSFLEVKYQIMIQYILQLTFYVHLKLSGKQVENHPVVKSLVELRVILDKMKPIEVKL KYQIDKLVRTAVMGTQKAETGAVTSATAVANDPLAFKPNPMNLLNRNDDDEEEDDGVY RPPKLAPVNYDAGKDRKSKEERNEERLKEKASRSRVMKDLMAEMNDAPEEVDVRGGVN EGTGYGDRVDSLIAEKSQYEENNYVRLAVTRKEKKRMQSKNKMRFESEFDVRIMTRV PHYBLDRAFT_167314 MANVKDFLAVKPDHKVISRLDFYVNSSQSRLEDNKLKKKRIYCH LQYKYSSNQDFYFLFNKNEEVILVANRKGRLLVLKDMSFNYYQCSNIFGIIDSIEPLH IQFSRPFLAKKPGSSLRF PHYBLDRAFT_186603 MSVIGINFGTAYTSIGYVNKEGRADCLANEEGDRQIASVLAFHG TEEVVGSQAKSEIARHPKTTVANFRAALGKSFAEAENLTGSAAQIVNKDGKPAYEIEF EEKKEAFTAKTISTKFFCHIRESAEQFLGGPVAGVVMAVPSYFTDKQREELSAAAEDA KLKVIQLVHESAAAAVAYGIGQESSSKNPQDKTIVVCDLGAHSFDVTVLTVRSGIYSV VATAHDTKLGGSSFDDLLIAHFAAEFKKKTKVDINANAKALAKLRGAVEVTKKMLSSA TSAPCFVESLAEGFDLHGTINRMRFEIMSNKVFARIQEVIREVLGKADLDPSEVDEVL LAGGAARVPKFAVKIRETFSSEQTKVRSELEADEVVARGCAIQGSLIAGFEKEDIDAS THPVVTLVPHTQKAIGVLNANKEFVTIIPRGTALPARRVFEFSNSADNQTHAYLALHE GEHTIEKTELPAEAVEDDEEPLEPEVITKVVTKPATLLAELSLPLQHGQKAKASKVEV QVTVDADSKLNVVMREKNGTGIVKAEIANKK PHYBLDRAFT_67758 MNRLVQQRASRLVTLTIPSRRSQTFVMSKSMFGMSRRQISQARM GAGVRRLKENDSGALQNLLEKVNEIENEFTEIFQPFKPLTHTCKAYCTADAYQINLLK PMVADSPIYSLVPEIADDMLHISVGATSGGGEIFVCADGTFTTWAVSDQTSQHFLDNF VRSAVEIRSKSTIETEIINFVVDEDELTNVKGDLILLNPYSPSPQFSKAAFSLALGRA AKLSTFESSMDKYLASIEDIPIKLANGERALSSSKESRVLEAKLMCLHQQLGMNATDG FLETPDFQWTQPELQDYCSKIINLLKH PHYBLDRAFT_181014 MRLILGILILLAILKLVTCQDDGSDLGDDGSDSDHPSDDLSDTS SEDAGTSDTSDGSDMTDTTDATDATDNLGTSDNTSPTDSGSVNPTACTALNQWYTSLN GTGWAARTGWGTTDMSTCCTWYGVECSILGQVTKIRLGSNRLQGQLPNNLNMLPDLTM IDLSNNRLYGPLPDTLSSVTKLQSFNANFNELNGTLPTAFGSMLALTSVYMRNNSFTG TVPDNWESSLTLTDLYLGSNKLTGPFPTALTKLTKLEYLVIDNNGFNGALPNEIGNLV NLFTLNLKNNNFYGPIPSTIGSLVRLNTLTLSSQALNGTIPDTIYNLVNLEKLDLSNN RLTGNLSPKIGSLVKLTKIMMSHNGLTGDIPDQFGSLTQLQSVMLNYNSFNGQFPATT APLPLGFCNMIPNQFQTCPDKSVLGNVESLAFQCSVDCGLITGTTKKKSSGVQVTHSI GKICMALFVSIIALLL PHYBLDRAFT_144346 MSGTTLSLSPFDITSWDQIKLFDTGKKNDAAYSQAIQAVQDAQD VPPGCPAILPYNPLNEEIYKCKRMETKEILALLMKHTNLLDKKTVSSFGKWLKSSKVD LLDSPDKRVFTCDNKKYIRLSSKANGPMSGRGKRCSSRPQILQLGIPPDHSPKPFKKK LEYLIFKIPS PHYBLDRAFT_186605 MSNLVLRVAIIGARDLAAADRNGSSDPYAVVRINDKKFTTKVVK QTLDPVWDFDFDFPIKLGKVPNGVTITIWDSDTLGRDFLGEITLPFKNIFDRNAGGIA DGVPRHFFDPDNKSCWFNLSKRSEKDVVSGDILVKFGFIEDHDRDSKEYVEAWSKLLL PHYBLDRAFT_186606 MSSDRKKWSIRSSDVAKRAHNPIRQIVDKLKLDPKATKEFISLS VGDPTIFGNFNVDKSANEAIVHQLNTFKANGYPPAHGTLAARQAIATKFGVPEAPLTA NDVIVANGCSGALEMCLNVLCDRGQNILLPRPGFPLYASLAETRYVETKYYDLVPEKN WEVDVVQLEKLIDSKTACILVNNPSNPCGSVYSKEHLKAILAVASKHHVPIIADEIYW DLVFKGNTFYPMATLTTDVPILSVGGLAKKWMVPGWRVGWITVHDRHGAFAEILEGLL NLSQIILGPNSVIQAALPEILLKTPESYYAGNIKQLELNTKISVDAVSKIPGLSPVKP QGAMYMMVGIDIPKFKDISSDVEFSQKLMAEESVLCLPGECFNYPNYIRIVITPTVDR LEEAYKRMALFCARHHK PHYBLDRAFT_1733 ATAASYSCDPATCQLPNCLCASKTPPNGFSPADTPQFVTLTFDD SIQTSLYQTARKMLDILLHRNPNGCPALGTWFVSMQYTDFSLVQQWYSAGNEVADHTF SHVGTPSAQEIASCRTALNTYGGIPNGKIQGFRAPFLNYTKDTLAELKKQNFLYDSSA SAVSDDAYWPYTLDHGMANDCWTGICGAGQIQLPGLWEVPMYAVLDNGSIPQLMDVYI AGTPEDVSQWSTSSFDRHYNGGRQPFGIYVHPTHLTAYPGLPDPAPKLEGLISFVKSI AKRPDVWIVTNQQLLQWMKNPVKASELGKQDYMKCQQPVIVKEICNGLDDDNNHVIDD NLLNNCNFGTTTFKTCFNCPSTAPTLESPAPVSSSQNGTEGFRYPLPLDCDTKWWDPI SNLCLCTSTECQYKDTAVPVNKNTTTSSISANGKDQEKSDASRS PHYBLDRAFT_67765 MDKLVTIIVRLDINVVLNQKVTKRTQFAMVRSFRSESFVSVAVE HQRLINVGISICMTTFHKNKELLSGFSSYSAAPKEISIDGRVGVIRKTGERFDKNHVV QTYKFEKGFRHGLGVVVSGGRGIDPLVTIKGNIDCLKQYFLP PHYBLDRAFT_167325 MTFTYGYEIASPNGQLGHVIPPPLLGKKISVSSAANTNRWIFYG TRKEIVDWIRQHPDIVLENAVFAGGRPTTYHTHTEIVIDLLQMPDAEQSYYDYFKSFV VSDYATERVKVEIRPRVESKDQSIITLCRVIVASGTRLGDVVDELEREWAHPAASYYQ QAVDTFNDNPGPVHIISTDAPMAVHVDHS PHYBLDRAFT_98431 TPEEAEEIQDKAREMGLTRFIEHYVIEEKVSVAKLLEVFRVHPK AAILATDLELLPILRSVVNRHLRKRNRLTNVNTLQDVVDLLRTSSNIMIVTGAGVSVS CGIPDFRSETGIYARLQEYELEDPQQMFDIQYFRDSPEIFYSFAKELYPSNYEPSPSH LFVKMVEDRGKLLRNYTQNIDTLEHKAGIKNLVNCHGSFATASCVKCGHKVQGKEIEE YIFQQKVPPCHKCAKEASAKPKPKPNNSNNSDDDDDDGEYTGEYRGMSIMKPDITFFG ERLPAEFDQLLAVDANKVDLLIVIGSSLKVSPVSEIMSQIPHSVPQILINRTPITHMT FDVQLLGESDVIVNELCRLLDWDLKHPKLP PHYBLDRAFT_78888 MHPDHDTFLLPRPEWVNDEDVLHCQSCNSAFGHMKRRHHCRNCG NIFCNACSTRKVTLPQLGYGTKPARVCNSCFDVAYLVTYAIDEDHGVTTQVHGVRGLL ELIENDHENDLHNIVAHGGIDALIWLCKSTSSRELHHLTTTILAILAEKESIRPVIIT KCALPPLLDLIKYYTDLDKQGFQPPKRSSVSISSISPSDNSLTTPTPSTDTTIYETPD AQQNKNMEIIISCVHVLYQVARAGILSLKEVVGDGVFNCLMSLSAFEANQTIFEDRGS LAQEADADAGADRNPNPNPNAPANENTNTNTNEKASSISSESHSQINDRAFLIQSLAI KSIAYLCGFPVNQPSIIEQVQGTDKLACLLRSPHDDVQRYMAKSVAYLSLRNDKYKIS LLGNGGADALVSIIARLPSEDSLEVEPKEETAGNILPSHSTVSHVCCALANFATNQES QVKLMQQPNILKYICNVPTAFQANVEIHRHVARCLANFSLYEDNHVLMLSDDQEKGHN VIPTLLALSQAPQVTSDVQRHIVRAIDNLSSNETVDHSIMSKFFEDVYLFIMDMLEFN KDKDTLKRAESIKKRVEEEKNKIKPKPKSKSKKSKRRQKGSAAKGRSALHTSDDNKEE GEEEDRHNNDEKKDEKYDDEDEDENENEDDED PHYBLDRAFT_167328 MGELDFLNQLVEIQYNAATSNSETLQILAVPGSGKTRVLTSRIA WLIIANELEPRSIVAVTFTNKAAKEMKERLCSEALLGSKRTEELMIGTFHSVCSRLIR KYPHYCGLKGNFMIANPEKSRDIINKIIYTLRLAKVEGSNKPDVYFNEISKARNKGLD VKKYADLHSSSPNKQNTINVFRAYEKELLRLNYVDFDSLMVIGRDLLVRHPELMNGTE HILVDEFQDTNTIQYQILKAMAYGRKKVTVVGDPDQSVFGWRDGDPANFAKMHDDFSG INVIHMSISFRSSGSIIEAAGSVVRIDPSRDSRSCRTDNLVGMPVKVLVADDGEGEAD MVARQIIRVRDESKGLFDYNDFAVLVRTNYLTRNFEQAFMLANIPCVVVGGPQFVERA EVKDILGYLIFCFNPEDFLSFEKIVNVPNRGINDATIQYLRGRCLEDGINVIDVIKMI TGRTPGPPPRFYLSTKVKSSLTELLYLFEDIKQQLDTKTPLPEIFSIILDLTDYREYL RKEFAESFVSRWENVGELVTYASVYISELSTRAISPDQDPIIGFLEAVALGPEQLEPN EVMKGKVTISTLHNSKGLEWPCVFVAACEEGVIPHSRVDSKMEESRLLYVGMTRAKCL LYCSYARTRMGWSNVIRKYPSSFLTDLIRREGSKIITPIDVEMLEGYSKLLKRPLLEN DAVEESHAILSYPATQEYSQMSLCTQSSLSLSTQQNKSIIQHTSAMIPEDPFSTLELS FHEIEMILDAPDSPIPSPTHDSVNTLLEKRLQDDAEESHEENSSYNNSSSRSSSNSNN NDNNNNNNQKRLKISDDIPKISPELTTILKECIIRCVKEAGNTRHVFVVGHMGSIVKN ELKKDGIYIPYEDICVEVKELLESLVDSGDMIYTRHKKNEKYTIAE PHYBLDRAFT_89967 CVCVRECVCACVCVCVCVCVCVCVCVYACMRVCVYACMRVCVYA CMRVCVYACMRVCVYACMRVCVYACMRVCVYACM PHYBLDRAFT_144355 MKKKHCTFLPTASMGSRPAQIDERLTHRIIYAFLNEEFITTTQS ERQLCGEGFAVKAPAIRTLLKASGFTCTLEPPPKQRLEFARNYINFTVDDWKCVVFSD ECKGKTFPVRAGGHVMVWGCFSPRGHGELVKVNETMTSPKHVDVLEPTSSMKELWTRF RNEWDSIPEEMGQNLIASMQSRMHKCILKKGYLIAEK PHYBLDRAFT_181018 MKSLIYLSFCVAFVAALFTSVRAQDFNEEQTGFATMMSFSNATD VGLEKRGGRGTWYSGNDLKNAACYDRNGLAPYSATIHSMIGAMAMRKFENCYKCMKIT NNKNKKLTVTVRIVDKCAGCKVGKAIDLTPAAFKKLAPKGDLNIGVLDISWKAVKCGS SKNWPSKPKN PHYBLDRAFT_67772 MAKSPAKPVAAPAKKTPWRGISIKRPAGRPESIASVQSQDSQKS TDSEKQDSSTNLAKVDPSLISVEEELDQSKSCEVSQEPVSPTKTKTKTKENTPKAVTN ETQGEENGDVATPRASRSRASSFYGLDSASEVFEDAYDDNDDSLEDEDDYDDDDSPLK STAAKVYPVTTSGSSTIPHPKEDRKEMFIAKEQLPDFVTEIEGLTIESMSGSVVHPNI KEITADPLETKVAKITNEDDVHTPTNTSAVDPKDVVLKETLFVAEDEITGQEMHAKPK VTDTLLSQPVVGVTPVSKNQSENDPEKVHISSQDLGVAEVANNDILKKSKNFGSETLR TTHDSTASQFPHDKQDTVQKTTALETSAENMATKQDQSTAVAAAVASVLAAAGVVHLP LQSLKQPQNDDSKGVTPLIITDGAEGDNDKLVFHGGDSGIAISQTASDEDSDPEKVSK APSERTSSDSDDDDRDCLGSILPAISDSLREYNNKEPTRDIKKATDDMENDIEARKER ELEFPIEPPVDLDVFKDNTLAIQAIDIKSIPINDFTPRQQEKADEPVQEGINLLFDNQ FMRAKGIFQTKANIDPVYALGLGAMAFIKAMMTFDEKDMASAMNALATAYTIGKTQVD NSPYKKPLKDSVFHYFATFGSGSTGLPPSPIPIIPLKDTSNDPPTFIPNGVLRAHVAK AECCLLMGVLQMSQESVIGYLKCGLNLRRGNTDTDTMFKEFFRETMTNKQPLKQIAYN SYSIVWQEYRRMGQEYNNHIDHNTVSGIQFGIGAVHLLMSSMPSKILKIFSTFGWKGD KQLGFALLKLCLEGRGLRSPLASLALLTYYSVLTSFAPQLYAKELMSPAIECLLDAQK NHPNSCFFLFFAAHTSRVARNIPLSTQSFDFAAESSRGEWAEHAMKQLSDYEIGVNLM LQLDWESAAECFENLAQEKYWSPSFAKYVVGACRDMLGERTDGILAFAEVPQLAKEYP TKKTYMDAYVHKKVEFFQRSGYQDMDFSLPGLELILVLNGFEHMDKGCLEKALKRVHE TLELIYEREKMEYTIRLRELVPTTTPPNYYDQRAVLLLIKSSILNTLGRYTECISHLN WIIDHKESIKHETWVAPFAYWESGITSWGLGNAQKSRQLWQLALACTKYDFEYRMSVR LTLALNRCDEFGIEAVDTKAKKGPTTNGRKRMPIVPT PHYBLDRAFT_167331 MQSIMKAKTDKDMSSAVAQKCYCGMPNCKGFIGASDKKSDEFEE SEVSPSEEEIEEEEEESDSTESSWPKKKNLKRHRRRHSEPLQDPDEVQTFVKKMLDSV GKAHLVNKLLRRLELTNPNTSRGKEVLKQFLWLHGLKMLKFWLGEWKNDEDIVKKVLH VLDQLPLANRNGLEDCKMIDVVRKLTNHEDEEISEMAEALIEKWENLKSIYRIPKRMY VEPQPAHKETFEERMGLSQDEEDSDYGSYLLRTNKRARYSSTREFFDPDDDYFEYLSM YATPEEIHWKLQYPPVPIIPTAPRAMLDYAPSLSHKYYNRPTETDSPTQPEPNRYLYS EMNGNSGVENDAFDTGFSLSESDSRLIDSMNNNSLQSSGYYDNPSQTTPSQMHIVSTP SPVAASKLPLNWRMATCEDGTVYYYSKITGKSQWDFPEERVSSIEGVDQAQLTGLVER AIMDAQKKKNGNTSSESPASRTDRSPRLTTPSTSTDATPLGDSAGFDEAEIKKEVGKV VTKYLSTRQSALWHGDRHLFKELARKITHHIVDRESNSSKKFQGMDLSRRLKIEKFID THGPSFAARISKKKRLFVDSPLNHSVDDKSDSRATSVSLDTLREPEEEEEEDKIPKKV EDEPAQITPESMVKEVDNINEPRDSWVPNRELDQRRENIISTSLSPAFNMLDIGSEVA ATRNDASRPASHRASDYYYYNRRSRDESPYGRDRSYRSHDLGSDRYLPDYPRSASTFR PPGNYYYSSYYRHPPSPPPYRFHRYYGGRQSPPYYRSSASSSRRGSPDEDFDRRRWD PHYBLDRAFT_102930 DIDDPSEACGDDHTCINRLMFMECMVDDCPCGRFCRNRRFQLRQ YARVDVIQTEKKGFGLRALTDLPTNAFIMEYIGEVIPNIEFIRRTKDYEEEGLEHYY PHYBLDRAFT_144359 MSSENHVKGLQRQEKILENQRILQELGLSEGKQKMANFPVVTSS RKRARYVKQTSQNTRKTVEVSRVSRRLKGEAPEEIMELDELLDQNDRLRQVESMAETR IQQENTKKEIDKLAEHISVPLTLASIGTTIWELGELYTGKGRSKYWSGRSCRYRHPYP IGFRATKAHFGNDYAMVIEKGPDGEGPVFTVQVNGTGTVFKGATPTGPWTEACKRSKS QGTRVSGPLFHGFSDLITMSLIQSLDGYDLASEPENEDA PHYBLDRAFT_167334 MSIGALLKRTAAYTTSAPSTSNIGSKLRLRKAALTLTPAAVNRL KDLTKGPKPQYLRVGVKQKGCSGNSYMLEFTDSKGKFDETVSQDGVTVLVDSKALITV LGSEMDFVQDKLSSHFVFNNPNAKGVCGCGESFAV PHYBLDRAFT_144362 MSASDESPMTKSAPVKGGSLAGTASSSDCKLYELIQYQCEMVES KIECTPIPRLFLKCPGKPTTEVTPEYDQNGDPLQR PHYBLDRAFT_181019 MAQSFRALLDLCKRRGFLYQSAEIYGGLRGAYDYGPLGVELKKN ILNSWWRQNVYYRDDVVGLDTSIITPHPVLKASGHVDEFTDLLVDCTLTKERFRPDKA PSVKFLPFAEKPESGEIPIEAPDKETAKAWQKTIQEQLAPGIVTSVHGKNIHLHVKTL VEPNENGEGGSITFKANGVPELKICYHGYVEPTTNSPFLTDSRPFNLMFKTFLDPIDP IERVIQTTKKHDVDSKQSTREAVDEVLRPSTVYLRPETAQGVFINFEQVTRTMKTKPP FGIAQVGKSFRNEIRLEHGIFRTPEFEQMELEYFVAPWESGHWFSYWRQRRYNWWLEH ACYPKNFRQRDHGKNEMAHYATGCTDVEYLYPWGWGEVEGVANRGNFDLTQHIKNTNA NFEVVEDEVRISPNVTTDHSLIPKEKPARYVPHVVESSCGLNRAMLAYLCDAFHQVVD ETGTNKQPRTILKLDPRLAPIKCAVMPLTGKAEFMPIVEKVAKSLRRQGWYTVVESQK LKIGKRYYRHDEVGTPWCVTVDFQSLEDGTVTLRDRDTGAQQRFAWQDVKKHIGEKLS DADDDN PHYBLDRAFT_144364 MAGQNPIAHCLERLFLADDTVLAEKAEHFQGLCSTRVPSKVFGK GPNSKPVISIHLAYENLGRHDWDSKLAAELAGCKPAVYDTAVSLTRKHLGIVPVVSFE SLTVALGCTTMIKQVESLWDSFQESYLGNLSVAKKLSAKEELSQPVWKGAIVYACAKA LGESVTKAPLQSLCSCSLPELTKCIKVVTDVAKKAIEELKSQSTKASRTPRTTGKRRI GEDEDVVEETPAAKKVKMPGTKKALFGRLKRTDSKQAPATKEYKPISGIVSMINRQDY RQTRRYRDYIQWKDSLIARLSNSTTTIE PHYBLDRAFT_144365 MLDSILNKSQELNIIPVAGSQNIDTTSSLLELCQIQNERIQLAR DLDQKIIFYQKAKTSALNSAYFRATRLQKVIILLEDYSRMRDHGYMMASMHDLWPALS HAIQLVAQDMEIHKALDLVRNKPTEERELTEVMDNLTKAYENYTEKLEKLVNVTSY PHYBLDRAFT_158567 MGPEDDEHQQRNLQEIFEKRRRRRESHNAVERRRRDNINERIQE LSTLLPEHLLESAPTSSNVMSTVNSQSGANGKAINKGTILKLSVDHIKDLRDEVSMYK SRIQELEQLIEAAKHGDFGQDSQSAMHKSQSSASHVSYPDNQDFYSQARRNNQVKEEV NPDGFGQQNSQNPQPVRLVESNNRSRHERMGSLQFQQKFGNMNIGNPKDINHL PHYBLDRAFT_67779 MDRFDSNLAIHFDEVDVVTEEMVLDGMDMQAINWKAFRRDRKTY ELDRAWSILQLIELWRQAYITYIKLGWAYLCLTVYSSLQEPKEMIKEDRFFTFKHGKL SERCSVGHFQLRSLLWATSKNDVYYLRLTALISGNDETTHFIDLKTMQTKQIIQFPFP VNHAAISPDKEMLCAVGDSTKTVVADINTGSEIFSIDEHHDFSFSCCWSPDGRTFATG NQDKTTRVYDIRNTSRTLHLLGGNIGAIRSLQYSSDGKYMVAAGMKTEAYIMYHNLHA DMFSIEHIDYIHVYDAKNDYRSSQVINLFGSIAGVSLTPDGQSLFVANSEDEDIG PHYBLDRAFT_181021 MTTTKEFRNPSVHAIGGALSGMTACVMLQPLDLIKTRLQQQRQD HLAFLREAKKKGLLIAPQNSTIYSTVRDIVSSNGFGGLWRGTIPTIIRNVPGSALYFL ALSEIRQLLEKRRPSWQKYVYPKQSSTKNQTQWENLVSGATARGAVGYIMMPITVVKV RYESNFYNYRNISDAFGSIIKNDGFRGLFAGYGATFIRDAPFAGIYLFFYEQSKAWSK TFAKKKNIEIPNMAINLGSGVVAGVAATCTTQPFDMLKTRMQLKPALYKHLVQAARKV FKEEGLVGFFDGISVRLIRKPLNSAISWAIYEEVIRWNDAKLIQDNPI PHYBLDRAFT_124245 MAHQLTAKAIIFDLDGTLIDTTPVVIKHWLDFAAEHNLDGEKIL AMSHGRRTIETISAWVPEKATNEMVDYYERKLAMETEGVSILPGVEELIKNIPAGRYG IYTSGTQFMAESRLKQCHMVIPEVMCTGDKIIQGKPFPEGYLIAAKGLGTDPKDCVVF EDAPAGVKAAIAGGMKCIACTTTHSVEELREAGATYIVEFLTDVDVKALSDETMEITI KNTL PHYBLDRAFT_124248 MSNDIRFDGKVAIITGAGGGLGKAYALFFSSRGASVIINDLGTI KKDDGESVKAADIVVAEIQKAGGQAVANYNSVEDGAKIVETAMKAFGRIDIIINNAGI LRDKTFARMTDADWDIIQAVHVKGSYAVTHAAWPIMKKQKFGRIIMTASAAGIYGNFG QANYSAAKLALASFSNSLAKEGAKDNIHCNTIAPMAASRMTETVLPPDILASLKPEFV TPVVGYLCHENTEDNGGVYEVGGGFVSKLRWERSSGVVFKADETFTPTAVAAKWDAIN DFDQAEYPTSVMDTDFMALLERAKAADANPTGEPLSFAGQVAVVTGAGGGLGKAYAIL LGKLGASVVVNDLGGSATGQGSDSRAADVVVEEIRKAGGQAVANYDSVEEGDKVVETA LKAFGRIDIIVNNAGILRDKSFARMTDADWDLVHRVHLRGSYKVIKAAWPHFVKQSYG RIINTASAVGLYGNFGQTNYSAAKLGIVGLTKTLAIEGQRKNILVNVIAPNAGTRMTA TVMPPEMVEAFKPEYVAPLVAFLGHSSNEETGGIFEVGSGWVAKVRWQRSGGVGFPAN RTLEPEQVAQAWDKITNFDDGRATNPNSTQDSFQGFMENFGNIEEGGLDIAAVKKERF EVFTFDYSEREAILYALGVGCKRTDLKYIYENDEEFSVLPTFGVIPSFVAMNSVPFGD FLPDFNPMMLLHGEQFLSLKKPIPTSGTLKSQARIVDILDKGKGAAVTVGVTTTDESG EVLFENEFTLFVRGSGGFGGPKKGEDRGAATASNIPPNRKPDAVVTEKTTEDQAALYR LSGDYNPLHIDPSMSAIGGFDVPILHGLCSFGISGKHVLKTFGNNSPENFVNIKARFA KHVFPGETLETQMWKENNKIIFQTRVVERDVIAISNAAVELKDTARESKL PHYBLDRAFT_96474 KTMFNQFLNPQTVENLLEKSQLYRGVIRINRVNRGEAYVTSDEL DSDIFISDTRDRNRALEGDIVAVRLKDVDRVWQTKKDREQARRILRNNGDDTAPDEVN EDSGKPKYAGEVMSIVTRVENMVHPGKLSINISTGTNNKDSKERKVSTPQDPRIVWFR PSDKRTPFIAIPIDRAPHDIFLNEEYYDKVVMLARITHWPITSKHPFGVIERELGPVG DIKVETDAILADSNVSDIPFTDVALRGLPPPTWAIPQSEIDKRRDLRKEMIFTIDPPT ARDLDDAVHIKTLDDGNFEVGVHIADVTYFLRPNTPLDTEAQSRGTSTYLVDRVIPML PSLLSEKLCSLNPDSDRLAFSVIWKMDPKGNISDTWFGRTVIRYGDAQSVIDGDGLPS DVQITSHSPTKVQDAIFDFFRLSQKMRKRRYENGALSMFSVKLCFTLNKNGDPETAEQ YDIKEANRLIEEFMLQANISVAEKISESLPDSALLRRHDPPIPRRLDAFIQTAEELGC EIDGSTAGSLQESFEAIKDPLVKMVVLLLAIKPMQRAKYFCSGTISEEKYLHYALNVP LYSHFTSPIRRYADVMVHRQLQNALEGKDEMNKKDIHKIAKNCNRKKDGAKLAQDNNI QLYLAKYLHNLEADKKDIVRLAIVVSVNKTSYNVYIPEYGLEKRVYMDSLPLTGFEYT KGSLTMFWKRGVMPSRDLEEKLYEQPRQG PHYBLDRAFT_144372 MSSLLPRKKRILSLRRDRTQSVLTLEPIKSTQEIPGKTSPPPTP PQSQSQSQPQPQPQPQSQSQSQSQSQSQLQPQPQKLPPLEPAIEPHPPVQLPTNEESY SCPICDKDLSCTRSSYLRQQHVNKCLDSPTNLPITKDQSPFDYCIFCGKHISALNSAR KDAHFNRCLDDIAREEKESNDAANLAIAAKEAPYLSRLDLCPCCYETDLFRNYNIKRK IAHVKQCAKRRSMTLPQLLQQCRWLGWGHTPVPVAQPPPPSPPCTAKTSLKRTINFAV VEDGSEDFKETVLMYRKPHPLFKKSTKDDKKDESLQTALALSLSMKPSIVKKKGRPTE YDHNSSNILTVEESRRLIADALDNMLSSLPHPCEKDFLAIPPSKLKTKTIEHTQERCL WNLAVYRNVPSSKEIYISKIIKPDDDD PHYBLDRAFT_177394 MVKFIKAGKVVVILQGRFAGKKAVVVRNHDEGTKDRPYGYAVVA GVERSPLKVTKGMGKKKVAKRSKVKPFVKIVNYNHMLPTRYALELEQIKGTVSADSFK EPSQREESKKVIKKLFEERYQTGKNKWFFSKLRF PHYBLDRAFT_133028 MSNLPAVLSPTEEDIQLLLSAQCHIGAKNVNTRMTPYVYKRRAD GINLINIGKTWEKLIFAARIIAAIENPQDIVVVSARPYGHRAALKFAKYIGAEAIVGR FTPGTFTNYNTRSFREPRLIICTDPRSDFQAIKEASYVNIPVISLADTDAFLQYVDVV IPTNNKGKHALGLIYYLLARAVLRLRGTLDYGVAWEVMVDMFFFRDPEEAEKEQAAAA LAAELENTDFSATAEAATGEWAVEGEAAEGVAGIAAAADWSASRTTDWADEAVAPATS SWEA PHYBLDRAFT_144376 MENLKRRHPPQQKISSYSPPPPYSDIYESVSLNGDNCSGTYSTK EKSHRIYKDSQFSSRALYFLREYKHTIIAITLTAISLWTRFRKIDVSDIVVWDEAHFG KFGSFYLKNEFYFDVHPPLGKMLVGLSGYLAGYNGSFGFDSGKHYPPEVNFKFMRLFN ATWGALLVPLAYLTATQFKMSQKASLLAATMVLADTAYLCISRFILLDSMLLFFTCLS LFTLSVFHNLQDQSFSKSWWLWLVLTGLSLGCVLSVKWVGLFAVALVGLYTAEDLWEK LGDVQMPKKKYMGHWIARIICLIVIPAIVYLASFKVHFAILRKSGPGDGQMSSLFQAQ LEGNSLSKNPLEVAFGSNVTIKNVAYGGGLLHSHPHLYPSGSTQQQITCYHHKDPNNE WGIAEPRYEENQDVPEVDGIRYLKDGDVVRLVHLATGRNLHTHPIAAPITSSHWEVSG YGNASMGDIQDNWKIEIVKDLTHNDKNHLRSLSTRFRLRNVQLGCLLGSHNTILPQWG YKQYEVYCDKRNQTDNDKTLWNIEEHKNEKLPKPPKNTYKSKFLDDFIQLNVAMWTSN NALIPDPDKEDILASTPLQWPFVSIGLRMCGWGDKQFKFYLLGNPIVWWLSSGSLVAF SLLYSFYSIRAQRQINDMKPEKSENFFYVGKTLFLGWFLHYAPFFIMGRVTYLHHYFP SLYFSIFMAPFLLDHLTAKASARTQNLVFGAVFTAVIGTFIYFAPFAYGMMGPISSYA GRRWLTKWNLLDTF PHYBLDRAFT_144377 MFVPLRRALPRQSVRLITNAAFNQRTRLDRPISVAPMVDISTPA KLLRIISGSSRYAYYTEMHHGQAILHHTSHLDWFVGPPQPNVVVQLGGAEPHMMAQAA KALEKHGYREVNINVGCPSANVQSGKFGAVLMKTPEIVADILSAMETCDVSIPVTVKC RIGVDKQESFEFLEKFVDTLLQSKRPPPHLIVHARKCILKGLTPKKNRTIPPLNYDRV YQLRDRFPELPISINGGFTTVDMVNRALEQVDGCMIGRQVMNHPMFLQELDRDYGEKL LSEKHLLEGTRLPPLTILIKPLMMLFEGRHGRNFRRELFVELDKKPSLSDFRSVVLQA ISSAEVPINFSHSN PHYBLDRAFT_144378 MSMLRQLWSSAVSRLVPSTTNITQNTTISRFTAARPSMLGANTG SFLSSSFGLPRSPFAASPFAATQMRFVTYGNTYQPSNLVRKRRHGFLSRVATKNGRRV LARRRLKGRKFLTH PHYBLDRAFT_133030 MPKFIGAIDQGTTSTRFVVFDEQGAIVTYHQMEFEQHYSAPGWV EHDPYELLDSARACVEHTIRKMSIMGYDASDIQCIGITNQRETTIAWDTLTGQPLYPA IVWSDNRTTDTVKELAAKSEKGLNALQSICGLPLATYFSAVKMKWMIDNVSAVAEALD QSRLQFSTVDTWLIYHLTGGLDGGVIVTDPTNASRTMLMDIHTLQWSDEALSFFGLQG MQLPKISSSSQELGLISDGSLKGIPISGCLGDQQSALVGQKCFQVGDAKNTYGTGCFM LFNNGDSPVFSKNGLLPTVAYQFGSSKPQYALEGSVAVAGSSINWLRDNLGIVSGAKE VNELAAAVNDTSGVYFVTAFAGLFAPYWRSDARGTICGLTQYTKREHLARATLESVCY QSRAILEAMDKESGKPLRSLKVDGGMSNSDLCMQIQANVLGIEVDRPKMCETTALGAA IAAGFAAGVWKSIEDLDKVNAENHTLFTSQVTDKKRQSMYGGWQEAIKRSFGWAATPT A PHYBLDRAFT_133033 MTTKVGYEWLLNNVDSLHRFSWDHVQSILLSNAQSAINAFHRGT ICETVKSCLENPDLLLEYYRTTDPLVILLVVSGVFAYIHYIVSELTKNYSQVDKSWSI LPALYAWHFALHDYLNRGSFHPRLLVASLLITIWGARLTYNFARKGGYEWKGQDYRYP YIAEKIGPLAMAILNLTVIAPFQDLLLALIVTPLYLTNLSGLSKDNIGLTNIDFAAIT LHLSLLLFEVIADDQQFLFQTRKHAVLDYVDKSKLSGDYKRGFLSESGLWKYSRHPNF FAEMSMWWTIYLFSVATIRQAGLTEVVGSYLNWTVVGAFTLTLLFQGSTFLTEYISAE KYPDYKEYQQSVNRFIPWFPNRSITADDSKKNN PHYBLDRAFT_144381 MTLVETACISSDGTEYIIPEPCVHEQKVTIHHWQLRDLIIARQD KKDELIVPTGRDIFRYNFRTRSSSYLVKDVGYAPTCMTIGNGYWASGGQRGELTLRDI NTENEVTITTTPSKTINNGLAFSNLNGQLRLLVSNNDASVRVFSVPELQLLQTIDFRT AVNHTSISPDETKMIVVGDDNKVHLFSITAAGTFEPVSTMAAARDANFSVAWNHSSEK FAVASQDGTVHVWDIRSRDPLYRFGGGNSSITKGAARCVKFTQSGAIDLLAFTEHVSH INIIDARTFNAQETIRVGSSGVDTPITGLAFSPNSHSMFVGMENVILEYPVDTSARRR FPKGALL PHYBLDRAFT_144382 MYNAQLNPANSKNIVPRNDFQSHMVNHQADRNQILSERPETLNN AGLSPKKREKPWETAREDEEKEGSVDMHVDKKHGSINPISKARNRINKDLDLWNKLNA LPKSVRTPGVIPRLKPQFVSVNKKISTTAVYLSSKYTDHISTGSMDLGWGCGYRNCQM LMSFLERQQEDNEPVIKHVIHISGLQILLEEAWRQGFDLPGASQLDHHVYGTEKWIGA TDVYTMLVYLGIRCTIIDFHRPSGLNNAHDALFDWIQGYFENAIKKPESSKPVQDATS STSSSTIFYLTDQPPLYLQHSGHSRTVIGIEMLKDGHRNLIMFDPGRRMLRSNRKGQI HSSMPIEDLTRDSLESGSPEPSCGGSSNESGSQSDVDPRTDLENITSNAGSNNNCSAG NNTRVLSNYSPVIPDGLLRPVRVDSKTIAKNRQYQLLVLGEVVDDRPIGGTIRWSGEK GYLLTEREREVMKKVTSIRAL PHYBLDRAFT_133035 MGRRPARCYRYCKNKPYPKSRYCRGVPDAKLRIYDLGRKKAHVD DFPLCIHLVSNEYEQLSAEALEAGRICCNKYMAKTSGKDSFHMRIRVHPYHVTRINKM LSCAGADRLQTGMRGAFGKPNGLVARVNIGQIIFSVRSKDSMKPVVIEALRRCKYKFP GQQKIIISKKWGFTPLSRADYVEARAAGKFRPDGCYVKFLPNKGTLENYFREVSRS PHYBLDRAFT_167355 MASSQAFERGITEVDSLVGIGLAIVGNILISFALNVQKLAHNQL SVEKLDNTSPCSSCTSSSISEITPNTLEQANRHHSPEIHTAVIKDDMHYLYSKTWWIG ILLMICGEIGNFTAYGFAPASIIAPLGTTTLISNAMLAPFLLNEAFRKRDFMGILLAM AGAAAVVFSSRSKETKLTPELVTEALFQTRSLVFYLLTILLILVLSLLSPRHGQKNIL IDLGLVAVYGAYTVLATKSLSSLLNLTLYRLFTYTISYVLIIVLVSSAIMQIKYLSKA LQRFDSTAVIPTQFVLFTISAIVGSAVIYHDFDDEDCMHISWFLVGCFTEFMGVYLIT SNRNRPSPGVQEWKSPDIKHDFEPVGKMSFSSEAINVPCNEQTPLVPHNSYEHSVNYD GQCLTPTLSNQITNIRQNSIFPGLSLHSQLASMGEES PHYBLDRAFT_144386 MNEATPFQVLTVKASIKTTGWNPDLLRPLQVLVAKVHTIVTHTF TLMRYIFLTKLSRDPFFDLDKYITQDIFANVFLLLFDHSTAFYITEMTSHSHEPTNVP PSTIFRAPTFPFEPSNKSKTLANIAPPNGQLDLAAALNFWYIINRLKHGTFPNRFTRT IKVVSARRQAEEDLQEGRRLRQTE PHYBLDRAFT_167357 MSPFPFQSIVNMVDIRPAVPTQPRYLAQVVSNVLNRVNIRKLKR SGRQKLHLVVFLVFNRHTCMASGVVFRMFKRHLVDFLSWLISKPMCTLFHISSLISMA LAPLPEHFKLSLSETKVEHIQCSDYNEYFGLSCSAMRHWSIQCTNFSYMLNARVTFYN FAFSLDMLDIYTIYTFLRALGLTYSLLKPNDRPIKPNVHQNSLSRKVFLVVQYLRLSL GQ PHYBLDRAFT_67799 MATAESSPDSRRQSAATTSWLKQRPQNTGNPAEKQRTVDRELER IKSLAMVSSVWQKTGPEDIPVGHFVPTPSPSTSASSQNTSLSRTISCPNTNDGQPRVR RNSSLGSLNGPLYSALDKAYRSYGIEPPERVRERRISLQNTKLLTMHPGLVPATVSEG IESEFGNHTPQRVLPNQRESLAGTCELIRSTTHETINVESGSDRVLLRQTLSSIIQQT GSDIAQQTAALEAFWLDSVSASNKEVLHYRQQLAAQDKTMQNTLEQFLAEKEKESHRV RQLTELVLKQDRLIQGLEQSLELQPTLPLQVSPEAWGPAAISESRAELRVLQSEMATM KKTKMSLERAMGALEGEVEMSQGQVRMMMLVSTEIQNDFDAQTRIIQDRVLSLENQLK SKDALIFELSMNNSTKRNVTESTPREMRRRTNSNSTFGSSVISMHPYDQRQTDDDRTV DTSHFSITSSRSQSTKAVHTKRRSSANTLSTSSHISYVARWADINLPPATPPPSEPLP PLPNTEPLARPQRSFSATRPYSPPTPTTTDPKHSSVDTIAPFITQDIDQDEFDIDSVL EPPPVSVTKEIDEVYAWQASTSEHLPGTTKWMDDPESGGRRPPPSRPSSSEKTHSAFW KGMKKKWMVREK PHYBLDRAFT_67800 MKGDSDPIRLECSCDMESSMVILFYSYGAVPDPASLAKEHLAWE KEGLTGKVRIASEGINGTLAGNRDSIQAYIDWLLTMPCMETHRNKDQKDFFKPSRGCR HVFVDLSVKLVEEICPLGRPEQVTLEQLSQPRHAKAKLSPESFHEQLKREDVVLLDTR NYYESRIGHFEGAIKPAIRKFSRFPDYVDRNKESLEGKTILTYCTGGIRCEKATAYMR QALSDETDIMMLDGGIHNYLEWWGKQSKEKKPLWQGKNYVFDARQGLGVPVATAEEAK VSHCSICQVPWDTYLKCGTDGVCGCERKRRLEEMSLLAL PHYBLDRAFT_144389 MSSMVPLDGDGDGLMRMGYQPAGQVLVAIFGNSPTQPPPISPPL LKPHFGNPLSPLYSSTSIENVGI PHYBLDRAFT_103278 MLISKENRKTIYEALFKDGALVAAKDFNAPKHSELEVPNLEVIK AMQSLTSKGLVKTQFSWQFYYYTLTDEGINYLREYLHLPQEIVPATMKKAARPAAPRR AFGEGREGPRGPRGDRDDYRRKEGASGDFKPEFRG PHYBLDRAFT_78902 MTTSKYEESIKELVSTDDAKRLKALRFIKNSVIGNKTKKDLYIK LGVVERLVEYLSLSDPEAYGLKIQAATILGSIAYGKDENAISVVAFGALNPLLNSLRL PPHNNLTEAIHQRRKLLEASTRALKSIFSSSKVSKEQVFMDGHIEDIVALLEGTSPVI LLETQNNVSSDSLSFAMIAEFTAAIVAKCCDTPEQQMELASAGVLKPLINLLYSNCIK AQEAALDALATLCRENTEIGKMVVGGSLTQDKQQSCIETILEFVKEKCPKMRLIAATC LTNLYRTGAFSESTDSIVLVVLPALVKLLSEPTGDVQEKAPLVLADLIKDSEDMQKAA FEAYAIPRLVELLATLSTKDSNSADLMLGVPGVGSLAKRKQKIRENCLIAIAAATLAK EECRTQAIDQKVLPYVVQALQSEYPNVRLAACQCAKSLSRSVSNLRTSLVDAGIAVPL IKLLNDSSIVIQAAACGAICNLVLEFSPMRKSVIDGGGIEHFVEFSRSSDPKLQLNGV WALKSLLFKSDLPVKKAVMKVLTYNALIDLLHQPAPAIQEQALEIVRNLVCGNQEAIE DVIEGIGKDDLLDVIESKLEDTFDMDVDDEDDAAVARTLEPALFIVVNMSSGPEGPKM ALMSRPNITNSVFRHLSHDNPAIRAAATWCMINWTWKDREGQQEGLKERVRYLRDLGA EQKLRVMESDSCRDVRDRAMTALEQLMAASS PHYBLDRAFT_67803 MIDVHAHIQSINFPEESLSKMVDLAREAGVTQIVSVSESVFDAL DVLNVARTSNGLIWPGLGLHPVQPLSKEDNQPRSVTLKDLEEFEPILQQSISAKEICC IGEIGLDFSLHILAKNTHNPSTLSEDELKNIQRQVFKRQVEMAIDADLTVNVHSRSAG HHALAILYECNAKRVIMHAFDGKVSYAKKAVEAGYYFSVPPSIVRSPEKQNLVAALPL SHLLLESDSPALGPQKNVDNTPANILLAAMEIARIKKVDVEQVVKSETKALLFSLPSK AQKEIKGK PHYBLDRAFT_144393 MSIPSTREKSVETVSEKDEDLLTPPDGGRGWLIVFGSFLGLFSI FGYNYSWGIYLNYYNKNVYVGNMSQLSWIGSICVSLFFVLGPINQLVISRMGYKYMLV TGTVLCTAALILASFAQQVWHIFLTQGVLFGFGASFVWFPCIGAPQQWFSERRGLAVG LAMSGSGIGGLCISNISQAVINNLGYRWALRINGIIVFVLLSIASLLVRPLGTSKQTG GNGQLISWYLFKNPMFSIMFVHGLITTFGYMTPFFLLSSHANFLGLDPWVGTNLSAIM SAVNAASRICTGYMGDRIGRFNSLFLCTFMAGVCCLAIWINVHDQATIWVFAVLYGFF GGGYIALFPTVQPQVVGLEHISPAVGLLYFTNLFGYMFGTPIASALINRSSPPRYEDG AIWAGVTVIVGSLFAGWLRVQKAGWKFVKV PHYBLDRAFT_144394 MDIGQLKNPFGSNDPKKATGSSGPFKIGDYDNAAEQNNSTQSSA PTNQSNTQAQQNSQAKRESTATSLKEGVQSTLDKLSGQRVVIIGAVDFDQLHIEYKRG SHLE PHYBLDRAFT_67805 MSDRFKMYVSILFSKTSIIYILDSSSSTENYLYIDISHQYYQPN QNHKPDFRVIELSQARSGSLDAFRYPTTLIYASFDLGTVKEAVYNLAAKVDNMGQRKN TSLNQTTKGTNKRISYFFIVIMISTIVNFLITRGYKSRSNYTQETGSSSNIKFFEGDI ISSDVIQNGICPYTPRSIIIDKDDMLILISSINTCVSSNSGRHKTRWLKVNGDFQESM CTFWFGTGIKTLLKYVIKGQAKKSGCFNVKRKVRKEYIYRNYNALPNRLPSNEILFRA LEIR PHYBLDRAFT_111568 LERDPPPGIVCYPIDDDITQLESFIKGPPESPYEKGLFQLDITI PLQYPFSPPQIRFKTPIYHPNIDDCGRICADILKKGVSGGWKPSLNLSTTLMSLSTLM AHPNPDDPLDADIVSTHIRIYMILL PHYBLDRAFT_167366 MTDIPQLKTFDVSLSPTGVAEIAFNRPERYNSLSPLVYEEWRTA IRWAASSEDVKVTVLTGRGKYYTSGQELAIPEMTPEALDNYMKRSEVTKTLTDELINF PKMLIAAVNGHAIGYGVTTLALCDVVYSVPDATFTTPFMKLSFCAEACSSVTFPRILG TSRANEMLLMGRTFTAKELEQAGLISRTFPTESFHEQVMALAEKAAEFSVNAMKITKE LVRSVDRELLLSTNVIEMERLGECMTSSDSLESMLRFFEESQKKKKAKAAAGKNKASK L PHYBLDRAFT_181034 MKLTAVSLLVPILAYLETASAQTDAGCAASVIFNQCLRNQDIYI KTCSGQDYTCLCKWHTTKLSCWDNCQNDVSRGSQEGLKTTFCSIAAPINATVSSIGSA LPTASQAPSSSSNGSGSKSGNVASLSPSAAGPIKIPSAATAAGSLPSLGSTKNSNGTT GTNGGGGNVNKTSHSGAMSLESGPVFGVIVAVTCYWFLL PHYBLDRAFT_144400 MDEKRREGNKRENNESNTLAFVLLLIIALALALALTLLVAVAVA VAVAVAVAVAVAVEVVVVVAVAVAVAVAVAVAVILVFCTFA PHYBLDRAFT_144401 MRCLSLILSAAITVASAAELQRIPLYRRWDDSPGLKKEAMINDN GLLVAKMQVGTPAQEFTVLFDTSSSLTWVPSTKCHSTECTTFSSDPYDTNKSTTAVDL HKKQSIKYGGGKCIDVELYRDTVSVAGLPVTNQLIGSAYSVSNIGDDKYIGYLGLGGF NEDGSTDYLNSTTKKITGGSHEISSRQYYGSGGYAQNSFQLGYGQQSQQFGMVATDSS GFYGKRGDTPQAEFIIGGVDHTVYKGQIAYLPLPTCDYGDSPYWKTALTCVKIGNNVD IKLAPKSLATLSSGTNFMSAPTKQANLLHKAIGAQYDDATFTYKLKCSTIKDLPDLSF SFTNYKVTLPSSLYTAKTDDGECYSLIRQNTNEKDWILGGSFLNNFYHIYDLGNKRIG LATPKGGCTAKIHKTGSRSTKSN PHYBLDRAFT_186625 MFFITEALSWATGVPEPTLRLLTTLMLAYPVANFYNKLYIRPLL NNQPIKTTESDRNSFILLSGLALAFYYNGRAIYHSLVTVAVSYAICYLGDHFQDRRLA TAGVWVFNTAYLLLGYYFTSSDDYDISWTMTQCILCLRLMGFSFDFQDGADAEALAAS EAPKTGNPLPLSFANDTPLKELPEFAQVLAYTFFPSAFLVGPQFSFSLYKRWLKAPYG GKPVSEWEETQKAQLMYMYRCVGLAILYLAVQQVIGSQYPTSYLLTDDYAALPLFKRL FIFWMAGRFTFNKYIGVWMLTEGASTLFGISYDGEDKDGQARFDGLANALPNVYELTT TIDNVIASFNINTNLWAKYYVFKRLRFLGNKNISQFATLGFLAIWHGFHIMYFVTFAL EFLYIQCELVLRRRIVPSVKPLTSRNDIYKAVWKVVAWLTCTSTTSYAVVGFDLLKVG KAWTAYKNVYFIGHLAIVIILGVNALLGKPSRPLKKKIM PHYBLDRAFT_111100 MSKHTTPSQTTAPPPPLAAAPQPFWFGGAASCVATFVSHPFDLT KVQYATRSLRPTRMVKTMWTIYHTEGVRALYSGLSASLLRQGTYSTIRFGLYDRFKWM VAGDKKPTFSQLLICSTAAGILGGAFGNPSDVVNVRMQNDGQLPPAERRNYRNAIDGM IRICREESPRVLLRGLGSSTNRAVLMTVSQMASYDVFKDLFLGKLGWKEGLPTHFATS LLAGLVATTVCAPLDVVKTRIMSAHAHDGKHPLRIMLHMVKTEGFGSLFRGWMPAFVR LGPHTIVTFMVLERMREWHTQWIRPANQTLETL PHYBLDRAFT_144404 MFRPILSRTFGPRNLTLSQFLRHQSTKTLVKFDNADVHRFGIKD PVFKNLSLTIPENQHLVITGPINAGKTTLAEALAGQHSVKPTGAAKWPLLESLPGTLS DQIHLVSFQENSAMFSYGQHYYQERFNFSDPDNDLTLSDYLKSREKSDSKIAKVAETL DLTHLLPLSFVKLSNGQTRRARIARALLGNPAVLILDEPLMGLDIEHRKKLLDTLENL ATKPGGTPVVLVQRPQDEMPEWATRVICLDGMNIVWDGTPTDYLQRILPQTTKEAAER QKYRQEALSKEEGTRDLRAPVVELKSVNVIYNKRKILDDISWTVRQGDRWALLGPNGS GKTTLLSFLTGDHPQAYSNELSLFGRRRGTGESIWDIKARVGLVSPEIHLYFNQRMSA LEAAGTGFFDGVVPRSLSAEQTSTIERLFGEFGMSGVENRKLQEMSTGEQRLVLLVRS LVKRPELIIWDEPFQGLDLGMIHNVNTWLENHMKPEQTLIMVTHHEEEIPRAVTRRFK LGAGGVDANETGS PHYBLDRAFT_144405 MSTSPIVTELPLGAISDHLVIFIHGMGKQYEDSGNIEHHVATMQ KHTKEVLQTQFPSHQLRVKYVPVEWHSVVHLLVDTKMDQSSLGTIPKVRLATNHWLLD CMYYFTKPHNQCIIDTICEQSNKIYQKHLIDYPDFEENQGQVHMVGCSLGGVAGYDIA SSQWLPEDGLPPWDGVQDKQYVCARPDVNVPKLDFNVKSLFTCGSPIAAGLIFRGLDY MHFRPPPRTRVFNIFHPFDPLGYRLEPMINDSYTTIDPVKIQRAQRKALLSVNIPKIP NLGIRSSFAGAGPMITRAGKTFWRYLNAETQPVESQALDVSKSTWEPTLDDENNIKHP IPHSSTSLDSSTSSNSSTNSRSGTSNNNSDSNSSRNIVLPIDIWLVEQPSPDMSYSTS TVGEESGSDSEGSAIDCDPAIGLKEKDLPELSTHITGRDGNHYARTDYVLSENVIDAY ASEWLIAMKSHFRYWANRDLALHIVQTMIDS PHYBLDRAFT_111248 GWEVFVANPEAVKQIFNNIDIFPKSEPLSDSDGTLFGGFIAGPN LAFLSGAAWKTQRKLINPAFRQSMPVNFFALSTQRLIQVVDKSNDQVNVTELMGRLTL DVIGKTGFGFDFHAIDNPGNEWVRIYNNITRGLYRPLWFLVPILERKFLWMFPERQKV HQDLNKFLSMLDIIIDKKHKDMKEMKDLQLENNEKDILTLMIEGAAEGNGILSYKELQ SNICVFFLAGHETTSNVLSFVLYYLAVNPELQHKARDEALRILGDDPKEIFPTVEQTK HMEYITMVIKETLRLRPPAPSVLTRTCAADTVVAGVFIPKGAFIAPDIYDLHHNPDIW ENPETFNPERFRSGGEADILTNNGMPWAPFGGGTRLCIGMNFSLTQQRVVLSMLLRKY TWTLPEDSIYKDDLHTNAIGTLTAKKLEISFRKRY PHYBLDRAFT_181037 MGNQQSNHQNEKSESTLLKAWSTQDQTCLKDTFDRIQNKDSSIT TEEFIKAVNASLLPCIDRNIATSISEYIGILDGMKNKKITWKDFLEAANKLLGTDDQT SLDALYTIFEGSHNSLETFVHHTINSGIPIWFEGTGVIKANDSIWQSLDDDQQVAAQQ LTQYVLYASYLEKERKRQQQQEDMFDFDTTDKSDTTKKADKETNTWIDKVKSSPSQVS KAEFINWAQSTPTWTLLLELIFEEFFMGSKKDDNSVTSHQRRTKHKLAPLIQRPLTKL VGKKKFSRLLTPYDYFLLSQYLPSNALSWSDYEKTENRAIEDLSHRLIFSSQRDGTSW QVFVNRIVSQGATIVVFKAKDGSLFGGYADAAWEQTTDWTGLANNFLFRLRSASNDDT AMGVWEGHNGVNDHFQYLCWGTKSLPNGIGMGGQFDYAGLWLNSDFLNGHTRGGPLCT TYMSPPLASKDTFLLEEAEVWLVRPLPQEDEEAKGSALDRAEDMEFLEMAGKKLYSKD LGKPENAADEEEEEDDDDDV PHYBLDRAFT_144408 MNQPTVSILLDQIVNDIKLTNQLSKDNMRALYHMAGSLLIEALY LIDHKAVTQYTSESGRSFYKVTDMPIISHERDKDKEMSDEDRDREQQLSDEDTSAYTC FINPRYCNCQAFLRDVICCGKMIMCRHVLASLLSDCMGVSEIQEMEDMELAKCLYMSK NLE PHYBLDRAFT_144409 MKHTIVIEAVGKGIHGDAYYVGSCDGHNGQKTDVLYLPHCTLTD DLPPVIVETRQNFDHPFIICGIRYCLDVFEKTHIVPNLVMFNLSIRIISKYYAQVSDQ VKAIKDFCDDINLQFGTIIKSTKIITQSSIKQAIKYAEASMQFSKKKCDAYTTMTGVT ATLLLSNQPLMKIRVMT PHYBLDRAFT_111333 MSTISSKQSSNIASENSVDSLWSYPDTQGDTPSHPYRAHTSTLV GNLLYVFGGGNIPSNSNELHILNMDTMIWSNPPTSGHIPPPMRAHTSTLVEHSIGPPS IYIFGGGYNDTYYNDVYILNTETLCWLKPAMEGTIPSGRRSHSAVLWRNSIVIVGGGN GVSALGDVYMLDLSRPILRWSQLEIGGSHPSPRGYAASILVKNKILFYGGTNGHKCLS DLPLLDLETKEWSSLGLVTSDAPPRMAHTATRVGPYVLFFGGYDGHAYSNMVQVMNLA TATEGPPFACPGGPSARAYHTTVFYNKKLYILGGFDGQQAFDDIYCLDLSESPYLACP AQAAGKKSFVHKLWARISHPHH PHYBLDRAFT_144411 MSSVTAITTSGTDTKKFEATITQESSDSMAGLTAAIIAVQKDIN QYLTQRLAAGNMPATADDVVEDEEEEPEEEEEQNEEKTPL PHYBLDRAFT_111381 MSRFVRASKYRHVYGSAAKRDGCYDNIRVSANAWDTNMVKLNPL FLSVNWNASGGGAFAVIPLSTVGKLPDNYPLYRGHTGPVLDTDFNPFNDYVIASGAED GKVMIWAIPEVYEEDLEEVAPVSKLSGHGRKVGQVLFHPVADNVLASASTDLTIKLWD IEKGIERQQITGHTEIIQSLAWNYNGSLLATTCRDKKLRVFDVRSNKIVQEGPGHQGV KGSRVVWLGETDRLATTGFSRMSDRQLNLWDTTDLSKPIKTEFLDTSSGVLMPFYDAD TKMLYLAGKGDGNIRYYEYENDELFPLSEFKSGDPQRGMGFLPKRGVNVADCEIARAY KVGTTLIEPISFTVPRKSDAFQSDIFPPTIGDEPALTADEWFGGKNANPKLIDLEAGF SVKEKKEFVPSAPVEEQQAKSPTTTMHEKDVIYIFQKKVGVF PHYBLDRAFT_111658 KIQRREIPDAYLDKISFNIMHDPVFTPDGITYERQSLLDHFERN GHFDPITRRSCTENQLVPNLSLREAIEDFLKENGWAAGKKISKIKQIILRL PHYBLDRAFT_144414 MSASKLSLEIILLVGSFLSKEDRLACTTVCKSWAEGFTKSLWSV ILPTTDEAFKSLCNVLSSKEGQNKDYSYVKELVFPADLKPTIKEVELLQKHFKNLQTI ILGCDFEGDNCFNKRIDWKPWSKIKSLTINERYRESSEKMSDLLDVLSLMTDLEDFNL LRPDMYPVSLQDVDAIPDRLPNLKTIMLSCNLAQLHAKDIPYLEKVQRGREVIEICVC VTLIDFRWMYYFLRKYKKFGDFNISFPDDIKRKRLHNDEIIHMIKDAKDEVSKDTTYL VVYERTDGPRLNKLLMESLRKAGVTYSHIAYVTPNEVATYTNGLQLSDFFKNVPKDIK EIRVGLTEMENFVLFSEEMCVFPLLESLEIGTAVCECPDQLLRTCPKLKELDIILPSG CTIDLGLSRSQFPLESLTVNAEFISAENLDFFNKRCGKLTKLDLSGVDLVPYPLSDDG SSVVNISRLHLKSLDLSVKEIFLPLSSIKTYEENCVKLHLICVVSMNGYKAGPVKRNG KSESVLSNDVVTRKWYHMYHSDRQPDSMFYYATYNMRKLKEDEVEFVENYYRNFSKED IELEGAGDAATLTEDEYFEKENWKDSLERGFLEFRCASVDKFVSPYMTY PHYBLDRAFT_88929 GWEVAVANPAAIKKIMLKIDAFPKADVIRTTEHTIISRFLRGPN LVFSNGSSWKTQRKIANPAFHRSLPVKLFGDMAKKMFQVMDKQDSLVDITDLMERWTL DVIGEAGFGFRFNAVEDPTNNWVQLYKNISNGVNDPFWFFFTNLETNFLWLFPKRQRT HRELDTFLEMLDDVIVKKRADIASNNKNPHLQENEKDLLTLLIEASKEGSGSLSDQEL MSNLCAFFVAGHDTTANALSFTIYYLAKNPDIQQKAREEAISILGEDQDTIPTIEQTK EIDYINMVIKETLRMNSPAVSAITRISTEDTELDGVFIPKGALVVPDIYALHHNPTIW HNPEEFNPERFVPGGEAERLSGAGMCWIPFGSGARQCIGMNFSLAEQRVMLSLMLRKY TWSLPEDSIHKNMLQATKIGVMVAHDLNIVFKRRY PHYBLDRAFT_88909 RINGLGWTVHVASPEANKKMLMRTDIFPKSDPLPGTDGTLASRF LRGPNVLLLNGASWKTQRKLMNPAFHQSIPVNMFGGLVQRLFSVMENETGPINVTNLM MRWTLDVIGQAGFDFDFHATDEPENEWVQVYNSISKGMGKPLWFFFPVLDRKFLWLFP ERRKSHKSLDRFLEMLDGVIVKKRKALKENKRNPSMKDNEKDLLTLMLEGSQTGGAAL TDRELQSNLCIFFFAGHDTTANALSFIIYFFAKYPKIQQRAREEAHKILGDAPQDVIP TVEETKDMTYINMVIKETLRIKGPVTAIPARRAAEDTELEGVFIPKGTLLTSDIYNMH HNPKIWNDPETFDPERFKAGGEADMLASSGMPWMPFGGGSRLCIGMNFSLAEQRVMIS MLLRKYTWRLPEDSIHKD PHYBLDRAFT_167383 MAKKINRSASSGAFIKKIQLENEDYVDLEFDHEDDIVLDDRELQ GMSEEVTSAAYTNQLLKWHEGADKSLRGTYQKNSRTTEWRKRKADNDASNTQGSYRLT DKGFFIKVQKEAPIELKESIDSELENIQIDKIAVLKLAHEDVKKEIFPYTRAGPSSQS VDAFELCKLKSVECYLRYRISGAKTMEASEKASMEIWLHKNTYRPAAIRKYAKEYVDF RSIALHQQGKYLRRHSLFSDEDIKSTICKWIQNQRPENRSLIEVKKYIDGDILPRKLG IPGNTSTSTIWKYLHEWGYVFRKNSKDIYYDGHEREDVIAYRQKWAKRMMVYKKKMAT FSENEETVVLPVLRSDEIEHVLVTHDESTFYANDGKDTMRLMEDENPIRKKGPGMSLM ISEFKCVCHGTMARGAWSSREVFRPGADRDGYWTSADMLKQLKNNVIPLFELIHPGCK AVFSFDQSTNHKAYGQNALISSKMNLNDKEIEDDDPCSLRDTVFVRNGVEEVQSMYYE KDEWFAKKSGQWVQNKVKYVKGVRHILEERGLWLEKDPYNPIKKWRLDCKSKDASEDS KCCAHHFLASQPDFMSQKTALHEAVEDSGHIFELYPKFHCECNWIERYWGAAKREASL QCDYTYKSLDKNIHTLLDHAGKLPNIRRYYNRSWRYIEAYSQEMNVKEANDVVKKFTK KKYTSHRRDEGRE PHYBLDRAFT_144418 MRWLCTDHMNDFTLPATHDTTENAQSLAIYYLARNLEIQHRERK NVINISGEDKQDIIPSVDQTKGIIYIKMPIKATLGIKEPILATLTQISLGVTVTVNLE IVLKRI PHYBLDRAFT_78911 MSTITNNNSWAGAIPAAQGLYNPELEKDACGVGFMVHVKGVRSH KILSDASSILCNMTHRGASGADIRDGDGAGVMTGIPHQFFVNETQRELGISLPQEGQY AVGNLFMKGDEDSVQESKMVFETLASSLNLNVLGWRSVPRDSTIIGPAAKSKEPAIVQ PFVVLADQSDFDEQRFERQLYVLRKHATHTLTMKKWFYVCSLSNKNIVYKGQLTPKQV YQYFHDLNNVQYTTHFALVHSRFSTNTFPSWDRAQPMRWCAHNGEINTLRGNKNWMRS REGVMASDKFGSELELLYPIIEEGGSDSAAFDNVLELLVINGVVSLPEAVMMMIPEAW QNNDAMSPDMKGFYRWAASLMEPWDGPALFTFSDGRYCGASLDRNGLRPCRYYLTTDD IMICASEVGTVYVDPETIVQKGRLQPGRMLLVDTVEGVIVDDKELKQKTAAKFSFNEW VKSQQITLTSIVDKAREESAFEVQLDDYSVQTDPRLKAFGYTLEQLNLLMIPMASTGK EALGSMGNDTALACLSEQPRQIYEYFRELFAQVTNPPIDPIREEIVMSLECYVGPEGN ILEIDEAQCNRLALPSPVLSMEELSAIKSMENFYPSWKVATIDITFAKETGIQGYVDA IERVCTEVTQAIEDNYKVVILSDRAVSSDRVAISSLIAAGGVHHHLVRHKQRSKIALM VETAEAREVHHFCVLLGYGVDAICPYLSMEAMMKLCREGAVHEGLTAQKLIYNFKKGI DNGIMKVMSKMGISTLASYKGAQIFEALGVDDTVISRCFTGTASRIKGVTFDIFALDA LTLHESGYPSRNIVQPVALPESGEYHWRDGGEAHIADPSGIANLQDAVREKNQSSYDA YTRNAYEAIKKCTLRGMLEFDYEKAKPIPIEQVESWDSIVKRFVTGAMSYGSISMEAH SSLAIAMNKLGGKSNTGEGGEKPARSIPLENGDSMRSAIKQVASGRFGVTSFYLSDAE ELQIKMAQGAKPGEGGELAGGKVSEEIASTRKTTPGIGLISPPPHHDIYSIEDLKQLI YDLKCANPRSRVSVKLVSEVGVGIVASGVAKAKADHILISGHDGGTGASRWTGIKYAG LPWELGLAETHQTLVLNDLRGRVIVQTDGQIKTGRDIAVACLLGAEEWGFATTPLIAL GCTMMRKCHLNTCPVGIATQDPELRKKFEGSPEHVVNFFFYLAEELRSYMAKLGFRSI NEMVGRAEFLKVNDSLRTYKTANLDLSPILTPASSLRPGVASHCVSKQKHNLHVRLDN YFIEESEPALSNKENVVIDAQVVNTDRALGTTLSYHVSKRHGENGLPADTIQIKLTGS AGQSLGAFLAPGVSIELEGDANDYVGKGLSGGKLAIFPPKCSTFKSEENIIVGNVCLY GATSGKAFFRGIAAERFCVRNSGAIAVCEGVGDHGCEYMTGGRAVILGKTGRNFAAGM SGGIAYVLDTDETFKPKVNMEMVNLETVNDDERVAELRDLIEDHFHYTGSPLAGRILQ NFTEYLPKFVMVMPVEYGELLMKARAAAAQANVPVEEEKVCEHEHKKVEPVLDDLEDS VLDEEAILARRAKLDKIKGFMKYKRRTDSYRNASKRTSDWDEINNRLTRPQLHEQAAR CMDCGVPFCQSDTGCPIGNIIPKWNELVYKDNWKDALDRLLMTNNFPEFTGRVCPAPC EGACVLGINEPPVAIKSIECAIIDRGFDEGWMVPTPPLQRTGKTVAIIGSGPAGLAAA DQLNKAGHSVTVYDRNDRMGGLLMYGIPNMKLDKKIVQRRVDLLAAEGITFVPNANIG VDVDANAIRAENDALIVATGATWPRDLKIPGRELDGVHFAMEFLQANTKSLLDSQLKD GQYLSAKDKHVVVIGGGDTGNDCIGTSVRHGCKSVVNFELLPQPPNTRGSDNPWPQYP RVFKVDYGHSEVKAHFGNDPREYCVLSKEFVSDGEGAIKGINTVRVEWTKDSAGRWAM KEIEGSEQFFEADLVLLSMGFLGPEEAVCKQLSLKQDGRSNIETPKGKFSVPNVDGVF AAGDCRRGQSLIVHGINEGRLCAREVDQHLMGSTYLPVAGGINQRALKNTVAATITVE A PHYBLDRAFT_111270 MAHSTKRSSKAKSTTSSAGKKRPISSPSQSPTSSQCSPSTSHTF SSTSDEPAQKKHNPGHSNDYDDRSSRSTNQSTSRSPRIAVQRSVPAFLNKLYNMVEDE TTNDLIRWSVDGASFTVEQHEEFAKSVLPRFYKHNTFASFVRQLNMYDFHKVPHPQQG ILLADNDRELWEFSNPHFQRGRPNLLVRVTRKRNRDRDRDRTNRDRDTVEAEQVNLAS LVKDITAIRKHQTTISSELHDLHRDNEILWQETLSAREKHQRHQDVIQKILQFLTTAF NSEQAPIDMSKHAQFMLGKHNEYIRIPQNKPLVQNIQSPMVNGSIISSERVVEGYSGM PKQNKIYIYIYIYTYIIIFNSLSITRLVHWL PHYBLDRAFT_78912 MTVTMAAPILITPSGKPNSHDSNNSTHHVPQSHFSQTISNGRQD VIALKQLLADALGENGPLYWDALRDFVIGKLNRQEFDFYASLYLSRHNAHLHNAFILS TIHNAQTAVPPPSRDRAVGWAKRKRGKEGGLDQDVDQDPRKQKLKMDVMALSKTERDR LKSLVKSGKDKLNPFVDTLLGPRVSQGPPPPLPLDRLPPSFNADYARGLLAPLCADLK ELPSAQTLHSRMTSTALEHGLLGGVTEDAVHAMLFATESYIKSIIFSTITKRRINRPI GVCMPSSSTSTIASSLPTTATNTANTALSSSHSLPSSASASSLSSSLPTSTSTTLTAP TTIPSSSSSSSSLQSKPQPDTNSIFTSANTTNTTNGAISTSTPTSTSTPTPTPTPTPT STSTSTSTSQNESDTTPTKHSLGLGDLAFSFELAPYILVETPMNAERLIALRETQDDS SEEEDDYDDDDENEDDSSEGEFEV PHYBLDRAFT_78913 MQFFKISLLFALAIQAMAAKDDQSTGTTNIRQSVSPRPRQFRMD VYPQSNLKGTPQHMVSNNGVTSPCWNLDSKHIRSLVVNDPMIKITFYRSSDCRGASSK TIRSTRAHDGAQNLSLKAGSVKIVKLQQLVVTDK PHYBLDRAFT_67831 MRDFIGLGYSELTKDFRICEGQQPSTLHRIRPIPTKIFVKTVII PTHCKITVILSSSIVFFSEVIVESILYCFQEFLIFSICCCFFTASVPIVVNSRYYYNF WAIT PHYBLDRAFT_155178 MIKSISPKTKLSESPNDLTEAIAKGWEIPDFTIKEIRDAIPAHC FERNTFRSLSYVLHDLCFIALWGYCATWIDVVSSGFTWAALWATYGVLQSIAGSALWV LAHECGHRAFSPSILINDTVGMIIHSSLLVPYHSWKYTHGKHHKSIGHLTKDKIHYPK QRSAVGLPPRELDEEADGPHSIFEDSPIVATFDLLMFLFFAWPTYLLFNLSGDTTTKR WVSHFNPNSYMFRKHQFWKVVQSAAGVGAMIGALIVAGQIFGSTVVFKYYLIPYICVN FSITMITYLQHTSPYMPRYDTDVWNFQRGAALTIDRSYGVIIDHLSHHIADTHVMHHF VSTMPHYHCVEATKHVKKVLGKHYYHDPTPILKALYENWTQCKFVEEEGSVRFYKR PHYBLDRAFT_67834 MSKTVYIDNGNIWSNTFLIIHPFNAIHTKEQDINWQGIPSKDCS CLVFSQPRLTIKDLISIQSYRYKHLIEPLLTVGCNFLVSLPSRPTIKDLISIQSYRQA CFSTYFPTINCLFLMIFTLRHRSSIRSVSKDKVFTQSRTKIRVPHFIDDHSVFYCPNQ RSRSQSLSRVTDKPNDQDFGLEYHIPAYDLTVIFNAKH PHYBLDRAFT_181044 MARQRQSRRSPSPAPQRRQAHTAARPPPQQQHQQQPQHSMAPTQ QNPPAVQQQQSGRPGLFGQMASTAAGVAVGSTIGHTMAGGISSMFGGDRSEPVDQQGQ SAPQDYQQNQSYPQQQNYQASTPGASACETDARQFTKCLEQNNNDVSACQWYLENLKA CQQMASNY PHYBLDRAFT_186634 MYQDTFEEDDLVAEVELVAQARYSQQSDVEDNFDEDSTQQQPSA VQIPDGVRNFIMHFYRNVLDSNVYELHNIYDSSFNKLTDKYYTKQAWPEAEVIAPLVN DDQVFLTLYRELYYRHIYARLTPTLEHRVHSYENYCDLFNYILNSEGPVNLELPNQWL WDIIDEFIYQFQSFSNYRDRLKNKTEQEITLLRTSPQIWSCYSVLNVLYSFIQKSRIN EQLLVSKNGGDMMEAAGEYGSRPLYKMLGYFSIVGLLRVHCLLGDYMLALKMMDNIVL NKKAMFARVTACHVTTYYYVGFAYMMMRRYADAIKAFSTVLAFIQRTKQYHARSYQFD QIAKKGDQMYALLAICIALCPTRLDENIHSQLREKYGEQLFKMQKGEESLPIYEDLWQ YACPKFIAATGVNLTKTENDQLNDPHANQLKIFMSDIRNQIMLPTLRSFMKLYTTMGI DKLAKFLEVDAEELKVHLLILKQKSRQFKSVTGNLLEGEYMPTSDLDFCLKQDVVHIA ESKVGRRYADWFLRNINRFEDIVAALEQKA PHYBLDRAFT_133059 MQTGEIVAIKRIKVENVDMDNDIMLEVELLKSMASSSIVRYIGF VRDEEHLNIVLEYAENGSLLSTLKAFGSLPEKLVGSFTHKILTGLNYLHAHDVVHRDL KAANILTTKTGEVKLTDFGVSFNLRLKQDEADILAGTPYWMAPEIIELEGASTKSDIW SLGCTIVELLTGKPPYANLISMSALYHIVEDDHPPLPTTLSKPLKDFLLACFQKNPSN RPTAKELLQHPWMIPLAKLHPPRPSPQSTKRSSVAIKPITPSPLPSPSPSPSPGASEV KGEQKIPSHPPLSYRSQTCPELDLGQLTDDKNLLDMSYILEYIKSNPIMDTQRAYIET KTITKHQFVKSNFETAIVCKVCQVAVKRHAVCCVGKLNLFFFGL PHYBLDRAFT_181047 MKFAAIVSFLAASAAFVSAVPGISITAPIQNTVWQAGQSHIISW IPTNSTATTITQIEYRHGNSAALTLVSTLTTANIPVSDGQFVWNMPNTTVADGACVLV VTTDKGDPTYSGYFVIQAATPGAPDAFTLTGSPTAAGAAGAVSSGSSNAGSSKAGSAP SASGSGDSAASSSSSSTKSSGASGLKAGVAAVIGAVGAAAMLL PHYBLDRAFT_167397 MTPSSLSPHYAAQSPSGRKPDLVAKEVLKWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVCSEEPAHLTTDIKETQKLL GSKRRIFQGDT PHYBLDRAFT_167398 MNPHTFLLEFIVIFLQMDELVHRIKSTLGFGQDYSMFSRCNKMM LPLTGIFITFALATIFISYTYQGVDLYLPSSFSPLTRALGSLKGISYTKNRPGSDRPT LGIAGTKPSVFKPVFKPVWSTFGLDDQHDDNHPKPTLVKSRVPEAPTRTFTVLIYVSN TSPGQQTGLASHIRYNTTRTITAYRFPPTETPLGASNATDRNNDRDNKNKNRYTYTIT KYRRKHVTRTVTSHGPIKVDQMATSADESARIKPTPFDTNKEAGFQEIIDQSDQADQS ELSPAQKANLKNYIWLTIPFTGLRLAFYIVVPPRMCISLKYEFLCWETTQEEIDLMLG QISVVAVPGLLFFAGCLCAAVMDSLKIVVGSLTRLKRRYFCFY PHYBLDRAFT_186637 MSLKDIKPTEYYDQDNGGAIPVFRPTMEQFKDFKAFMEAIDSYG KLSGIVKVIPPKEWKDNLPDISQGLERVRVQNPIIQHIIGSQGVYTQTNVEKRRPYTL NQWHALCEKTEHRLPDIGADRTSVVPVTQPRKKKIPTQEIVNAPAPFANTRSKRKSAV SSRSKKSSNTINSTHTQPTHLNSPPPSPKTPTNSGPTTKPSLLSSSISSILKNKRQIL AEEISLAESTKPVPLDFDSNCLNPEKYDVNYCKELEREYWRNLTFTQPMYGADMVGTL FDTSVSSWNVNKLDNLLNRLGVTLPGVNTPYLYFGMWKSTFAWHVEDMDLYSINYLHV GAPKQWYSIPTTHSKKFEGVMQNIFFQQHKACHEFLRHKTFIASPKVLAHHAIPVHRC VQHEGEFMITFPFGYHSGYNLGFNCAESVNFAIDSWLEIGKQAKACNCINDSVMIDVS VLEEHQRQQQPEDEYNVVDDDNGDKDQYQNQYKHNQQPKQQLQEQVQTSRREPKSRIN KNIMSKKKTKPKNKKQITTINSNQTSQKCVLCSSDEPTDMATVEGNPVHRLCAEVIPD TYPDMLQDGTNVINGVKDIPNARWRLVCQYCRIPEGACTQCCSERCCRSFHATCAKEA GATMLRRITEDDGHIIYDVYCSQHDPKSQEKRKKEKQSFVDSMMVEMKIGRQVSIHWN GAEAARGLIEYWNASKQTCRIAFEDGISRNTPWRDIRFTL PHYBLDRAFT_67842 MADYTSVKTEEIESNPVLNAEEQKLKDKQEWVKNFRLKFCVRPE FEITKNMIHDDGTLNQDYFRPPKGLKKEEARKWTDVEKSLLIQGIEQYGIGHFGEISK ELLPKWSTNDLRVKCIRLIGRQNLQLYRDWKGNEDSIMKEYERNKKIGLKYGAWKQGV LVYDDAGFVEKELTGQEPIVSQDMEMD PHYBLDRAFT_32453 MGQSGSQLEDIVNSSNFSAEEVQRLYKRFIKLDKNHSGSIDSEE FLAIPQIANNPLATRMIAIFDEDGGGDVDFDEFIKGLSAFSARGNRREKLAFAFKVYD IDRDGFISNGELFLVLKMMVGNNLKDNQLQQIVDKTIMEADKDKDGKVSFEEFLVAVE NTDVVKQMTLESF PHYBLDRAFT_167402 MASPATMSKKRNHPEEAITNPEKRACLEEPADLVVELTSVLAEI KSTPSSGQISAELLETLKGLMLQIEHLSADKSNTKAREMKDESDRCLESWFDDLLAQC EADGELDWNELELELSEDDDDDVEDTLALALALQEDHDNDNDNDDESEIVDIEELSDC IESSSFLSAFFLDLLHSPSITPR PHYBLDRAFT_181050 MSFLKAFSTAAGSASAVLKATAVQKCAFATIAETIPKAVSPFSP HVQAFLRDFNTSEPVSIVNLDRGVFNAPLRRDILHRVVVWQRDGMRQGTQSTKGRADV RGSSKKAAPQKGRGKARMGSLRAPHIRGGGIAFGPKPRDHSTDLPRKVQELGLRVALS TKYAQDQLIVVQSLQEYTSPKSRELAQLIDQAYGEPTLLVVLNDCNPSLELAARNIPR CEVIHVEDTNVLDLLTYDKVIIEQSAVETLESVLAETV PHYBLDRAFT_144435 MSNQQSACTQRESFGQKMANLYTWNKICVLSMNAIYLLSIFQDF YDAGNHIIEGKYSTEGYRAIPAGAVVTIITSSIMMFCLLFDMVVMSTNKLSLAYHYWR PGLFFFAVNCSGKGINMMRMLLNSSEYIRDCEYKIISVELSPHSLVSTMCHIDVRLTN LTAAMALVRDVSICGLYVVLSHMHLAKLVTRLKKQQSTVMDMPLTLIRYQTPRINGTS SPTFSEAPTLIDNRR PHYBLDRAFT_89229 SVLLETSLGDIVIDLFTEECPKTTLNFLKLCKIKYYNFSPFHNV QKDFMAQTGDPTGKGEKGESIYGILEGPSKRYFPAEINPKLKHTKRGTVSMAVAQDAS VESGGVSGSQFFITLADDLDYLDGKYTVFGEVAEGFDALDKINEAYCDNKGRPYRDIR IRHTVILDDPFPDPKGLEIPDRSPVPTKEQLESMRIAEDEEIEEQGDPQEIENRRRER EARAHALTLEMVGDLPFAEVKPPEDVLFVCKLNPVTRDEDLEMIFSRFGHINSCEIIR DRQTGDSLCYAFIEFDKKEDAEEAYFKMQSVLIDDRRIHVDFSQSVSKLHRDWITKRL QGGNESMGGFDNLQKRTRYREGEGGNSRGADYELVFDSEERKSGAGPDKKRQKTETKH EKSTSEDKPDHSRSERRSEHRSDHRDSRSDRKSDYSRSDRRPDYRDSRDSRDSRDSRS DNRDSRS PHYBLDRAFT_67848 MNKNNLTKYYNRDGSEKVLRYNYTAVKRRRFYSKVMTCFKIKSY RKLTAIIVFEINLKIILFFRFKYGLKNNKEKIPRLYQTVLSAIPTNSSTLKCSIHYNI QLMQKSFPKSMYEQVASTQKNLPKVPILSIQFNPFDPPVSNTRFFFTCIKHDRRSLND TNVKYERLAINLPCAIWEAIYLNCRNQTLLNSSSTLHTSYALKTPAFISYNKRSCQE PHYBLDRAFT_67849 MFKCLWILILCSSLPILAQHFKVDGPVNNQKVYNSATVPIKYTI LPQKIESVHTPTSLDVTFQWTRRNDSKLTQSLSAATGLCMDPNSDRSQSKSYTTYWKT PSCRFFLRYQATEWAFSFIFTPKYSQSSTTLTKNNHLEQAPITIPLDVHQNITSHPKC PPILI PHYBLDRAFT_111417 YLRTYQDGLYVFYKEPKENLPEMTCSEAHGYGMLISVLKRNQMD FDGLFRYFLRWRNRKGLMQWQQKTGHSGEFVAGDQGGENCATDGDVDVATALFLAAKV WGRGGPNGELDYREAAVNLCGAIWEHCIHHETYMPLIGDWASPGDEAFLLTRPSDFIL SGYLIFYLVCEDTQRAQQWGHVVNAIVNTTQAQLSFNPQTGLLADFLKLDPNTRVYHP ARGEVLESEHDGDYNWNSCRVPWRLGHYYMLTRDERIRQLLETQAQFFAAQLARGGGG NGDCAIRAGYKLNGHSYADYTDMAFVAPVSFLFWVLGWQNQMHQVVQDMNSMEMTYFG ESIAMLCLLMAHVPY PHYBLDRAFT_124304 MSKSKNHTNHNQNKKAHRNGLKKPSQMKYPSLKGVDAKFLRNQR HARKGTEKALALKYASKTA PHYBLDRAFT_167409 MVQSEILYSLSNGVATITLNRPSRGNALTLAMNQAILNLLPVLA SDPTVRVLILTGAGRYFCTGMDLGAAINIGANNSPHSPSKQQEHNPSNGFQAGLDVFD ALYRFPKPLIARINGPCLGGGVGLVFVADIRIATNTAYFALTEVKRGIVPAIISQYLV PELGSLRAREYMLTGRQISTTEAHFLSAVVPQDQLDTKVQAYCQMLISSAPQAMADIK QLIHTVASRANPTNDDNVRLEVNKVYTNMMQSDEAAYGIQAFLNKQTPDWTAYLKKKS KL PHYBLDRAFT_144439 MSKRMISDVYSVCWKLSPSGAAEPARTIRKARGRLSRLTSSQHN TAYYDPFQTEQVQQQQQQQQNNNNNNNNNNHYQRLEESAPKRQRSLTSVVFKHLAPVV VYGTALALSAYDFFLADLSLMRTERKKQPAMRGFGVDFAPFFDRTPEQESRKQLHDES LRRICLEKTRSMQVAPRLLSSDNNDWGYIDKNDYHVHFFSTGTPPPKPKRHHTLGRH PHYBLDRAFT_177405 MIEGRGPMGVIQNINLGYGILHLYRDPSEIKPFDPPTDSPSTTD TTTILCTLAVPSYMATSDFLQFVAPVDPYVSHYRIVRDSSPNRYMVVMKFRDSKAAQD YYKQYNGRPFNSMEPEICHVVYLKSVEINSVLIPPHTFPFLHDTLEYERQIKENDDDD EDKVELPTCPVCLERMDDTVTGLLTILCQHTFHCSCLSKWGDSSCPVCRYSQKPVLGM DLVLGSGEGVNIPLEDEDNTCMVCGSNESLWICLICGHVGCGRYQEAHAYDHYTETGH LYALEIETQRVWDYVGDGYVHRLIQNMIDGKIVELPSATAGPTPRQPEQSQDKLEAMS VEYAHLLTSQLDSQRIYYEDHLDQVTSQLSCLTLQVKGLITDMQAMQKEKEQQAQCAL EATRALAEARKDKDRAERKLESFREKLDIAKREWQEEKEMTNSLLQNNALLKTDLDQN RQSVKELTDQVRDLMFFLEARDKVQQDPDMGGGSLSTRVRRNTNKNKSSKQG PHYBLDRAFT_158593 MTLQARQDIITESLAQPPRNIKFPSENGRVQTVSEIFGQNVFSL KEMEKSLPKPVFKTFIRQVRGNQTLDKVTADAIAHAVKVWALERGATDFTHWFQPLND STAEKHDSFLTLKTNHINGFEEVTAIDTFSGSQLLQSEPDASSFPSGGMRTTFEARGY TVWDTKSPMFVQNGPHGTTILYIPSVFISYNGEAMDEKSVLLRSSEVLSKTALETLDL IEPVDEDHPRTKHVFTTLGTEQEYFLIDRGLYSLRPDLKITGRTLIGGLPPRHQQLED HYFGKMPTRVLAATSECELELAKLGVPIKTRHNEVAPAQFEVAPIFEEAIQAVDHNLL TMDVLHRVAHRHKLKVLYHEKPFRGVNGSGKHCNWSMSTDQGDNLLDPSAAPEHNYRF LIFLVAVLKGVLDHGDLMRASIASASNDHRLGAHEAPPGIISVFLGSHLNEVLDAIEE NRPVNDLAAGTQLQHIRVQGTVLDLKVATLPSIARDTTDRNRTSPFAFTGNKFEFRAV GSKQSPSFPVTVLNSAVASALQEVNAALTKQKGTKAVASDADKVIVIREFIKKTKAIR FEGDNYSDEWVTEAAKRGLPNIRKSVDAFGCILKKENADMLKRLGVFSDVELHSRFHI LTEKYAKDVAIEAQTILTMVTQQILPAAYSYRRELAESAASMKSIGIEAKAELRVLNI LSPIVEALQDHNDALDQLMVKLDDIVEVEKLAIACNEQVGPAMERVREQADKLERLVA DKLWPLPKYNELFFNI PHYBLDRAFT_181056 MSFLTALFDAIVSATNMCIPNSNISINGRAYRIIKLLGEGGFSF VYLAQDGSGNLYALKKIRCNMGTTEEALAQREVEMYQLFSHKNIIRLLDSATVTESDD SKTIYIFLPYYKKGNLQDAISRNQLHSTHFSEEQALNIFRQVCEAVKALHTYTVKGVA RDQYEANAPQSIQDENAQQARALLEEQTHQERSEPQQVQGTRGDLMPWAHRDIKPGNV LMSDDGVTPILMDFGSACKARIEIHSRQEALAQQDIAAEHCSMPYRAPELFDVKTDSV LDEKVDIWSLGCTLYAMAYGQSPFEMNMNEQGGSMALAVLNGQFKFPSGNGYEKQYSK EFRDLITWILNADPKARPDIHQTIAKVDELLARPRQQN PHYBLDRAFT_97162 QEHVVYSKEIQTTEGSFDTPQLSEEEIRRQIVEEFEQEERQRQA VIEEEKKRAEQEKSEEFRDLTEEERKMIVSTPEFLDFVDTSSKVVERALNEKYDFMKD YTLGVDVESDESSGKRVKYVCEFWDEKWSKNRSVTDVDWSSKYPELLVSSYNKNPMAL NEPDGVALVWNLHLLERPEFVFHSQSDVLSVKFSEFHPNYVIGGTYSGQIVLWDTRAK SLPVLKTPLSAGGHTHPVYSLEMVGTQNAHNLISGSTDGFICSWQLDMLAQPQDYLEL LHPAHNKTDEVSVTCVGFPDNETTTFWVGTEEGNVYQANRYDRAGSKAGINQYDTYKG HHGMVTGMQFHPLVGPVDFSDLFLTSSVDWTVKLWRSKSVSKTSTQPTTITPLYSFEQ ADDYVYDVKWSPSHPALFGSVDGHGQFDLWNLNADTEEPFVSTPVGSGKALNKLAWDK EGKKTAIGSSDGRVYVYDIGEIANPKPDDWHLLQKNISEMI PHYBLDRAFT_111665 MSLVNILNIQVLDNPSYFTNPFQFEITFECNAELKEDLEWKMVY VGSADDKTHDQVLDCIMVGPIPVGINKFIFAADAPKIELLPKNNLLEVTVVLLSCAYN DQEFVRIGYYVNNEYMDEEMRLEPPEEVIVEKLQRNILADKPKVTR PHYBLDRAFT_111683 FSGYVDVLDTDDHFFFLFFESQGSPASDPTLLWLNGGPGCSSMA GAWVEIGPCLINGDANGTHINPHSWNKLANLIFLDQPVGTGYSYGKSRVTSSNRAAQD VYAFLQVFFRMFPKYKTQFHIAGESYGGHYIPTLADVISTNNEHAHENDLLPIELVSI LIGNGWTGFSTQIGFYEDYGCSNDNQPIFDEQVCKSMRDTKARCQYLADLCYKYPSRA TCYPAGLYCKHTQLDPFENTGLNPFDIRRVCGQTTDYCYQEMYRLEVWADKDYVRQEL GVDPQVGQFSHCNPAMETRFVLSADMVYDFSHYISQSLTRGVRVLMFAGDMDWQCNWY GNKALSLGLEWPGKDEYQQAKDEVWRNSDTRKIAGYVRSFDKLTFIRILNAGHMAIFD QPENGFDLISKWISNLTLQDM PHYBLDRAFT_104342 IQCHNCGTNTTPLWRRNEEGQTICNACGLYYKLHSVQRPIAMKK TTIKRRKR PHYBLDRAFT_67861 MYTLKSSNHKRCLFLRQFSAFKISVFQYASIFLQKRPHQLNFGS RIQAIHEKKAFDIAVAQTGSSIKEALDSLRVDIEVYTERICVSEDVGRLLVLVYVHSR LQFLSGDLRKFMAIKAMITSHSKSFELFLTLFSLSTPNINDQYTNWSLSNLRAVMTFL ENTPEQPLPKLLDFFLVVEL PHYBLDRAFT_133085 MRLETDHDILLTSQEGSLEHHSLPAPKHRDIGTQPRFAMAYDIS TIKHGWYGTMMNGLGSIVGTLGAIPCCFCCINPYKPVDQGTVGLVTRFGKFYKCVDPG LVKINPLTENVHHVDVKMQILEIPEQVIMTKDNVNIKIDSVVYFHIVDPYQAEFGVSN VRKALVERTQTTLRHVLGAKIMQDCIENRESVAHEVQILTSRIAAQWGVKIESMLIKD LQFSKELQESLSAAAQARRIGESRIISAKAEVDSAKLMREAADILDTPSAMQIRYLET MTSLSKAPHTRIVYLPPGSMDANNGHMSGAIKVAAIDALSD PHYBLDRAFT_133090 MMNTIGTAIGFFGAFPCIVCLPNPYRRVGQGSVGLVTRFGKFYK CVDPGLVKVNPVTESIRKVDITVQITEIPKQEVITRDNVSIIIESVLYWIVIDPYETV FGVANVKIALIERALTSLRDVCGSHYLQDLIENRDMISRKLQEIIDPIANAWGVKIEA TLIKDITFSPQLQEALSSAAQAKRLGESRVIASRAEVEAAKLMRDAADMLNTRPAMQM RYLETLTSMSRNGQGPKTIFMPLPSSTGENSNSIGI PHYBLDRAFT_167422 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKKVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTDIKETQKLLGSKRRIFQGDT PHYBLDRAFT_67865 MIRPWFGVGFFSRGLDLLIIKGDIDQDKCTDCLTQQFFSWYQAL NYTILGTHSIQIYGTFNNADVKLGNKGQDVASIQYEYVLDKNTQVLLSSLCNTLFLDT PHYBLDRAFT_124320 MVNAVADIGLIGLAVMGQNLILNMNDNGFVVCAYNRTVSKVDSF LQNEAKGTNVVGAHSIEELCAKLKRPRKVMILVKAGSAVDSFIDQLLPFLEKGDIIID GGNSHFPDTIRRCAALEKTGVLYVGSGVSGGEEGARTGPSLMPGGNPAAWPAIKPIFQ AIAAKAPDGAPCCDWVGESGSGHYVKMVHNGIEYGDMQLICEVYHIMHVGLGLSSDAI ADVFEEWNKGELDSYLIEITRDILRFKDTKGKPLVESIRDTAGQKGTGKWTGIDSLDR GIPVTLIGEAVYARCLSALKDERVRASKILSGPPKRKFTGDLKLFLDQLGQALYASKI VSYAQGFMLMRQAASDLNWHMNYAGIALMWRGGCIIRSAFLGKIREAYDNNQKLENLL FDSFFQEATEKAQDAWRSVVSQAVLMGIPTPALSTALNFYDGLRHEMLPANLLQAQRD YFGAHTYELLADPGKHVHTNWTGRGGNVSASNYDA PHYBLDRAFT_181061 MQLIWTVIAFVVATANHFVDGASLELTGDDFKSTTKKGLWFVEH FSPYCPHCQQFAPTWKKLSDEYADLANTKDFHFASVDCSVQGDLCKENDIRFFPTIKL YKDGTELDTYAVLRTWENLVAYIEEKTKEDEPEPTPDVPIIKSNPEGISVDLDESNID IHLGNPDRPWFVKFYAPWCSHCQRLAPTWVQMAKDLKGQVDVGEVNCNDHRDLCTKYG VSGFPTLKMFAQGEIHNYMEDRSLSSLVGFAKKLAGSSVVEVTSTQLAEKLKEENVAL VYLYQKETQAAGGLWKKVAEQFAGSLPFYKTDDIKVIEKFGLTVSDMPAAVIFKDGQA TVSPVRSFEDTDAVKEMLVEWIKMNRYPLITHITPANAQEILRGEHIVVLGVIHPDDQ ASKAAFENTAKKVTSQGGMKTESILFAELDASTWRDFASDTYGVNKDEHPALIIVDPM NEVYFSRDTQQAALDIDEPISLLRTLEDISSQKLVGVSLLPLPSEASRKLGQSYRAIR SHWFITCATFGVVGALFYKVVYRKKARSNILPFRQPTQHKD PHYBLDRAFT_67870 MAFIANSDDSWKLKPFIIGEYPKSRCFGKKNGPEHSFQYYHNDK SWMTGAIFRDICKIIDRRARNLGRKILVLLDNAACHNTHDNYTNVEFLYLPPNTTSYL QPLDAGIIQEFKVKYRHQRYCCILGN PHYBLDRAFT_96718 ESALLRDLIFIFQGIDGQYIRFDPGSGEYTIDSKVTVTKPTKDL VYYLSELGWLYKRILLFVKSKSNDPSIGLVGQAFCSELQHELTDYYRLIAVLEAQIKK QASTVPNFENQLALPTEQSLTLRRLVVWTQESLQKLRLMSTMVDVCQDQKGGALVSTM HNYTKHGDPFIRNYISKMLQEVSKPFYEMLQRWIYAGELDDPYNEFFVACDLSVPEEE LWQNQYSIREDMLPAFISEELAQKIFSIGKSLNFIRRSCHDDSLVEKYSTSDQDCVPT FKYGDVQAVERSIDVTYLETSKQLINLLKRKYKLMEHLRALKRYLLLGQGDFIQHLMD TLGPNLSMPANKLFRHNLTGVLETAIRASNAQYDDVDILNRLDVRLLEISPEDLGWDV FTLDYHVDSPINTVFSQQAMNQYLRIFNFMWRLKRVEYTLSSAWRRWGTASRTFASIP EMDQDLHQAQLAISRMVHFIYQLQHYYLFEASLLILQGLNLMVLECSWEKLASFIENK SIDLDSIIEAHSSYLDKITEKGFL PHYBLDRAFT_22787 MVERRRAALEEIDNAKFGWFHIRACIVSGIGFFTDAYDIFAINL VSTMIGFVYFAENNSQTPHNVDTAIKVSCSVGTVVGQLLFGYLADRVGRKRMYGIELM IIIIGTIGQTLVGNGPAMSFWAAITFWRIIVGIGIGGDYPLSSVITAEFATTKRRGAM MAAVFAMQGIGQLSAGLVGLIATAGYKNAIHADQANLDSVWRIVIGMGAVPGTIALYY RLTIPETPRFTIDVEQKIEKGISDAKAFIEHGASNGDYTDNIAVARANTSPKASWSDF CRFFGQWKNGKILLGTAYSWFALDVAWYGLGLNNSIILQNIGFAGDGDPYNTVYRVCV GNIIINLLGSVPGYWISVFTIDRLGRKFIQIMGFTMLTIMFIILGFGYNAILAKSSTL FIVLYAITQIFFNFGPNTTTFIVPGECFPTRYRSTAHGISAASGKVGSIVAQVGFGLL KDIGGSNKWINHLLQLFAFFMLTGIFSSFLIPETKGKSLEELSGEDQYDSRPTNKTVS DEDIKVSY PHYBLDRAFT_144459 MPNHPHQVHLWITQCDCKITRIGEEDGTPTSDDSGSSGAGAGAN TDVDADTDTDSFNVKTSHQ PHYBLDRAFT_144460 MTPQPRKPRSAGPKLFQCSGYGSCSMTFSRSEHLARHTRKHTGE KPFKCVVPECTRKFSRFDNMMQHTQTHGVYRTQTFSEDGKPFSGTESGTRGSSTNKRH PDLCVDPISYDQSPGGGNNGLVSPVSLGSPYSERERPFCSIDSTISTSMPPLSQPQPQ QQQQQQLSSSSLLLVSSPPSSSPSSYSYSYSYSTSNSAFSSASSTSSSSDEDDETTSP QTNNNNNKKDNNNNIQRRMSVADLCNPGATPVSEFKTIHLTMDEFEALQGFGRFRYTP VYYDSLRDLASMAMIEPTPARKK PHYBLDRAFT_111544 MKFLEVDSLDVLNTVFRWETVEAILTGRIEAYSCKSAGSDKKLF KQIENKYSVDLSGGSISPDDYLHTIVSPFGRLDESTPRKTFFYLLATLNAAFPEHDFG DVRPDQFSKQPSPEMVINSVNTTLFNLGNDEIVNKYRMWDTLDEIVDLSECDVYSYNP DIEDDPMNDEEGYLWSINYFFFNRKLKRMVFFSIKSER PHYBLDRAFT_167432 MYSVVLKSAKDSNARQKAINCMVSFLYTVRLVDIGRYKKKQVLN RITYYLYKFHSIEPSLLRIHLQGPLCFACLFHLGVIVGRGLLGSGDWTTASTQDADKK HAISTWSLMGAVENKTHNMIFKKKSLGRQDYSKECTFFQDTIFCPEGLEKDNGPRTVR LHPTVKPSRTGEILYYTPSTQKLIH PHYBLDRAFT_92517 LKRHVEEHFSRPELVRDCIMGLSDGLTVPFALAAGLSSLGDHKI VIYGGLAELVSGAISMGLGGYLAARSEADHYKTERLREEEEVELYPKEEEEEIIELFE PYGLDRESMEPMMVKFRENTEKFVDFMMKFELNLEMPDPNRSWISALTIGTSYLFGGF IPLLPYFFVVNTTRALFYSIFFTSLTLLTFGYVKSIYLRPAQAVIGALQTFAIGAVAA ASSYALVAMV PHYBLDRAFT_181065 MASSIAVLGGGISGLSAAYYLARFAPRTTKIVLIESSRNLGGWL KSNRVPPGLHSSAPVADEKGVLFESGPHIMNPRGTSGAVLLEMVKDVCLTKEMLTINS SDYIEKGRSIYYKDQVNVLPTHLTSLWKDKSPVTKSVFRAILRECFVVKNAGLLDETI YDFVCRRFNQHVALNIVGPYVQGMVLGDIKALSVRSVLPLLYDLEQEYRSVTIGYYRT GGRRREGFRERGLAARARRDDPVWFGQADEWSGISFKQGVQTLPDRLSAYLSQCENVE VRLDESVERIDPVTTSRSTMHFEHVLSALPSATLHELTSSCPLPHLTSTPCVDVAVAG LAYPSTASNYTGNSIVLPHPDSDYKSPVPGTLSVDCNSNNFLHQDHEPHFKITTAFGG HQWKNIFGTTRIQQLDPQLVFKHAQEVARVLFGIKDRPTHGMVSLNPKCLPQYTLGHE ERMGELHNALQTAYSHRMSVTGQSYFGTSVPESVENSRMLVESLVVSGALGSREMMVN GLWQIEENQRDEWKDSNRLSKSHIDILLKS PHYBLDRAFT_158599 MEQKDISDSNESLVVCEQPVKHIIRYSKEDLLALHDSPLVTKPE NMPALASWLGDFANASAPKNILNGTSPARSSDKSIVLGPTKTNFASSLYGGLKRTDES PNTQSKALTSQSPSASRHRQVIDMLVLPCGSSR PHYBLDRAFT_158600 MALSAAGYPVPTANHPSIDPLVFGEGRPGMPLMVPIPFNAGAGQ SSARQAPPPPPPPPQPPMEPFMPHMMHLPIPGPHHLHHHPLPPHLQSQVPHRYPLQRD MLPPGNDPFRINLPNGMHGMTNAGLPPMYAKNQGWERQ PHYBLDRAFT_177411 MSENDFEASKLGTKSYWDNVYDRENDNFKELGDIGEIWQDSVER MVDWAVDNVEDTNSSVIDLGCGNGHLLLALSEEGFSNLTGIDYSESAIVLAKSVAKDR DLESIEYKAVDFLNSDEWRQDNKLYQVVLDKGTYDAISLNPEEEEAKKENKAGPRELY IQAVHRMISPDGVFLITSCNWTMEELKEAFKQCTYFRYHSHVKYPTFSFGGQTGSKIC TVAFSPLKQ PHYBLDRAFT_75034 MASRLQQVSAHLAPTDSIANKVGVKSPEDVVIVSALRSAITRSR KGGFKDTLPEEILAEVFKAVIAETKLDPSIVNDIAVGTVLAQGGAATNARMAALYAGF PETSSVNTVNRQCSSGLQAVVQIATAIQAGLIEVGIGAGFESMTKNYGPQSMSPTSDK IAEGSAAAADCLLPMGITSENVAAEYGVTRAKQDAFAASSHNKAVEAQKAGYFKAEIV PIKATVLDKDGVEHTVVVDRDDGVRPGTTPETLGKLRPAFSENGFTTAGNASQVSDGA AAVLLMKRKTAQKYGLPIVGKYITSAVVGVPPRIMGVGPAYAIPVAVERAGLKLSDVD IFEINEAFASQAVYSVEKLAIPIEKVNPKGGAIAFGHPLGCTGARQIATLLPELKRQG KKVGVTSMCIGTGMGMAAVFESE PHYBLDRAFT_111395 MSVGPQRAPANIRVTARFDYQPDICKDYKETGFCGYGDSCIFLH DRGDYKSGWQIEKEWEEAQKGNKRFGGSEPGEFEIKDGDSDDDELPFACLICREEFVN PVVTKCGHYFCEECALKNYKKSLKCFACGLPTQGVFTTAKNLLAKLAEKKKRVEGEDV EDDQKDGETAIEGLTELSDSDEDSSD PHYBLDRAFT_103659 SQQALDQSKVFSFVSLPGVNQKKRPRRKYHEVERLYQCNFPDCT KAYGTLNHLNAHVCMQGHGLKRHPNEFKELRKQWRRQKRENQALA PHYBLDRAFT_144471 MCNNNPTRVASANFDLLKQALSKFLAQLVKVFLFEPLDGQVVDA PPEPLWVLICSQRDDYMAIVNQIIVQQPADIQSRLLFAFQTLDQATPTQLAYSLPPSR NAPKFREALLSFLMDVRAVLRVK PHYBLDRAFT_181070 MSNQNPDAATIYVQFEEACADFQVPATRAAAELVLSSFCQLPKA LALCQYILDRAQSPMVQFQVAHAIGKIAVRDYSLYELSDLIQLKNYMIDYCAQRPTLL KYVREQLLLAIALITKRSMFDISEQDRMTIFQNIKQLFNSPGDGPVLGVSLANALVDQ FSNTKSATVGLPWEFHYKCKVFFETRFLLPMLEQTVTKLHQVVSQTNHLSSPPPLLLV QCLELLEKILHWEFESTSASNALPGSFGKTGNDADDFDREDGPSTVKKVFTIFPTSWQ PVVGNSEVLWLFFRTYNLVQTDDVLAHRCRQCLVQLSGFQEYFFGHDSTAVKSYTTTL MNGTLKLMNDILELGTNPDVLSEQGPHILGTIQMTRRLLENIPVSILCLVPDFFQFLN AIGRLTVVCLRGSVTDAEEGWLSEASDECLETWVRLADEVQPAYGQQQQQQSGRAVIS GMSEMDSEHLSNYMKTVAYQVVETYIDARLESSILAMNDEEEEDEIDSGFKDWDVYAD QLTCIGTLGRLHPHQCILRLQLLLGSCFDRLKAYFVSNTINSDGKLLLLHEQLHWIIL ITGHILADTGKGEQPMIPDSIMQLSGSQAIEQDPVVNVSRQVLELFRFSSSFGPNSME ASNCSPRVAATLVWFMERWSKSYLLVDENEYGYISPNIAKAFGRPGPSDGQGVHIIDF SIEQMKTNFALWSADPDVLLQLISWLNSCGTCVNTKSYLLQSNKFPDLVQFVTANMEQ LPEVVHNCLIQTVASISSSSTDANTRDNYFGLIFKMIEKRLGDVLRHPNFQQNFQHTE FMNQVLDSLEMLDGLALACQFNNTPIIFGFCSGFFESIVQLMSLYKDVSQVQLLILQL FVDLAGRLDFGVLGQDQKQMFFRVVIEILRIFGAVNQGKKKMHSQEEEEDKPYADVSA ALILLSNIMASEFEDFNQNKNQLLSNSNSDVANVVLFGVNVIIPMVDMEMLKIPNLCQ EYIKLISHLIEVFPEKLTGLPVDLFNNLMASLEFGIGHDIADINILALHAVAPLALWA HVQQQSNHASANFDLLKQALSKFLAQLVKVLLFEHLDGQVVDAATEALLALICSQRDD YMAIVNQIIVQQPADIQSRLLFAFQTLDQATPTQLAYSLPPSRNAPKFREALLSFLMD VRAVLRVK PHYBLDRAFT_181071 MSSTRNIFNQPSNNGRVVTLQSGGLNERFSQLSQISQISNAKKV YVKEDVVTSSSVFSRIRGNTASQGGRKNGSNGIQSRLGKTVGSIQKRTNAQSMSGVVR QGQANVRGKQYTSPALTRGGGGRRQQPQNNNNNNNRNNNNRNNNSGPRGQQQKVQRGG ASGQRGGRQEKPKRATAEDLDKALDAYMMKDPKTAQIKLDEEITSYMDEAGDILMDL PHYBLDRAFT_124329 MSGYDRALTVFSPDGHLFQVEYASEAVRKGTCAVGVRGKDVVVL GVEKKSVLKLQDPRTIRKICMLDDHIAMAFAGLTADARVLVNKARTECQSHRLTVEDP ASVEYITRYIAQVQQKYTQSGGVRPFGISTLIVGFDKKFPRLYMTEPSGIYTAWKANA IGRSSKTVREFLEKNYKEDMDRKETVKLAVKSLLEVVQTGAKNIEIAVMSSDSVVKVR SQDNWDYKKNIEEERYKENKEKLNGVLFYKILEIEEVESVVAEIEKEKEEEAEKKKKP SSAVASGSS PHYBLDRAFT_181073 MSDNNSFDEFDDFDDLDDFCTEETFAILDRLEHEQEASQATQLN TLSRCLQDVTLARQQEQQQANCSIGNPQEEIDRLKHMVQCLQEKIECMNEASKEEEHK ISQERIQLNFQRQEIESQKLKMLIVEQAKQLEPKDQSIQSDKKRKIFPDQSYFHHVPS KLSQQDNKTVHYQTQSTIKPTVDSVPGPSVQPIAPLHVLPLPCSPSTPVYKVSDSPSE NSRQFTKEPPSSSSLASSEKRVYTPSVSIRKKSNRLDHLFASLRNDWSKRDTALSELD PEEAHKLFINQLRPDQDTASYTYRLNQLANEIDSLLRRSPHTPLQTTIWVFLRLVKEA FYISINRKEARLIARYLEILSVLTYYYDEACFFMAFEDNQGHIPSLLKIIKSSIAYFT ETIQRDNQYKIFFCQKKMSLEELCNKFKYETPDSLEISMMVVACKKDVTKGMNYILDI FGNLLMLPVEHASSCLAEFPLDEINDIVKYDTKEVARLKQLRRAYESMCKTSV PHYBLDRAFT_167446 MQTTTIRRRTFGLIALCLILLITGIYFHHTSYDPTKDNDWKFFE KASKKPDQPPPNSKPDYDKENICANANIELLTSSKENWNGLSTKAIVLEKDGTYTSQD QLIKEGDYRCVAVLLVPMPATSTISPEDHVGLADSIILNAVGYNITIPIYLKQDPKHA NVYIASVRFTHADIYTLDGITEYRSYFWEEPTHHSYQPESFKSDNVIKVLSQEVQPIL PACDMRKPENIEGSWMNKAAFQHTYPLDFYGMFGPLQEDHANDGYLYVPDKCRMEYIG VGQAAACFQDKIVHVWGDGNLRRNLKSFSNANRWCNDLIEPENPCICDDDDEDPTHTL YPWAVDPSVPLKINSTWYSNADFYFNNVDSIVSNDWKTVLEAQVGKTPKADLVILGLG NGDIPLSSVTPIQFEKTFSELLSYVLEKIYPHQTIILRTPQFFCCANIHTTSWNLGRS NEFALAVRNAAQRHGNRVLLWDVHKLGTTDNTCRSVGKPYSKRGVVTLENLLLWNLVC GA PHYBLDRAFT_158604 MTPTASTSGSTDAQSVGDDPQGPFLNDIDLKREIGKVVTKYLSA RQQHLWKEDKNIFKDLARRMTHHIVDRELQSGRKIVAMNSALRTKIEKFMDLHGADFA GKLNRLKSATPSSNVP PHYBLDRAFT_133104 MVDDCHCGRYCQNRRFQLCQYARVDVIKTEKKGFGLRALTDLPA NAFIMEYIGEVIPNNEFVRRTRSYETEGLEHYYFMTLKTDEIIDATKKGCLARFINHS CKPNSVTQKWVIGKKMRIGIFTTKFVKAGDELTFDYKFERYGAVAQKCYCGESCCKGY IGGALGTADQETLAGPCMQPSDDEDTDGAGAVTISQKRSLRKRSKPPQPLHDPDEVQS FVKKMLDSVGKPRLVNRLLHRLELTNPDNSVGREVLKNFVRLHGLKMLKFWLGEWKGD EEIVKSVLRVLDSLPLANKNGLEDCKMFDVI PHYBLDRAFT_87869 DLTLRDAQGYGVLHLAVNSSHAMLVLYLLLIGVDIDSIDSVGGH TPLMWAAYQGHALTVDLLLRFGANVSAIDDSHLTPLHWAVVQGNKMCIRKMLEYGADH NAREKIGKTAYDFVQERKLESVWDRAVLEFDRTLNSIVFILPFVVLGLFVKTLAVLPW YTGLPLGLLEFAVMHVGIIKYLIPVPTHDAIWKTPYFSSIFQSSAFWVFYSWITTLIP GKYMFTSQFMFTNIIFIICFGTALYFFFKAIRADPGFLKKDLPIQITKEAVLELAEDQ NLDIRHFCFSCMIKKPLRSKHCKICNRCVARFDHHCPWISNCIGVHNHRSFMIFLLNM VTSITAYLLLVYQCNSVDFVASPPMAITDNTCFLGETACGYFAYDGWTMALALWVCLQ LSWSVFLLVVQLYQIAVATTTNESANSHRYSYMNHETRKIDRVATVIGLGHVDPANDP GNSHGHSHGHSHGSNGGFCPCLQLVAGARALHKRRTQPTSQWRGNVFDHGCWANCIEF WSESNREQKHLQWYELYD PHYBLDRAFT_167449 MSIRGAAKELKIPPCTAQTWYTKDQKSIESGEDLYLRKPGNERP VGRPLTINSEQKKYLINLIDQKPGIVLDEMMDGLTSQFTNLDISRSSLYKYVAAHCGM SVKRALFRPAERNSDTKIEARFQWVTELLKTDIDYITDCVFINESGFNIDMKRSMAWA PVGETPIVEIPKTRATSHTTIGAISPLGVINVQLKVSKVVVASNSKKRKGPGGAIKKP EKEKGKGGTCVNNFETLCISRIVNGKIIRQTQGKAS PHYBLDRAFT_167450 MKKFYFLVFEKNMSIRGAAKELKIPPCTAQTWYTKDQKSIESGE DLYLRKPGNERPVGRPLTINSEQKKYLINLIDQKPGIVLDEMMDGLTSQFTNLDISRS SLYKYVAAHCGMSVKRALFRPAERNSDTKIEARFQWVTELLKTDIDYITDCVFINESG FNIDMKRSMAWAPVGETPIVEIPKTRATSHTTIGAISPLGVINVQLKVSKVVVASNSK KRKGPGGAIKKPEKEKGKENPLGCDIFKDC PHYBLDRAFT_124337 MDSVVPDNSVSTAQPSNPVSKNSTTSNAIIESWDEDIEPISRLQ IVDEDQKFTENLPEYMGKWGLAEAGFNYNVVAVFGSQSTGKSTLLNKLFGTGFDVMDE TQRSQTTKGIWISRGRGMHVVVMDVEGTDGRERGEDQDFERKSALFSMATSEVIILNL WEHQVGLYHGANMGLLKTVFEVNLQLFQNQKGKEKTLILIVIRDHVGATPLENLSKTL QADLEKIWAGVSKPEGLEDCKIHDYFDFMYTGLPHKVLLPEKFDEEVAKLRTRFNDPK DPNYVFRPQYHKRIPADGYHIYASGIWDTILTNKDLDLPTQQELLAQYRCDEISNAAY EVFLQQIAPFRSPIVEKGQTIPELGEQLLSIRSEALQTFDKNASRYHQGVYQKKRADM LTKLNTQLNVLYVGQLKNLHKKAITMFEERLKTELKKPSYNFAEVVEAGLKESNEYFL KGAKEIILPDTDWSYSHEHSLLEEEFGEVSSRARSEEFRKMSKALSKQVETELSEPVS LALNNPKPGMWQNIIDAYKKTVDSGEKVLTQKAKSFNSSKEELDDSRANLKQQAWVVL RKKVDEELADSMILLKLRNSFEEKFRYDEHGLPRVWKPSDDIDLHFKRARDAALDLIP LFAKIELEDGSTFKLESTEDFDYQQSLIVLSEAKQIDISNRFKRESDPFYLEAKRSVV ATTAKIPMWLIVVMIALGWNEFMTIIKSPIYLVLFVMCVAGGYVVYALNLWGPLERII SAVVGEATRMAKERVAEGVEKVREQTDDKKLTPVKA PHYBLDRAFT_22886 MGKIQGATLLYSVACFASLGQFLFGYDQGVMSGILVNESWLAIF NQPNATMQGLVVAIFELGAWFTAYPASWVMDTYGRKWTIMVGSLIFIVGGVLQTAASK MVELMFGRLIAGFGIGFLSTVLPVYTAELSRAHNRGRVTVLGMSVNMFGYMSSAFIDY GFSFLQSEWSFRGPLLLQCVFAVILAAGCLALPESPRFLVTKEKNDAALQVLANLHGK PMTDPTVCREHNDIIEAVTYERTLGEASWLEMLTVHKRRSFIAIAVQAFGQLQGINIV TYYAPKMYETVLGKGNITILFAGFTALVYFVGALVAAVLVDKAGRRPLFMGGSLTMIV WLILMAVFNKVSLGLTSAILVIVFTMIYVFTFGVTWACLDWLYPAEIFPMRTRAKGMS LAVSSNWLCNFAVGLWTPPLLEKIGWATYLFYAAWCLVALVVVYFTFVETKGKSLEEI DEIFGCGKPLDSTSFSSFDRSNDSMDQKTKNEKHAN PHYBLDRAFT_111198 MDSGTRVLAKRKIQELVGQIDPDERLEPEVEDILLEIADEFIES VTSFACRLAKHRKTDTLEVKDLQLHLGRSRRIKIDRKEIFLERILMRDVYTYTFLFVK I PHYBLDRAFT_67896 MVHEQLLDSLNARLSAHSRTFETLLQLIPTNYLTVKQEKAHNES KYMHNKRKRQSEHALRHAQKKRKQRSKAEKLWAEETDASTDVDCDTASVASSVASSIT SSVASSVNSCDFDPFDQNFNACKHTMTLPMAVQADILQPMRNKDTTELRNQLHQRIVA LRQKRNAPGSDTPKARSREEVLAKQLKWKEDQKRIKAEKSKARALALLYKPIQLTRTT LSSVSQPPKDSTIDVKPSNSVPTNTLHTKDTSSIKDPLATNSNIKDLPLTKETLKNIH KVEHGFSTKEILPHPKQDNPKRHFGPKSSSDHKKKQKGGRAGFEGAVRRKTEKQRKQK KQSL PHYBLDRAFT_158606 MADHENKDRKERRERKERKETDGPKPKRYNLTPAELQQQQLEKL FKKIDKPVFIPEPSREKSSKEPKEFVRNVPGSSAGAGSGDFHVYRAHRRREYARLKEM DERDEKDKEEYEYEMKIAQLKAEDDERTAKKRAKRQKRKQPIAKKQKVESEPTKTPKA PKAVKFSSVTANTTTTTTIASTSTQNTTTSTSTNTNTNTTISQLNANVTNTEDKQEST KSTDEEVAEPIVESSTTKTADSVEPIEQEDNVEGKIEIDSDSEAED PHYBLDRAFT_78947 MIPEITAIEPETHAFSVLKSDSSQLDIQSIQPDNSKHIQSPVDT DTVRKQYENMSYDEMSQRMAESYKELSEMLGNRWSNPLSDITDTPSVTDDGEDELEEK EFTEADKKTKATKDFSRTVSSGDLTKVLALLQDENTRSLIDIDARDKDGTTPLIYAAC FGKTEIAQALLTAGAKVDIQDSIGWSALMWATTNSHEALVKVLLAHGASSQQKTAKGR TAFDFVNTENHKIADILNTNPRDSMSSTSSAMGRTVYSSSSASSNAGDNDFYYYSTAE GVDNFLAEESDRRQHLMETAMALAGGTGDDDDEGDDGDEDYNYGDNDDDDNGDDIYNT FDWDSCMPHQMFVFNADHLNYILDTVITCIEVPLQSDQTSGEPASREKRQEMCVPANV VFLSARFAHYYSSSELLHNVLSGALARMSKYTKASSAHSCDLSVLAFWITNFSQLLYY LKKDAGLVAETADYQLALSELISETYTFIVNASCRRMTKLLESAMLDYEEIPGMEDID FTDDWHRFFRRNPRRSAIMPDNGAMAMRRHSSLPAISSALEQTSPQSVTNILSTTFQV LQFYEVHPTIIIQVLCQFLHSMSCELFNRILTSKKRLSRSKALQVRMNLSHVEDWINH QRLPASLMSYLNPITQLLQLLQCVSQLDEPTLFIETVTKFDALNALQIKRCVMNYRYE VNEPRLPENIEHYVCQLAEDTVRFRQARQKGPDGRQRGSSMSRAQSVSIQRKRSRRES VSQFVGSLISSVNITASASLPPSIPTETAAAATAAATATATASPLPAAKEEASDDEDK QIKETKDSRFMLPFSVPTTAHMVHISGWAPEDSRREKIVVPVIPEEWMNTLDKGDQEN PHYBLDRAFT_67899 MLFTSTTTQENDTLWSGHQPQSEGSLFRINSMVHTERGFQTPYS AHIELPEQPIKPLPKVPIESHLPQRHPMLFDSFVPRSDYGTLERRWNYIETPTMPLSP QQSSCYVGHYNPQATNTSLSQSNGLYNTLSPWNSIRHTTIIDTMPLQQPTLPAWVSCD LDRTESMKIEVPSSAEEYTSLPFEAQSQIQPIKLQKPFDPSVHPSSSAKPNEQEENQV EEDQETPRVKDITSGLTAISLDHSSNIHLPHTPDRENYKDRNVKVMRTSLNIPSSRSS MDVNSALGHSTPGTSVTSDHLIPDKFTPDQVGDEDDSDNFSQESSDEVIYERMHLQSD SVYENVPTSIKPRLSIRTTRPIDINQVHLTQPSNGNYNRTGPINPAQIGTSHHSPLTR IPPVHSLSADPARPRLTIGSISLVRSEESIKDFSRMAGKTKDPNTQMVYASYLLEIAD LYQVPALSTSIRHALLKEACTWIERLAKSGIPEALLIQGRWYQLGHAAPDCVGRKYVK INTAKALKCYQQASNAGLKDASYALAAYWKSQNDWLKASSYYQSASQKGHILAKYANE LARLTFDHRVENSNDVKTGLKLLLESARSEVKDSAAACFMLSCIYGRHFGLVGLTEDP EFGPFDPTNAYFYLSKAVRMGHLDAIHKMGEVWELGLWDKTSDIEKAIEYYTRAAQES HPGSMFELVKIYSGQIDYPSQPEQAILWCQRASDKGYAKADYLLGLYYEEGLNGLEID YPRALGYFTKAASNGYTEAQARLNIPINMVPENTPHNNQGRFERAAKRLSSARINCDL M PHYBLDRAFT_111261 MSAHTTYSYQEPPSPQSMTQPEVSFIRSAEGFPRSALDRAATAK LRLEHNYKAQLQQAIERNQRRSEIEQRLMNEQCSDEKKNRQLQSLGRKESQFLRLRRT RMGLDDFVTVKVIGKGAFGEVRLVQAGDTGKIYAMKTLKKSEMLKKDQLAHVKAERDV LAESDSPWVVQLYFSFQDPQYLYLIMEFLPGGDLMTMLIKYDTFSEPVTRFYIAEIVL ALETIHKLGFIHRDIKPDNILIDKDGHVKLSDFGLSTGFHKTHDSQYYQRLLEGAQNN GGTESINLNILSTKEKIATWKKNRRALAYSTVGTPDYIAPEIFLQRGYGQECDWWSLG AIMFECLCGYPPFCSENPHETYRKIMNWRDTLQFPEDQPISREAEDLIRRLICDPEHR IGRNGANETKSHPFFYGVNWDTIRSEPSPHVPQLKSITDTSYFPTEELENVPEVVDNN PRYHTDAVNAQKDLAFVGYTYKRFDYLTKKNIL PHYBLDRAFT_133116 MENSEGRFVDLYVPRKCSATNRLITAKDHSSIQLNVANVDAEGR STKSFATYALCGFVRKNAEADDSLNRLATQDGYLKSVWSYQL PHYBLDRAFT_88848 TPYLVDAFSFGKVNGCEGYFLTHYHADHYMGLTKSWAHGPIYCS KVTANLVKMKLKVDPSYIVVIPMDVPFVVDKDTTVVLVDANHCPGSVLFLFTIKKKGR TLRHLHTGDFRANPRMCLHPHIRQPDNPPIDCLYLDTTYMAPNHIFPAQQECINVVSD LLDMWLSFTKKQDNKKLLIVVGTYTIGKERIFYDIAKRINSKIYVTSEKKRIILCQEN PELETLLTRDQHEAQVHVIPLGHIKQEHLKPYLASLEPHFTSLIAIRPTGWSFYPPAA ILTKDLSLESLFKQPFAEPKIKINTGPEKVKIYSVPYSEHSSFRELAAFVGSLEIRTI IPTVNVSSLESRNHMESIYGPW PHYBLDRAFT_144492 MYSPQQDFQLEPTGRFSPPMTSMGTPHTTVGAVPVTRNNRVFNS SNNFSIHQRQDSFGAASIAMSPPNVPSRHSMTFADANQNWFGTSLDSTSSSFGQSPIF PGRDFIVSPSTSSGHLEGVNGQEEEGQQRNLQEMFEKRRRRRESHNAVERKRRDNINE RIHELCMLLPERLLETAPTTSNVMSVSTHQGGINARAINKGTILKLSVDHIKELREEV SRCQHRMAELEQLIEAAKRGEMIPDIKPIRDDCTIQRHPHQNESHKAIYPQNNSQSVH LMGSDGRSHHERMGSFQFQQQFGNMQIKGSDENIQ PHYBLDRAFT_167461 MLGTALMRRRLVELRGNSRNFANKKKEGITGNRFSRRFRGSGST GLFVFAFFCDRILGSNIFPEFEFLNESNGSKVDQDRTDRNLQFLTRLLSEESVRQHQV LKVFKSEGRVTRGI PHYBLDRAFT_78951 MVHLCQHLSPGVKQRNQRAILQWKVILDIPCRDLPILSPRRPFA THPRKGICDDIISQVENSMDEKTSAFKFSNEKKELRKRIPMHVASALDKYIRTPAVLS IHKLLEDKQYQEAWESFNSLGLLSEKNTLPHATSSLLLTSLRNDVLQHTGNNKDKLTT LYLRRLEHLLATVRKSQDFWTRPELDIILDLFGKLDSVERAETIFRNMSTYCVEPPTA ETYNRLMAGYLRKYKNLDELSRKRYFSKINSLLHTMERSGPPPDTASYNLAIAAKVKS NHLAEAESIYARMVNSGRTPDRMTYNVFLNGYLKHCRTDKDLQIADQWMERMVEARIV PNLRTFNSVLVGIAEQVSYHARILSYEEMKSSVESIKSLYHIMIQLGHKPDTTTANAL IKCYTAAEDDAEMTKMITILGVQPSTGCGCGKTSGGCGQAAPKIVPDEPEPLKISPDE YTFNSLIHYRLKRNQLDEAFRMYDVMLTRGFSPSTVTYGSFINYYMGKGDISEAIKYY DVMKRKGISSNTHIYNILLKGYFKNPNHAEALFQRLRTMLIDNVQGDIVTYNTQLANL KLAKDPSNHSEVDVSRLTEIFDQMITKEHKPNERTYNTALGILGKLPQHSDNKARQTI SSLLESLDTSGLQPDIIRHANIIRDAASRCDMQEAESAFRRMLNCGIRPNIYIFAHLV WGYSKIGELDKAQNVLHYMSKPPFNVRPTAFIFAPLIEGYVAATEYDKAHNTFREMID QGIQADCVTYTILANMLLKSSSFEKETSAISLLGDLRKSLKPDVNGKVPELDQAALTV LIEAHGMSGARHIVSLNNYKEDSSSQKQVALQCEAHAQAAQEAYDLIIKSGEKPDAYA VNALITAFVRLQKLESAWDFWTQLSKEKDFLDISTYHYNALLTGFAEDKSWHPVAKHL FEDMMSQPIFEDSVRSPSLNKSTTQITPDVATFDLMILSSAMAYDDESIRRLWRLECR PKPNRTNQITTEEDDPNGNTPLLIRSYYYALVALLNDRDLAGARETYREFRCLTSLPD SATLWVNNINDMALANRLT PHYBLDRAFT_186671 MSPIDIRPATPADAGLIVKFIKDLAEYEKLAHEAVVTEELILKN LFGERPYAEVIFAYCAKAPGEEKVPAGMALFFHNFSTFLGKPGLYLEDLFVKPEFRGL GVGKRLLVQLAALAKERDCGRYEWVVIDWNEPSRKFYEALGAVPHQEWIIHRVEGKSL DDLAAM PHYBLDRAFT_167464 MGSADEKPDINLEEAPAHVMDADELLLQSLGYKQDLNRSISAFS NFAIAFSCCSVLSGLTPMWGDAMIDAGSLGVIWGWVITGIFTMIVAMSLAEICSAYPT TGGLYFWVSRLSTSEWVPLACWLTGWCNWVGLAFGITSVDLGLAQFIAGVINIWSPET DTSVYMQYGIFVGILICHGIINSIAVNLNGIMNQAAFWLNMLGIIFIVVVGLAITRPL ATGDFVFTQFYNGSGFSSNGFAFLLVILQSQYTLSGYDSAAHMSEETKNSQTGSPFGI LVAVAANAVSGLVFLIAISFMVTDFMGQIVSDDAIQPQMIQVFYSGVGPAWTMVFLVF VMLSIFFCGSALTLGSSRMVYAFARDGAMPFSKQLHSINPKTKSPVFAVWFNVTVAGI VGLLYIINSTAYEAIVSVNTIGSQMSYLIPILLRITVSRNKFTPGPWNLGRYSVAVGY IAAAWLIFTCCLFICPTEAPVTPDNMNYAIVPFAAIMLFSSGYYFIWGRKWFTGPVRM IDGEAVILEEGDEIPEKSSH PHYBLDRAFT_167465 MSNIKGLIRNTSKKTFKHYVMIFIRKGYMQDGVKTRVNVKFSGQ CERGDVLSVTRNMKAVRASLIIVCGLEIMIKILLYNLARRRILYKNFRNRYKINGTQK IVGKLLREEDYIKRRSSSNEKIVFYVD PHYBLDRAFT_186672 MLLRTGIRPNILRVYWKVTHRVPLSEQRGQIRNSMPMYPSPTSV PSMRHNSKMAAGRELLSARLTKAIERRDLNQAQTIYKEIIKSNGAIDRICISSLLELA ATTTDSHNIMFIKDVIRDMKSIFSFPPEPHQYNMLIHALCLHNKSNEAYQVFQRMRAK GLSPNVYSFNTLLGHYKRLNDVDKAIQLVNEMKQNGIARDASTYNIIFHLLLRNDMIE EAHDFWQQMTYERISPDVYTYSILFRIVNKTGDIEMGEDLYKTILNQAQKKLAVNVDT VLVNELLLFKGSVSQDLSGMLDLYAKSSILFPRVKLDTVSYNILLDTCLKLNNPTKAY KIYKEMVDVGLSPDEVTYGTIIDAEVRMGDIDGAIQIFKEMCTKGIMPTERIVTRLSN ATAYKHNDASSVQCVFDIVKKLNASIGLTLDVKAYNALLSGLSKDGSSEQAQELFEEI FVQKGHVPDIVTYTNLIVSYINDQRIDEAMEIYYDIRKRHSIHHKSHISLDVNLYTIL ISSLTNAPATKDTSSPSYTSDTIIDYQVFNADDLNSLDNSSHPNLLAALTLFNDMRQL RIQPNAYCYTAILHACGQHKDMYVLEQVHKLMKMDLYFDPDTAVYNALMDAYSRSGEG ETVLQIWETLIMSSSPTTSIDATTISIVLDSCGHNGLGHLAKDIWKRLRDNEFALNSN NFNSYIECLCRSTRSRRGFDIARRVAKNEMMPPLSPHLQPMIHSRRSKPEYTSRNAMQ PRIEEKTINTLISFGKKKGIPEIEIASLEAWKTELFG PHYBLDRAFT_111647 MTTLNDSSFATAIQFWKGVHLPELQKELDQQGLAVVENQKDGLV SRKKLAEQTREFRKIPDEEKLSQFKSLLKGYQSEIDNITRRTKYSENAFLTLYKLLVN APDPAPLFEAAVDQSAKAVENDGAAQENKRLQQELKEANEKLAKLQTVEKANLDLKAA LTKLETVGEEKRAEDVSQKEQDVKQQYADKIKSYKEREHGLQRQLNQALDQLTQLRHT HDDTQAQLLDHDQKYGKCISCGKTTHLDEEVVGKLAELDIVTMDLERANTTIANLERK LVILTETTSNNKSCLVQLKQMFQVKRKSKETQIILIESELNGVESHQTGLTWCSAEDL VETEKQDAEMAKLIKDVETYKNLLQKTDTRLNKRIKDLSNEVKALVSNTEYNNSFDAK DVLNKEDNAIRKSLEVQLMEKSKRLETDYTQLKMSFSDLQNDLQARSALCEELQAKTY EQTGLIQKLEEDLARVGQKQTTDVSESFSRPSSSNNLAAIGSMTSTRPSLEVVHRQSQ DTIGGGKDDKSILPIVMGQRDRFRQRNTEMEEQARNMERKLQEMESEMEGLKEDNLKL YERLRFVHVWKDEQSKDPSPRNFKKNGPRNGTIQDEDPTDKYGKIYEETMNPFMQFHR KEETRRYHALNPAEKLSYNVTRVLFSHKWSRYFLIFYALMLHLLVVVTLYQLSLWECR HDHEEINMPTVKDDTAAFMPIQN PHYBLDRAFT_186674 MAHRLGGRRKNVKKGFQFTFMVVGTSGTGRTTFVNTLCDSNVLP NKICDNPEDAHIEEGINIKPISVDLDEDGVRISLTIVDTPGFGDNIDNERCFHEIVAY LERQYDDILAEESRIKRNARFRDNRVHALLYFISPTGHALREIDIELMRRLSPRVNVI PVVGRADSLTPQELKDFKRRIMEDIEHYSIPIYNFPYDVEEDDEDTVEENSELRALLP FAIIGSDEEINVNGRIVRGRQYPWGAVEVDNPKHSDFGRLRSALLSSHLQDLKEITHD FLYENYRTEKLSRTVSGGDQEDASLNAEDMASQSVRLKEEQLKKEEEKLREIELKVQR EIQEKRAELSSKEEALRSLEARLAQAQLAD PHYBLDRAFT_167469 MANVKAHKNALNFEPSGGETFRYQEQLPKLPIPELKDTLARYLT SIQPLQSVEEHERSKVAVKDFLENDGPKLQSKLLTYATDKSSYIEEFWYDSYLQHSDP VVLNLNPFFVLEDDPTPLRNDQVIRASSLIYSTLKFIDALHDKTLEPDVFRGTPLCMS QFSRLFGTARVPTDNGCYMAPGNESRHIVVMAHSQSYHFEVFDEFGETALTEKEIAAN LRAILRDAARIPVSDIAKTAIGVLTTEKRRNWARLRAELKSDPTNRQSLKVIDSALFI VCLDHVEPTTIEDLSSNMLCGTYRLDQGLQVGTCTNRWYDKLQIIVCKNGSAGINFEH TGVDGHTVLRYVSDIYTDTILRFAKTINSQTKSIFHSHKQKDSAANRARRDSFGTGLS FDINPRRIEWNMTEPITLGVRYAETRLSDLILQNEVRVLEFNNYGKYFITDMKMSPDA FVQMAFQAAYYGLYGKSECTYEPAMTKTFLHGRTEAIRSVTNASVNFVETYYSKAADH DKLESLRKALKSHTNLTRQCAQGLGQDRHLFALECLWNRMNKDTKKPLIFTDSGWKVL GHTMISTSNCGNPALRLFGFGPTVSDGFGIGYIIKEDGISFAASSKHRQTERLLKTLE KYLLDIQIMLQKEKYPGGISQRQRILAMENADELNNGYSYFDNGENFGSDKESSSGSI DGYLSRSPTRKVGKRLMLRETD PHYBLDRAFT_67913 MQEVKVKLKLKLKTVFCKERPYSARRVPANLFILQKRNFDSTSL KVLRGSLRAPWKHQKKYIYMYSAQSKRTKIPWSNLQTYNRIFAESNLVNQQSRYQRFE PHYBLDRAFT_158611 MDVCLPGLELLVVLHGFGSMPQTSLENCVGLVERTLGVLSEKER IEQALIMRGLGSDPPPNYNVERAVLLLIMATVLNALGNHKESMVHLDCILGNKDHAKS EGWIVPLAYWEAGVVSWGLGDRTKSRELWQSALGCTDYDFEYATVVLLGLALDKHNDV FR PHYBLDRAFT_67915 MKSAMFMLYLCLSTVLLSGVSASRLKNRGVATVMNIEAPANSTE DGLERRANRGTWYTGADLRNAACYDRNGLSPFHATNYDMIGAMAMNDFEQCYKCMKIV NNRNPKLSVIVKIVDKCAACKVAKAIDLTPAAFKKISPKGNLDVGVLDISWTSVRCGS SRGYPSYGSR PHYBLDRAFT_181090 MAGHYVIAGKKVPFHILSIGFISAYAIAGTAYAMKPKPAQPATP PIKASSSDEEAFIKQFLAEAEAGEKL PHYBLDRAFT_111133 YPSHNVIAMPALSPTMTQGGIGVWQKKVGDEIQPGDVLVEIETD KAQMDFECQDEGFVAKIFAKTGDKDVPVGKPIAILVENADDVAAFEDFSIEDAGGAAA GSAAPAKEESPAKEDSPAKEEAPAKEEAPAQASSGSANGEVKASPYAKTVARERGIDI SLIKGTGPNGRVVKEDVDNYKPVAAAPAAPTPAAAAAPAAAAPIPAAYAPKSATGDAF VDIPTTNMRKIIASRLTESKQTVPHYYITVEINMDKTNKLREVLNKSADGKYKLSVND FIIKASALALKKVPEVNSAWQGDYIRQYNSADICVAVATPTGLITPIVASAETKGLSA ISAQVKDMATRARSGKLAPAEYQGGSFTISNLGMFGVSNFTAIINPPQSCILAIGGAS QKVVVDETKETGFGVRNVMEVTLSADHRVVDGAVGATWLQAFREYMENPLKMML PHYBLDRAFT_133133 MDPTSEVRRGTFKARSHFKPEEVRRRRETAQIEIRKQKKEENLA KRRVFNAETVDEDSEDELVDILPAMVEGVFSNDIEAALAATGQFRKLLSREKNPPIEQ VIACGVVPRFVEFLASDSPNLQFEAAWALTNIASGSSHQTQIVIEAGAVPYFVQLLSS AQSDVKEQAVWALGNIAGDSPNCRDFVLQANALPPLLNIFQSEEKMSMIRNATWTLSN FCRGKNPQPDWNLIEPALGALSKLIYSNDDEVLVDTCWAISYLSDGINERIQAVVESG VCGQLIELLNHPSTNVQTPALRSVGNIVTGDDLQTQEIINQGAIPALLQLLSSPKEGI RKEACWTISNITAGNTNQIQAIIDAGIMQPLIQILAYGDFKTKKEACWAICNATSGGL NRPEQIKYLVQNGCIKPLCDILTAMDNKISMVALDGLENILKAGEMEKTHTADGLNPY ALLIEECNGIDYIHALQSHKNAEIYKKAYHVIDKYFSLDEDEDQGEMAAEMENGQFSY QQMGAPQGGFNFGQ PHYBLDRAFT_102972 CANCGTTTTPLWRRAPNGDTICNACGLYLKARNTLRPPTMKRSL VRKDGSGTTFNQQQVNKQTLMCANCRTTTTPLWRRDEAGNTICNACGLYYKLHNVHRP VSMKRSVIKRRKR PHYBLDRAFT_181094 MTAGAIEAVLRYAYVHGYSCEQWAEPTRQCFQRVDHKLDKELCD VLLNQIFCLIRVADPLLESYLTFATTGHKDLTSPLLPGASLLDPIFISPKVLLQSLAQ YVDSTAGRNPRQWAYLLRLVSVLLAPLDAEKIVNSVHQQKIVDSDQPSQDDWFDVLAT VFVMLSRLVAVGLEHESRDREPKPGPRLVRSAISFQRGNTQPGDISTIWPSSSNYLSS QTSAYDPEATIDEDSFGHEALEEEKEQDIKSSAKRRRTGAGDGIGAGARNGSGNEEDE DEEDEEEKIERSNAIMASQIMIDLIEKKSAKRMFEVRQNQKRQHGHESTSEEPWATCQ EILTGPSLHSSSKKSGVGSLRQNPHVQKLLILIGRLTDRNLERMMAVHMKYHELEDEG TARAMPSAGLMGLLYHVVQIRPTLDDETIVDHLIKLQTIKGSFDESFYLELWFTALTG LREASFGTSCQTQSFESKLEKNTVDRKEKSCNNAIATNRLLWRSLVLVKLPHLIKMMQ KKSEAKASRRPWKEHKGGFKDDVVEKELNPLESSLLELKAFTGLLNACSPPACCSDFY APSSQSSDLVDKLGRGSTEDEDDALMTLINTISDDFNTPTVTKAIRSISTESIFLNMV HVCESYGFIRPHVVRILSCSSSAAGGSGSATVSSSVNKTECDTTTDLMDTDILDMDSP LGNNNSNSKTHRNLMEDPEDCSMDSIEIIRQNIEARMESILIECSMTAVRELLEISIV SLVHLRRIIDFLIDLLQQKASLGDIETIAQICSAMNAYPCIVDLIIQLYSPLAFMGPF EELCNGWSPSDSGMFIDEEEEVKSAKSLYNEFGTIWIFVSFVVDRFNLIRDLDRVFRV REGFCYQFFSQGPVVYGIDASVPDMEVWVSKWQNALFENDVTGELLREMTPQRLLAIG PTIIKRVMMSFEEDEMGVSLLPSVLDYLQEPYLHFTLGPILMLLCDELMSTQYRTALM CLDRLIIEGNLPELLVRQCGGVILGSLESIAGENQTYNNGENDAEENERKRARMNRIK TRVESIMEIEDSDARFPPTETVTTRVTRHSLFSKTQEMFRAIVKGGRSMFMRDMDADT HALWDQSKGTTQMVAHYLDMVLFQTALEMGGPRWFISMIVQEVLEAGKSGGAVRAAEL GSCLIAIPLSFSSHGHNGCVYLLQCLLQDILPSSLRQSAANNGSFFQGQTLGVFTSDC LVLMHGRPLDDKLDDTDDRMVRALGRIFLNSLGMEGHETSQPSEADVMECGQFAEWTG DITKSAVWRGFIKGLMSNPSINDAWPDAFIY PHYBLDRAFT_167478 MKDKENWVNMYVYKHAHFGNRMSNRAESAHASLKHSLGTSSGKL KTVTLKVKKWYDELVADRKHRLMVESLGEGTKIVFNKVNATRLNDIRLKVCRFAMDQI KLELSKSIIPEKLAKECKCLIQYNYLLPCYHTLAKFDTIPISCIPRRWRKNYLEGENH LTIQNATPVPPNINNIKPITPEFNYALELICEHFANAQSEQEQINIYQLIEKTLKQID AQKLENLKGPTVVEAIKGRPKKYQAQDDCIRALHQYRKRKNHKKN PHYBLDRAFT_167479 MEVYQDQEEWSKVKDKMLETFLKHQNNYYHGRMEHGNMPASNNP LIRSLQDKRSPLPQQHWFGTIDHPQLVADTFSRAVAVYWNTPIETGDCLFVPFATLPE KVEPIIIILDFFNLLAFACLISVQFAGARPEGKLASYMDFLVQIAQNELTTYAHKILL LNSFGDIPDFERLIRHFDLNDLLLLNSSSFQWEVQQP PHYBLDRAFT_67923 MLSKSSYFKKRLFLPHHKPYTVKCKSYHHDKDDEDDEDDDEDEN DMITTITAIATTMMTTTRRRLRRLRRLRRLRRLRRLRWLRRRRIRYGYDTFTVRYGGM EWDVYYDHDYTNTISFLYAREISDMSITIRTASLYDTIQYDTIRYDTIRCNVM PHYBLDRAFT_144509 MRTHCALCQTMEHYQYICTSRPNKIRFCYGCHQVDHLCLKFPRA VKIDNLFKQDPKVAEPPSLPLDYHHLLHCQLLFWYNCKGNYPPSFTSVNFYALCNPTL SSTGSQYNPANWTKTVSDKTELDDLLYHLPLGICLSNTTRPTLPTTFSMNNIQGECCN LPGRVFQLLNARMVKAARSIVSSYQTGFACGPFIADSGTITKFVIDHAKFTGYSVIGL PLG PHYBLDRAFT_101383 PFVTLTFAQSLDGKIALKGQQLLLSGKESMAMTHRLRLLHDGIL VGIGTALIDDPQLNARYVSPTDHLCNNQPQPIVLDPRLEFPLSAKLLRNFNNGTGKQP WLICWTKNDQRKLALEKAGALVITIEAPDYNVNGYQTCQRPSISSVLRHIKAAGIDRL MVEGGSKIIQSFLQSGHIDQLIVTTAPTLVGPRGVSAT PHYBLDRAFT_158614 MVDVAVVEGVAVNENIDAGDVNVGVVAVIVAVNEVVVVVVVVVV VVVVVVNEVGSVSVNVGVLVVGDARR PHYBLDRAFT_181097 MDFRTYALPSPTSPSFSAQILPSSPIKKPSRMTTHRKRPSKSHV PTACVNCKKAHLACDLSRPCNRCIAVGKTDTCYDIQHKKRGRPKLRDKQSSPTKPGPS KAALSSTAGARSTYMLTPGLANSSFSMTTFPDYIPEPRHTSSDKNMMTIFLTMDISCA RVSDESVDFLGVYPQELAHRSLYDLVSPEYSSQLARLHRCLLDNANISPHTTDAADAL RSDSDVFNCSPSLL PHYBLDRAFT_95921 SPAHTSPASTRTSLQSTSTVTSSSVFVVNALEKFAKAKETKKNK ALKEAVLNAQNMLNNDEAAPEGQTRSTVILAALQTAINTRSVNLMTISLDCLGKFIAY NYLDDMENEAAQTQVMEKMVDIICDCFIGEETDEKVQLQIIKALLDAVYSTRNPLHQS ALLKAVRTTYNIFLLSRNSANQNVAQVTLTQMVDHIFRRIEIRPVAKKNQPAHETTIA SKETSSDDPEKSSEEHTSEADASYQQQIIVEANMARKLPKPEPEREIITTTTSDNDVA ANAGPTDSQVSTTNDTSRFQTNTAETEADLFIKDAILVFRALCKLSKKQISSEWGNDM RSYSMRSKLLSLHLILTILTSHMTIMSSPSVVLSSGRDDPTVSQLANPFILEVKQYLC PSLAKNAFSLVPQVFNITLEILWKVVQGLRVFLKKEIEVFFKEIVFRILEMRNASFQQ KHTLLKIVLRICSDPQTLVDIYLNYDCDRQALDNIYERLVYVLSKITTSQSSPGSLKD QDIHSSGSDYSNGHGHSNNNSVIIPPPLTTATILSNERHSNSAPQMPESAIKFKSLEC LVAVLQSLVTWYANNSVSISSTTENEEDHSRGNTPRESEDRRASSDPFTSHSNISLSR MSPSLSTSGVSANTSNGGGPLTSRMDDPEQFENLKHRKQLLQDGIRLFNWKPKKAIQL LANHGFLDINDPQSKARFLLNTEGLSKTFIGEYLGEGEPENVATMHAFVDEMDFTGMK FLDALRLFLQSFRLPGEAQKIDRFMLKFAERYVNGNPGVFANADTPYVLAYHVIMLNT DQHNPTVKNRMTYEDFVKNNRGLDDGNNISEDILQYIYTEIRKNEIKLNDETEAANNA ALMASAGGMGGLGMTGLQNALANAGIMRDSRREAYQAATEEMGSKTEAIFRSMLASRS RAGENETFTFYIASHVEHVRPMFEVAWMAFLAGMSGALQKSDDLETVKLCLDGFKHAI RIICLFHTVQSEDMDLQRDAFVTTLTKFTFLTNLNEMKPKNVEAIKTLLEVASVDGNY LKGSWKEILSTVSQLERFQLITSGIDQGALPDIANKRFQAPPRGYPTQLSLSEEVASA GSSQSLVLAADKLFTATVNLNGDAIVDFVRALCETSWEEIVSSAHLEHPRMYSLQKLV EISYYNMNRIRIEWSNIWAILGEYYNKVGCQSNFNVAFFALDSLRQLAMRFLEKEELP HFKFQKDFLMPFRNILANNPDVAIKDMVLRCLSQMILARAQNIRSGWKTMLSVFATGA RETSESIVHMTFDIVRSVANERFHDIIANGTFPDYISCLIEFSKNKKFQKISLPALDM IRGTIPAMLEIAEKNTIEITDVHASNSAGQTAQTDDDFLVKFWFAVLYGLKEVTMHSD DVEVRKRALQYLFDTLKEYGSSFTPEFWTTVSRQIVFPLFDDLKSDSDHQRTMTPEDL SVWLSTTMIEALRNVVDLYTFYFENIKGMMRPILGLFGVCITQDNDTLARIGCECLEQ YIETNVEKFDPSCWDLVAETFVNLFEKTTAHGLFDDTEDLVDKVKAIPAASNDTTNQY SETTTIQHADVNEERQHSFQQVIVKCVLQLMLIQTVSELLSKDAVYFAFPAHHLMQIM ECLGRSFHFAKRFNGDNDLRMALWRFGFMKQLPNLLKQETSSGGCYVSILMRMYANLE NISDRDDRRVEIENTLIPLCNEIFTLYTELDHETKPKNIVAWTPVVVSILSGLAQLQD EDFLRHVPRFYMPSVELLGQDNLLPDIRLALRTLLVRVGK PHYBLDRAFT_78964 MLQSLYAGNNLLKTRGIQLKSNIHRLASRSAPIISRNLPVTFYP TRQFSSTLSPNNQTNEPVAVTRNTVGTIKKNKPLFSVHDDIPQKLASYEALGDVEGAF NYVKELHAKNLATKEIYERLVTLLSENPTEIAKINYVLNWFTQSSRSKNKKILEDIDL WCKVMGLGFKLKGTSREQALRMYLQAFEATFPYSKLTTQTAWVTKIRAHGILCQPLKV TECLKEIKDSPKALEAVDEKQIFEYAILAYANCQMTELVDKHMQLFKDTPPSKDMLKS LTLTNAFRGNIEATKKYSDLTERIYPSVDLTSVRLLSYQRDLVAQYKNEARIRGTHNA PLNLDQDKAAAWNELAESLMNDDKRPLNINECNNIVGYLATANSLDNKQFPMERAQGF VDNYMTKHSIQPNETTYSYLLTGYARTHEYNDGAKNSRLDKALETLHLMLSKNLSVVN HRNFSALLKACIPHKNENYIFDYFRYNSAVKTSFQNQKLKKIFLDKRFFEIEKLMLEY DVNYDRYTFMNMMTCLGGSGLYIALWNRWGLTKKYGIKRDAALYQHMLALAARDSEQA RFALATVKSELARDIGQDKMTKPLYFSLLECSVSAQNTTMTGQIIETLRKDFELTTED YNTLIRSSAFLGDASSKVDDILEEMKKKNIQNNTRTWLNLLSNQILAKAEHNQIQKTF NDYSMYRFEEYGKVPIPIRKQAKTVPFPTGPYTGVDQQIINVYLASLVDHQDLSLLFG ILRTSEEQELPIRLTVNTINWIKNLAQKEKSKDDLAWFINESKLGKIIKE PHYBLDRAFT_89118 LYVGNLDSRVNEEILTQIFAAISEVDNVKIIHDRYATHGSMNYG FVEFQDHQGAEIALQSMAGRKVFGQDIRVNWATQGSTQKEDTSGHYHIFVGDLSPEVN DNVLTKAFSPFRSTSEARVMWDQTTGKSRGFGFVAFRDKADAEQAIATMNGEWLGSRA IRCNWANQKASGQPGMPVAGPPAATMERQFDSVATSANMSYEQIFAQTPHYQTTVYIG NLPHGITQQDIAPYFQQYGYVTDIRLQSDRGYAFVKMDSHANAATSIFALQGFAIGGR PAKLSWGKDR PHYBLDRAFT_167487 MSIKNGLTVDTFTQQGHVRTAWIAYFTLFVFWGLFYCLRHLSKA ERNHGPGPEHDIEGRHTTEERHGSSEKVPAWKLKNGFHSPLKRAHRLAFENMMLLLTV LVLDTFGSGSGRAVMIIAWIYVGFTILISLTELMIDNRYYRFMYSLMFYGLTLAIGGL AFKQGW PHYBLDRAFT_155208 MVLVLVIGDLHIPHRVHDLPAKFKKLLVPGKIQQIICTGNICDK ETFDYLRTIAGDIIVVKGDFDQNSTFPQSKVVVHGNMRVGVLHGHQVIPWGDTESLAI TARQMEVDVLLTGHTHRFEAFEMNGKFFINPGSATGAYSSCPDTVDPIPSFVLMDIQG STVISYVYRLIDGEVNVEKLEYKKQADSSKLL PHYBLDRAFT_4283 GTYGKVRLGVHQLTGQRVAIKKISKQHAPLMAREIHNHRLLYHP NIVTLYEVLMTESSIHIISEYCPNGELFDMLTRCGRFSERQTQRWFLQLVDAVLFCHS HNIIHRDLKLENILLDAEYNAKLCDFGFARQAEKNQMLGTFCGSLAYSAPEVIRRQNY TGPETDVWSLGVILYTLLAGELPFDDDNEIIIERKIVGLDYSIPSYFSPETADLVHRM LKLKPSDRISIDSILAHPWL PHYBLDRAFT_67935 MSLILVMTFKRSTQLTNSTQAESHLFQFNRDYYISIFRFCNCLL YLYLCVLITEILPLVNKKYSRVMTIKVSLIQVFLLQSYSITLVVCICVSVYLNHMGYK GLNRWYCTMTMSSMLI PHYBLDRAFT_78968 MFPQIGKRSNPPEAIITLEPHPSASTPTFGASPAYTSDRPRRHT ITRPDSAMALLSDTSTDDEDIQQEAFDRISGILSSLIQEANDAVNGIEIERVQMMNRT KSTDSHSRSRLPRPKKPFNRPSYTHSSSSSSSTYSSSPSATPDTFLSRSPSPSQSRPP APLLATKRSITPLKKTATQPKLQDPLLESFKRLDSSMALVESLSRDLATDPDAQQRSP IENRLTILLLLPLLHIPHAFLNMIFDFLTVTESVSYEHVQSSSFPVVIVWGFFIALAN IIMNCVVEKPPVITKTRRLSLPGTYTRTIVVQDYQTNTSPLLVVPDRAATIHSSGSRR RRSTLRASLYRRRQPHHQHNYDSARTHTNTVLTHNLLDVNTSESPVDYMLPGSFLFPS TTARPVLARRKSI PHYBLDRAFT_144521 MGDRWSWSSGKGWLFRIGPGIECGDNEDRVSAHHCGNKCRHWLC FVLVGLQSPKTPNILSGALYCSSDSIGSNRHDAMMYAKLFL PHYBLDRAFT_167493 MPETLEGRRNQDIKESPLRRDRGNSLGRGFCKVKKKTVGELFVD EQCKLKRRYGRRNGTHNKQLRVKKIRSLGRQGTFVKMLFVYCLSAVITPHSENGYIVK DKNIFFDSGKTVAKTCSRDYYIMINTRCVSNGKGQYLEFK PHYBLDRAFT_167494 MRVSIATFITLASIATTFVSAGSSQKKAPKGKAFDHILQIWFEN QDFSTIEALPQFTQLKSEGILLTNFNAITHPSEPNYVAAAGGSNFGIDDDDYYNIPAE QSSIFDLLENKQLTWRLYQEGIPKIGFTGDNSGHYVRKHNPAVSYDSIGLDKKRLRNI VHSDELAKDIASGDLANWMFYTPDMLNDGHDTNATYAGNWLDKFYHSTLKNKKLLDKT LILLTFDEAATYSARNRVWSILFGDVPKHLKGTQDDTYYSHFSTLNTVEHNWDLGNMG RGDTIRTENNVFAHLAKRLRYKNMDIPESEIPLNNDYISIGLVHGHSLNDTLSAQAAE AEKKN PHYBLDRAFT_181102 MSYDSRSYRPRQEGNRYRGSGGGRYNNGGDDRRGYRNNNRAPSP TKDDEVEDIEVRLKSLIIKIGDKISPELQVNLNKMKNILDNDYSKYPETVQDTLKACI SDIPAKAPVYGILIGLLNLSNHESVGKLMYTFNQLFKETIESSNWFKLKQILRFYGEL VNANVILPSAYILILNNLLAVLNEPKALRDRVDCIVYIILSTLPWSMKELNERESDGL AQVISKIDIYMQTRGDVPPLRILQHYRSGDTRFEEKDPLVHLWYLIQDLFSKGGNVPL LPKPFRWFDDELKSALQHDIPQFVLPPHNDNVKYFGPQRILKVLVDDSGKALTAVPDH DGLDYFILNDVIADTIQVFESNRKECAKYLLGIAHSFESGFINTAITTQENGTEEDVM EEDTTGWNLSDLLLEAIFSQMLKLPTPPFRLVFYSCILTELCRTELSSFPMSLGRIVK ALFNRMQDLDAECVSRLWCWFSHHLSNFGFQWDWKSWDHTLTLDQMHPQVCFIRETLE KEIRLSYYERIKTTIPEEFAPLFPAVAPGPDFEFKDPSHPLHLQAKLVIDSLRAKKSV EEVREILNNFKAEQAKLGLDETAQLGAVRQLFVQCLLLVGSKSFSHVLNVVERYLEVM RYVNSTPEGRLHTVQIVSSFWKNNTQFLGILLDKLLNYRVIDPTSVISWTFEPEQLEN AGRAYPWEILKNTLNKVVSRVVQVRLKLESYQELHAENEAKRQSTGLTEMAQAEAQQE LDTLRIVDNSLTTVTREQKEVFMVIYQKFANTLQELLITCTARAQDPNTHWTYWWVFG WYTEMLRLYQKECSGFLVTLETLVFTPNIDTRITSVFEDVKTMNEEETLPV PHYBLDRAFT_158615 MHKTELKIKPEGVKRGYPLEIDFDALPERIKSLQAELERVISQD TPSIYRDAALQAYKDLGQVKARGTMAVMARFEYTLPGYYGSKGAAIMQKVLDTMFVKT NILTHETAAPQLPMEYLQQVLVPETAYRLIREDLAKQGLESQDAKQVMKESSEFGSVV HRDPDVMEHY PHYBLDRAFT_67941 MNAASVIRRAYTTKSLVPPNLAAATKVSGSAKDGQITQLVDFYK KLPKGAAQAAKPSGPWGHYKARYIDGDNASMTPMFHALFGIFVIGYSIDYHFHLKHHK NVEHH PHYBLDRAFT_78971 MADGKLEKMEKDYSPQVDVLIPETEALVKQGKLSVALEKILLLE KQARNAADQASTGRLLVQAVKLCHQAGDWKALNEQIILLSKKHGQLKAATTKMVQEAM SYLDTTPNKEAKLELIDTLRTVTDGKIYVEVERARVTRMLSKIREDEGKTTEAADILQ ELQVETFGSMDKREKVDFILEQMRLCLAKHDYVRTQIISKKINTKFFLEKENEDLKLR YYDLMIQHALHEGQHLNVHKFYKQIYDSESIKLDDNKWKEALQQAILFIILSPYDNEQ SDLLHRIYQDPKTADLPIYSELVKLFVTDELMRWPMIEDKYGELLGKTSVFKKSAEDG QKRWKELHHRVIEHNIRVIAKYYTRVSTKRLGQLLDLNEKDAEESLSKLVVSKTIYAR IDRMAGIVSFQVKKDANQTLNDWSSDINSLLNLVEKTCHLISKEEMVHSIAKVM PHYBLDRAFT_133151 MLTILHEIGKNRDPETGLIDLDSFKIVYIAPMKALVAEMVGNFS KRLRPYGVQVAELTGDRQLTKQQIAETQIIVTTPEKWDVITRKATDRSYTTLVRLIII DEIHLLHDDRGPVLESIVSRTIRNMEQTQELVRLVGLSATLPNYADVAAFLRVDAKSG LFFFDSTFRPCPLKQQFIGVTEKKAIKRFQVMNEVCYEKVIEQIEQREENQVLVFVHS RKETAKTAKTLRDLALEKDTIGRFLKQDSASREILQSEAATVKDANLQDLLPYGFAIH HAGMTRADRTLVEELFADGHIKVLCSTATLAWGVNLPAHAVIIKGTQIYSPEKGRWVE LSPQDVLQMLGRAGRPQFDTYGEGIIITAHAELQYYLSLLNTQLPIESQFISRLADNL NAEIVLGTIRNRDEAVQWLGYTYLYVRMLRNPSLYNVSADDLSDDPYLEQKRVDLIHS AAAVLDKCNLIKYDKKSGRFQVTELGRIASHFYVTHHSMATYNQHLKPMMSHIELFRV FALSDEFKYIPVREEEKLELSKLLERVPIPVKESLEEPTAKINVLLQSYISQLKLDGF ALVSDMVYVTQSAGRILRAIFEICLKRGWAQLTKKALDLCKMVEKRSWLSMSPLRQFK AMPSDLIKRLERKEFPWERYFDLNPQELGELVGQPKAGRQLHKFIHQFPRLDIQAHVQ PVTRSLLKMELTITPDFQWDEKVHGTAEAFWILVEDVDGETILHHDYFILKQRYATED HLVSFTVPLYEPLPPNYFVTVVADRWLHAETKLPVSFKHLILPEKYAPHTELHDLQPL PVSALRNPAYENVYSRWIKQFNPIQTQAFNALYTTDDNAFIGAPTGSGKTVCAEFAIL RMWNQQSKGRCVYVAPFQEIVDQRVVDWQEKFGKLDGGKEIVALTGETSADLKLLERG DIIACTPTQWDIVSRRWKQRKNVQNVNLFIADELHLIGGDIGPTYEVIVSRMRYIASQ TQKTIRIVALSTSLANAKDLGEWIGAPSQAIFNFHPSVRPVPLEIHIQSYNIPHFASL MMAMAKPTYLAITTHSAEKPVIVFVPSRKQCRLTAVDIITYCIGDDTPDRFLHCKIEE IKPFLDRVQDKNLAETLEHGIGFYHEALSKQDKLIVEQLYTNKAIQVVVASRDTCWGL PLNSHMVIVMGTQYFEGKDHRYADYPITDVLQMMGRACRPREDDTGKCVLMCQANKKE FYKKFLYEALPVESHLDQFIHDHFNAEIVTKTIENKQDAVDYLTWTFLYRRMAHNPNY YGLQGTSHRHLSDHLSELVENTLNELQETKCITVEDEMDVSPLNLGMIAAYYNINYGT IDMFSVSLKSATKLKGLLEIVSAATEFEGIPIRHHEDAVLKRLYERLPVKLTSPDFNA PRFKTNILLQAHFSRMQLPPDLQSDQAMVLGRIIPLLQACVDVISSNGWLSPALASME LSQMSVQAMWDRDSPLKQVPYFTNEVIKRCEANQVESVFDIMELEDDVRNDVLRMDPS KLREVARFVNRYPNIELGFDVGDADSISSGNVVNVKVQLERESEDNEEIGPVVAPFFP QKKDEGWWIVIGDPEQKVLLAIKRVTLQQKLTVKLDFIAPKAGHHNLKVYLMSDSYNG CDQELDMELDVAEGEDSDEEMSEEESDAE PHYBLDRAFT_181106 MINEKLNRLEEGSKRPSYTNEKTDSYSGPIDPSFTSGRDDSVVE FEPLKRGLDARHIQMISLGGAIGTGLFLNSGQNIAAAGPAGALIAYCVVGFMVFCIMT CLGEMATFLPAVSGSFNHYASRFVDPALGFALGWNYWFSGVTIATELSASATIINWWK PIMPDAAWSVIFLVMIVAINLVGVRVYGELEYWFALLKILIVFVFVIIAICVTSGGLG DKVIGFSYWKDPGAFVDGGVGTISVLLSAGFSFLGTEIVGVTAAEAKNPSKTVPKAIR NTFWRIIFFYIVTIFLLGLCIPNNDPNLINDADDPATASFTLVFILGGIDAGAHVINA IVLSSVLSAANSSLYTCARTLMALARDGHAPLFLARTNRYGAPHYAVFISSIIGFACV FASIYSASAAFLWFLSITAVTGFIGWCGISFVQLRFRMAYVKQGRDIADLPYRCWLYP FSPIFSGVLCLLIILGQGYTAFTPKFDGTQFVINYIGILPVIFCYVIYKFIRKTKVVP LDEVDFDTGRVDRTEEDDEEEQKEGAKPWYLKIMHFFA PHYBLDRAFT_167501 MSNNNINNTIYDISTIQQVLINSPLEGIKMLPLNSTILVKASEW EKCLERINVLCSTKWNKKHKYSGKGLVFGETKKCHRAGQYITNRQLRLAQKDTKACSC TAALKIIQHLDNPNVVTFCQTRAHVNHVPGDWDEVRTLPLPSEAIKIIEDQLKSGSSC RSTRISVLRQIDSWGVGVRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAE QNYCIFEINLSVYNDGKKQFAFGFQSPMQVSIMRISQSFCLDATHSISSRSDEVLYTL VTRHPQTGKGFPVAYMVTNNQTAIPIKLWLDHLRIKSSFVPMNITIDCSIMEVNAIKE ALPHATIHYCDFHVLRAWQHNLDSKIKLNASYTSEQLGNYKTALKNYLRHILIESNED VFLRAIEDFKLMVQDQPQFLKYFEKKWTENEELLRRWGRPYISQQHQRYVTNNYVESW HNQLKTIYFGRARIRRLDRLIFILTNDVEFYFEQEVERIHFNNGKMGPIDNELARNSF VASKIQNDMLPSMILNPLGETGNSMDDYNGEWQIRSFVTEDKWYTVNISNDLIQSCTC PNFLTRQIPCKHSHLLKRYCGAKFSFIEQREIAGVVLNRQDAVNANENEVEEEVEEEL ESGGTAEDRGVYVFDEIAAYSATMHHGFEDLQTLKTIPGLDQTKADLIKRALADAVRL MDEYRSENPSYFRNLNTQR PHYBLDRAFT_167502 MSSSDTKNSNEGEKTVILLKKTCPYCDKSYQSNQKAVNHIATTH KKKVERLFSGNRNFGVYNQTNVQKYERLGYTIVVQFGCVSCKEAFVMKKELEKHCDLK HVVTSQPEIGIITAPDDITKLGWIFCCPDNDLVFQNNDITKGFYDFRNYVKTVIDEPR LLTYESHVQHVLALSSILLLKPARTNSDLHKFIGREICEDLIEYLLGEYGIQSCEFDQ YTRLAAEQIVKTCIKKKTTDFQDSQKLMALMVASDDVHNRVLFCFRNLLEQLPLEPIS QKVDESELITRYVTAAINPLLENLMKHVMFRWTSVDNDECKSSEMSLLLARPDSMVSM IIGTEIGQTVGFGEVKPALQALNHKLVGKDLVRLALLAKNAIDTYRSKFVLSFLVVGH HATFYLTDGTRNGLYPMVEIAHIQLPMSLKELPLFIAQADQLTLISSAFWSLCVDQKT NQQSSLMPTLSDNEIALIMDTHANRKRKASSSHYTH PHYBLDRAFT_167503 MSTYFFYENGQGKIYDEQGNDAMEWSEEAQPSEQEQMRGELDEN MNEVYLRVNEEDNNVTKKSYKVYSPVQKVLFLYYLQVKLFKAAKAARFSGVSERTGQQ WAKRIRDEPEWNIFEKQTNKDKRKTGQLQEEHKEFIIDLFDKNPQTRVKDVVVSLERS FANFSLKETSVRNFMTNECNLSFKRATLQTKERNSGKTLQKRFEWLRVTEKPKQRKVD GVGRKRKQTSNQKRREGTKTESIYEKSDEKLMIQAIYFRQATTSIITEYCSTSLFQ PHYBLDRAFT_167504 MSKPVHSKNEILRSNTGLTINPSKAYSRSETRYKLTGLTIFQGG LFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLVPSVQVKHQGSDQSPRLSVSIPSR SSFKVHVKVHGFQIEVHGFSIHSVQVKHQGSDQSPRLSVSIPSRSSFKVQVKVHGFQV KLQGSGQGPRFSGISKLDEQLLTCFCIFLVSIPSRSSFKVQVKVNGFQIKVHGFRYIK TRWAFTHLFLYISSIHSIQVKLQGPGQGPRFSGISKLDEQLLTCFCIFLVSIPSRSSF KVQVKVHGFQVKLQGSGQGPRFSDQGPRFQYPFHPGQASRFRSRSTVFRSRSTVSVSI PSRSSFKVQVKVHGFQIKVHGFSIYSIQGKLQGSGQGQRVQVEVHGFRSSFKVQVKVH GFSIYSIQVKLQGSRQGPRFSDRGPRFQYPFRPGQASGIRSESTVIRYIKTRWAVTHL FLYISSIHSIQVKLQGSGQGQRFSDQGPRFQYPFHPGQASRFKSRSTVSVSIPSRASF KVQVKVHGFQIEVHGFSIQSIQEKHQCSDLPAMVIAIQVKCQGPDFPAMGIAIDVRRQ GCDLPAKCSLIQVNQQDSDLPSMVIAIDVRRQGSDLPAMVLVFRHPAIHVKRPGSDLP TMFTAIRVKRQGPDRPSMVIAIRVKHQEPDPPSMVIGIKTLWSPVINVKRQGPDRPSM VIVIQDKHHGFDLPAMFKSPAIQDKHQGSDLPAMVIAIQVKCQGPDRPAMGIAIDIRR QGCDLPAKCSVIQVNQQDSDLPSMCSANHVKRPGSHLPAMVIDCSVIQVKHLGSDRQS MCWAIQVEHQGSDRPSMCSVIQVKHQGPYRPPMSPAIQVKHQDSDRPCMVIAIQVKHH GSDRPSMVIAIRGKHQGPDHPSMVIAIQIKRQGPDHPSMFIGIKQHYGHILTSFNIFL VCSHPGQASRLRSPADGYKFFQIKHQGSDLPAIVIDLIYLQSPAIQIKHQDSDLPAMV IDLIYLQSPAIQVKHQDSDLPAMGIVLNPPRSCFTDPDSVRGYRPNSKDQNSNLGYSS NIKDLISNQGYGYTLFSLSLHAFFRIGLVCLRSGYTMKNLFSFQCDRYIHLVRPLVTV GFISILASQSKPAIMDPISVQRYSVLAVKGNEQEPGLHIGI PHYBLDRAFT_144534 MSNNQKKESYVICKCPDCTKLDSCEKKQKRQNAQRYYEKHIVPV AKDDAMDVPEEHFDNMEVDSIDSDNDNDYDYKNEGKGKYEDENEEQNIEFDQEEESIF TAEDTITGAFVVDGDEIEEGDTGFDFEQEENFDETSGTSIVESARPSSFDNMPLYICF VAVFIVIFHSIFLVESGRLILVEFCNTLLSLCDMSGALPLTINSLKHKTGFNMAKDRM TVYIACSQWHSIYPPETSQRVCTFKKFSQSTICNNNLFKVSTRNCSLPAMIYPFNSLK YALQQKFSKPDFVCKINLWRNRQKIENTKLDIFDGAVWSDIKDINGRRRTHSSDAIYL SINNLPRSERLKSENDILVDMMPGPKEASTDSMNHYLKPLIDKLLEMYIGLEMTDLQN RKIVVCAELMCIVCDIPATCKASGFTGHMSTCACHKSNALNNTEQTHLKKENGTWWSE IHLLSYFDPVCVTVINLMHNLYLGTAKQMIQIWHKCNYINEKSQLTMQELANGIVVSC GYVRITKKIADGFSLMKADKWKSWCVIYSPFILKHVFPAKNL PHYBLDRAFT_144535 MTPNMHLHLHLGECVHDFGPIYTFLLFSFERYNGLLKNIEANQK GGFESTMMKRFLVRTYIGSFIQSFVNHFLQFAIDFLHRISNSPDQLAALHSSFTASIF SLSDFVEYLLNPHHSALGCEPLPPSVFPIKLDQRIAICKGHYECLLEFYRHAYGSHNL FGHYSNCESNQIFVNNRIEKMKWISRLGQEYSSGSYFCAYYLENNSEDKAAFPGRILY LFQHLITINKTVITHTFAFVEWYSSYSSGSYWPMLNEDIEL PHYBLDRAFT_63972 MLCERRQSALIANWAYFVNSFGENVDSILHADYMSSLESDDKRE EEKQDLSSEKNRFVDELDADYEAAHDKKNNTHPFEHKFKGIRDKQLSKTKANKLPSWS KK PHYBLDRAFT_167506 MFLSIQMHNTDCHCIRCNNNNQGVSQVSRRTAQHHNKRARFEAE KRSMKVDIEIILTYQSNSVEAMDGQANSPILDVVSMFDNDVFVGNYYNGDESDTTDDN YSDDNGEEDTNEIYVEEFNNEDQF PHYBLDRAFT_144538 MPNTRRERAIALHPVEITNQQLMEILSTVRADMSIIKGQIGNVQ QTLTNMNGRIGILATPSTNTVTNLTVAAPVVISNHEPTREESNAVYQAHIHNLMWKPK LSLRTPENILVNNLKPRWDTNVAFNKSPNREIAERLLSNLERRFGSSSMRRSNLQKRL HINFTSRTHRERMSDDEIAETNALTRRAARADDNECCRVLAYKDNKEAIDLVMLRDCA NTLQKAVMSDGESDNEMDEDGIKHVIHIVQPSWRSDECNRFIALVDTYAVQAIGSSAN KRIRRITTSVSNSAVPDNISPNFPRWGLRDGL PHYBLDRAFT_144539 MTRICQIAFNSSDEYKSLLAKIKEMEKSMVDVRDELTMMHKAIC AGFGQGNGSQTSASVSLDNLSVAASSIVRIPASIASEISCENKDKVFKLIRGYMRKDK FTFNYPALVSANEAKPRWETDVFFNRSPNKEIVANLLGYLLPKFVGQGIKTSEFRTMV HTNFRNTACKDREDPMVRAATNARGRRAARETEHFNCRVMAYVLNKDVIDALMKRNCS RLMIRSTMSEGESEDEFPGRPCKRIFNNLIFNIDEIVKENLGNNICQLLDRNLASLSE KPVPNDIALCFPPWTLRDGP PHYBLDRAFT_167510 MRCFVEDIYKSNSVNSALTSPTQAPFLPVLSKLVCSSTPVLTLP SASSTIVQPPFILQAFVDSSETIRIPILGNEPLPPTSFPLSVSKPSSMGDIDYPHLLE YYKLAYLTPDLVHYQNAAASPFFVDNQIIKSKSINILGQVYYGNNDTTGHGSYVQSLF LGSDGSTETTFTCQIKYISIHSFTPPPMLPYYEANSTHHDQHVFAFVNWLLLLGDKSQ EKDGVDICGSTPLPSNYHSILPVHRISLEVAIANHTTGLVQKKLVITLLKKLYA PHYBLDRAFT_111853 NLPRSDQFKAKNVILVGLMPGPKEPKTDEINNYLEPMVDELIQL YCGVRIPTFESPAGEVICAALMMVACNIPAARKTSGFTAHNNTCACFKCNRHFTCLDS TNKVDFRGFKESEWCRHNCEENRLHAEEWKNTVTISERQHLKIDNGVQWSQLHHLGYF DLVRGTIIDPMHNLFLGTAKRMMDQ PHYBLDRAFT_167511 MSSTIEQNFEECYCTKCIKNYNGYTLVSKRTAQRHGKKASLKDA IRSELAFILNTDVQRHVMNIDAESILVQESESVEVLACQSDLPILDISPMSVDYDIDV DFNDMDFEYESNENAKDTVDIDVEEVDTECSYENMFSNSSMPENPVHKFIATFTVLFA SCYVVNKGTVVLIEFINKLLKIYKQDFQLPMSLPGLQHMTGFCELSKGIRRFVTCKNC HAIYKESQSVPPHYIFAKTGACAACNCELTKKSSSGALVPKRSFHY PHYBLDRAFT_167512 MSTLLPSSVMQGMSPDLASFLGNMQAQFMSLQQRTNELESLAAT NAKLTAQLVNAEKLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTEASTWATTAA AAHNSVVVPTALSVCKTPRPPSVRQVAASARMFAIPTGPKGYQYVYIPRSRRLTHRKV RNSLKTLGVDTGRTLDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCMKALKYLRPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGLWNANGLQPRAIYDVLQHCHSLHMLFITETWLLPPSRLPTSWSQIHLY GSPVAGNYRGSMGVSVLISPSCPYPVTQIPMSSNYALAIKIGSLRIVCLYLPPSMSTH DALAVLSSIPLTNDTIICGDFNSRLGSLTGDYATNTRGLALCQWLEERALTVVNGQLS PCIPTFISFRQNVEISSIIDLFITNMSLTNATLNIHTDLSLNSDHRLLSLSFTYAINP TSHAPPPSCKTWNLSRLQEPDVLKLYAHTFVTNSTNLKSTLQSTFEHPPSSRPPIDAL TDEFNSLIYNSLSSSIGNRPPRPSHWKKFWNPVLQAAAEHRNFCYKKWRRACGIDRIH WWDKHLKAQAEFRHQVQSSKRQSWHAFCKSMEQDFSKATSKIKLLKRRRQPQHMFQHS DGPATAATIMCEHLASVYSGSILPDQRPPPPLHSTSLPFASANSPFVSSVVEGCMQFM PNRKAPGPDHIRAEMLKVIRPQIAPLLSLLFTICIFGPSVVTHCRSGALAAMATLTAV GVCRSGFSLLLSSRLFKTFIRPKFEYGLAITCLLQKDVLLLEKIQDKCLQMIVGGHAT SSTAVLKHICNLPSMAFRVDILKTKFCLRAHTLPSGCLLSLLHSHHLQASTLSTLHTN PLFASIPPDLNCSSRIKLSKHFESFRQEKFAHFRLTNTKILIQACRPLLEVDPVLFLP ATRIERGRLVRWRIGWLPDAISNSASQSHLSCSLNFQHHPQMKTTSLTLLYQHYQSRL HIQALYIGKLFLQYYGILTCYAILMATTHMKLIMFASIQFYHQSIQNIASTIASIVKH DASTLSTTTSIVKKKFPIVPAILSPIFTFSLPIAAISRSLPNMLQLLPANCMQSLPAK LVTFLTSMQSQFNALNKRTAHLESLAAKNVQLHAQLANVQQENANLRS PHYBLDRAFT_167513 MNSTTKIYTVICTCLSCTKNAIGGILQNAQTFKRHNNADKLLDI GPKNRVNTEVVEEETDIEIVDVSETSIDYEDNYSIVSAETTIQSVPFLREDEIFQFEE SDVETTSLASDNDDPDSSDESEDESKVEVAGVEDFEDMVASEILAFVVASLKIHEMSQ TSQFMALFGIIFQAFYLVQAGGTAMLKFFRHLLVAFDKDTDLPLTIDALKTMTGFNFM TKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTEADCAIPLMVF PYNSLKNALAQHFAKPGFEHQIENATEAEMWFYTESDAERAVLEKQHGTRFSELHRLH YFDPVQCTIVDPMHNLFLGTEKHMISVWKDLRYLPTAVLVRMQRLADGILVPPGYAVL STKIESGFPYMKADEWRSWCLIYLLVVLKDALPEDDYKNWTLFVKACQKLTGPSVTYS EIDSAHQLLGEFGKECETLYGESSITPNMHLHMHLRESMLNFGPVYAFWLYSFERYNG KLKNIKTNRRNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGVAQVKSNSDS SSPLNLDAGHPPALPFSLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDHY QWLFEFYVKAYRSTSVSFCVVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKRG SFVRVLFLERTNDNVSEFPGQIEYLFTHTIKIGGVKRVSTFAFIKWFPAYHSSSHQPL ADQGLQLWDKGFMEEDASCIVPVHRLHLCFALTMHKMQSETQKHLVIPLPRKVVT PHYBLDRAFT_167514 MSSNTQQSKKTKKTTTKKSVQQTTGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYR LPTVSVEYILRLIHSQTRAVLATMPLTVNEDAFSTSNRPIADVVQSYTHQQAEGKSFA RKDSSTKSDFSMPVQKEKHYKAIHLADKANLESKFGETVVDLLDYDMLSDIESDEEKN KTRYTPRNRHLLVDEYFTVLKKQRLANKGPDVIGNSVYPIILRNTELSNEKKACVTAW IHTRQQ PHYBLDRAFT_63962 MNPSAENYPAAIIPAHEEDAMSVMTEEDLIASLSDKISFASSTP GQFVAPSPLRPLHVEAVSTAHAMNDEQAAELALNKVRRVKEMIDIEIACSQYLSPSVK VVEKSSRTGGLTLNRRDLPKSFPNEEVFHSVDHFLHTFQKVIESSLQDIELVWKRFLQ LCLPHSDDGWVEIDLKKCVDWNTAKICFTARHESHLVTSHLVKEVFTMVMLPSESIGD YSKKFLQAVYDAGLPKNDACVADRFLASLTRQVQTLLWLTMTRLDFNGKTKRDWTVKQ LTQIGRDILDNHNCMYAEAIQLIPGANMHTERRMEAYPRKKVHSSNNKQHQISKPEHS FFCSHHSKNTTYESSKGFTLANNKAKVAAPTKRNPCRQCGENYFCGHVCKDSEPVLIV SQVPAKEKSEQVLKAIQDSVDLELEDMSFDSHNIQHLFITIYGLLKKRFGQDLLFLFV YITNRLLLQLGRNITLDAIIYFSGYYVIPFEHPLVHPWCNRPLLYSIACCGTPSWPVC LLL PHYBLDRAFT_144545 MSTLPVEAIKALAEFSGNSSNFFLARARQKFANGDVQEDIAGPF FLDLLLRLQVVFLQDSALLKKRFPGYYLWTCVIFMSEKYKSFKQQVLSTVQQEEEVFM SNKRMDMVMPKMVDSVRAGFGTVHATMNSMQSMIYAHLSSHATSINRLNNNFTIFFAL GYNQFSNSTIATLLNLSLQHNIITSQPLQLPPQSTPLHSAVTSQQPTHTEQLEQAELE NISPEEAAQRMEND PHYBLDRAFT_144546 MSKYPYWKDIHLSIITNQLADSKSFLALVLSSLLVFKYPESSVP KASMTMHLSLAFS PHYBLDRAFT_144547 MPKNRRHKRIILNSPISQRPGEYNFSTNDREFSYLENSLDYFND EAATVTTTTTTIPAETMAEFQTFETDNDVNSADEYSSNSEKYDDKNNIIDDYFNDDTT DNYVLSSVNEYLESAVNGKMDINNFGNLEEFILNTDTNNQPSSGFHPFPDL PHYBLDRAFT_144548 MFTVNSVDIWAGDILYLKANNPMLCFLVESFHTANSHIYARGYM IRIISDKCHGVEIAATDINIELIDHVDNHRLEEACYLSISPQYTAILCPVYYTLLFTQ HPMKQKISNAPANTFYKVIIVPLIFFTDDTSGNLSKQYNPYESWLMKCAGLSFRDRFS IENIRFIAIVPKKNSAKDVSILPVMVCNIKALEKSVVMFCEKENQYVLIVATVLWIEV NTFCHYELCGLSGPMSLYYAENVITTIIPDAPTDRCNLPAKDLRFQDRLTGSLLELDA FDSSSNTPVKIPHTILLGIEKYMVIHLINSILKSKKDIIQRIKTCLNDYKCLTGLSCK FTRNINHSESYVDRDFKVLLQILSVILITDFLNEPILDNIESCFIELGRLCSLHVINC GSWLNENGQCEKPGSEIATFLQGNNEKKFCFSFFGGSRDFTDNNNTGDIDEERIKNNS FGVFIFNNDSSSRPHIGYVCGSVVTFLELVACTEDDKKNNYAKAILINHHTDLSHLKL VCKLDMHLYCDSFYIVNLIVVHEFLVFAKVVVRKFNSLILKKDRNTRSTLYSSTCSSI NP PHYBLDRAFT_144549 MGKEQLKYSKNLSKIKLTSHSFTCHLCLQECSTLYNLQQHVQTH DIATSSDSLTENALSLNVRSIPNSEREFNVVKDNQETLFQVDISTMIEEEQEEALGEN ISSLRERIFNMASSTINSNEESDLPTLAFEDCQSLLDFSAASGEWNQSVPPFFPFQNL QTLVLQAFVDGDNSMISVSMLTKVIYIINLLFELKKRADETKADFKLSKVGTLLNYQH NKFNKVSLFLTTVKKVTIKATSNLGSGITGQVKTVDCHFNLPSDHLRLLLANPQKASY LSALPDYTENQCLSVQQGEKWRRNPLFQHPFVLTNGKDFWIGDVAMLYSGQSILIERF YMKDMLALFDRYLVEQDSDSEGHALHKVQSSPVTYKINHPKNWSSSGEASLNPTHHGL LFKSHPMKKPMFNSANQFIRFQKAIITPLAIFSDNTSGNLTKTHEMYDSVLVNFSVMS YSMKNRHKNNFFVTAVSQQARFKLTHLMPVLATDLKTLENGVDMYSSTYDETVTVCAP LLFITADNARHAELVGLKHSTSNFSCRKCYYRNLSRFGFDDFDSEYLVCDCQHRMKEH YKIAATDPTRKEKAIPGILPNADNLYLKTEKPTNKALILADLRYSHTGTDDLLCLQSF DPALDTPVEILHTVALEVYKYLVNHLFKEVLKGNTASQVKLSDLLEQEKGSRDFTRTF RKKIRHSGSYFDKEFKILAQVLPPILNTEFTNNTKVSMIAKPFTELDILSSLLFIREV DSDFDQYLNNVNNTAHCLVKSLYEYDIYANTKFSLTLKMHLLLHLKEDIKRFGCALHF ETEKGEQFNKFIQTHLVYSNRRADNRDLTFKFGKQDMLRHCCE PHYBLDRAFT_144550 MSTFNIAANYNNRIIPDYIEAPLENPLKVKTVVYLCDHAGKTQV KETSQPAQKHIRTTKSIKIDCSASIYKHTMTDGTVCIKYSWQHPNHDPFKIEEISLSR LSNELKQWVEGLVSQNMDWKSIKNMFRMSENRLLEAATFHTNNLIESYHNQLKTFYLG RARSL PHYBLDRAFT_144551 MVEKLSDTVFTCRSFTVDLVVYNIKLQNSFLQNCTCLDTSKLCK HIFLVNHMLDIPYSLRESLSSSFSAVHVSNTDTKAVVNTSLLSDKIEADIMKYHQLYS VELDSKIAKYNGISEDMSQFLDTLKFSYNKLKEHGFPSQSRPP PHYBLDRAFT_144552 MNDITFDPRTSTIYRFMPMYENETEQFVFEAEEDNKSKRKVPVG ALIDPNLIKVTQYLKCDHFGTKVESLKKQAVENDELHVVKCRNTMGNSIKVGCLAALV VKFFNSITVVYNWRHNNHNPLELSDISRSRLSEEAPMKRLLRLEDIKLKEMENSMSMT SIPSAMMIQYKDVHNVIVARINNSARKHYKDEISTERWIAFLQEKGYQTMFDTYNSVG PLLISWVSPWQKKFLENVEEWYLDSTHKTCKSFLDNKDCYLMSVVVYNPVTNKGVPVA FFVTSIEYNNSLKVKQIMIDYSPIEQKAIQDTFGPSVTIPNAHLETKNVWANICAALN LIMYSDNEADHNAHWQKFRLDYGMQFPVLMSYMEDALAERDYLQDTLETYFGIKSIRL SVADTERKRKVNVIAIKRANGLVEEVEPQELQAYKMYSCKSFGEGCELVYFIKFTTHL HDCSCPDSARLCKYIFLVSRVFDLPVTVRRNVVLDSAALFGLGKNDGNIISEDNIALL ENQMSEDKQKANLLLMNESLERIWKQVYISSKTFEQKEVLLDLMKKVNSVVNPTNGLR KQT PHYBLDRAFT_63954 MTDCITSHGQHLQPTFHCTLSSTTIDYILASADLHPRTTNPQIM ALRAGKHWRKNGETLAGYLKRAISICQSRKVIASLCHPLTNDIFTTPNTMTEAAAVFY EGLYTSDPIDHSAVDELLPHLPSDLHLPELAQDYMTLLFALDTIQSGAARSPSFSSLG PDGLPYEILHLVFTHPMCGNIVSQIYNDSLSKGIFSLSWQSTCVCLLPKKDDLSSLKN PHYBLDRAFT_144555 MSAVTTLITPYQTSFVQGRFIADNGMLTRLVMSQAAKNGSSGIG LLLDQKKAYDRLRINVHEFLLRPLMQAPFEPLLCHVIHNPLFLVVLPSVPLTLPPLPP VKLLAYANDLLVFLPDMDHMSRLHHHLNTYTAAYNACISIYKTQAFSLSGSPIPDWNT FLHAYDGGLDILDPEIQQCVLQLRWLNPLISNPLLPHGIFLQWFFTLLRFNVPIIDSL LLLFFLDCCSRNNRTLNFCALTICIDLLGVICESAIYIKSSPIWIYSHSLLPLVLYSV LSHLTASSSEFAIIQWSCITFSFEHAFLLLYWHFSSQTFLFATGHPLTSSHFCQLNFL VRPGHGSPPTLTALPAPINCLMLVPSTLLSSHDNFAPSGLLRQALLYRIMPATISSPL CTIC PHYBLDRAFT_177424 MAGPSQKKTKRRVRDLQFRDIFRRQRSNINDTPRIIHINSPDVN AEQKFCGNSVSTAKYNLVTFLFKFLYEEFSKSANLFFLFISGIQQIPNISPTSRYTTI LPLVIVLCITAVKEAIEDYGVHRSDAELNARKCKIFDGSQFVEKRWRDVKVGDICRIE NSGFFPADLIIISSSEPEGLCYIETSNLDGEVNLKIKQALPETSKYISPQQLSSFSGV VRSEPPNNRLYNYDGTMSLPIEDGVGGSKDLPLDPNTVLLRGAQLRNTSWIYGLVVFA GHESKLMLNSSKKPSKASNLTRITNRNILYLFAMLVIMSILCSVGNLAISIRESSRMA YLPLPATERAREFGYNILTFMILFNSFIPISLMVSMEIVKFIQSTMIDNDLDIYYEKT DTPAVARSSSLIEELGQVEYVFSDKTGTLTCNEMEFQKCSIAGLSYATTPDPDRRPES ENDTHGEYSYKQLEEHLENSVDKQTIHTFMESLMTCHTVIPETNEDTGAIVYQASSPD EAALVQGASSVFAYHFYARRPRSINCTVKGVDQEFQILNVCEFNSTRKRMSVVLRGPD GKIKLYCKGADTVILERLGPNNRFVDATLSHLEEFASEGLRTLCFAMRDISEDEYSRW SQFYDKASTTLINRAEELDRAAEMIEKDMLLLGATAIEDKLQDGVPDTIHTLQEANIK VWVLTGDRQETAINIGYSCKLLTEEMELIICNEEDHETTKAFLESALENAKRHKEATS EPLAFIIEGKALTFALEKDIEKILFDLAVMCKAVICCRVSPLQKALVVKLVKKFSKSI LLAIGDGANDVSMIQAAHVGVGISGVEGLQAARTADFAISQFRFLKKLLLVHGTWAYQ RLSKMIFFYFYKNVALYLTQFWYAIFNGFSGQTLYESWTMSCFNVVFTFLPPMAIGLF DQFTSARLLDKYPQMYMLGQRNEFFNQKRFWGWILNAVYHSALLFFLGMLGFANDAVF VNGWAGGQWWVGTTIFSATLATILWKAALISDIWTKYTFIAIPGSMVIWFIFLPLVAY VGPLLPSELFLEYQGIVPHLFGNVNFWLFFLLVPLLCILRDYVWKYYKRMYRTRSYHY IQEIQKFNLPDYRPRMDRFRQAVNKVRKIQRLKQSRGFAFAQNDNNQSKIIRMYDTTQ QKPSG PHYBLDRAFT_167524 MAPKRISTKKYKCYCPVCRIKAGGYNTISAQTLKRHKRDEQIAI RVQTNRHREKNMFLFLIWEQELNDSEEEVEITARTRDLPLSESDAILGVEGNEYAAND DSEGDMSDDEEIRKKKSFKSISELGFSHRFIAIVVDLFVSLYAIDKGAVILISIVNKI LEFFNDSFRLPLSISRLSNLQDSEVQQKESRNTLPAVNVIRYTVVFRNWVLFVKAYRI LVMPNVCESDIASTHKYLEKFCQKCETLYNLDLISPNMHLHLHLQDTICDFGPVYGYW LLSFERYNSILKNIKSNRKTGFELTYARQFVEDVHKQYIISRIMESTDTRAYMDIFQK ITKQQQKIASTITSYSIFFSLANFITATLDVSKSIKGNEPLPPTTFPLAKKHMSSMST PKYNSLVEYYQVAYNDGMISSCKNCMTSSSFVNHRIEILESINILGQVYKGFNGKGRG SYIQALFEENRTNARYGYIREIQYIFVHTFSPSTSYSNQHTFAFVRWFKTTSDTRR PHYBLDRAFT_63949 MYKQIPRKMAPVNTRISRSNARNSLTQVAAGCVEQRPVTSAVTQ EQRMAEMSNHLDNMDKFFQQLPRLLLHNFSKGLKQRQRLQLWSNDHAEIAENEARQIW SVDERADYPDRAAIVAYLRQYCHRRANTAPNQRIDKNRKSRITSQIKEVVTKQIHNRL QDIHTRYRKVIDKEMGLTIRENSIAFFGAIQKAVMSDGKFNKFMGLIDESMRTDNAGN GVATPRILRLQRGEKNVAVPGRLILPLPSWEIKQFFYTHTHAFLHLTDT PHYBLDRAFT_144559 MTESTQNTCAEFSIIFAFIQINVNGFLSRPLTQLGGLRQDDPLS PILSNLAFGPLFVANMPSIKLLAYADYLLVLLPDPAHLARLHTQLTNYSATFNARISL RKTQAFSLFGSPLPERYSTLRGDNVTD PHYBLDRAFT_144560 MSFFQKIRSIMGGFLQRGTFPVISLDTLCLPHIQGGLGIIDPKT QQDAIQLSWCQPIARAPRSPPGLVPCWMSGLLQTSLPSLSPLFPFLFPSIRPYGWRNI TSTLHLVLAAIDRLPHNFDNVVLSLLSPPSKHASSRPGKIPWSPNSSPLTFPWRRCGQ SPSPTTIGALVLLTSSLVEFNLTLLRCIRSLFVLVVLLVNWPNNIRPSPFKTVHLLTC PLSFMRLYLLKLGHVFPPVLSAGCAPTISSVVAILILLLALTIDTNFGLFLFPLWLTT FGFLASTTRFPAEPVFTLCFFSIFLLLSALFDPYPLTPKTTSSSLVFSKTSEIPTPTA LYNAFRFVSFPPSLSSSIPPSAVFGGTFLAIWRHHWTFIFDDSPFVPSAAVDMARRTL NRICQEFDLDPLF PHYBLDRAFT_63947 MENGKKALANEEIYTTRVTHGGRHPGSMEAEGLGIPFDLIERGG EWKDQLGRLETHYLSKLPSPFTREWIAVCEKEMDEVDENEDEDENIINLDIDKEVDSV EFVEEDGRNQSKEKKRKGKQRATQSIKTSMSTQKKLPLSSNSSEMLQEYIVAAITSPS VGRLEEYENLVPKIVDTNKEVASRGTEDLLLINMTQQLAKDIKILMIQQQRLNSQMQL LMAINNASQSINTNASLSQPPIFPVLSTTHSMSPLLSLTLLLLLLSLSRNQFL PHYBLDRAFT_63946 MLVENSKAESSRPTNLSTSVGSNAIVASQSTSSSNVSEFGLALK ALPKKVHAGTTAIYRMPFEHWKDFCEEKKRHRYPVHSQYPYTVEPTNFVIAFFKEFAL KQAYTKSVSVDRFAENAASNKNGSKKVIEVPLGIEAVSQYKKFLMFLHEFRSERREGG WPSPKKTKEVIELIKKYEHNLVYDQVQTNVDRAAHCVIQDSYKSGELIRVLKSLWTPE S PHYBLDRAFT_158620 MAGPTLTIRPLDIPHRFFDTFSEVILISQLVSSDASFHFCPKFF GWIEERRVWRQGIVNYKVMILHLVGIQEIHHFCKILCSALCVFQS PHYBLDRAFT_124383 MEDDPFLIVKQQVSDALVNANTLYASWKRIQQTVSSPQNQELLW TADELTSSLEAIEQDLDDLEEAFAACQANPNQFNLTAAEMNNRRTFLTKSRKSIQTIR NTLSNPPKRSKSKGYASSPDTSNPYQSGRQEHNERFIEDEGTQQTMMMHEQDVHLDNM AGTLVNLRDIAGTMNREIDDQVVLLNDLGDDVERSEGKLKRAMRRVTTVLKQEEDSKS DYCVCCLIIVLIVLLVLIILL PHYBLDRAFT_63943 MRSHMEHHPGIKNVEEWKFVSQNLDKIAEAAIGSIVKDIAKEYS YANPPNKQPLQNGSPSYYSMVTSTYYTRCLSALVNMTISELRTSDFFFDNNDIKIKKV HGFNLSEFLPFVIATEPKHTTQPLDKNLIGSKRFDTDFKCLFTSQNLQKISQMLNDRQ EVSAEHKLVVQNLNIAEEQQVFLEDESTLDYDVPERRLNQLESIIKHLVFSNYTPIYL EDVRHQSPETSTAEQSVCSLICSTLKKSLPPKKQYHVIAYQIYFCIFANDVLK PHYBLDRAFT_158622 MTICFKSKLHNVKDVPQDTRTPIGKCDKGVTKTEDRTVVNPGDF NYEGTKAFQLLHRVKQGL PHYBLDRAFT_167533 MGVSNPFFWRHCLFTSFLKLRRQRVRQIECKNPIPEELLQYKLP GDYIPGTDQISKFVAKNQFTIDLITTSLLSVPAGTYTTGDSMFIDGTECDTFYLPRST ELVNLSFLFVGSFVLKRVVEIQHTMTHVFMCRAVQYCLNVYRRFHIFPILLIVCTSKT ESKALGELFKPIQNKSYLLETPCCHFATNCFMLTKQSINPFIDNLDINALDPLVAFAH FLTSGQQSIISIDRWDDPTVTKLYLVAMEMVKKDREAENDKVEALQTICQATQIQFEK ILSTIFDNPKQAQRYADAGRHYSASLKRKYDAMKQGSSNTPPEATTPMELSKVFDGAS CSTNFKNEKLVVFVNTWKQNNNGRMNWSACWEEGKKKGLFTEYSNSHSLKNIYHKMKN DS PHYBLDRAFT_38196 MRLIIREDYEEVAHYIANYIKERINQFQPSSERPFVLGLPTGSS PIGVYKKLVELYKSGELSFQNVVTFNMDEYVGLPRDHPESYHSFMWKSLFMHVDIVPE NVHILDGNAPDLDEECKKFEADIARVGGIELFLGGIGPDGHIAFNEPGSSLTSRTRVK TLAYETIIANARFFDGDISKVPKLALTVGVATVMDAREVVVIITGAHKAIALAKCIED GVNHMWTVSAIQMHPKGLIVCDEDATLELHVKTVKYFKSIEHVHHSLIGAENLSLQGG VKQLRSPVSNDRPQTPFE PHYBLDRAFT_19466 MVFDGKRMRKAIQRRTVDYNHSIARWMQERVWIRDRRDIRYLRP DDNFVVGLLAPAGYLDHPVNAVTTKFVHTSTNKVRFPVNVVRWTPEGRRLITGSSSGE FTLWNGLTFNFETILQAHDSAVRAMNWSHNDDWMVTADHSGVIKYWQSNMSNLHKFTG HNEAIRDLTFAPSDTRFATCSDDSLIKIWDFNTSKEEKTLTGHGWDVKCIDWHPYKAL LASGSKDNLIKLWDPKTAKNITTLHGHKNTVLALEWNQNGNWLVTAGRDQLVKVYDIR MMKEFQIFRGHKKEICSAKWHPQHERLLATGGSDGSLMFWLTGQDAPIGEQELAHENH IWSLDWHPVGHILVSGSNDHTTRFWTRPRPGETNDDKFDSSQHRNDEPEKNEEESRSF AEPPPFRPHGAPGPSRIE PHYBLDRAFT_167536 MRLFFCSVINGLSVGRDVEYALISKFDVTPTLTTLSERLKTYNN NVPTIPGKNINKTHVRSSLPVHHNPPYTTPRILNFRYFHNFPFLSQTTPHSSLSNSSI PTLCPISQHGLFLDDIRIVLQ PHYBLDRAFT_155215 MSEFYGPTNDEENIKTLERSIEIGSTFWDTSDLYGIDSHNERLL SGVLKTQRDKIFLATKFGFVRTKAGEITGISGKPEYVREAFGKSRKRLGVDVVDLYYQ HRVDPNTPIEETVRAMAELVKEGRVRFIGLSECSAATLRRAHKVHPITAVQTEYSPWT LDIETNGLLDTARELGVSIVAYSPLGRGFLTGAIKSLDDLDITDLRRYFPRFYPENFT KNLDLVEGITSLATKKGVTPSQYVLAWVLAQGPEFIVIPGTRKIKYLEENVKAGDIVL SKEEVAEMRRLIENADTAGKRYLPEHLAFVDL PHYBLDRAFT_186695 MATNPISTPKPASKGLAGMFGFPVKSDNNPITDGAPIVFNIPST TFIKPGDSMDVSNGSSGGGSWKTIKRSAKPEKSLTSKVRAAGKNAIISVAGEAQKPIV PKATIIEKDEDISMGFGSSGSKSKRRFTPYGNRKSKKNADAKMELAPEKRVDVLVAGY EPNTETSLIPFLKKRSKKIWDPINALFDQGQMLLTVEDANIAHSIVRLNGYSFGKGQL QIRLFKDPPPSEATPFGTPEGPTKDTKLTTIDSMRLFLRSRWDAENRFLNLDNMAADP ILKKAAIRPPGVRGSNEFVGPALIKLAGEMFEDINALSLADNKLVDVRQISTLTQFLP TLKKLSFKDNQIKTYEAIKPLSGSGKLEQLEELLLVGNPLRESELKQRGNLRSYMINM IKLFPSLHMLDLETVDLTVDEAAAVLKKANILPIRVAPSFFEDEHTRATTFAFLERYL SLFDTDRLALRPFYNGSSMFSMVTNLKIQKEQKVRRKDKKKMMDDDFATVTWASMNRN LLLSKNSKTPGSKLISGQEEIVHTLARLPNTIHDLSSSEDFVVDAVQTPYGLMVSVHG EFKQDSAGVILYSFDRNFLLGPSADMSTGLPFTILSDMLCVRDHAGNKCANDKSFKYS NVIVRYQSLTQPLM PHYBLDRAFT_63935 MAVSNYKGHFVYNEEGIFSDEEFEVNHNVILPKLHIPVPNISAK DLTIINKRDYNNAFLNACQAADWDNRGNKRLLTIMDTYNLRPIALINDDVEYNPLTHE KNLHAFKKDRMKVYPLVPKQSPFEEAKTVQTAPISLYSAISTGSPYLRQHIMNYTYIE LTNDMPMFLNQDYSIHKSVRYACSRALAGSILLNESTGKALLVNCVEVFGRGRTLGAH R PHYBLDRAFT_63934 MSFDLKDSSKAKTTTIFVKESAWKEAAEIAQNNNAASIASYDLI YQLRQLCNKEEKLAPGNTIFVVVSILLWDRHVPNVFTWKTFIKGYTTMSQDSRLPGVG TTANLLSDQLKFLKKNDQVFPKSDQLTVEIQYSSALVSPCTEQ PHYBLDRAFT_77550 MSPFTMNLPGRFPVAPDNKLPIVGHEQAFHPLSKVDTIGLHSND SQNSISVNSAPKRKSNSSEASTLFNSSGPPDQTHISSPQVVSSSKTTTTTRTTASVPT TGLMNTPPNNMSLDDPLLPPPRFPIDHLNRIDTTVRLQDLEEASRPSVYLFIFVNPLS GDRKGRDLINLSIQNFRLRRFPNVQVEMHNILDETDRELGFDRIRIIEGAVKRGDVPA IRDEAPGSPFGRLGKTVRTRHIHVWSAGGDGTVMSVFEMLVAHKIDLDYVYFSCIPFG TGNDFSQVLGWGRTITHSNILGRRLSNLEELVSERLEKAEAARLDIWEIDMTAHPTGF VRVAGPNRNDGHDVQEVKGPQRKGPQRLVRKMCNYMSIGVQGYVGSGFEKHRSGSRLA NMLVYTHESAKWVFWRHFPLVTRFIDRMTSNGQVTLVCPEPNSSTTKRPVLDDDLTGE GFTDSLLPEMTLHPIDFVIQNIPHIWGREIDLWGDALCGLESVKNRDGPTDPKTWSQQ LANDGKMEVMVIESMYSYVKKLANIRKHVSRIGQFENPFTIHFRPPNTIRESWWKRLH LNQYERENMVCIMCDGEFYVIKDPKEITFRRCAQIWTLGRSDSKQTGRLVLDEIASQR LSQAF PHYBLDRAFT_63932 MTASNFLYKASWFKLGQQKLSKSTGSLNTISTLPVPGIRSYHKV TLIAAVFLIYFHRWIIFYRCRKPSSSVVAVTELLKTINISHQCCHSLFLTTQNRNKNK MGSN PHYBLDRAFT_63931 MPTIAVQTSDPQQVNDQHPRNTIGRSRRDRHVRSDSRDACCVCY ERPEFPLVPPLNFAMVAPGVYRSGHPNKQNFSFLRKLGLKTIMYFAVEDYPPEMKHFV EHEGIEVLQFRMEGNKEPFVEVNPDDMSQALAKLLDERSHPVLIHCLKGKHRIGCLVG CLRKIQRWSMTSIFDEYRKFAGSRILADQEFIETFDHEGVPYDPNYQPVWL PHYBLDRAFT_144575 MEVNRNIRWTSTGRVLYITLGLSYLQLSLAQTFYRPLPRWAAGC GLIPGTLYCYGGEESPGRRLNSLLALNLKKPWSITAPEWREVPFKTGDTSDDVLIKQP GNLLTEPIPMSYFDASSLPDGYRIVTNGGSTVDAAGLGLRTLIYDTKTNQWSAPMYGK AVKSIGRRRKQHTSTIDAHGHIWMWGGTSDNTTSIGPTVYYDSWVMVDSNTWTYSYPI PQNAPPLPRIDHSATLINGNQILIMGGMTYARNVTDPDGNFALNPVSMGTLLVYDIVS SRWSNISAGGNIPAPRRGHSAVLSSDGLRVILFGGGRPLLGSKLDSDEVFNDVFILEL DTMRWTAPFIGGVPPTPRKYHKDDLMLIVFGLDVSGKGSSDTGILSIAAGSWITQYTP NNLLSAGGSDGSGLNGQGRPIGPYGSYANHYSNIKAGIVAGIICAIVIMMCGSSVVLF GMYLYRRKKTYNENASSNAIDPETYGHVKYALPNEIKSSSILHPRSPQLTQDNYDKPN EQSLS PHYBLDRAFT_167545 MGIDNEPISWQKEFSEFLKGMGLIETSNCFETELLILSQHNLER LPTELEKLVERLLQSLERHIDAKEAALTDASSLDESSKVLQGSLYTKRKRSLDCTDEE WEAKERIKRLDGEQVQIRATNAEVDNRIQTFIQAKQNDIDASNRTEFLNRPDPTVADV TCARADAREINRNIQMKFDIVNNEDGPLARSMLSANELVRQTGSSSHKDQGIIDRLQD IEEHLNVRFEADARPPFSLAERIKILENTLMELERQHPTWSAIHFNQPNRVFPPPPPV TYIARPGVPTDQDTGSIHPHTPNFPLHQVSATQTSTGAAQTAAAPMSTSSTVAVSVGD TGTGSAQNSIQPIQEAAYVTTLLPTIAPPSTSSRMQIKTTGRANSSLTRAVIEQLNRQ HQASNAQAVSETVGTQL PHYBLDRAFT_167546 MKLIPSTLALLCVGFLAEAVPIGTPNLGVVRLPLTKKHSTDALI KRGESGSMRSGVYSLRGTEYVVHVDIGTPPQKFSVSLDTGSTDLWVPSTKCDPILCPF VRFDESLSSTFTPTDQELTILYGSGNSTVAFGTDTVSIGGISVPNQPFGLSSFVKNIG IFPSDINLTTAALDPRPNALELEDFASNGMLGMAFTSLSKYKNSKGKAYGSLIFNMID QKLIKEPIFSIYLGNTNAMENSGEIIFGGIDSTKYTGNLFYSPIVSSTPDTIEAYNTG SLAKVHANHSFWSTYARSITFQDKGKTVNTSISSDFYFTFDTGAALSYFPFQALENIM KAAFKPDEYEFFESGMVFVFECSARHSKAIIQFNMMGSRDTSETLTINIPFGELATPL DGKPIEESEICYLPIKSSLPTLATSKFTQYLIGDTLLKYLYIVHDLGQERIGFANTIG NNITFSLK PHYBLDRAFT_167547 MSISKIPPEILTSIAIHVPDESLPQCSLVCKQWREVFFKKLWEK VEAHDKKSTAAVFDISSENRYLIYGQYVKTIYIYGDYHVSSKDVLFLQQLFPNISYVW LTLLCTEMTDTDTDWSTWKALKTFVMDFRHCIDSILIKLCPAILSQLPNVTDLVLFFE DSYISKIGDFEAIHDYMPSLRVFTHNTDQARLTDEDMERIAKTAPANSLLSFTLRVKI QDLRWLYYFALKYPNVREMDLQFYGQKDYLKDSDLAVASSKISMLSHVFPSLEKIAFF TRQFNPLFRFAFRKIFHQKTVFIKEFKYEFFGVTPDDRIFETMKEVMSICSETIEYIQ FAKTGNYMEDFTPHDISKSFIYCPRLTTLSLQDCNASIQVDMIADYCPSLKELYLFSG RIYIGPETVKSARPHGLRILMLYSVYTDPDTLKYISVRCESLSHMILDRLRVFGSISA DTGNLLIDMSSARLTELRFSRLRFIAPGEIGPPYRQNEIDLIKLVRLIAPYPRTVEGR EQIEESSTDHVPICKTAAHWFASGLKYMQNTSSILILKQAEIDRLQEYFDNYQENMLS GKMETIQISKESETPQTWDMDPSRGYVTFMCGYAEGFAINEVHEDGYFRTFSWNRVKN TVEKVFWR PHYBLDRAFT_167548 MRGIISNVKRRISEFREIACHIYCCSLLTEFEHKSGNFRQFVNF IPVALVTVVLFDMGYATLDAALALPNNLPPERIHIVITDTLKSDANFLIHHFVGNQLK ADRSVILGINLQTYKQSGQFSFLDGLTHLNPYSHTTPYPPAKTPSTPTGTLDGSLGSK DVLRSFYQIIKDHLQSKKNPLLVLDDVSVLLQSGLGLREVCQFVNKLKSLVESMDGTL VTVCHADEQGTEDIEQDAFVKTILASAELVLQVQALGSGLARDVHGQLSILHGSKCMP NTTLNYLGQSLHYKILDNNVHFFAKGISQGVL PHYBLDRAFT_38190 MTIPLKDSSDPSAKAALARLEQIKGQLNLKSSAMSLQAPKDMTT ERAAADFDIKKLEWLWAGGEDAYNLLNFSYNFIKNDPELVVQPPRNFLEMSRPEAREF AMGQIYRTTQLSKDKALNLTKQQIDSIVWATNIYSETFSMRFFVHASLFRNAVNMLGN KNQQDKWNTKIENLEVIGCFAMTELGHSSALRDIETTATYDIATDEFVLESPTVTSSK WWIGMAGQTATHTVAIAQTIIHGKNVGLNWFIVQLRSQTDGSLMPNVVAGDIGQKVGH LGLDNGWIQFHRNRIPRKNMLSRWVSLDRHGNFSPPPNPAVMYATLIPERLSLVLVTT QLISQAIVIATRYGVVRRQGAKNEQIMDYQSHYVKLVPAISFMYMVKTAQKDLDEQFS ILTAGGNMDPATYLNYMGEMHAMAACFKGLTGWYSSEILEDCRRACGGHAYSSYNAIG SIIGDWGVMTTGGGDNVVLLQQAARHLLFRLEQKLKFNQYPKFSFKSPTQYINYAEEY LGNTKWNVSDVSQCLGNFSVVEGALCTILVKRLNSIQKNLESGMTHNDVLLESVRVAE MHCAVFLFSTNAAAYHKSTADPALDKGVLSIMNRLTVLWGLHILYKYSDQGFKEGFLS PEQIKDIEYIYFDYCKSLRSQVIGLTDGFGYPDFIIKAPIAKYDGDIYQAYFDSILQA PGSVDIPPYHEKYIKPLTNQLK PHYBLDRAFT_63924 MFDITHQQGFSIDLNTPELIRLPILYNPHNSNAFTGIQNKTLAR KRDYFQEPLYNINNVQYMVQMSFDIPPQELTLILDTGSGNLWVPSTQCDPSLCPNRRF DQAASSTFVSTSFDFVVNYVIGNATGALATDTVTIADTTVINQPFGIASSTYGILPQP QQNSSALGSEESSNQASSHLKTANGVLGLSFPKATGLERLQEKGYMSFVFNLVEQKVI SDPVFSVYLSSSNIGGPAGEIIFGGIDQTKYSGALVYVSVMPSNTLSNPSAPATLPSN GYADFSYWVLHCQGITVQRENVTTTLPLPLILLLMFDTGATLSFFPYETVEAALISIA GPGGYQFNPSTSLFSIDCSLASSNATVHFHLSSTGSSGTSPTAINILASNLVYPGDAR TLEEAKACVFGIAASLPSVNSNEIGIAAKNGMGSSVTGFIPVA PHYBLDRAFT_11382 SEYVVHLDIGTPPQKFSVFLDTGSTDLWIPSTKCNPVLCPFARF DSTLSSTFTPTDQNFVIKYGIGNATGTFVTDTVSIGGAVIKDQPFGLASTNRDSELLS ISDTSSNGILGMAFISLSKYNNTNGKGHGSLIFNMIEQRIITDPIFSIYLGDPSTSEN SGEIIFGGIDPTKYTGELLYVPI PHYBLDRAFT_144584 MGRKNARSILTSKMARREGGKFCAKSQIITIDTEKEAGEKEPVE DQPVEEYDWELLDLDTDTMIAAYYNSFLTWRPDAGKNLRGLYRGDSRSSIMRNKRKMK EELEANKDKKVRTFADFGFSVPVAPVSPVTEALTVYKQSKDEELEEILEAYEKISEMI KPPVSSDSELGKFALFEVSKHIAVKEYFRRLLNNCKKIEASEKAAEIFWTTPSKYRGE AVRGWAKEFLQFGKISEHQQGKHAKRSSIVDDEDLKKKAIVWLRAQKAERRTVVDLKK YLDEMLFPSCLGVKGNVAISTAWKCMRAWGYVHRKNNQEVYYDGHERQDVVQYRHAWA TRMMGYKQCMSDFTGEDEEIEVTPLLLENQKKLVMVTHDESTFYAHDGKVDMWLEEGE SHIRKKGQGRSLMVSEFQCACHGTMRVKGWVSRRIFNVGAAYDGYWTSEDMLDQLKNH AIPLFESLHEGCTGVFIFDQSSNHKAYATDALVATRMVLNPKVVSENDKFIFKDTTFL RDGRIIPQSFYETVFEAGRKGKGPVEKRQFVGVQRILQERGLWMELDPSNLSRRWRMD CNGEEAENHCCCARHLLASQPDFSGKKTAIQEVVEEAGHIFELYPKFHCECNWIERYW GAAKRVARLNCDYSFKSLEKNLPSFLDSASPVAGSPSMIRRFYKKTWRYIEAYSKFLD AKDADAEVKKFTSRISKSHRSIGIHD PHYBLDRAFT_158623 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ PLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAAS VFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_167555 MALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYSQVA TQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPPKTSPNFDPFWRALLAA YPREVNMGITLGSRSSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGTIVR RVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHKTYGFFDGSGYVVLANTPTDEVP SDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCTKRPAETRTCFGCNKIGH LQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPLIPTALSLTYGGSEASKH NPHKPALLESAKLTLPATLPAIATTSATTTSSGPRPRSRSVDTPVKGWDDEIDDDMIT DFTDRVEARTLRLQNASRLPHLRFSRTVRPIGRNTSLSPPRFTPPHSKKALDAEAKIN Q PHYBLDRAFT_181116 MLSSKCLKSSSFFYLARPTVQCFTRRSNSTSTPPEAPPNTPPDF YSTNLKRPQQQPQEHRFRHESSETPFTEEGRRKFARLRKYQFHLSNIPDAPEFGTNQY LTINEELQQQLKKVLTRFNAPIRYAVAYGSGVFKHTEDDGKRKRVLDFIFGVSHPAHW HALNIQQNPHHYSALRFLGANSVAMIQEKVGAGVYFNPYIEIDGMAIKYGIVSIDTLC RDLIDWDTLFLSGRMQKPVKILRDDSRVRLANQVNLTEAVRVALLTLPEQFTEDEFYK RVAGISYVGDLGIFRTATNPYKVAGMVQSQHSQFHRLYFSLLDDLPNVDVLSNGNLQQ NLNPKFRGLMVQKLPKTLYKHVLDEHKRYCSSKSIALAESTTELNEQVATSPHLKEYF DRSLYSIIARPAKIQNIKGILTAGLWNSVRGSP PHYBLDRAFT_177426 MSQRIGKNQLPARLQDIAEEDHVFQNWAKTFSCTSELYFTPISE QQVIEIVLLAKKYKKNVKVCGSGHSPSDLACTKGFLINIDKLDTVLTVDDKKHTMTVE AGISLHKLHVVLRENGLSLSNLGSISDQSIAGVMATATHGTGAHYGCLSTLILDITLV TADGQVVYCSSSVQPDIFNAARCNLGALGVVTKVTLQAEPDFRLEAIQRPYKFPDVLA DWTNVIHSAEHVRVWWYPHTDDCVVWRANRTTKPKEHKPTSWLIERGFGVHVYEAMLN TSRYQPALIPAITKLMFKTVHSREQHVVDDSNKVFNFDCLFPQYVNEWAIDWNDAPEA LRQLDIFITQNDLKVHFPVEIRFVDEDDIWLSPAYGRKTCYIGVIMYRPYGNPVPYKK YWKAYEDIMRSFGGRPHWAKAHGQSRKDLEASYPKFHDFLKVRDQLDPEEMFVNDYLK RHVVSSATRQLEGAKL PHYBLDRAFT_177427 MTQARTSTAQLEEELAQIRRYEDFSTIDWVKDAIIERYRQSSIQ TQNRNDTSWRAWRKLTYETTQTWIVVTLVGAAIGLNSALIAIVTDWLSDIKLGYCSEA WWLNQKFCCWEIEARDGSCENWIHWSQALHMGPDVFVVKWIFYVFWATLFATTCAYLV KVFAPYAAGSGISEIKCILAGFVMRGFLGSWTLMMKSVGLTMAVASNLSIGKEGPSVH MACCVGNVISRCFQKFRTSKAEMREILTASSAAGVAVAFGSPIGGVLFALEEMSSTFP NRTMWKSFFCAMIATMVLQAMNPFRTGKLVMFQVSYDREWHFFEYLFVVVIGIFGGLY GALVIKFNLIVAQLRKRYLKNYGVSEAAALAFFTALIAYPNVFMRIDMTEIMGILFRE CEGAQGENYYGLCETNGVGRLVVLLLVATVLRTIGTIVTYGCRVPCGIFVPSMAIGAT FGRMIGLLVKAWQVSNPDFFLFASCNPDMPCITPGTYAFLGAAAALCGVMRITVSVVV IMFELTGQITYILPTMIALMITRAVGDWFGADGIADRYIRLNGYPFLDKEDHVFGVPV SHVMQSEMTVMTATGMKFKEIDEILRNTNFQGFPVVQDNKSMAFIGYIGRSELRYLLD KARSVYKAHDTTGVRFSPDTNEDPEVLTSTSDVDHVIPGSSDFLDFGPYVDQTPITVH PKLYLETVMDMFKQLGPRVVLLEQGGKLVGLVTIKDVLRYMARIETSNTTDEAIDDME QSDCMRSLMAWISRRSGRTGTYTQLNSQPEEEIHELHGR PHYBLDRAFT_186702 MTDLGRASLSGVYILGASFKENGDTNSFLPILKRDAWAMRYFHI VWLSFSLLLCAQSIRAKTPSTVEIECSWPCSNPADVCTITPHYARCQPSQANQWILKG PKDSPTYEGTLSNVAGEVCQKAPIPNLQTPSTNKNTTLILWPPIGINSLSTCGPNLYC ATIDDQSSCQHRLKSHSTCTLSNECDSGLCENQICQVVLGQFKEAYTENHKPASIVVH VLAAVFGFLGAVISAAVVFVIYRRKRNRKRQTEQNDSENAPMPTPVMTRFDKFATDFC HEPQQSKEPSETSQSIQDQLRLLQHTSVPTLGSSSSSPPPYRP PHYBLDRAFT_186703 MVRILCTYFIDWAGKVTIIIPTELIYHQYAIQKQGSVVYCAHPD VERLRCDHRMVGALVGTLPRLPLQNTFHGLPLLLMPEEVTLALSKNIIVIESWEYPRT AKDRIKCSVYAYLWNLGFYITRGTKFGGDFLTYPGDPMRFHSHCIVEAVERDDEIKAI DLIGKGRLATNVKKTFVLASVTEKREEVFTLSWAGF PHYBLDRAFT_177428 MVAKTEFKAAWAIVLTSTNNYVKGVIALAEALRHVHSKYPLLVL HTDAVSDNALKLLELANCTLRRIDPIKPKAKIDYFAERFIDTWTKLGAWGQTDYDRLV LLDADMLPFQNMDELMTMKLRSKYWVAACHACTCNPQKIKAYPSDWVPANCAYTHIQG DFPIKPTRHYFNSGLIVLTPSQNLFTDMLARLHATPDLSIYPFPDQDFLNEVFSGCWV TLPYIYNALKTLPQAHPSLWHTSDLKNVHYILSKPWDTDDSSMKEDDKIYYSLYKVWW SAYERAIERLPLNKALVAF PHYBLDRAFT_133176 MVHLSKVRQLIPPLSGELHKGQAGRIGIVGGSEDYTGAPYFSGI SAMKLGADLCHIFCEPGAGTAIKAYSPDLIVHPYMRTEDKKDIPGSSVKEITDRVSSV FSRLHVLVVGPGLSRDSLMLASTREIIGKAREENMAIVIDADGLFLIQQHPSIIQDYT KAVLTPNVVEFKRLCEAMKVPYTEDNKGTMAKKLSTALGGVTVIQKGSVDVVSNGKQV FTCDKEGGLKRMGGQGDILSGVLGTMLAWGKSYEEGVWKHSNEIKSQDIPMLAAWGAC AIVRESGRRAFEKHGRAVLTSDMVNEIGSSYNSFMKD PHYBLDRAFT_167563 MEAREKRIIENARDIIAYRIELQLDEIETIMRSFEQNIESPQKK RIVSTRLCMPSQPVDRERLEQAVKEQTHALLSICAARKLWFKYVAINESSSSKREKES IPLLCSTLMFKNKVNLAATSKAEDQAMKALDGVVNFHLKCGALYKAYEDDSRSPETIS QAYQKSQSSIEIVFAIKFLHSLEEIGSACNLIYQYVKFMNNCNPIIPNRFQIELLSFN FTDKIYSLGIYTKFNWARLVPVSHGANYNDSKLYVKMDRVNHMYSVHKDSDLGNIYKY F PHYBLDRAFT_144593 MKRGILSLYCKFTSRSATYTSTAVTSMSADANITVTNTSITNTI PKNTVTATDDILVSTFNNSRILKIPFEILLLIASNFSEYDMEHFSMTCRNFRQLSLDQ EVWKAMYETRFMTDKDTALKYTANSLWKQNYLKKATPRLSAVDDMEISHMNTGYWNTM ETESSIYGHVAKLNVVSWFKIIGSMESVPLGAYKVQWRMRVTSVGQHDSVFKFRISLK EIYDYAPITDDTYTMPYAFYKDPLIVDCGWLVITLPGRFVISNKQRFSKVYFSHDDIS SSSKWGLEFDWVRLIPTSPTAKYNNSKLYVKRDDYNRMVFVKEDSILGNIYEFQD PHYBLDRAFT_167565 MQEIVKLEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKTKNNKKQNKSKKEPLDLIDATKNKIKQIKKEPLDPVDATKEIGFKRPATAQED YQYDYRTSVGKRVKFQPGFPVSHEIVDDVKGGFNPTADGWCGFRVLAHLIYKDQEKFP LVKRYMLATLPKYSSIYASTFGTDVKQLEDIIKHGSDLCITNSNSNSNSNFIPACLDA SMWFSTSDCAQLAADTYKRPVCVYSDNPNTPSVSFLPFTLPKNISKHQQPLIFNRVNN NHWTTVHLSRNVSRKWPTIPVLFFLGCVRNQIPDNFDTYWNKFKEFNKYDRRNAMFSF LSDQEEHVDLTIT PHYBLDRAFT_144595 MAPPSLSIVERIKRDHFTNSFASQSLINEIKQLQSDHDWEADLI LQEIRDIYDDPSHPLYDFGFELFTTNNQFIKKLLSTLSNTTEGQTIKGSTGLSTILPS EREELIQKVEDGILRKYSNVESFVMGDKLGPVSETRHRLGVAAVDAESLRSTVEKIKN GLQQRRFDMPLGRIKLTRLVVECIELMLEVLDTTWSIMEEFRLKHEKEMNTVYDEHLG VMVDTLESLRNALEKQHQDVQAELSFANKQIEEYHSMGPEFRTLCSAYFDLLTMIQET EDDIRRINNA PHYBLDRAFT_111858 MAGAIVREQTIEERYGIPENFLEVEVRNPHTHGFGRKLYTDYEI VCRTNIPAFKMKQSLVRRRYRDFEWFRDVLERESTRVTIPSLPGKVFTNRFSDDVIEQ RREGLERFLQIVAGHPLLQTGSKVLVAFIQDPNFSREAYQY PHYBLDRAFT_144597 MPRIFELPCEIHCEIMSNLTEYDIQHLGGTCHYFKLLTQNQDVW KGMFKTRFIQFKTVDSSQINFSWKDLYLEKALLRLSAAQDMSIAHNNAPYWEIIQTRE SCYGHTAKLGSVCWLDVNGIIKRVPPGVYQIQWRMRVTSSAQWNEPLDFTASLEKNGK PLETPIIKYTTPDRFYRQKEMKGGSWMIATLPEHLKINDSFGFTDVRLSHEKKSGQWK SGLELDWVRLVPVSHKIKYSRHNMLINLDYEIIQSNKDKSSKSVTIQATQMALRLGEY VVNRVFGIQIPFLSSLKNYNNE PHYBLDRAFT_77540 MTMMAVQSNFQDTIMPGAALLPTVGESQSYYFYQKLSLSQSPTT SKYESQKQIVPPVPRDHPRAPLNSPLSPIRTQKRPDESGVSSPADHPFAGSDLTNAEY HHHYEQQQQQDQHLSVQPVNRRERKVSFNEQVVVVCTVIQTDEDDESEDDDEEDYYYH DPNDDFTDHYHEEYPRHNDYNDTDDTDINTNNNTNININNHNYNHNQGHQSYQGYQGH QGYQGYQTEQVNNHNSHPLPPPLPPHPHTHYNQNQNQTQTQNHQNHNQGFVGGSESDD EEAASYRKKVQPVIPPISANNPIINNYSREISTCGYQPMAGGFPQSDHEGSAGKKKFG IKMTMLVKRIGRHLKQQPGHHSSHDQPK PHYBLDRAFT_63904 MNIQFLFENGKCSVVDEYDRSEPMDYIVHEEQFRLETLSSHTQY LSQLPLESEKRIDAMQVEKEAKPNGDVTMREASVKQNYTRYSDQDKRCLSAAAAATQL GIHVHTAQKWAKQYEKGSDSIFEKQKKTDRPRILHDEHKKAILECIDENPSIVLDEVM KKLKQMFTELKVSKTTLFDFVKQYCNLSLKKARLQPKDWNSEEKIQERLDWIRKWGKK QTGILLSSPGEMIFHQHEFMFCTT PHYBLDRAFT_63903 MSPTHLLHCQFFMIWQQTCLLENNFRKDLIAQSLFRIARGFLKQ SIRCEIELLDTLASVCNTGIQHYLRILNTLKCALKTWIAKCPQTIVDAKGTNEERKLI AHAQCLFDQQQTNIHGDISIYDKDNSSEKKGISLQAKCIIIKIQRDISIESSEK PHYBLDRAFT_186708 MFQFQSSVFLCCCGNIKSHFQPNPPFTTLFLIGQERNTSRSRSS SKSRKFQGQRFLAIFLAILKLNSIKRRKGNLPNSSVKFIIELKYVRGLYGLLVDADNH TQRLVESLIDFEIFLSQEDIYCYRYMAFVQESLVLEEFKMRKRASLIGFIFKYLNFHM LLGLPTELIHHVLGMLPRHSIAKLSLVSKASYYTCLPVLYSHLQLGLRIPIQQLDVGL TRNLYLKDIVERYTRTLTIICRQGTGYSLTKDLKTLLSKLTSIHTLIFVDFDALPVEN VRQLAAVLPLVESIQFRYCHLIVSSSHRMSTHLRPAMLLTARSLQPQLQSSSEHIFQK TTCLTLFWTDFSEPAILELFCALPRLLCANLGANHNRTFTANDYAVSVLGRNCPDITS LSVSLQQVREESLCNLIACFGRQLRDLSIRCDTPRTLDVIAAHASQIKHLSVRAVPSV PTQDTHFQQRRTPMDQLENESPEETPETIEKRSQNNMVEILHRCQGLVQLEMIAWRTQ EIPSIVWEAIKAVAQRRQGRDLKVQLEIDEPKAKRKTDLIKKRGSPRMRSLYNVADIP PASDDGVSWLYPLNSRRYRHQDQGVPIKNLKRKQSLSRNITLRIEELQEIRKQLIPLR ANVDTSLL PHYBLDRAFT_124411 MSELSGCISDVLKMKTFLMSFHGFRDSDIILLTDDTKDPFLMPT NHNIRKAMGEMCRDSQLNDSFFFHFSGHGGNVDDTNGDEIDGKDETICPCDYSYEGEI IDDDMYDLMVRPLCEGARLTAVFDSCHSGTALDLPYVYSPEGNIKSKSLVRQAGEGLL GISTTHKGGAMSLISSFMGLGQEMIKTRQIEAHQRELNTSPATVIMFSGCDDHEYSRV ATVSNESIGAVSYAFIRALTEKPDQTYLELLKNVRKMTVLKYDQTPQLSTSHPIDLNQ RFIC PHYBLDRAFT_158627 MAKLCQNSLKDESLFFYFSGYGGNQEDLDGDELDGKDEFICPCD TEKKGPIIDDDMYDLMVKPLCAGARLTAVFDCSNSGTALDLSYIYSSDGQIKENSSMK EEEKNVFRMLAHRRTAIKLFSSFMGIEKSSTKTNVKTALNLRSTKSPADVVMFSGYSR HNASVDDDVSEKAIGALSNGFINALKQNPKQTYKELLQSVQHNMPHQYKQSPLVSSNH PIDFNQVFFC PHYBLDRAFT_111952 GKKRALLVGINYIGSENALNGCISDVLKMKKFLMKSYGFRECDI TVLRDDSEDPDLMPTYGNIRTAMGELCRDSQPNDSFFFHYSGHGGSIPDESGDEVDGM DECIFPCDCDEGYIIIDDLMHDLMVRPLCEGSRLTALFDSCHSGTVLDLPYIYSSKGH VKKKSLFRQASAGLFDITSKHKGGALKLLSSFMDLGQDLIKTREVESFHRELNTSAAD VIMFSGCEDHEFSTDASVSNESVGAVSYAFMRTLTEKPDQTYQELLQNIRKMTVLKYD QNPQLSSSHPIDLKKKFIC PHYBLDRAFT_111893 ELGLHRALTVFSLPRKRMELYKRIWYSVYVTDRWCCAVMSRPLA ISDSDCDIDLPSLGGETDDNEDYSIFVNFIKLSSILGEVLRRIYSPKAKSINLVESTI ISTVQTLQQMLTEWFDQLPDNCKITSEDLIRLRQSPENTKKLTEGGPLMLCYYAITML LHRNFILTENEESPISIQSDSVRRCKEAAARVIDIACIIPRMDIVNFGWNFAGI PHYBLDRAFT_111958 MVSNTQIIFSKIPTTFPEVGEHMTIKHDTIDLEAELPENHYIVK TLTLSVDPYMRGRMRAAGTKSYSPAFDLDKPMAGHTVGVIVKSNNDKFKVDDIVYGIG HFAEYTHVPAQLAFLFTPRNEARESGLPLSNYIGVLGMPGMTAYVGLIKFGKPKKGET LYVSAASGAVGQLVGQIGKVLGLHVVGSAGSDEKVAYLKEIGFDGAFNYKTEDTNAKL TELCPNGIDIYFENVGGKMLENVINHSNNFGRIVCCGMISQYNTTNPEPIHNLIQIVS KRLRIEGFIVGEHPEMEADFRKDVTQWLKDGVIQYRETAAEGIESAPQALIDVLKGKN FGKQVVNIASL PHYBLDRAFT_167579 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTETMPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_144608 MVSNTQIIFSKIPTTFPEVGEHMTIKHDTIDLEAELPENHYIVK TLTLSVDPYMRGRMRAAGTKSYSPAFDLDKPMAGHTVGVIVKSNNDKFKVDDIVYGIG HFAEYTHVPAQLAFLFTPRNEARESGLPLSNYIGVLGMPGMTAYVGLIKFGKPKKGET LYVSAASGAVGQLVGQIGKVLGLHVVGSAGSDEKVAYLKEIGFDGAFNYKTEDTNAKL TELCPNGIDIYFENVGGKMLENVINHSNNFGRIVCCGMISQYNTTNPEPIHNLIQIVS KRLRIEGFIVGEHPEMEADFRKDVTQWLKDGVIQYRETAAEGIESAPQALIDVLKGKN FGKQVVNIASL PHYBLDRAFT_88021 LDRDAQRLRDLGYKQEFKREISLFVQAGFSFSTMAVLPNWLVGF GGCLSAGGPSSLFWGWVVVVPFVACIGLSMAEVISAYPLAGGIYSWCYMLSNKKWAPF MAWISGYLYLTGLITANMTLAWSATDFIYGIANLYLETQITSQGAFVGLYCGMFVLAT GYNCLGMKFSTYLNKFMVFWVFIGTIIVVITVPVMAPTHTSAKWVFTEFMNGTGYDNL GLVFFLGLLQAGWTLVGYECGAQIVEGTKNAEVAAPRGIILCIGSAIVQGFVLIIAVL FSIQDVDELLESPMPIATFFLRSTSKPLTAFFLVILLVAQFGSLCNSILATGHLFWAL ARDGCVPFHRSLYQLSDNHIPVRALILQMAISIIVIMPSFGTMIYWKAIMSTAVICIN ISYGLPLVCRLIWTRKDMPKGPFNLHGWSIPLNILSVFWILFFGVILCVPSYHPVEAE TMNWASLMIGAVSIFALCFWFISGRKNYKGPV PHYBLDRAFT_144610 MHVNRPLNVTLFQHIFLASVQQKSILEKRFRPATNSTKQIEGWI NSCNAWGERTAMKSNGKRLEGRWLWVFLDKHLGINVTEDLVKYEQHCRHHPMTTIGYA RKSKGKERINTRCNLLSEMIHRLRTRSLCTKVYISSCCDAKETINITWHWSRTVMGI PHYBLDRAFT_167583 MSYNVFQISFKFGKLLRNSDDQNLIVFLKDQLSIKFRSKFDRKT TKQQTIDALKKSRKISEFIVKKNTGRVFIASIDELIKDILEVIKKEVNVKLEQMAAVK KLEKSLKANRYIHKVDNVLPDLESNERTLGFFESLGVYRFKE PHYBLDRAFT_144611 MTTYNKIPTSDLTNLTSTTKSDQPWDVQASSSSDVSAVDSTLKI QVSCDDDNDEEQEDQEDQERRQLLQQQQVDLDASFEDSVSIDMSSETQSLNTAMPSTA RQMTTTDGVFCNLSAKPESEANSVEEAPPTYDVATADRVPPYRYTTIVPIPIGDMILV EGIPVGDFFHFFLNFAISYAFQIFGFLLTYMVHTSHATKQGSLAGLGLTMVTYSSYLN NMTTGDGDVPDDSAQNAQDSSIESSILLILGWFLIMRSVLEYTRARKLERAIAMEQAV EDSIV PHYBLDRAFT_144612 MFQRIANFWLSSFVTERLLASPLFHRMAAKTHQHVSTVTEKGTK TGSEFMKAFKENLEKEARNARK PHYBLDRAFT_167585 MSDKLTRIAIINADKCKPKKCRQECKKSCPVLGLVGTNGIGKST ALKILSGKMKPNLGRYDNPPDWQDILKYFRGSELQNYFTKILEDNLKAIIKPQYVDHI PKVVSGKVGALLDDKLERNNLDDIIDALDLQEVLTREVGQLSGGELQRFAIGVVCVQQ AHVYMFDEPSSYLDVKQRLNAARVIRGLISPETYVIVVEHDLSVLDYLSDYVCILYGV PSVYGVVTLPASVRDGINIFLDGNIRTENLRFREESLTFKIAEVADDTTVDKQRDYKY PALSKTLGDFKLDVRPGEFTDSEIVVMLGQNGTGKTTFIQMLAGRLAPDNGKKVPELN VSFKPQKISPKFKGTVRMLFIKKIKAAFLNPQFQTDVVKPMSIEGIIDQEVTHLSGGE LQRVAIVLALGQPADIYLIDEPSAYLDSEQRIIAAKVIKRFIVHFKRTAFIVEHDFIM ATYLADRVIVYDGTPSVHAVANSPQSLLSGMNKFLASLQITFRRDPTNFRPRINKLDS QLDQEQKLSGNFFFLEQ PHYBLDRAFT_63888 MLICIALLRISAVNDMCIIHMDSHYWEPIKTESSIYGHVARLNF VCWLSIFGNFESVPSGTYKIQWRIRVTKSIINHGMFKFRVSLKSFPEESPVTDETRLM PRKFYTDPSIVRRGWLVLTIPGTIGIDKKLGFSKVYIVHNDISSSWKSGLEIDWARLV PVSHAKDYDDAKLYVKMNQDNHMYSVHKDSDLGNIYKEF PHYBLDRAFT_63887 MELDIHTTQPTHRVSACSSSLVTYLFNLNRNCDSSVYNLNRISL DTVGIVSLLLLVLTLDMKRRILSLCRKYTARIPNCACNEPEASDAARMNYVSTTNPPK VLEIPFEILILIASNFNEYDMKHFSMVTTVLLPYIDI PHYBLDRAFT_167588 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTETMPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_133191 MAHLRELGKTGVLVSSIGLGCMGMSEFYGPTNDEENIKTLERSI EIGSTFWDTADMYGIDSHNERLLSHVLKTQRDKIFLATKFGHIRTKDGEFTGISGSPE HVREAFEKSQKRLGVDVIDLYYQHRVDPNTPIEDTVGAMAELVREGRVRFLGLSECSA ATLRRAHKVHPIAAIQVEYSPWSLDIENNGLLDTARELGVSVVAYSPLGRGFLTGAIK SLDDLDITDFRRAFPRFSPENFGKNLELVDGITKLATKKGVAPSQYVLAWILAQGPEF IVIPGTKKIKYLEENFKAGGIVLSKEELAEMRHLIDNADTAGKRYLPQHLKTVNI PHYBLDRAFT_124422 MADQEQTNLRKRTFRKFTYRGIDLEQLLDLSSEQFMALVHSRAR RRFQRGLKRKHMGLIKKLRNAKKEATPGEKPATVKTHLRDMIIVPEMIGSVVGVYNGK TFNQVEIKPEMTAHYLAEFSISYKPVKHGRPGIGATHSSRFVPLK PHYBLDRAFT_144618 MKTRSPFCWNTACMLTMDEWLDCKKMFLALMSELPLGLFDEQNI DREGRKEETIDNASASLRAGVND PHYBLDRAFT_77533 MSSTEHHPAFDSLTPSAKLALLHAQTAAPQDASVEETMVPSAND PVVTTDFSEPLIAGDYPTPISKPAVIKKEKKKDDKLDLASESAFPSLSSGSSRAPITS GWSAAAVSRVKTQPVTRSMGRAPASNAAKKSTVPHITDVLELPANQQTANQPVKPLGF KSNSDVIQQVINKTGTTIIASTNRSGTTTFLIQGTPADTEKAKRELIAGLVVKRTAEV AVPSSTRRFIIGAKGKTLQMIEAKSGTRINIPPRKEEDELNEENDEEMVNVTIVGDAA GIKIAQAEIEAIVGDKTAKQTIKLENFHYKYHLLLAGPQNGALKSIEETLGVKVQTPP IVTGYDVLVEGKVRDNAFVLSGDKEKVQQAKQILEDRYNSLEKDTKTVNLSVSKRQHK YLIGKNGSTLREIFDKSGCSVELPASTDASEEVTIRGHNDCIINGLTAVLEKSNAVHV AVLDIPSIFTSVPNPQQHSKNVLKYIVKSGHLKKIEQDHDVEISVPRADALGKSVPLE FVSKVEKNGVSAYQDGFIIFQNLVPALFSTVKVEPHLYHYISVRHGKQLQRIKARYSV AILVPDNENEEILIVYEGKEGDDQETKLSEAKEALDAVTAEIKKIASDSSDYISNSIT IPAKFHRAILGPKGTTLNAILGEDSTVVVRFGGANEDEVIIRGTSSEVKRTIEELNKV HNEAKYDDFSNSYTAEFEIPVAFSPHVIGKSGSNINKLKDDLGVRIDISDPNKSENGE TIVKSKKKNDQKVKIIINGIKTNVEAAKERISAMVSNLADQVTLSLSVPKEFHRFLIG PSGRFVKKLEDKYNVYIKFPKSFSTETEDPDVITIRGRKKEASAAKDELFELYEYEKD EQIKRKERDARFAEERKKREADAAARAEAATAKAEAAAAKTAAAEVEAEKST PHYBLDRAFT_144620 MNGRPLFFFFLLPCLMDVFALALHSVALAWESSKVRNYVSKPYM DEIFHVPQAQRYCMGDYASWDPKLTTPPGLYIISRGFSILSTAVGVDGCTPSALRMTN IFFSLGLLFVIDSLIGCLHPTSPAIRSRYALALVWFPVLFFFNGLYYTDTGSTFFVLL SYLLVNKRHYWAAGTAGIVSVTFRQTNIIWVLFFMVLVIIQTLEDASKKSKETTAVYN PTFSNVTQFALTGFAGFLVWNGGIVLGDRSNHLAGYNVPQLFYFSSFLSFFMAPWILN IKNISEVLMTLTKPKQYEHPFLLSDNRHYTFYIWKNIYRRHWSVRYILTPFYLLSVKL NIAAIVPYVSVLHVIGYVFTLVLTLVPSPLLEFRYFIVPFLFYAVHFQPQLKRTYLAI AFYSIINLATLYMFFNRTFEWASEPGSSQSGSIL PHYBLDRAFT_111940 MIVDQPDQVVLPGDIIPINTDSASSEESIILGPGLRQEGENIVA VKAGILRHLEVGNRWWIESNQKRYIAAAGDSVLGTVTARLAEFYRVDIGTAHSAVLPV LAFEGATKRNRPNLVNRSLVYCRVSMANPDMEAELECVNPTTGKADGFGEMKGGHVFT CSLGLCRRLLNPETPVLKLLGDEMPFELAVGMNGRVWVNANTVKDTITVCNAIQNSEY LSAEECQSMVENLLQG PHYBLDRAFT_77532 MQKNMTPTQLQQLQQQRMAMYAMQSSARLQPTQFQPVPHLYTSM PPPGMAPHAPDLAGWNDPNRAVPNNRMPSFRLTAHPSAAAPPRMGQQFAGQPAGVRPP MTVVPHVETTPVHTPVQKRNLALYRERDNTYQETLNIQHKRHIDLAQEKKRDIELAGA ERRAKTQHGPIVGFGPGYNGHGNGTTGGQTRIVYPQQRKRMRHAREFRFALEEMTEQA EKEDALVPIRIDLEIDGYKLRDTFTWNLNETLVTPEQFANVLCEDLRLPAASFAPQIT RTLRDQIQDYYLHNASMVTKDDSDQEKEEVAERNENEVAVKKEEEPEDEKKVKSRELR MLIRLDITVGNRALLDQFEWDISCQRNSPEAFAQVMSTELGLGGEFKTAIAHSIREQI YVYIKSLLLVGHEFNDSPVTDDDLKHSFLPVLKSIIREGEQVERFTPALLELTDAEVE KIEKDRMREARRKRRQTRGRRGILLPDREPIKTNRTVYAIPPDHDMTDEQFITSISNP PRAPVSDVTMHSQRRSAMRARINIAAEAAGNQTTHPSQSMQSVISLHSTNRADHTRPH PSG PHYBLDRAFT_181133 MSENKIQSEDLLAHKWDRVISNFVVKTGLGLSVGIVASALLFKK RTWPIAISTGWGFGAAYADAERVFHPHHVPGVEFHKEEPSV PHYBLDRAFT_181134 MDLDQAFKNQDKENKGLIDLEGLSSVLKQTGHSNESTVDLLALV DRIKQRTVTLDHVRRIIAYLDASEDTRNAFHLLAGSEKHGITRTALKEACEKHDIDLR QMNRMMDEADKNQDGLIDFEEFEAVFDKAGVSG PHYBLDRAFT_144625 MNFRSESPPPTSPLHEHLHPPQYFAWIPAICTYKRFPRYVAAVL GLIFFLLWTSFVLFNTNKQSQAINPLASTIQEIDGSWRSPHLQGNTSNLINNLILIIL VDPNGKSATENGTQLPFLQALQTFSVQGHSAPALLTLTAQVTPTPASITAVLTINSTN SLTSQINSILAQTVHVDHIWITAPSSIVPAVQNEVDNYSSGVSIMVFSVDPSTSFPPG SAGHTPWLSVLSDIKTDYTWVVQQDATLDTRALAWVYNVLQTDIYQNALVGTQGSLLP VHKDRSSSLSCVPDQARSLDRTQAVDMIHGSWLLRHSWIPALAASVHPDTLGFPLDYH ISQSLLHSAAIPSIVLPDPYHTSDNSQTCEHILTEFESENLWRKSLGQRMHLLDQYTQ QTVLFLVDGPIQAAALQPLICRFPDVVHVAVTGKAGQLSGETLAETLAKDGCSATVHD LNVDHTDRLSIDLTTRLARYMSLLRPRVVLHVRDTIFDENLQILERVDKTTVISLPSL EIEHALWIADLSLEALQQWHKIQMDIIIITDRRSQSLHRLLESANDANYLGDQVGLVI HMEQTADETTHELVNDYTWRQGSKTLRHRIQKGGLMPAIVESWYPNNNHNYAVLLEDD IEVSPLFYCWAKYTILKYRYEQSSPHLYGISLYSPTTLELLPEGRRPFHLQEAIAGYP ANIPYLSQVPCSWGAVYFPEHWREFHSYLTGRLEDLALPVSQYNITVPNCRSEHWSKS WKKYYIELAYLRAYVMLYPNYKDFESFSTNHLEIGTHIKTVNSKSKLDSFQVSLMIND TLLEQLPNHRLPIYDSLPTLTLWGKLMSHEEMDHIAAEWHKSISACPRDPLSYDTQDI LCPFPGGPTPIVPVSIYRKKPKTTKRATSTPSVSLSP PHYBLDRAFT_181135 MSLESKALLPGLLEEEAKCQFTRFTSEDALKLGLGIIDIAKTNF TRPITIDITLAGNQLFRYAMDGTSPDNSQWIQRKSNTVLRFRHSSFYMGQYCASQGVS FGQKYYVSETEYACHGGSFPLIIKNVGVVGTITVSGLAQQDDHNLVVKAITEHIKTQ PHYBLDRAFT_167598 MSYKILPYEIISLIASHISTRDKLACTRVCKAWHFPFMKIMLFR VRIKEGRPLNAFIRFITSSPENITQYCPLVKIIHLRIQHDPQPKLIRWLQKHFQSIEK LDIDGGSLSSTCFGNNTYWKFWKSMTHLRLSLNGYNIYKNDFIAGLSCIPALIHLGLS QGGSKEKFICDLDDLEAIHSRLPVLEELVMCHAFKSLSKEDLPKVESTPKAYRMRAVR FEVSMVDLGWLYYWGCKYPNLAIIDCIAGFDQDKFDRYRDLGIESRPYVKHIFKCLYL AKLRADIERPQFQTAFINFISKTRAKISQFVYAPNHSEKGTLTNLSIVENDTQVFKNV SEFFLYLRKDTKLPAAFLGGLSYLSKLKKLTIESQQGLIPLHVVLDHCPTLKNLIIQG GTITYSKSDESSWKPHYLEKFEISYGTIQSQALFYLSTRCRQLFQVRLMYAKVQAPLK SSEREININMEHSRLKELLFHQVTFERLNRKDESTKENVFYVVVSQDNRAETLNKTQH DSTISVKDWSVSTGSKKNEKQMTDDIWLYFNVKKLAATTEGGSRFLKDDEKEKITSYF KAFRFNQGRAADIESTDRSSYAKEEREIRDTTFPRSYVRWKCAHIDRLYNGIERDARY PKYKEISL PHYBLDRAFT_13635 DSEYTRLREMAHQEAEKRNDCYARSKVAYQNGDGGLAKQLSNQG HQHDNTMNRYNNQAADYIYKLKNQGRSPTEIDLHGLFVKEASARVEEAIKRCERENYD HLIIIVGKGLHSTGNIAKLKPAILDLVKRYNVHVEPNKPNPGCLFVEFGKG PHYBLDRAFT_63875 MRIKPRILPNLNEKFYFSNAKNRVIKMLGMNTKFRQPKTKKKSN RLTYQITSRWNKKRHLLFLLVQSKNTIYNKDIDMFLIKSGWAVIQIGEQRLQLSFSIE VSHGVLLALSCNE PHYBLDRAFT_144631 MSRNKSPCLQPPTRFTYDSSEFEYAIYSPSPRFSKELEPIFPNM SVKQRKELLVVPVIQKCFHDMAASTPEINRERDEKLDLFVDWGKRVANRLKGLGMWAD MMDPASGYPILSPSGALPYPDVQGTIELMGYETHNVGCCHILLHPRWRSKIYPSTFFT TAPADLLVRVIDEVLASKA PHYBLDRAFT_186720 MDSTNRRLHSNTISGERPTEITIVTEPSSPRRNSEGAFHLPLDS APVTPLTSESLGVLSLQDHFPNPQRQEAPLPTNTPVAVPEKPVATTVGTGLTSAPTTA TTPVVATAKNTNLPTLPLPDVSFKDNDDDGPLPPAKTTRSRGPSQSTVDPYEDAVSSS NSPPRSDDLNVVNTHMTVGSVASSCGVDLANAKRNADFHALFRSVPEQDMLIEDYGCA LQKEILLQGRMYISENHVCFNANIFGWVTNLVIAVSDIVEIEKRSTAIIIPNAIQIST LHAKHSFASFLSRDQAYDLLIDVWRCVRPPQQPIEGQKVSETDYESDTSESTFSSSSS IGSSGELSSDDDDDDDDDDDDDINLMEKSLPPKLSISSASTLPILKEKAPEEVSRKRA QSEAGPRPRPASPAEKSAQVQDKTECECGKNNSHYPVIVMDQIFDCPMEKIFQLLYEG DFIKTFLADVQKTTDIQITEWRNGDGEGEGGAERVREISYVKPLNGAIGPKSTRCLLS EEIMHKDFKDYVTQITTTQTPDVPSGGAFSVKTRTCLTWAEKGKTRVFVSVLVDFTKS SWLKSTIEKACIDGQMTYYKDLEAALRRFTEKQKHVGLSKADRRKRKVKKEKRRLKKT PKNIEEPVLGWKDKAIRYIRQLSAPSTTQLIVFGMCTMMLINFYIASKLATVEKRLHQ YSQGVSDNKKAAQKPMASDQGETVWAWLGKLDYEVPEMHNFPSEESIEKRLQASREVK NRLDAHMDTLGTMLQRAEENMEQVSRAVEEQKEKIKNKRV PHYBLDRAFT_124431 MFNARLYSRIRINALAQSKRFNATISKNAPLDASKLSIKTADVV KPLVANKDLVFGKTFTDHMLTAKWNEDKGWSSPEVTPYAKLELEPCAAVFHYGSECFE GMKAYKDKNGKIRLFRPDMNMARMNRSTARIALPQFDGDELIKCIAEYLKTEERWIPS ERGYSLYLRPTMIGTQESLGVNAPSDALLFVIACPVGPYYKTGFAAVKLMATTEYVRA WPRGTGDAKIGGNYAPGLLPQRVAAKAGYQQNLWLFGEDHQLTEVGAMNLFTMIKNKQ GELELITPPLDGSILPGVTRDSILSLAREWNEFKVVERKITMPELRDASKEGRVVEVF GAGTACIVSPVKTISYMGEDLNIPLDPNDSTSQAGPYTKRFSEAIMNIQYGEVEHPWS VVIN PHYBLDRAFT_144634 MDLDLFRPHSQRRYVQSTQRALKKCCGCIHLRTGAWISCVLWAG FSLYFAIMSFQNKSRIGPIMAYGITNLVLTLVSFWGLFAIFYDEPNFLRPFSHATWVG VMAVLIDGFVNVIIFITVHSDYQDWCIIESGNSLITKFNNANINLTIPTEIRTLDLYN CEKLWDNEVKFSFIVIVFMVVIYVRTILSLWTIRLLTNLCQIYWAACIHSLSHKRAAM RIKERNMMEYNGLLPGSLGPPPLGPPPLGPQPLGPPPIIPFDPNSNVIILNNTRPRKQ KTFSLRHLKPRRNSIHIETKLAHEAQIDRLRDRYARDQARESSLFGFDFKVEDGHVVD MERPLRKPVPVLSSNL PHYBLDRAFT_111755 MNLFVCLGIYFFHRVLLENFTGDQLHRYEGYRRSALNRTNVKRL VSQVLNQQCSQTMAFVVAGFSKVYVGEIIEKAREVMEEWGHSGAIRPEHLREAHRRYK KERVKSASIGKKLIQR PHYBLDRAFT_144636 MDFQLKASYTYLCLKGRKIDFIGSQDSTRLPFPYIQNRQQRDGN EFHITVISPPDCKELASKRQLPMTNKTKSDSLRQLLAEAKSQCTDFWENPIDLGPGRI VNNHSQTYFRVIHWPTGQLLRQRMGLTNPAFFHITTGFHPTDIFDYKGPGALDVLQGY AECTPQIFHALSHLSVFYKDDLVFMRSLWYQAMRLGLVLDTLCTGWYVIRDAERMSIK NMPNKSISGFTNK PHYBLDRAFT_63867 MSEVCPDYREYSKLFHGPASGGPLGLAFQRPIEACRRFTSDIIN TVIESVASKVISIELHRIDITDLDLARLFVNAFPNTLDTTIWQTACMNQTNCLPLSFI ITGDINAMWLRDSANQLLPYLDYVKKDVGLQKLFLGAIYMQAHFIQLDPYANAFDQPS NLDLLSRPQMMKRDVERKQGVFERKWEIDSLGSFLGLSYRYWNTTQDASFTNSTSWIN AVELIIQTLVDEQTPTYISNRLQTPHYTFQQQTNRPTETQFINGRGMPVKYTGMVKSL FRPSDDATVYPFFVPGNAMLSVELANLSNMLHKTKQHDKLALQANQLSRQIKKAIYKH AIVHHPEYGRVFAYEVDGYGSSLIMDDANVPSLLSLSLLGFVEHDNPIYQNTRRLVLS DSNPYYFSGPRGSGIGGPHVDLNYAWPMSQIVRILSSSNDTEISEALSIILHSTDGTG LVHESFNVNRDNDTPYTRSWFSWANGLFGQAILKIAKERPHLIFRKSQLSTFPTSSFP LITIRGGPAKIMNRQG PHYBLDRAFT_167609 MTTNKRSRKSCPLCFSPVEEFGIHLDSSIVMCSDTKCDYPFNDS LEEFIKTTRRLPAKRHRSRAAKPPGFINDNSCRQGALLSNKEHLDSSSQKVRLDSSVN VAMDNSEVLNSFSEPSSLTSTSTTPFIDAEMQNNNDAVFDIMKGIETDGLSDTASRFS LADIEHLLGDEEQHLGEKDYGSSSVVTPKDGEELGWMTGLNDVFNFGPTDSKYDPLTG NQEFDILLGL PHYBLDRAFT_63863 MTLIQLQECTSKICCQIPPPSGLSKPSNAKQEIKQDPGLVYQEL YDSVLWLPINILNVTLDVWSHLSGKPKMPSWNLQTTARVGLIQALRDQSCQKSIGFWR MVLNHLPAFLCLIPSYRPTIQDGSFYCAKQNLPGILSEIDQEDEAGTRIMSAEWVSTE NEDYDRKTKKKNKNKKKDEEEEDTLTKKTKRLFWSIVSFSLPSLSQRLDTRPTRTQAR PDEKIILYIHGGGFYSMSAQTHRSVTCALSKVTKRRVFAVNYRLSPENRFPAALCDVV QSYLNLINGSSQGSFDPKNIFVAGDSTGAGLCLAMMLYLRDHGVPCPEGAILLSPCVD LTYRHSVWNETVVNNVFVPRSEIPGTLNPAIHYLGPDDLHRFGRHPYVSPLYADHFEH LPPLLIQSGAVESLQTEITELVYRLKTAKTTRIQHEVYEDMLHVFQSYSFQQSPSGME SIGWWVNTGIPLLDKWQSSRVTRRN PHYBLDRAFT_77526 MALISSNCPDLKLIARGKVRDLYEVDEHSLLFVATDRISAFDVI MKNAIPGKGKILTQISLFWFDLLKDVLPNHLITADFDKMPAHIQKYRDQLDGRSLLVK KIRVLPIEAIVRGYITGSGWSEYKRKGTICDIELPKGLVESQKLPETLFTPSTKADIG DHDENIHPSKMVDILGDKALADKLTESAIALYTKASEYAASKGIIIADTKFEFGLDEN NSLVLVDEILTPDSSRFWPAATYKAGGAQDSFDKQYLRNYLESIKFDKETSIELPTDV IENTLAKYKEACKLLTGEDVKL PHYBLDRAFT_102850 VVDASNFCVFLPPSDSVDRLISDTEWDGDAFCLGSTPEATGAET MPTDFIQSAHFVATDEYVQVTGQIDPSKANLNVSDEGGQFDVRAPIGSTCAGWTYYVN LIEPINLTYCMRCCNDTTNCNRGISEKGCAHIIPGDY PHYBLDRAFT_144644 MSKITGMAATTLPADDTILEHRFTKLCYHLKSSPEESALHFPFE MRAIVQNRIDQLEKINVKNKQIQQEITLLKHEVIAWNLLDSFSRASSKQPSPLWHCLY IKSWLEETTKANLTEDRSPFGESDDDMEDEIPVLNSNIKAKEQARQLKKRRLDSFDRQ DLEAYYITILKLIRRGQFDELTSLASQHTDSRKVFFIHFADLMKKAEDGIGIEWKAEK RKMWKKMCDDQLKDKNLGPYGKAVFSAITGRTEHLLPVCETWEDVIWSYNNACLQATI EKFLSHQPGSDDVSVPSQPNDITYLPLEIASLANGKDILLPGIACFFHHAQTIFLSSK PLELIDCLYTICVDKKWNALSGISENPKLQDVFLRVAASFALYVQVYYKIDDPKINSL LECYATKLADRSFDDMAMYTSTLPSDLQTSFMARFFKNFDGDKKACSELVKIARKYRV DISSVLECIFVLYMTEFVNKQAVSQSSLQENYKFELEGALSKNDRICLKAVGWLSMDN AMANNLIERINGVLRYLLATRQIGLAYFVAISVPVSYLNTFAIYADRPSTIPDVVSEF YYHRSVIEILRIATIEALDAIQKAREDFRNLTKELSEKIKAVLNSKWLRVSSTEKEVL KYMDWDLEPALIERLSNAELRKVYITQLVFYLHDLYFDTSDIFEQHCKDSLNLMELVS QGHHGIYKDIEAAGKTSLLLQRLCKSKLKILEVSQ PHYBLDRAFT_144645 MSMRAILTAKLCTNLNCLENMDGSEVMHRLWNRDLAAVLNFRHI LKNLRYDGTIPVRFTRVIRIGCIRRQAEEDLQEGRRLRQRLTRLQRR PHYBLDRAFT_167615 MFPHKQQQAIKFVIRSKLSEEQVVAPKPTAEKVESASKLSTESA LRLEEEFERKRKRAEKDTLVSSKKIHTQLQKWNQKKAELKDPLEDKNSAEIETKSKLS EPSTKESKDSKEETETKQKKAEKEADEEDDAATENKSEKDDDFSDRVMNACLLCQRKF KSGQDLEKHQELSELHKKNLQDPVVIEKARMKKRFVKSEAEKAEERAVEQSVGERVRV LVLVLAFSIGATISVTATISISASISERKKRKDILYLGYSKLKSLQQHYRNRAAERRQ AYGQPEKPSLSDSIHRPPPRLRPDERPTQSASVKKALGDDNVGARMLKLMGWRRGEGL GKDGSGIVDPINAERYSQGAGLGTAYAKRDASDGSDSYKDRVKEMARRRFEEGP PHYBLDRAFT_112042 FATILSVYNKWMFSAEHYNFQFPLFVTTIHMVVQSIFAGCSLLI VPKLRPKKIPTGKEYIYKIFPCALATSFDIGLSNLSLKTITLSFYTMCKSSTLAFVLL FAFMFKLEKPNVKLITIILIITAGVVLMVSDETDFVWSGFLEVMTASVCGGLRWGLTE VLLRKESMGLTNPFVSIFFLAPVQAIVLLVLSGAVEGYITIFKSAFFVTFSDAMHTMG IILLGGSLAFFMIMSEFFLIKRTSVVTLSVCGIFKEVATIFVSTLVFGDRLTIVNTVG LCITLFGICLYNWMKIRNANQAANKAIEDQEMSNSQELDRSEDPKHMYNMAAESTPIL MVDGALTTYRDSDDFERRRSSEQEGYELR PHYBLDRAFT_63857 MVASTSLDVVPDDPTAYKTQAYWEERYQKENADTTFDWFKTYEE LKPLFSEIIPSKEASILMLGCGNSTLGEDMYKDGYKNITNIDYSKTVIENMKSRCVHM PEMKWLEMDIRDLKFDSSSFDVVIDKGTMDALMCDRGDVWDPSEELIAEVKAEVDEVE RVVKVGGVFIYITFGQPHFRKRHLTRDCWDIKTRTMGDAFHYFFYTMRKERPSVNAK PHYBLDRAFT_133214 MKISSTSLSLSAVAALLCISSTFVNTASALSLTIRNDVAGNSSS PILYGFMYEDINYSGDSGLYGQMLRNWNFQAGDTGGAADLSHWSLVKQNTGSATITLD TANPLNSINKNSLRLDVTTSSASSRVGFSNEGWWGLRVQPGESYTATFFAKATSGFTG PLTVSIESNSGTVLASASVSGLTGAYQKFTVTLKPSVTTTTITNKFVVSVASSGAVGK SVWFDVFSLFGKTYKDRENGIRSDIGNVLEAVQPSFFRFPGGNNLEGQSIPQRWQWNE TVGPLEERKGRFGDWSYWNTNGQGLLDYVYMCEDMNMVPVLGVYAGYSLDTSSVPEAN LGPYIQQVLDELEYLMGSTSTTYGSLRAKHGHPEPIDIPYIEIGNEDFFSTTYDYRYK AFYDAIKKVYPDKKFISTGPQTSRPYDLLDDHFYLTSKEMIALFNHYDNYARGGSDIF VGEFGTNSQGCCGASAANLDAALADAVFMTGLERNSDLVKMIAYAPLLNRDGQTQWNP DLVGFNTEIVWGTPSYYIQLLWSANRADTILQVDASNGGFGPLYWVVGSKESTKQIFV KMVNTGSSSQTIGINLKGASVHSQGVARVVSGGLEDTNSASSPNTVGIKESVFSLTSA TGFNYTFAPYSATVLLLHRM PHYBLDRAFT_181146 MTTAIPFPLREPHQVDAGSQPFHPNLSVKLICPDCRVDPPQIVE EFASGDLVCGECGLVLGDRIIDTRSEWRTFANDEGDDPSRVGAAANPLLDGNQLDTII SRIDGGTGAARDLNRVHGRATAVRGERNLVQIYKEISAMCDSISLSKLVSDTAKQLYK RVEDEKLLRGKSSDAIVAACIFIACRQENVGRTYREICALTRVTKKEIGRCYKALQSK LQTNTTIMNSEDLMSRFCSNLQLPNYVQKSGVDLVKRAKELGTLAGKSPISVAAACIY LVSYLFRQPKTARDIAHVAGVSEVTIKTAYKSLYAEKERLINLETLYSSQITDITFES LVLP PHYBLDRAFT_144652 MVKITGEGLVARLEAHQHKKRMPLVYALEKSLGWRILSEGWRAA AKGLKSNCFIVSPNETYFTCMTQSELFQTERLNAPIHSLDWSGQHLLAGTNKGTVKLF NVEGDFDDEDMPAKFVKLGNYVSPSMEGTIHSRHLPSYSHNTFVKAVAFSPNYLDGQT SSSPVSSSSSTGSLNETQSYRPSGHFLSITANNLHIWDVNEERKPAYIQTTDKNNLQC ANWSHHAPYSLIATAGDGRSLNIIDTRVPTNHQDGVVWRAPNAHDRPITTTAFSPFVP YWLASGGEDCMANIWDIRSSCHLPVGKIDGHLGTVKSISWSNLRPENISTTSSDGTMR MWTLSSDAIPIWDTYNKLTKSYEKNRQPVFQTIWEKRDWLRHEFKTKNEWQFKDIPDN EEPSQDSCSETMMLVGAFGIGEWGKCDGVPIYVGEDSETSKGHVVSVIASKTYPGLYY TATDRGQLTAQTVRFSILTELDCNHIYDSVKNPLAFQFECDAYCRRIRDAKKVLAELE SDQPTTQEKEYLSDKDIAALKESLVPMNQITPEEWEIDSIPDPNSTLTSDRLWNNDDR WDFSINRFRDDLNYWSRRMAPGYNTCFNIPFDLSDILEEKVEPEMDNTTPQGDIELSS PEIKKEDTPLVTPEEEPTEELFEDNMSNLSRSRTAISYTSGKSRATRSDSIRSNINQT LRRNSRSSHSSEATSSILRNNNPLKSLKRVFSRTKPHGTSSTERKNTPEEPMPSPSSP LKRKSTIRRNAILGSF PHYBLDRAFT_124450 MPVTKLSISPSAGIDQYTLINEKKTLAVMVLNYGGIITHILTPD KNGAIKDVVLGFDNYEAYQNPANPYFGALIGRFANRIGEGKFTVDGENYNLAVNNGPN ALHGGIKGFDKCIWDVTVLSESPASIRLDLVSPDGDQNYPGTLTTSVTYTVTDENTLE ISYHATTDKDTIVNLTNHTYFNLAGLDLDPTILGHEVTMTNDVKGTLALDENSLPTGK KLDFKDAPWFDFTGSNAGTPIGARINCLPASHGYDHPYIIHEDYKTDTSSLPLRKAAT IHAPETGISLDFATTEPGFQFYIGGWITPGALTGTKYQKNAPIGQHAGFCLESQRPPD AVNKPQWRSSVLLQKGDVYASKTLFNFYVRSD PHYBLDRAFT_30373 MANAPHGGILKDLYLRDAGKQDQLRAEASTLPSLVLTDRQLCDL ELILNGGFSPLEGFLNQKDYNSVVKDIRLANGVLWSMPITLDVSQKEVTDLKIAPKQR ITLLDSRDYEPLAILTVEDVYKPDKSFEAKNVFGADDSAHPAVHYLHTIAKEFYVGGS LQAVQPLSHYDYVSNRYTPSELRAHFKKLQWTRVVAFQTRNPMHRAHRELTVRAARQR KAHLLIHPVVGLTKPGDIDHYTRVRVYKALMPKYPNGMAELSLLPLAMRMGGPREAVW HALIRKNHGVTHFIVGRDHAGPGKNSQGVDFYGPYDAQELCEKYKSEIGIEIVPFQMV TYCPDSDEYLPADEVPEGVKTLNISGTELRRRLKTGLPIPEWFSYPEVVRVLRQSHPP RDQQGFTVFFTGLHASGKNAIARALQVSLNQESTRSVSLLLGENVRQELSSELGFSKR DRDTNIARQAFVAGELTRAGAAAIVAPIAPYDEARQKARKEIEKYGGFYLIHVATPLS ECERLDRDGVYERARKGEIKEFTGINDPYEQPKNADLTVDITKQSIAQAVHEIILLLE KDGYIGSK PHYBLDRAFT_133227 MATQSPTEAAPRRSSFVQPKPRALRPFNTSEVKILLLENINETA VKSFLKQGYQVETYSKALVGDELLEKIKDVHVVGIRSKTKLTKQVLNAAVNLKVVGCF CIGTNQVDLHAAAHRGIAVFNSPFSNSRSVAELVIGEMIVLARQLGDRNIEMHYETWN KVSKRCFEIRGKVLGIVGYGHIGSQLSVLAESMGMTVYFHDVLQIMPLGTAKQTETLE ELLSISDFVSLHVPELEETREMIGEREIMGYMKKGSYLLNNARGTVVQIPGLIKGLQS GHLAGAAIDVFPKEPASNGRHFTEYPELLKCPNIIMTPHIGGSTEEAQRMIGIEVSSA LIKYINEGTSIGAVNFPEIDLRVIREDDKNTVRVLYIHRNIPGVLKAINEIFADHNVE KQYSDSKGDIAYVMADIANVAEEQLHQIYDAIIATPANISTRMLY PHYBLDRAFT_158645 MSTTYCTVKDGLPPALSKNYLAALIPDQNITIIPSFTLESGVTL DQVPVAWKSWGKLNKKGDNCMVICHALTGSADVEDWWGPLMGPNRAFDPTRFFIVCCN VMGSPYGSASPLTLNPDNKENYGPEFPLASVRDDVRLHRLVLDQLGVKQIAVCIGGSM GGMQVLEWAAMGHDYVKAMVPIATSGRHSAWGISWGEAQRQSIYSDPNYADGYYTANS RPSAGLAAARMSALLTYRSRNSFESRFGRKSAESRNQSKPTTPGEANRMMHNDGHKSK LTPPSTHTTINDPPMPTPFVFSAQSYLRYQGDKFINRFDANCYIALTRKMDSHDVSRG RGDYESVLESMKQPTLVIGIESDGLFTISEQYELADCIPKAEMIVIQSPDGHDGFLLE FDQINQHILKFIHSTLPEIFAGAPTVTDTEEIKATKTSLFGEAEVDITQW PHYBLDRAFT_144657 MDYEAPNFVYGSLIDLADLNSTITPKKYGEANIVRFFILTTEEE LIVPKAANQTGISRSTAYKLKGAWSDSNGTVYPSDCIKQKSKANLNTRFSNKTLNSEY TAFLIEQIDNKLSITISGVLQLLFSRFEVLSISSSAVRNHMVNHWKFSLKNVKLYDLE RESNRTIRLRSGSINSRKAAGVDYMKNRVFFDEAGFNSHQTRSRGWSKVGVLAVAKIP KNKGVNISIIGVDQFKFVNDCIKNRDYKPLLMSPYSPFLNTIEECWSKSKSYMKRHPL SETDQPTPIIATVCKTVSVEDCQG PHYBLDRAFT_144658 MTYRIRMSDKFLRICAPFSVIWRWPLSDTSHDIRHARNRIFLPS SAILTKPKSFCDRGSFPCFSLLHRIHLDPWTAFSSVSSSLQIAIASITAHTIWRGHWE SVFDNFPFLLNVVTAKAVTSIHRSHNLNTT PHYBLDRAFT_77516 MQFLRLLSFLALVSFCYAKIIQVTDENFSSLVNDSDEWILDFYA PWCHHCKSFESSYKLLDNSLLSNGMNVKLGKVDTDSNPYLAARFFVSRLPTVVHIKNR QVRFISQRNPSALLQLLEEETWKEIEPKTGVMSPYGFMGISFGYIGAIVKKVSTMSPW TLVGGLVVLLILAIGLPAYLGPAGGDQSKRETKTSASSTSVTARPDAIRQRRSKRID PHYBLDRAFT_186733 MLAVVIYSVNVERADCGNSSVVIYSANVERADCGNSSVVIYSAN VERADCGNSSVVIYSVNVERADCGNSSVVIYSANVERADCGNSSVVIYSVNVERADCG NSSAVVIYSANVERADCGNSSVVIYSANVERADCGNSSVVIYSANVERADCGNSSAVV IYSANVERADCGNSSVVIYSANVERADCGNSSAVVIYSANVERADCGNSSVVIYSANV ERADCGNSSVVIYSANVERADCGNSSAVVIYSANVERADCGNSSGNSPYFCLMLAVVI YSTNVKRADCGNISGNSPYFYLMLAVVIYSANAGYVGYGNSSGKILPLAYIFKQVLFK FRNYN PHYBLDRAFT_63844 MAGFTQSEKFLPPSPKKIMTKIKAYFKIKLLISNNTQRLQALNT RLYPCLLYYIHNTSIKYDLLCFQSISIDIDCLTSHPYLERTTRDTQWGLYPDSEPASS QTPGSHDHLFTTSNKSQYDSYHCLLDHFVGSGDPVLPTLLKKYLRVGRIIPATNEIWA APKSAKWIYIIVLALIDSLMPFKLEVL PHYBLDRAFT_167630 MLGHSRSTHKQCLMNPKNISLHIPQKRTNVDEYPAESSRTAALR IRSEPVQDQNLDIETSTFISVSELTEFPLANETITEVLEAVMEEEIEETSSDEEVTGR EEEVEEISTVNRGSILPHCPHCNGTDHRRITSRFCPNNNSSRARGSRNRGRGLNNIAR LPAISEPAVDNRGDMDIECRFCGAMMWAHEKNSRSSLRSPTFSMCCNKGKHVLPQIEP TPTGIAELLNYRTRDGKKFLENIRSYNSTMSFTSLGAKIDTSVGNNINGAYNFRIHGT ICHRIGSILPVTESDIAHPKFAQIDIYDSAAQIDQRQYHSPQLERSVLEKIQSILMET NPFVHLFRTMDQISREKGQSIDLTLRLVAEGPRDQRRYNAPTASEIAVLIMNNEEGTS RDIVLHTRANFQQNINEYHRSYDALHYVLLFPHGEDGWTIDASSLSGEHVTVMQWYSN RLMYRRNTQHLLHLFGRLFQQYIVDMYAKVEQDRLHFITSNQNRLHVDLYSGIQDAVI HNDCGTGKTFVFNALLQKTRQQGKIALAVATSGIAALLLDVRLAFAMTINKSQGQTLE SVGLYLPAPVFSHGQLYVALSRVRKPSTIKIMLDTPANSNETANTVFTDNVVFKEVFD I PHYBLDRAFT_144663 MSLESPSIEPNKAIQLAIDELKSQFELPFETLQHIVSNMRKEMS NGLKSDNTNIAMLPSWITRHPTGQESGEYLGLELNATYVRVYLVNLHGQGQITTRQQK YFLEESLKRGSINNMIDFLATSVDHFLTFIGKCRITEPIALGFVLSFPLHHSALNKAH VIQWTKDFEITGADGKNIAELLQTGFRRRHIPVSVKAVINGSVGCLLAHSYRSLDTLL SCTISTGTNAAYWEKVKNVVKCKGADENDDMIVNTEWGSFGDRNPDYLPRTYYDNHVN RRSVNPGVHTYEKMVSGLYLGEIARNIMMDFVDRRLLFNSQYTQEMNSHYGFETSYMS AITADKTINLEETKHILESIMNVPCTTLCDRQLVKLICDLVAQRAARLVASGISAIIN SSQEVERGLTISVEGTVYEEFPEFPRMVNEALREFYGSNADYINIGITRDGNGIGAAL AAMIGST PHYBLDRAFT_111739 MIPVEQKGAFHQFLKSLASFSGDLSSLTCPAFLLAPVSLIEYSE YWTQQPELFAAIPQADDELKRMLAFIKWFISSLNASYSSRVPKGEWEKKPYNPVLGEQ FFMSWSDVDGCGETNVICEQVSHHPPITGFFIENAKAGMSLNGHTGQKTRFSGTSLIC DQVGQSVVTLKNRDESYLFTSPSLTVNGIWYAAPYVELTGTSYIQSTTGLYCSIEYSS RGWISGERNHFKCYLRKNGGNPKEYVYKIEGQWSGKSTITTHGSKTSEPFLDVTKLKP AEMKVKDMSAQGERESRRIWQKVSDAIRAGDVQTAGIEKSKIENQQRIERSEREEKGI AWEPTYFHWIDNEPKVQSLQRMLNSTVKTKYEPATQGNWVFKK PHYBLDRAFT_181154 MNPQQNKTPDKSNVSQDPDELVDEFDEKMGLYEEQDHLDEKDGL RQETHEELEELLSDIPPTTASHGTPVNDEHHTTPTDSTQEQSASGNATGHDAEIRQIF TQFEASEETSTPETPTSTDSNGRRPSMAEIQRAADNTKGRERQEIAFDFNKFLEQMKR RSAHPITRYFKSFLQRFGQKSWTVAEQATIIQDFLSFIYGKMRECDVWKDLSDREFEN AEEGMEKLVMNRLHNATFSPSTTDDKERDEILHQKMSIFRWIREEHLDIPVTENNASF MTFAETELLKINNYKAPRDKLICILNCCKVIFGLIKHVEGDAGADKFLPILIFVVIHA NPPRLVSNVHPEHLQSEAGYYLTNLMGAITFIETMEAKSLSITKEEFETNIERTMNEL QQERPSIAHDKQKISYDNAVHPSRSPQVQPQPLIDPIKAAQFLERSTNFAQKTMQKPL NFVGKIFQGLGDSSAGSSRPESPEDDEEARQRERSQVYYQQQRQLPPLPHQQQQQEQE QQYIQQQQYIQQQQQQRYGGGQWDTNQDPNVIYYQHQQQQQQQQYQPQHYGTYPPQGH YAQPIVPGLNTEPETVAPRTVPLPDLHQEARQLFDDNLKQILSIFPNVEPDVCFMILQ ANDGRVSQTVDTLLEIADPEVTKPSIAPTASPSPTSLPPAEAPAPAPALVPSPAPTAG DDITENDLIRM PHYBLDRAFT_124466 MPSANFEAAAAAAQAFTSKPSNDELLALYGLFKQATVGDNETTR PGAFDFKGKAKWDAWTAKKGLSSEDAEAQYIALVEELKAKQ PHYBLDRAFT_19582 MFCRRSRLARSALVSRPQLIGANHSHVQRPTILHCALKKNTGAT HPAYRTFTTVPTSNPIPDTHKRSFGQPTVHTHPYLINKNDLTPGIPKTEYELRRTILM SSLPKGSTVVSVGYKTRYMTNNIFYQFHQNTDFWYLSGFNEPDSAMILRKGYKQIMFV PPKSAHAELWDGPRTGVEGAKEIFGADEAYDNSRFTSFLKDAMNADHLFIDSPSVNPS LLERVSPEMSFNSLFLYLVAKFRKALKPLSILIQELRIIKSDNEIDIMKKSGQISSKA FIQAMKWTQPEFSEAQLWAKLDYECRMRGSSMLAYIPVVAGGPNALSLHYVRNDMKLR DGDLVLVDCGGEYNGYASDITRTWPVNGKFTEPQREIYQAVLNVNKACIKMCTENAKL SLHAIHTESMKLMKRELDAIGFSVTNSDLENMLYPHHVGHYLGLDVHDLHDITRSRRL KKNMVITIEPGLYIPYDKKFPSKYHGIGVRIEDNVVIGEETPYVLTATAPKEVIDIEY CCKSTSE PHYBLDRAFT_167636 MQAFVNLNPRTWWKWHFSRRDRYSCRIKDMPLFESEKLLLGGYR INQPCGGNIGSACLSKKKGYKCYSISPMLCCSITCAKIPVLLTSQHWFTLVPLKWTDK EVDIELNKWFSLELGCFILCGDKLKTLAVTYRLFHRSKYTHNGTRTRNLRFRRPMRYP LRHVGLTVGPDTKINRKKYCSLDDNKNHVALIKAQIGLIT PHYBLDRAFT_19854 MKLTLIGVATALLAATADAKTLLFPIPQSVEWTGHISALHPEFS IGGTGNQHVQNAAKRYLNLILSERWEPIQTTFDTLDTLSQAPDLTNLEIIVNDNDIKL DIGVDESYTLDIPTSGGEATLVAETWVGALRGLETFSQLVLGSNAHTVEIQDNPAFGH RGILLDTSRNFYPTNALLRTIDAMAYNKMNVFHWHATDSQSWPLFFQSHPELSAQGAY SSAEVYTPADVQLIKSYAEARGVRVIIEIDMPAHTASIGESHPDYIVCGKEFWAQYAA EPPAGQLHPLNQQAWNLVIDIVGEATTVFTDSLYHTGGDEINAKCWELDPIILDYTQK NNITTHQLWSEWEAKLLDFVINTQNKRPIIWEDLLKDGGTLPEETIVQIWTAPAINYT SKGHDVIVSSYDYFYLDCGNGGWVGNDPRYISPSQTETANDTFNYGGVGGSWCAPFKT WQRIYSYDMTYGIPSDSPGKILGGEVAVWSEQTDYHVIDGRLWPRSAAAAEIYWSGSY DKNDKRRTVAEVQPRFYDWVYRLQARGINATPAQPKWCIKHPGRCDLNDPAIN PHYBLDRAFT_111699 VPIFLDNKSRGHYEGYCKEVLWPLFHYIVWTSTSDGRAEKQNWE DYVAVNQQFADTIIEYYRPGDIIFIQDYHLLLVPQMIRAKLPDAAVGIFLHATFPSSE IFRCLTTRNEILRGILGANLVGFQTYSYARHFISSSTRVLGCESTLTGVDLDGHVASV DTFPIGIDANRVHKFRKEPGVLPKMNAIREMYADKKIIIGRDKLDSTKGVLQKLHAFE KFLRDYPEWRNKVVLIQVTTPTHGDHSKLENKVSEIISHVNGLYGSLEFTPIHHYHQN IDRDEYYALLSVADVGMITSVRDGMNTTSLEYIICQYEKHGPLVLSEFTGTAGSLSAA LLVNPFDYAGVAKALNDSLTMSADEKATRHQQLYSQVIKHSASFWANSFVKHLVSSTE ERALQSHEAPVLDTENLVREYKAAKKRLLLFDYDGTLTRIVSVPKDAKPSPEMLSYLQ TLCDDPLNEVWIVSGRDQSTLVEWLGEVKGLNLSAEHGCFSKPQGAKTWANNLTNVDM SWQQDVTEIFDYYTERTQGSFVEHKKSSITWHYRLADVVYGAFQAKECQNHLENAVVS KLPVEILVGKKNLEVRPLSINKGEIVKKILAQNPDADFVMCAGDDKTDEDMFKVLSAA QHMAVSHQQQLNKQENSGSPKMVPVFGLHVNTPVYSIAVGPAEKKSMANWHVRTSDDV VNALGRLATTLGK PHYBLDRAFT_63833 MHYQTQRNISPKSFKTSCVIKVVISDPTDNISIITYDWILITTE IRCLYIPLPKHDNGCKLRKIGQCCSTNFLKLFMRQRNKCQGLVVRGRGFPWKLSCDRI RQRPRSGLLNAAVHSWLGLRARPGLQVNPETIQLPCL PHYBLDRAFT_63832 MACGWFHWIFFPSRLADIGADGPPSSSASLAGSTQRIGLVKLRL EPPSSIQSWTDCGLIFLNHEFLRVLGAIVWIVLCGLIETFLAELSDMRYSSTTGERHP LGDLAFDAFPYVENFQIVNYLLTTTIIYTIVAFLLQSPDWTTRWIILRRWLVIMGFLY VFRGITLVVTQLPSPLYDNCQPDPISVNGSPAARFGFLIDIIGGTAMTCTDNIFSGHT SVMMSCVMVWRIHSRIRRPFNWLAYLIVAAGLLMILFTRFHYTIDVLLAIYIVYTTWT IYMRCIQDASLRYMFGFSQHTTLDLFKSRIPTTNASAVYEYLTWQPHPLGKTWLMWFC IYADGLDIRLRAMGVFDERGQWQDCKKYAGTTDHPMTRIV PHYBLDRAFT_133244 MATESVILVTGGSGLVGQAIKWVIENDTSAQFGKKKGETWVFLT SKDGDLRKEADTKAIFDKYKPTHVIHLAALVGGLFKNMKYKLDFLRENMLINDNVLEY SKRYEVKKVVSCLSTCVFPDKTTYPIDETMIHNGPPHESNFGYAYGKRMIDVQNRAYN EQYGCNFTSAVPTNVFGPHDNYGLEDSHVLPGLTHKCLLAKKNGTPFIVSGTGKPLRQ FIYSRDLAKLFIWTLREYPEIDPIILSVGEEDEVSIKDVADAIVKAIDFKGEYSFDTS RADGQFKKTASNEKLRKYLPDFKFTPFDVAVKESVEWFVANYDTCRK PHYBLDRAFT_111972 PRPRVDPNQMPSPVQVREHDEELFSEKFFGTLERDKVPLATTPY IGLDQGNANPRFIRSTVDRVPYSKDLADTSKLPMGLVIQPLAKPRPDEVAIQTVDHGE EGPIRCSRCRAYINPFSTFIQGGGRYACNICSHSNEVPSWYFANLDMSGRRIDVDQRP ELRYGSVEFEVPPDYNSARKPVPLHYVFAIDVSMQSVQSGTLKACCEALSQALYSPTN GQSTFVPGNKIGILTFDKGVQFYNLSPSLSQAQMIVVSDINDMFLPLQDGFLVDPYEA KDLVLELLKNIPVMFKDNIKPESVYTSSVRGGMLALEKTGGHLYVFQSTLPTFGPDAL KARDDKALYNTDKEKPLLNPQSEAYTNLGKECVKNGVCVHSWLFAFQYIDVATLGIVS ELTGGDVRYYPKFATHETKKLAFQLSHDLHRESGYDAVLRIRCSDGLQVVDHYGNFHM STYTDMELAGIDEDKAVAAVFKHDSKLDLDRGVSFQCAMLYTTKDGHRRVRVHTLSIP VTSQIVDVFRCGDEDATISVMLRKAIFDLHHKIRKTVHQMLTDACVQVLTTYRTKCAA STSPGQLILPEGFKLLPIHVHAAIRSAALRGVGADMNADARSAGMTMFNGMGVKEFVL TLYPRMYALHNLTDQSGTQDLRGDVKLPPMVRCSYERLDSHGAYILDTGSDLFIWLGK NIPSSFLEDVFGVSHLEQVDSNMSSLPAFTTELSHKVHALARQFQSERSRYLELRVVR QDMDPLEFIFSTWMAEDRNAEVQTYVDFMCVLHRKIQDEMKKSNNY PHYBLDRAFT_144674 MEGYQGAPIRQPTAMTYICADCGTENQLKPREPIRCQDCGHRIM YKKRTKRMVQFEAR PHYBLDRAFT_57105 MAHLRELGKTGVLVSSIGLGCMGMSEFYGPTNDEENIKTLERSI EIGYLHKHNIYLFIETYLCSHNERLLSGVLKTQRDKVFLATKFGFIRTEDGTMTGISG KPEYVREAFNKSQERLGVDVVDLYYQHRVDPNTPIEDTVKAMAELVKEGRVRFIGLSE CSAETLRRAHKVHPIAAVQIEYSPWSLDIETNGLLDAARELGVSIVVYSPLGRGFLTG AYKSVDDLDVADVRRYMPRFYPENFGKNLELVDGITALATKKGVTPSQYVLAWILAQG PEFIVIPGTRRVKYLEENVKAADIVLSKEEVAEMRRLIDKADTAGPRYLPEHLASVNI PHYBLDRAFT_167644 MYRNKNLPITLTLTWPHKYVNFPDFTQAQKLQIKQLHDKEGIKD LGTIIKACSNVEIPIDTFELSVKIRTVCVCSTTYYALLNIPEFQSKKVDKVNILVVAL RYIRFEYNQNIPSVIT PHYBLDRAFT_63827 MSIPELPFEIINKIAHFVPRKDRVECITVCSLWRAGFQESLWTE INIRDAKHLDYICGLSTDQLNIYKVYGRCTRTLHLPSFSYIDKKQFSVLQNYFQEIQC LYAPEESLSTIIRDQQSDWSIWGSLKYLKLCIPKTHLCGRVREVFGILSVLPLLSQLD LTTSGSGDIHTYVLEDFETIHQNLPLLRDLSIRPNLGKFSSHDLVGITKVAPAKHLSH MKTRFDGSELKWLDYFSTKYPNLQTLELEDSMDVESKYIEHVKDLQTVYQALGVFPRL KSLEVSSRQSENVACFNLWKIIQRLSLPIKKLRLELSNSGSSQIKRQISLCYQSFGES LEEFAFKDPSFLPCNLDIPNKLGVWPRLVTLELDIQHASFPVDIILYKCKTLKSIRLS AAKIFIMEPIYSATQHHGLVSIHLRKTNVSAAVFSYISACCLKLSRMSLLDVCIFGPT SQFTGHLSICMKYTRFSHLYFKNVLFSSSVQNWCSDTAINILIFSQSSVLKPQEIIPD KYQSVKYDNPTQDSSSRWFHTYSIDTSDLPKSEVRILNIEEIQHAQKYFDSYRTNARK EIYSEDVLRSSTGQLSKELWKFDLSRGYASFYCDYTESYCLDFTPKPYE PHYBLDRAFT_167646 MSILKLPLEIMYLVANLLAPRYKLECVRVCILWRVVFEDSLWET IIINSPDDLKGVCNAVTTRTNRYNKAGNRTRRLYMGDSISAENNQLYSLQKSFPNLKY LIISRNSLRNEHNIAKRGWSFWRELTHLKLFMNESGTQNQIQKLHRLSLYLPNIKNLE CFSTIKSRAPTYTLEDFEALHSNMPALEYLCLPANVAILSDRDIELIFSTKPAKSTTG IKFQITTIDIRWLCYFAKKYPNLSTFEWIEVEPFYRHYVFETEAAQILSKLPCAFTHV NKVHIRSSPKSEKVVSILYRALRQNKLLAKIE PHYBLDRAFT_158651 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGR PHYBLDRAFT_158652 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_158653 MNGFVLCANFDIFVLVLVCPKKELNIYFLKLMLVRVKKKEICYG CWCQF PHYBLDRAFT_167649 MPQSTASSNSNSYSSTNSSSPSSRPYGSYLLSRFPHLRTPLASD FEAFAFQTTTNTVSSGSRTPCESEENIPLVVGTPSGAPSGTSSGASSGTPSRTLCGTL SGTSSGTSSGTSSGISSGSPLSTLLGASSIVPSKRVADFGDDRVGTACKRAFSFPRDS SNASDNVGFFEALSSGSDQSCSSYERIVGGQYALFGQAASCSRPEVCSGSLVSDSDCG VVSEVPVCSSGHSAPPKTSDAADQGSSPSKAADKESSDHLRNY PHYBLDRAFT_144679 MAKNPIPKTAPNHDGTLIKFVCLDSVSLVKLVGQLSNDPDSSII ANYHDKNGGVQANAHDELTTTHTAILVGFGQGTGSQTSVSVPLGGSLVAAGSTDRIPA GITSEISRENKAKVFKLIRGYMRKDKFTSTEPVLVSANEGNPRSLSKKIVANLLGYPI PKLAREGIKTGEFRTMVHTNFRSIILKYREDLIARAATNARGRRAARETEHFNRRVMA CVSNKTAIDVLMEKNCSELMMESASSEGESDDEFPGRPCKRIFNNLNFIIDEIVRTNL GSNICQLLDRNLTRLSEKPVPDDVALCFPPWTLRDGPQ PHYBLDRAFT_80362 MPGARELKTDEINSYTEPLVYELVQLYHRIRMPTFGFLVGEVIC AALMVVAYDIPVARKTSEFTAHNSTCVFFKYTRHFTRLADTIQVDFCGFNESRWCRVA LRRIGYMLRIDDMIVPNGHTVLRSKFDKKFADMKAAE PHYBLDRAFT_167653 MVSILSFNTTRMETENNTFPLQENTGVLSSAIEQNFEECNCTEF LENDDGYTLVLKKTGQRHSKKAALNDAFRSEMALLLYMLIPSILHTVVLRYLMNDDAE SNVIQKSGSGEVLARQSDLPVLDISPMPFDNMFV PHYBLDRAFT_181163 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLPIASL TPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_181164 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_144683 MTAATIAPDAPTATPSLTTATTTTTTTTTKAAIPLQAKQKVQVR RVQGQRLLQKPTGPSEGTVALLINGEFREELIALLGKAKVSPPDNFDPTAANVIADPK LKEEAIEVRAVVKILQIAVSDYVEGRKTDSTIAPTFLPESAPAIQCPWTQIHNFAIPT TLPKPMDGISLLIKPDFPHHVHPLPIINPHVLSCRMYPYTIHCVYLPPRLISSECQEQ LVLLPADNFTIFCGEFNARPHHTTGDTRGRLRSEIMANWVSKEQFHDMQMKIHDELAL DSDHHLCELSFQPVFIPQLPTENSVRRLWKLQRLEIEEVYKKYQRRFEVGSGTLQREI QQTLEDTSISCPVVLEAYSERLNETIYKALDDSVGRASPRPKVWKNFWNAELQHLADR RQELYRWRRRYVAANQDLRTAVRAARRQIWYDFCDKIQKAPSEMVSALKRMKIRQRSP ISLTSPEGPLTAANNMIDHLENVFGGSTEIRTEPAVTPMEREVPWDVDQVKEAIRRLP RRKASDIDHIRAEMLKPLVSTLGPLLHMLFKLCWRWSWTPVA PHYBLDRAFT_71498 MQLVSVNRPVTVARGLCKAVWDPVLLLPCTRSERHRLIKWRIAW LSPTPSVECQCGAIKGNRNHMLLYPATIALVQKLWSLMDPAPPPEVHLIDYALNCLPR SFKSPGTWCDCWLCLLSLLRVVNQTTSSYNLPEEKVHGQILIDLAAKLRATKPTRLHR ILPPTQEPVPGDPFPHLLSEISTVPRQPPPSVPARNCA PHYBLDRAFT_158656 MDERDENHLINEMKTNRDTTLRGLTTFMSDTLGHSIPKSSIRRT IRRSGLFSCLRFFSWDGNTRVLRYPQEGHREEFITTRLQCEGVSIMVWGCVRAGGVGP LKTIKNTLDQYAYMDMMKRKLLPFMEYLSENNDGEFIYQEDNAPCPKSKLAEE PHYBLDRAFT_71496 MYTTAIFCTMNLNINLIDFGFSHGSKEACACVKMSSCGHYNLVL APDNTCNCSKNTSVIFPNGTCPNRLIIKCRTCHEKPKKPKAVIKKKYYAITTVEYI PHYBLDRAFT_167659 MDGSEVMHRLWNRDLAAVLNFRHILKNLRYDGTIPVRFTRVIRI GCIRRQAEEDLQEAKKKMFCFDCKKHGGVEIGASLKFNYKRLYTPEDLQQFTKSANFL FSIEYIMLNKVFDLLKEYQDFQPKYYFDSTLEPLASLPKKEKS PHYBLDRAFT_167660 MTDTQQAAIQRALDAEKQVHRLEREVQSLRTRKTPGRSKDIDSY GNDRNEFQLSEQVKDLTYQLSAKTMELQRLKETSNARLLAEYEDKLKKMRDIFAQATR NLDGYRATIATKETELAQTNELYKETKQSEERLKAQAEERERDYEKLKTEGSSRKAVD ISQIKRLEVKVRQLTTQLSQVQADYENYKKRAHQLLQQNSDAKDQGKYTELEHQLNQM KLERAIVFVDLCVGVSEQAMERTENERQARLVEQDLRQALERIRYLEDLEIKQISLQR SLEEKNRNIKEIEKQISQEREVHEQSARTHGLTWCKTALQSIRTAHEENLQLRYDSLP QNKFREHTPKQEPSRVQEQDKENDTERDDERAGWEATIERLEEDNKRLREALENKEKE MEVKQVVSEVAPEAIESNEIDLYASMSHLLSPFVGRQEEPVDMTKQVRRLGDMLQESE EKVNALRAQEKASLMQAGCELVLESKEVFCNRRLDSFDKRQNMNAEYLKNVLLKFLQS ENKVFMVPVLAKLLYLNQDETDELQKAVIT PHYBLDRAFT_124483 MKTQNNENQLWRSEDGFLINKKSNLVIDVRGGELKSDTPLVQYD RKMTMAHNQRWGFRNGYVYVLADPQLVLDVKGGKKKDGKKVILYERKQEDNVNQQWRI VPCGAYHHKTPEEALAAYSMPPQYAQQQQQHHQQQQPYGQQPYGQQNQYPPQNSSYGG TPGYVIPDNQSPRYPYGQQPNVLPYEQAQEAHKQVYEERKAHLSHQVIAGAAGYEAVK AYIAHQESEGKPVNHQFAKKAIAGLVAAQMLKFAEEHDWSGSDKEKATREAEQAADNY FTREINSRQ PHYBLDRAFT_144689 MSTPIDREKECPFLLRVFTKQGAHHRLNEFTVDNTPSSDELQLY TWRNATLDEIAQLIQQVIPEAQHHDARISFRLVYLDSARATFLYRDLGRILTSKPSPD QAKTLEDCNFVIGDYLDVAIFIGPPPARQDARAGREGRFNRNPRFGGPRGNDREPREQ RFGRDNRDARDSRPAFGNNRRGDRF PHYBLDRAFT_133256 MTSVQFTVGKLDAGMAILLTEDHHLIEFPSLLLPNGVTSGSIVN IAVTRNVSEEEKKMQEFWDLQEKILMEFGNAEPERPVLRVKNITQTSLLLEWDPLVLH TATLRSLSIYKNDTKVTQHVQSEQNVAKLSGLEVDHEFEFYIVIKTTAGSYESNRVVA RTHRMENLTGIRVAFGMFEEPEPAITELKALVEKMGATWTDEVNSETTHLLAQLPGGP GYDQAVRYSIPIVKPDWLVQCNKNKKIQPALPYYIRQLSC PHYBLDRAFT_71490 MSNNNTAERLGSLESSVKELTERMDICEQKIDDLASGFDNMKVS DPHSGVYPTPKRINGRDQRFDTIKDIIQKESKISAVQAELRLENFVPVVHELLRTYIQ KIRNTRARIPLRWSDVSDDDKERIYHLIEEGANEHNITLNLCEKSWGARCLAQPQWVK ARNAVFSMGSGNSKTSK PHYBLDRAFT_71489 MGSVKGRDTLIKRDGYTELDNNKRLNRNNDIKMVTIIRNKNSNP SNIMIYKLQLSEVRALQPNSGSLLANEPGELTVSGTVTYQTHTHVHYTLRGFTAVCVN SPNM PHYBLDRAFT_71488 MYNQPADPHHALHNTPATLGDEEDDSDDQKPDKRSGRRKIKIEY IEDKSRRHITFSKRKAGIMKKASSQACLCLCLLSETGLVYTFTTPKLQPIVMKPEGKN LIQSCLNAPEAASDLSMPDIPVNVSAPPTPAPVNSVQVSSSMSLGPSPIEASYGNYPR KTYTEMPGLSVGPSLENEQTSYVDSMSYSLPFGGNAQPHSTVYPYNVPQQSAPVNKDY WRTRSNGSRVTEADGNHQYASISSRLLTSLLLPIVTCLVNIKGLDNHGFVNV PHYBLDRAFT_111733 MAELWRHLECLQNPLLDTPTTLYCSASVSTSSTSTSSTQSTPNI TYDLPLFDPPLLTAKGWSIQPRILVVDDDSIFRSISTRILQFAGCTIEVAVDGLEAMS KLRTDSYDMVLMDIMMPNLDGISATRNIRQYDSWTPIISVTANQSKHDIQEYLNSGMN DCLAKPLDQQMVMAMLDRYCAHLRLDSLGPAYFKGKQPIVDVYTHYHNKYTTANL PHYBLDRAFT_104004 MLQDNSYPHILSWGPSGETFVVKEPSKFAQRVLPKHFKHSNFSS FVRQLNKYDFHKIRNAEDGNRSNGNQSWEFRHSNFKFNQKHLLEAIK PHYBLDRAFT_124486 MTLTYLVTGASRGIGLEFVKQLASAGNTVIATARNIEASSGLKA LAQDNVILTTLDTGDSESIKKAVEFVSKVAPNGIDVLINNAGATGDMSLDVLNCTPED YRKAFEINVIGTSSVTQAMLPLLRLGKTRKIVNLCSVLGSIERTENGNAWGFGMSYAV SKAAEGMLTKGFSCALKDEDFTIVAVHPGWVITDMGGKNGELTTTQSVEGMLNCVYKM TTKDNGTFFDYAGTILPW PHYBLDRAFT_167669 MCLIIKCLFICLIENMDNLNLFDKIANGSNKSGGTDTNGIQEDL YENLSESSTTTIAGAQPVGRVGERSWTFLDEKRLIAQFEKALQLTRMRSVQYSRRKMQ IEANAWRTLVRQFNAHAIQPRNKNALEEKWKKLKAEFKEQYDVFHAAGKNGVMSVTGF SSGSQTTTLNESTQEPKNEPSNEETTSKEEPNNIPLEEIQTFMEDIFDSTGRSETPQY DDMDSNDDQGMPHSLNRSWIEHKDDEFMDRIEYMNYKNMQQRERHFSVFRKQGEALLK SVDLAGREAAIATTNIKEITRCMQNSNARLDSLFDIIKRRFEPSDNKNE PHYBLDRAFT_167670 MTHLRIQQKEKKVLKNALKAIKEFPFTTLLNRKQFNSLREQVLI SINSDQTLIFERNLQKIQPHKKTTLNFVSTIRSRIKDSTFCRFKKSVQIDVQAFWRLA KESGIEDYPKGSKNEEMSNFYYKLFGFSIIGFRTRESLSNDRKVFKNVVYSDGYSISF LSSSHKEKQFKCHPKKSLRIFGIKSKMDVKSGPWTMGQ PHYBLDRAFT_41919 MLNTPRGCVGVRIGERLDQRYQTLSNLGRGVFSSVVKAKDTETN EEVAIKMIRNNETMYKSGMRELNFLKKLMEADPDNKKHIIRFQRHFEHRNHLCLVFES LSMNLRDVLKKYGKDVGISIKAVRVYAQQLFLSLSLLRKCNILHADIKPDNVLVSESK NTLKLCDLGSASDASDNEITPYLVSRFYRAPEIVLGLTYDYAIDVWSAACTLYELFTG KILFPGRSNNQMLKYMMELKGRFPNKMLRKGQFAAHHFDSDFNFMAVECDRLTKKEVI KKMSFVKPTRDIKSRVMAASSAGVTEDEGRLLLAFIDFLEKCLALSPDKRMTPKEALV HPFITGKV PHYBLDRAFT_144698 MTFERISSQTAVATLLATEIYPRPIGFLSPTQDLHPRYSYSGAK RPQSRSSVLIFSNKRLSRDPFQVLSIKAWVARLPTLLEEDTSKMARTDEITSHPMPSH HPITKPVSIYPPSRLVDQFHYSPTIFLSPGRPRFQNLKILLDAQSRHSPLSNQTWLNT LGPRLSTIEEE PHYBLDRAFT_181169 MTSYATPPFAYDPSCKPAKSILKQVRLGNQGSSWFQSMNSKLAI ASSPTTDGQIPESEPTSPQKSSGLMRFRRLLPAQPVAPPPETSVDNTQEELLPKELKR VRFSVRNLTTEYYPYQTFPSGDTKETMSANEDPISEQFEKTKMSVEPATRQRTTPQAL HALYDMACKSKEEPLFPGFLTQLLANQHRTCLTRLDFSNQPITRRTAEPLADVLCLDF GLKELILNNCDLEDDTIKVLLHSLLLTDTLCELSLMDNKKIKTTGFKYVAVYIKGASK LQILNLTSTIPDKKAIGYLAQALIGTPTSASPSLSSLILDNCGLKLPLLETLAAGIRQ STCLRHLSLRYNRINYQGAMWVGVMLRDYDDNRLYQRGLESLAIDTNELRQGVQYIAQ ALRRNKSLLSLSMNDCKIDTKGCMFIGEALKYNQSLKTLSLSYNSLGQPTTDGVVAIR QALYVNQSLKELSLAATELGSEAAIALAECLPENSALNRLDVSKNPSIDIAGLLSLST AIKINYTLTFLDVNIPPNDRDLAKIQSDIAAYCTRNAQSTTSPNTQHQQRSAIRRTPS QNALSITTTQATARLSLQERLAAVTQNASPRSSSPSKSSIRTAEPVESPKRSPDQDLL DNATGQITVFEEMLSAESHNREVTKENNPANNTIIQLYRQCQASQDAVSLKIPRIADP AVVGIYLGLNDRLLAAIGNYNQLYPVIPAPRPRVVISGGSGSGSNSPRTPSSPRLNSP TGSTGSRSPINCGSFASGSVASGSGSGSGSSSSSSSSSSPISSPRTSVPIQSELVDPE MPLSPFEIGDDDDDEEESFISARQSSPVNEKHKNLEELRHAKEIEEGSAFKQASKAEE EEEP PHYBLDRAFT_124492 MSAPSKTDAKKDQLIGDAKDTVGTMVGNESLQAKGQAQHNEGVV QETAANISGFVQGLSDQVQGTVTGAYNALTGNTTGEVTSKAEKKSGEAQKAVNS PHYBLDRAFT_181171 MAASQLPFEILTIVATFTSTQDILSCTTVCKTWTAPFQNSLWHT INIHCKEKAKYFFHALSAHQDIYEKNGHRVRSLFLARNLGMIDAELCRMQAYFTNLRC LSIQYGNICTYSQRTVGNWGLWGSLKHLEIHFPGLTKKSRAKAMFKMLSVLPGLERLI ITEDYGNPNHRLTWRDMETLHSFLPRLYYFHMDVPFGAIYPEDLDKIRCLSPEPNLIT VHLFNNTLSLGWLYYAAIKYPEVTNFGWKVNRKEESTLEYYENIECPYYDDPYDYSPG SPLYSDISEEDDMVFETQEEALTAFATLAQFFPRLETLAIDERQMKEWVHSVFWVTLR HFDVSPKHLRFSLGEPINAFEKSQETIDKCILACSTTIETLELEISDSHLFHTPTYFD SFSHLVHVKLKMYSVNLELDILLDNWVSLKTLSLSMDVEKISITTKIPRYPPLHGLQT IEIELAVMHSNVFTYLSSRCRQLNTMVLRQVEIQDSVSSKTGCFYFNMPHTQLKTLRM HEVSFYYLGERDQNDDVDRFVNLVTIEQDKDIVQHDDSDKSTAASLSKDDPVPHSHPL WVHICGKFKGSKAETVVWTLGKKEVESVLRYFEAFQSNSKSRGIDRKVTRSYRGLVTK DLWETDIPHGYVKLYCKYVGEYYIDNFELSNATPYPS PHYBLDRAFT_144702 MYSPSIVPTSPEACHDDALFNGLCNKHSRYGHKRHDGFLNTPPH QALSAIVPDRLSKALFEITPTDILARKEVALSGAIGFRSIFGISNTSTYPTHLILDSK RKATMEQGSHDLDANLRSHGQINGCGGNSDQDMEKSRTPVPTYPAHLIPSLLSKKAPL PTINFLSAENSSNIVFEELLEDFIVKSTMYACPEYDPTDKCAHNYISTKPSMDTSQSN NVSDSATFPSGGESCWPTPCLSDVSSVFDISEQSPLIHTNSINNTITTNHNIRDFDLF GPTHLPSPKKPKYKNLTSPFQAKSSSCFILPARTTNKRKRMRDASVGITSKRHRCSLC SYEGAHTGNFNRHIQTHYIIRNKVACSLCGSPYANKYNLSRHVCKQSVINSY PHYBLDRAFT_144703 MNCRGLVKSGNSDSRSLSIRYLRSLSLDILTLQETHANTDAPQQ TRAMILNSHAHPFYLHKRVKSYPMKFNTPQIIPGKPSQNGVHGSSLRQLSPDIVLETN EDLIPSGHETAGNDEVEVQEAAQYLEEEGESESDNEIQSSTGNTSQNIWYTGIYDKFI STSNNQRKVNRLWNNLLKTLKEDPEFVNMSTVFRSAVDSNMCSNRFELLKRDFMEESD RVYAQIDCENSQYRMPWYHEMRQITINDGLFTITYMISSGSSTSGATITRMSSSGRIT RGYLGTSRPLKVIDEGKVVVERPTTRSSRVITLPTPTRRSDAIPLPVKTSQGRPNNIE HYGAILELVSSVYDEVMEETRTAMRDVKEASIGTTEERAEKRRKIVEGIEQKFTDIEI RDKMIEILKEQEKSVEEREKREQRKDRKDNRRKEIEEIEEIEEKK PHYBLDRAFT_167677 MSYIDAAIAPNKHVQVPVVLFSNLSTSSNRVWREMSFPKKKPLI HEMHLTSSAICTDICTKGFPVGDQTYFPSMGIAPGTTILRISLTQLPFLPRPLLEETI KTALAAYGTVREVGLHLRSGFFDGSGFAYLERPLRPDLTLAKLSYKIPYNGGLCFLGT WKQMGHHCNYCKDMGHDIENYPEHPKDSRRCFTCDQTDHLQPVHPKAPPVDVSSFKKT RKVTAKLESRAQPPKTTPVEALKEPTEKLTASQDVATMAPKTSSMD PHYBLDRAFT_167678 MSGRLIAQIIVSVGTVVGRAFIAAYKQAAANAANGGGPVAAARG GAKEGAVDALTRKTGLSIEEACQILNVTKDADIAQLTKNYDHLFKVNDSAAGGSFYLQ SKVVRAKERLDMERAEELKKTEKPQEPPASSDTPTGTA PHYBLDRAFT_71474 MAGAKKNSCYPNSTLDFADLLPISNRQKGVYRSAIFHDVDLLLT SFVSKDEHTYSSFNKVWNELEFVYVHFGCLSQDFHPDFMHVFFDAILSVIYALYFMYH SQPEVWEKIHIRVTPEEWKTMFDFYIYCCHEKIFLESVFVFKRLREEKAFHFTITTTI KGSNYLEQKEAETPNNLLDRLRNFKQQRIECDAAGINSNEHATKFKALAGKFKRAKEA TLRTPQATIAIKELLEKRANIKESNSRLLQSALTSSALAIDDNAFLEQLDTPTTKLWK ERESRLRDSWI PHYBLDRAFT_41915 MKITLLTAALLLTGYVTAVPVIQPIRFSEGKLAPLYTSDDAETL GGQYIIALKPHLHPQQIEKHNTWIQAKVSQDTAWIDHRVRIDHIYDTQYFKGYSGKFS DAVLQQIRQSNDVSFVEKDSRVYATELQRNAPWGLARISHRRPLDLRSYNKYDYQTEA GKDIKVYVIDTGINTEHVDFEGRATWGKTMPADDEDVDGNGHGSHCAGTIGGKRYGVA KQALPVAVKVLRSNGSGSMADVIAGVDWATQQHEKDAAEAKAAGKPYKGSVANMSLGG GKSRGLDMVVDGAVEAGMVFVVAAGNDNRDACDYSPARAELAITVGASNIKDERAYFS NFGKCVDVFAPGMNILSVWKGSKYATNTISGTSMASPHVAGLSAYILSVSDKILTPKQ VKDMIIELSSRDVLTKIPSDTPNLLVFNGI PHYBLDRAFT_167681 MHTKLRTRSFEKELVDLPEEARSIIDNVQLHWGDCIEFVGAPGT GKSPRMIKLAQLVASDSSQVVFVDFDCRIHLQPFENQYLYQPQDEAERYGVILGLRRW LSENTDSVVGWVFVDGWLDQELKRELVSCQAMWGFILVTSRQHTQIGSRVSTDSSVST VRRHNQRNQCHSIVCLQMA PHYBLDRAFT_167682 MSWFSKKIRFLWLSPSIARKYRSLKAAVFSSSLSISGETIHPAI RYHACIVSSSRSSVLINASLAMTSIGLLVYFKRENKFLAIYLVHGRYFTNGKFWGFIS YSCHDFGSVTEIETG PHYBLDRAFT_3228 ISDFQFIRVLGKGCMGKVLLSRSHRSHKLYALKAISKEWVITQR EIEHTRTERDILTRIAHINHPFLIRLHHSFQSHHQLFLVLDYHVGGDLATQLAFWGRF TPDRCLLYTAEILLGLQELHRQGILYRDLKPENVLVGADGHLVLTDFGLSKQFKSDLS EMEHQRTRTFCGTPEYLAPEILQAQAYSYHVDFWSLGTILYEMLTGTTPFWAESRAEM YRRVMDDLLEFPPDLPPVTTDFIAGLLERDPAMRLGTGPGGDVLIRSHPYFDSLDWPD VYFKRIEPAYVPDLTSDTDVSHFDQDFVQMSPRLSM PHYBLDRAFT_133267 MTENNKDFCAASPLKIVERAQVFNKTTSSNNTKKSYGNTILVST TQQDNPLLKYIRNVAWEFDSAIRPDYVVGKTTGVLYLSLRYHRLHPEYIYERMNKLAN AYVLKVLLVSVDIDSSSEALRELNCAVITHNFTLMLAWSLEEAGRYLETYKYFENKST DLLRERVVDDYQIKMTDCLTNVNTVNKTDATTLLSNFESFEGITKASAEAIAMCPGFG DQKVKKLQKALQQPFMNHASK PHYBLDRAFT_186752 MRRFPLVGGQKVTRTPQDWNTLKEEIQTKCIAYDYVDAADVTLS DFIIELLKLGKQPSELNSELQNLVGSDYDTYLTEWIFNRVGELENVKPEPEAQPEAQA MEVEPKTIKVERQNRIFAQAISSVSSTRNNNSESPSRALPVSSRRPLERSRSRSPIRQ RRQRRTSENESTNVFSRLGGPQRRESPSTSALETASTNGSVFDRLGSSRIQRSSRIQN DFDEDMDMEEHITINDRPKERCKYWPSCRQGEQCTYFHPKTICPDFPRCPKPANECMF IHPETQQKPPALPLLQQQQQQQQQQQQHMASVKMAIPCRFYPYCTNPVCPFVHPATPV PVTPSQRIPIPCNNGAECKRPDCHFTHPSDSTAEIICKYDGICTRPKCFYKHTIKTPI KPIHANMTLRTNNSSNDTPTNQRQFSVSEVSERIVLGESADLIKSRVTEDIDIKDVVT DE PHYBLDRAFT_71467 MKAFIFFALLVATSIGSIMAAPSSDKVDDPTKDLPKIDCIQVTY PTNGVVWTTGTVYNITWNVLFDCVYPKSVYLGKDVNNQFEFDYLVNSNLNITAGLAYI TLPDDIEKVFHAIVIGTTEYGRVRINSKIGILVV PHYBLDRAFT_186753 MPERDVYNPLLFEIAWEVANKVGGIYTVIKTKVPVTVHEFGDRY CLIGPLSYKTAPMEVEALEPPNGKIRETLEAMAAHNVKYLFGRWLVEGSPYVLLFDTG SVQHKMDEWKGDLWRSAGIPSPPNDTETNEAILFGYLVTWFLGELAAKYNQPSDPAII AHFHEWLAGVSIPLLKKRRVDVTTIFTTHATLLGRYLCAGSVDFYNNLRGFDVDQEAG KRGIYHRYCIERAAAHCSDVFTTVSHITAYESEHLLKRKPDGVLPNGLNVVKFQAVHE FQNKHALNKEKINDFVRGHFYGHYDFDLENTVYFFTAGRYEYRNKGVDMFAESLGRLN DRLKAAKSNTTVVAFMVMPAETHSFNVEALKGQAVTKQLRETVNEIQGRIGRRIFEKA LRGEELSAEDYLSEEDRVLLKRRVFALKRTSLPPIVSHNIVDDGNDPVINQLRRLQLY NKPEDRVKIIFHPEFLNANNPLLGLDYEDFVRGCHLGVFPSYYEPWGYTPAECTVMGV PSITTNLSGFGCFMDENIENCEDYGIYIVDRRMKSVEESIQQLCEQMFRFCQKTRRQR INQRNRTERLSDLLDWKRMGLEYIKARQLALRRAYPDSFVDEEEDEEVFRKIPKPLSA PASPRVRNLDSFNVDEDAEEDIPSYQLRSKKEYPAMSHQNDKTREDELLREGDIKTLN KLSIGDKKQKNPY PHYBLDRAFT_186754 MTKPIPVTVFTGFLGSGKTTAILSLIRKLPSGYKTCLLKNEFGD IAVDSQLAKESNIGVQEMMNGCLCCVLVGQMKRALQELKEKYNPDRIIVETSGSAFPA PIAWQIRELASEGFVLDSIITVIDCVNFRGYEDNSYTAKLQAQYTDVILLNKHELVSE RDLDDVIDHVNELNTDTPKVRCSADGISPDLVFGLDTSLFQLNHDSTGGSLVPLVFNP DHHANEVDLLQVIETTEQSKEPLTEKELERFLATMPKEDIYRIKGFVRLDTGLSILNH AFGRHTLTPVTHADTREETQAVQIKLTVMGQDLRMYQAKIKDGLAKNGTVTLTAAHKH QH PHYBLDRAFT_111980 MIAPLLARRSLSSTVVSRLYIQNNLSNDQTHPKLEVDGARKVAD FRSDTVTAPTDEMFEVMKKASRGDDVFQEDNSVRDLEAYMAETTGHQDALFCSSGTLT NQLGLCTHLTTPPHSVVCDSRSHVYLWEAGGISFHSRASVSPVIARNGIHVTAEEIEG NLIEADLHTAPTRVVSLENTLSGLIFPIEEIRAISEMSRGRGLAMHLDGARLWNASQE TGIPLSEYGKCFDSMSLCLSKGVGAPIGSILVGNREFINRARHLRKLFGGGWRQAGFM AATAQYCIDNIVPTMPATHKLAKYMSESLVSLGISLQVPVHTNMVLIDTAPVGITIQD LMPSLLAKNIKIGGMGTKSRLVLHHQINKEDVDEFVQVVKDVVASRQEVAAPQQVAAQ AC PHYBLDRAFT_71463 MVSIVAGYARPEEELQVDKDCESIVNNRLAKSGIHTFIVTSLST FQTPKLSIMYRSIEDMLPSGSASLPRKLEEEETCLVIRISLDLFSNPQPWQRFSFKTY M PHYBLDRAFT_144719 MTRAKHEAGVPLELIKPKKGARTSTARREQLRSQNVPEGILKKS ENTWKTNGLAAHSTYDENKRTNWDREDKINSFNDIDFVNNRHRTSNNSRTHSSQGNRY DDDQAGFQRGSYRQNFNDNDHQNNRDYYGSTRERRYNNNNRRTESWDDGYDSRHGSES QGRNEYSRKENPDRNVYRDLDLKARKEYSTVEEGRIKENRTSTDRIKRERNTKEAGGY IPSVLNSMKTREPAGLSQRLIKIVQNVTQPENPHSVKVAVIGEANAGKSTLINKIVGE QVTVVSSKAHTTRERILAVLSQDNYQVVFLDTPGIVPDNSGIKMNRTLLTSAWRSLDE ADHVVILVDSRKALMPEARLAEESIIAQLKKYSIPATLVFNKMDLLDSDDTILEEVTA RYKEGYCHIKQTLYVSALKESGLTKLKDSLFSVSQPKEWAYPKELKSEMSDLKRVEEL IRVEFFKRLHQYIPYMLKQENVGWTETEKGIRIDQTVYVERDSQQKIVVGAKGAVIDQ VVQEARTQISLALNRPVQLYIQVRTKKH PHYBLDRAFT_80095 MDQDNCFQSTFDFISLTSEDTYMYSDEKYTMWLDDSECQENLFD IMLLTPEESFVEDNHIKTHIRLFFEELGYLTEEPEPIDPTRDYEAEAKILFASRNLKT RQKHICLSDLPSLDHFPSSSSSSSIPAQSIQQDFSSHTPIPLHYTHTDNSSHVNTAHF SP PHYBLDRAFT_144721 MSPRDPNAHSHSTLLESSSPEPTLLKVCSLVPETDYRKQAWKNG LGTTTEIAIYPPNTDFKKDPFLWRLSVTETRSDSTFCIFPGYDCTMIVLPNKKSEAPS LLLRHQDTEALINVKPLFPYTWEGQWTTSCRITNGPISSIHFMLNKSFGTAQSKVITI GTSATEAEDNKILMVGAFALVYVVKGSCCISLDEHRSHARTNALKQGQCLYIERDQEA GPSQMDIRVGSFASDSTKGSSTESDDSNEKVEVTLVVIQVAESTAVTEQPHTERRPSA LPSEIRQGRRNSSLVVCPNQPDSAIKTPEDISLNTPREELQGAQPTWDSTQIYEPPSS AFFHFDSEMPPPMIRDRLVIEDYPLHTTSTAWIKMMTQGMNEWLKLPVIVCRGSADGP VVGITAAVHGNELNGVPCIHKVASSIDVQVLRGTLVAIPCVNVTGYLKFQREFSDGRD LNRMFPGKEDGFASQVYCFHIMNKIISQFNYHIDLHTASFGRVNSFYVRADMNDSGAA MLAQLQKPQIILHNSGQDGTLRSAAATRGIKSITVEIGNPQMFQEQFWSFMGVMRILN KLDMFPLENVLSEEKFIGPTTTILCSKGFWIYTKTGGVLEVYPDVNTIIRKGDIIARV KSMFGNVIEEYDAPCAGVVIGRSSNPVAMAGDRIIHLGIIKRKGEVLMKEAKENY PHYBLDRAFT_112008 IYSLDFFKDYLRGVSQKDKSAFGQHMKRYLSMYVPNAGFEICDT RRYSQEGEEAQACVIATKDWSIGDEIKMCSGMIAVLASEDDDELKRQNRDFSVMFSTR KNCSCLFLGPARFMNHDCDSNCKFIPLGQAAITLKVVKDVKCGDELTSFYGDQYFGED NCECRCVTCERQVWICYLDMHIKRIRCLHFLHLVPGI PHYBLDRAFT_167695 MILTIVRQLYLMYKRPSRSERSKGLQKTDNKYKSQGHPLQTALS SLPQLAISLAILFMIVVTNTSNQQPNAYSSLTNNDTLHSLLWLTIASAGSLCLGSVFI YLQINNANYKDWKEHSWTRQAIQIASIAFVITIPSSHIALWPIYGWTTPFVVIPAFVT IFTCLHIGTTFLS PHYBLDRAFT_177452 MSLLRSIQEWRETLWKKQPKKKPRGKDEHYEEVIRYTTNRIRTS KYTILSFVPKNLFEQFRRAANIYFLGMAILQMLPTFGVKSPALTLLPICTVVVITALK DAFEDLERHKVDARYNSTPTHTLLGYNNTNHPQPVEKNKNKINAQESSFSHTTARGVF EPSLSRDVRVGDFILLRNGESLPADAVLLASAGASNICFVETKDLDGETNLKPRQGIE EYSSLQSGQACLDNCHFYLESGPPNPDLYAFEGTLVMLTKTLESWSETKKIPISTDNL LLRGHVIRNTPWVIAVVLFTGTDTKIMLNSGETPSKRSQIERQMNKEIILAFVVLFIL SFVCAAMGGVMKLQDDKSGATSLYSRQTGSAAYVGFENFWASLIIFQNIIPISLYVSI EFVKTFQAYFIWNDREMWDESTGQPCIPKSWNLSDDLGQIEYVFSDKTGTLTQNVMEF RECSVGGRRYGNNGFQPESEGARGARLRLDPESTAPERPPELFDDYLQSMKTIFEPRY ATQDPELLSFADPALFKDLEPSLDSRQPLVDFFTLLAICHTVVRKNDPKDSPIQVPAA AAIRETLREQGRKIQANFGIKKKAGQGKAFAALPLTKVDQTVETQLMYKAESPDEAAL VTAARNCGFAFVGRQGNRATLDVLGESYEYEVIRVLAFTSTRKRMSVVVRRPAPWNDV VLYCKGADNVIIARLAPGQEEYSQQTQEDLDSFSNDGLRTLVLGWRVLDPKYFETWNE SMEKAMTSTENRSEKVDQLQEEIEQDLILLGATGIEDKLQEGVPETIEDLRHAGMKIW VLTGDKLETAINIGYASNLLTKDMHIWTLRVEDVDSLDNLAAKLVPTDNLEDGEQEEN ALVLEGSALAKLFETDETRDKLLQVALLCKSVVCCRVSPLQKALVVEMVRKGRNAVTL AVGDGANDVSMIQAANVGVGIAGKEGVQASMASDYSIGQFRFLGQLLLVQGHWSYGRI AEMVLNFFFKNVFWVFPSLWYQIYSRFSGNIFYDYSFLQLYNIIFTLAPVIIMGCTDQ DITAPCLRKYPQTYEVGIHRELYTKTRFCLYFLDGIWQSLVVFYCFFFLYEPHPSDHG SASMLQLSTGVAVSAIVLANLVPGFNTYYWTWIMFLGVGAELVINFAWIAVYGSFSST TMYGIAPMLYSRGSFWLTGLLSVVFALIPRYTISFVRQWWYSDVISTVRQREYWERRS LKKSA PHYBLDRAFT_133279 MYSTLPILHQPNVIHIGYHIHDKVAADLYQTVTASTFVLITDTR LLPLINPLLNSLQSKCPGRFLVRVIPPGEGSKSRATKANIEDFLLKNSCTRDTCLVAV GGGVVGDLVGYVAATFMRGIPFVQVPTTLLAMVDSSLGGKTAIDTPAGKNLVGAFWQP KRVYMDLGMLMTLPSREFRNGMAEVIKTAAISSEADFKVLEDGATDMEASLHTTQKLL EVILASARFKADVVTEDEREGGLRGLLNFGHTIGHAFEKILSPDWLHGECVSVGLVAE AEVSHLLGHCDYAVVERLKRVLVAYGLPIDFDAATKARLGLDDVMQVMRVDKKNQGSQ KKLVILSSIGTTLEPKASAVADDAIENIV PHYBLDRAFT_158665 MSQWLEDVHAIEFRVDLLTATNWVTTAGIQLAHLRRSKALSGNA RLPIIFTVRTVPQAGKFDPADLQTHADLVKWAHFWGCDYIDLEITTLSKEVLQNLLTF NHNLTGTHTIIGSYHDPSHKHGWTSSTEFGDLFKSTISLFQEHDHKGIVKLVGAALTL QDSIDLEVFRSRYPSKALIAINMGPHGKISRVLNRFLSPVTHECLPAIAAPGQLTASE IIQLRQGLGLD PHYBLDRAFT_181181 MKRSLTIDLPVEQVAKVVKRHLVFQPSQPTLQPSDDYAAHHQLP GGSVVDEIYKWTARIDSTQQRRERTQSISLPAIRHVTTDPLLQSLKQPGGFRRYHVLT TARLQGKTLPNLVTSSFVEFLCLYGHFGGEDLSDDEESSDDEELTDENEEDEDEDEDS TDENEAEGAEEEASGRGRVYRGAMLQTNPSYLSTGGPVLPLPVTSSSAVSKGLHHQPV QYRHLHARETMPLLSRSKPGQTLQGKATPGKAMFLLLKSFVTTGIMFLPKAFDNGGLV FSTVGIVLLALISYWSFMLLVQTRLVVPASFGDMGGVLYGSWMRTAVLVSITLSQIGF VCAYMIFVAENLQSLVLAFSKCRVLIPMHLLILAQSFAFIPLAMIRKIQRLSVFALIG DVFIVIGLGYLFYYDIKELVVVGKADVALWNPLHFPLFIGTAAFTFEGVGLVIPITES MKDPQKFPTVLKRALFFITLLFVSMGALSYLTFGDNVKTIILLNLPSKDPMVSSIQTL YSLAICLSIPLQLFPAIRIMENGLFVTRSGKNNTLVKWQKNVFRVLVVFICAWIAIVG SKDKLDKFVSFVGALFCIPLCFIFPPLFHLKALSLPIGRRLANIFLITLGAIGMISVT VLTLSQWNSSESQDPTKECILRKT PHYBLDRAFT_111822 MHPLDNPSVESYFIPGAKVFDRYFDCPLAYKQKSPEKIKVFARH LVPVDKVNDIKTMPFFLYLQGGPGFEVGLPSSATSGWIKEAFAMGFQVLLLDQRGTGL SSPISTESLAMLGLDTDQAKAGYLTFFRADSIVRDCETIREQLTADRAPDCESRFTLL GQSFGGFCITTYLSLFPTSIQHAYITGGVPPLVNSPDVVYRALYPRILAKNKLYYKKF PHDIERVRKIHAYLSQNQVRLPNGGTLSPRRFLQLGILFGVSGGYDTLHENIVFACAD LDSLSRLSYRTLNRIQELQGWDTNVIYAVLHEAIYCQGEKSNWSAERVLNEPQFASAF EWRLDQLNPGQPVYFTGETIYPFMFDDYSELAPLKKIANLLAENTWGKLYSRKTLKTL TTPVAGVTYYDDMFVDRELSVQTAGNINGFKQWITNEYAHK PHYBLDRAFT_144728 MNPGSKLKVMMGEPELSGKLGKGPRKKIQSREPNGNVNQNQYVN TLFQKFHALVPKFITETEQALHIPRRQSQSPDLNYIRHLWWAFERQMSDEKTSTNNIG DLEVVMRQE PHYBLDRAFT_167700 MHTLLMMYLTVDRLIPANNEIYIFIEKVEYGISLLQFALMFKYP KKAGDFVVELQILFDSFYLSNDDQRILSYKSSKRCLKGASHVLVLQYTFYQDLFNRVS TWIGYLLVKILSSEAATKPTFQIYYTSNSLKRLTWIIQLNNTKLAGDKITLEQNTTVY IVLYTISMCRKLSRDQRT PHYBLDRAFT_71450 MNSYKENSKDIVYNGSSIGVKNDVSYQGFLGSSFFYSSFKQVLN LFQKKGCSEPNISGELPVLSSSTSTSSNSPVLYLDNIAINDCNTPDRCGHVVQRFLEH FDAELRYHSKPLVDCYSESGKYALASKIHPFVCALEPILMVLPAFPCKSPNNRDKVLG VLPDRTEELGLLRIESFCADVAQYYPQGCQLTIVSDGRVFADLIGVKDELVSVYNNFL EFTLFKSRGGLKHIIFRSLDDHLAAINIDDLEVGQAGENFDIHDKRRAGLMEIFTDAD KAEAISNTMVNLGFVRYLEEDLVWPAGMSKTKKKKECRQIARKMMERNVAFSRLCEHH YPTHLRLSIHGYNSSGPKFPIQMSPNLRGPTPWHSVCLEFKDGKTAFVKRKVAAQLVK DGDFELYEHPEFKRPWGFRQLESSYIDFESVVTKIL PHYBLDRAFT_186761 MLCAGTTNTITSTMNPHFTDQSTRDIPGPSPAVESILADALFPP RPSTEQPDSETPSDKKDPLAAQVWRLYTKAKDTLPNGSRLENLTWRMMAMTLKKKKAA EAKAKAEEQQNEFNQDVSPPTHDDTTVFLSSSAPPAIDGFGPNFHSTIRPKSNHERNA MVYGSTRAAPSHLSSTIFPQTHTSNGFTSGVHGTNSITIPADSDMEECDRLSPYSVSS MEAYFSHSLPSDQLPMQFQNMGIHPPALFQPLSPEPTIPDEHMNMNSSASTSANANAN ADTSTNANANAGALSFEDLLTMYYVPQSAPDPLLSVHPEPLPLPTDKKDSATHCTNCR TTTTPLWRRNPEGLPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRSNATPITTVNKGI KSKAAVFANPTNHAIGKRASTSIITPMPKDKKKPNLAPVPFTNAGHPLRPIGSQMNYS TINSTATSNPTLTPITTNTNTNTTTTTKRQRRLSLSEQDNNNTNDNKTNNQYHISIQR PILQTVGSAPNLSWMGMMTQPDHVHPMSISDIHPGWGNLYIQSLKYFPKVFNKWKYRP SFMLKFLHVLKRSTLVPIIQHTQRKFSRVLLLLVLALVQIRLLLGST PHYBLDRAFT_167703 MSDTPLEINTQGTAAKILSETVAQKRFITVWHRKTQFNDGRVID WDIVGHNTPYPTFVTVFTFDTDTKTTCILKEYAQGTNEISSSVSSTRTFGRGQVDWRR MDQSITRGPTRWNQ PHYBLDRAFT_80089 MGEFAVPAPTHAPPPPLIYDKPSWAGVATHDYKFEILKGGVQVD QIDGPKKDLVVIGRLPICEIPMEHPSVSRYHAIIQFNQEGDAFIYDLESAHGTRLNKI RIPARTHLPIKTGDQLKFGESTRVCIFETEKPSSEQDIAREEAIAERFRARRQLPVET SEDDNNGVSWGFGEDAEEDDDDDEQEGDGEGEGTASGDAALLTVDAEKLAFEDAKRRR EELEIMYGDDDDDDAFYDRTERKKKKTAKAETYDELVERLKITEENLAAVRRKIHERE EQDKQVKTGEEEEDLDEFMKNLEKAPEKKQPLFVLKREETQLTKDVDRLIKLVKLTKP TVLH PHYBLDRAFT_95495 EARDARDNQEPLYCSCRQVSFGEMVACDGQNCPYEWFHMECVGL TAPPKGAWYCNDCLEEMKKMKRKK PHYBLDRAFT_80088 MANHSSYSGLPHGYSFSDPPQQPPVQNRTSYLGEQQYGYSFVDP PQQQQPSSGPSIPSHHHYYSGQQHGYPPVDNHGPRYPPSGNQGYPPPGNQHHESSQQA SSSFRMPSPNPYRVSSPPGHLSQVYPPVDYQVYENQQSDIYPPQQNYNQAMPLYPAPG YSRPVTNDFNGYNSYHRGETNNSYPNTITDQHSSYRSSYVSHRPVIPSTIERPKYSTE DENNDLGFIPVSPDSSDDEEYVSQQPPVQNKENYTRAPTQLTHAQELANAITEQLARQ TLDNNNPVLYPGARQSSLSVSENKPGPLVHEQDITPTPQKPDYSLLSVLAQQFLSLIH NLENVRELFCVSEYPESFTGQEAVKAIYSILDKHVPEEYCVKVATALMRGTPSLFKPV PYCQKSVMTNSVYNSPDEVYTFDEDARPNDLPIGVYTNLTKCYVYDCLPDQGGCYAPR CPNKPVSVAKKLFVSPIRFVDSGNHLQLSTSLSRQSSIKYPQEDDSNATSYPHSAWAA RVPKELLESLSSRERARQEAINELIYSEEQYRDDLDILHDVYASPLLNSGILDKDKRI AFVKDVFNNYQDLRDVSAALFKDLRELQRRYDQKCVPMVGDVVTQHIGFFENAFLPYL PNVAMAEYLVSIEKNTNAEFQRFLEEASKGPQMRRLPLRHFLMNPVTRLQRYPLLIDA IIKKTDEDHEDYAFLVHCRQVIAKYAKQADALTEGTKQKLEIRKINDCLIYKQGEQID LALLDPQRKLLYRGDLKRRNNGIEVTEKSDIHAFIFDHVLLLTKQRKTSVGEEFRVWK RPIPLQMLFVQGSGDMAGITGMAGITSTNAGQTTALMFYHLGQRGGIYNFFCNRMEDK INLINSIEKAKAELKKKQGEDNVFELKTLDDSSFRYLTSGNSSGGQGKINCSIPFVSI DGEEKVAIGTETGVSFKSLSTNIIRTVIVCGNVTELDLLEKHHILLVLTEKTLKAYPI DALDSPNNTKPPEKLCCEINQGVGFVQTGHCNNKDLMLYMRRKNNQSLFSVMEPIADL RDPKNAKLMPQRSGLFSQKSPQLWFKKYKDFYVGAEASHVHFLKHKINVVCVRGFEII DPENLNMERSIPDSDDPQFNFIQRHTEPLVPLAMYRVQTKFLLCYSKFAFYVNNRGGS LANRGAGRTPLLCEWEGNPDHIVYQHPYIIAFDKQFIEVRHFETGELVQIIPGDNIRL TYYNNSGEAAIIHGCMNNCNKPHRLHVVFSLDKVSEQFIILGALMATQVNAQDAGFDT SINRFGSTSRVVNETANMFSVEYHNWYKVVTNNVKNEKYALVCCGQSSASLTGFDAVI NIPVTSVGVDRENTVLSYMELLNVTGSAVFITANSNVTSPCYSNVPNSLPLVDNVDII IGNKASSSHPNSTRYVEFSPNADVLSPLQRASWIVYLGFFFNKEFEASTVLGNIATLY ECHASNLANPPSRPTVAPTVYDAGSRTWGFNRNAFYETLLHDAGGSIKYINSAQNAVG LNTLEFEHYIHEADYVIDNTPMETLGDSPYDKWVDLGMFKSSAYDETFLQDRTVFRWD GLVNKFGFDDWSERSIARPDLALTDAIHLLYPTYNPTYQFTWLRNFAKADTPRTVSDS DYPSCSDVRLMLSQTCSSNPMFKEIKEEKEPLKPKEKAGISVGVIVFFVLLVVASVFI FRHFRQKSQKHQFIKMSEL PHYBLDRAFT_111874 MSVEAEKPLGPIDTERPYKKLIDFRNKTYLAPLTTVGNLPFRRI CKEYGVDITCGEMAMAKNLLHGQQSEWALTKRHASEDIFGMQICGSKIDQVVKACELI NNETDVDFVDLNMGCPIDLVFKAGAGSALPESRGKMVKMIKGMQTVLDVPVTVKFRTG LKENTPTAHKLIPRFEELNVGLCTIHGRSRMQRYTKSANWDYIRSLKQEFTKIPLFGN GDVLSFEDYNNCKAQSGVDGIMIGRGALIKPWIFDEIKEQRHWDISSRERLDMLKRFC NYGLEHWGTDSQGVNNTRRFLCEWQSFLYRYVPVGVMEVVPQKLNERAPPYFGRDELE TLMASPKAADWVKLSEMLLGPAPEDFTFVPKHKANSFEVMIYIYI PHYBLDRAFT_89324 MNLFRSKATTLVNKEAEKIDNGPVTSKPFLSPPTDYSPILNPAL TESQLETQKKLRTYMTSIMLPSEHEYYESEKGFLTDQTLNRYLRARKWDFEAAKSMLE STVRWRRDYRPEQLDPDYISPEADTGKMYFNGFDKCGRPIWIMRPRNQNSKDEERQIK HIVFCLERGIRLMPKGVEKMDILIDFKDASSSHNPSLATSKRFLSILGNHYPERLGVA FVIKSPWFFLASFKLVSPFIDPVTKAKIQFVPNLLDFISPDQLECEYGGDFNFKFDKE TYWNTLLDI PHYBLDRAFT_71442 MTLDRITCIIQVKTAMIPREDVPVGRLARRRRPSFRKITPARRI RRIHLFHPGYRKNNEENIKQQDKRHRPLHFKYISLNVLLTFSLTTDENCSIGFSYRER GGVQIYRYPLVNTIFYVSHWL PHYBLDRAFT_80086 MNSSNYNIDIFNQIYIKKLLYLIEFHLMFFYMSKRIKSMTPSSL SPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHISSPSNVGANSPENLPLGDKV QLIRLPVASPSAVKEFSQVSSEEPAHLTTG PHYBLDRAFT_167710 MACCQSISKGYVFTTNILSAFFGCGLVAFGMMGIQHRFDGSLLF PVNILKMINILGIILVFAAAIGIFGAFYPNRQTLHILYTTIVLIAFIYQLATAAIVYD QAVHIESWLSQVWADSSRDYRLYAQHKFLCCGFAHVFDHPVITETCSLSSIINSSPPC YGPAIYFIRKQLSAVYILLFAALSIELLALSNAVTLMCNRQMNDPDDEELDPAPSQRP RLFGPKQSTAVNRASVSEIALGYIPKNTLRQSNPEWKYARGGSSPTLADSAISESSFK EPSTPNTIHKPDEILHPRQY PHYBLDRAFT_92821 DQGHVGWVLSDQPYHYNPADLLGTSLGKSPALNESMLSSSMDMA HSFGNFQHPSHELLRENGFMQHKYHKYHAKALRERKQSGVGQSQEMNTLFRFWSHFLR DHYNKRMYNEFKKLAVEDANQNYRYGLECLFRFYSYGLEKRFRREIFEDFQQLTLSDY DNGHLYGLEKFWAYLFYRKDKNKRELKPSERLEALLSKFKSAKDFK PHYBLDRAFT_158670 MATKAAYKRLSKEYLAIQKNPPPFITAKPLESNILEWHYVIQGP PDTPYLGGEYYGRLTFPSEYPYKPPAIRMVTPSGRFQPDTRLCLTMSDFHPSLWNPSW SVATILNGLLSFMTTDETTTGSIKTTDSEKRFYAARSHIFNLKIQKFRDVFPELCTIP DNAYQLMAATETRKRVNTTAPTTVKARPIDPQTPHAQRNESTWTRTVSQWKRWVLVLV LFVYLIMSKLAARSSSASEGGS PHYBLDRAFT_177455 MSKRTLDEDYTYLPLKKDHASRPLWISPEDGHIILEGFSPIAEQ AQDFLVAISEPVSRPAHIQEYKLTPYSLYAAVSVGLETEDIIEVLNRLSKVPVPDSIT QFIRQCTLSYGKVKLVLKHNRYYVESSHPETLQMLLKDSIINAGRILRDENDQILNLA GNSSVSNIFMVNSKPTGKDLTIPGIQKPKDQGKVDPESLLDKEQEERKKEDEKLFGAV VRIDKEDEEEDYEGEQVHAFEIAADQVENIKKRCNDIDYPMLEEYDFRNDTINANLEI DLKPTTVIRPYQEKSLSKMFGNGRARSGIIVLPCGAGKTLVGITAACTIKKSCLVLCT SSVSVMQWKQQFLQWSNIKENQIAVFTSDCKEKFSGSSGIVISTYSMVANKRKRSYDA QKMMEFLESREWGFLLLDEVHVVPANMFRTVVTTIAAHAKLGLTATLVREDEKIDDLN FLIGPKLYEANWMDLASRGHIANVQCAEVWCPMTPEFYKEYLRENTRKRTLLYVMNPK KFQACQYLIQYHERRGDKIIVFSDNVYALVEYAKKLGKPYIYGGTGQQERMRILQNFQ YNPAVNTIFLSKVGDTSIDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFN AFFYSLVSRDTQEMYYSTKRQQFLIDQGYAFKVITNLEGMDSDPNLVFKTHNEQMDLL KAVLLANEADLKDEEIDHTDDVGRITDRRVVAKSRAGAVKRTMTSTKTLSGGDNMAYL EYSRNAGGQFASRGRGGGQSSSKEHHALFRKHYGRK PHYBLDRAFT_111901 RALARDPEQHEPEREQTVKLVRSFIEYGGVKYISQNVVRAVVAI AEQPDCRLRNISLETLAELSILDIGLTVRSGGLRVVMQALLDGQLNMAEVLMPAIMYV LDTPDKRCFLRPGVELELLISPFTESNKGVNCEERLKNSARVVSLMLKNWAGIFYMCA NNMRAARSVVLALKMPVPETQKMVLDMFFDIFRVKLPKDYNNFLSGRQHTVHIDPSAA EPINPTPRRERLKLLDHHLSIILIIFIESDILMALIDMVKSDDVYISRKATLLIGEIL QLSTRLLPISMGIQVQSLPKLFALASNFDDEQVRHNATAALAHIDKLTRARARYVTPL PTKGERLATSTRTQEKVHEVKLKIGYAIDDTHFRQLLMDSQVLNTKDYTKWCWDSIIE LLQGPLLNPRRLDEAMRGKKFIKRLLAFYRPFKHQFSDIKANKPSITRYVKYGCTLLS TLLGHQDGVRYLGENKLFSEIGEALSELGPLNNNSENEPIFSKERMENTLTAGYFTLL GTLSKHKEGVRMLEKFKLFSLFYQLSELKNRDDIKVAMITNLDYTLDGHPRVLLSKIM TSGYNPIRLFATQYLGVIMRQSESEYNDWIIRLLVTQLYDTHLDVCQEAVNLLDEACE RPANLELLVKFRPSLGHLGEIGNPLLLRFLSTSTGFRYLNALGYVHKEMDEWFEHRNK HYVAQLELSLARALINTPDKKSLNPFEERVDRDGLTPPHFYGELTKTEEGCNLLKDRG HFQLFVKDIRNYSVENHDVEELRKLKAVLWAVGNIGATRNGLPFLEEEDVVKDIVAMA DSSEVLSLKGTCYYVLGLISKTKQGMELLSELGWEGVLGPHGGAEGLCVPLNLRVFLT IRNWKYSAGLPQPPRLPLSATRDPIASDILKNIGDMSNHIMANEASKNLAKLRQRYPE SFQRVDLYYAVCQLLGSYHFRSPVRKYVLEIFDMRFTPELLQGLDDVAARLIPDEGWS NQDSQPRLGSGHIHTETVVQQEKLVPKTIKIGFNIAA PHYBLDRAFT_186770 MTDALSPGSPASSVGLRRKYRFATEVVTVDNSDDPHNAASVPIY QSATFKQKTAAGGGEYDYSRSGNPTRTHLENHLAKIMGASRALAVTSGMSALDVITRL VRAGEEIIAGDDLYGGTNRLLTFLATSQNIKTHHVDTTCSESVLPFLDPVKTRLVLLE TPTNPLIKICDIPTIAKYVHEKCPNALVVVDNTMMSPYLQRPLELGADISYHSATKYL SGHHDLMAGIVGTRESSVADQLYYVINATGCGLGPFDSWLLLRGVKTLAVRMDKQQAS AIRIAEYLENQGFKVHYPGSRKHPQYELHKRIADGPGAVLSFETGDIGISERIVEAAK LWSISVSFGCVNSLISMPCRMSHASIPAHIRAERSLPEDLIRLCIGIEDCEDLLEDLE LALLSAGALPGLDAKAT PHYBLDRAFT_158672 MPQPAFRPGMADPSTMQRKRAQSKQGPQLMEDNEEASGDELDDI SSRDIAMARYKRNHDYLSEIFTPYNAASIVPPPLDIAHTKEELNSLIEEHDTKVKEQK VTHEERMKALKQEKESFWASLASLNEATNAQDIDEVTLKYGQQQQLKIEHALQGVFVR EIPGIQDEVRPMARGNEPVAAASVLPDFVQAYDVYDKQQHPATGMDMYTYQHDSNGPT EESNDYMFEEMVNASQEDDDDPSMSEFLNTADMEFDKDEDDQ PHYBLDRAFT_133299 MFTFNEESRLYWFSANPTLDEHTLQEYRLAGLLLGLAVYNSVIL DIHFPRALYKKLMNVEVNLQDLKQLDPSLGKGLEQLLVFDGPVESEYERTFQVDMESC GHVYTYDLKPNGSKIILCKENRQEFVNLYTKFLLDTSMEQPFGAFVQGFRLVCQDSAI KASIFRPEEIEQLICGSSDLDFEALERSTVYDGGWTKDSAIIKYFWEIVHEFSYEEKK KLLFFATGSDRAPIGGLSKLQFVIAKNGGDSDRLPTSHTCYNVLLLCEYSSKEKLQER LLTSISNAEGFGMI PHYBLDRAFT_80078 MKFSKDGQYMASGGKLCIVYVWKVLSSPDKLEDNSIKVFEQVPF RKYCGHTSDVLDISWSKSNFLLSCSMDKTVRLWHVTREECLCVFKHLDIVTGIDFHPR DDRYFLSGSLDCKVRLWSIPDKNVSFWNELPSGQMITAVGFTRDGNTACVGSYIGCLF FYETQGLKYNTQISLKTKKGRKGKKITGIKSMPGCHPGQDMLLVTSNDSRIRLINMKD KSLLYKYTGAENVSMLIKASFSDDGQYIVCGSENFNVYIWKTEKVGTLPMYSKENHIK PISSHDSFGEQMKIASEQTPTHPQETHIAPRLSNWFKRREHRTNYKRPSRDEHFEAHE AVVTSAIFAPTKTRQILANTNKDTIYNNTPVNNLEQKRLSVNQDVKREGSLGTIIDDI VADQKSPLYENGHIIVSADCHGFIKVWRMDSGVYLNSRPSSIKRYSTDIASLAPSLTM SNIDLHDAGCGTSTPAGSMKKRNSPFTKMFPSPNHK PHYBLDRAFT_167718 MTLEVAYAHLEARLEGGEAVTKLAAWETSDNIVPFLFAISDSTI PRLTLHNGPNHWALSVHGVNAALTLHFTRGNTTEAKVLYKAIGRIAYKLVQTTIWGYH MNGMAISLWSSLAPNQRNILVRSIEERASRRNIPIGRFENSWVEICHLPWKNLITCNT EFYR PHYBLDRAFT_144748 MNINDLCNPVTKNKTSGYMLDNSFFEQQPTQMDINITYPAYDMM DINNKASVNDSIDFDGFLAAATNNVEADEEDTFHMEAKSSNVEMEYTSSEPEQANIEY DQFVPIYTPNSRAEAASLELFSLFIANSVSPKTDSLLSYYKLDTLLKQEYTVKAQVYD MCASECFCFPNVRPGNSIIENETYQVVLLLEQLCFKLAHPEEQAKIAYGAEVLAAEIV GQGDMVVSMFVDQFNPFKDTTMSASIIHVINMNINPAKRYKKGNMMQLAIIPGLKHPK NIASFLEPILADL PHYBLDRAFT_144749 MATGNTPAVSYLMNLMHHNAQYSCHHCFACDKSIAKTMCFLKSK GFAPIRDVGSICRAKGSIKLLTFISSAFFGQDEIHLLGHRTGHQLYQALGDKFCPGTA GPGRKSHSMHLQKRLQRLEYPFALNVSLDDIKKAVSTSRADILMAFTIINHLCFTSAK QAVRDLVLAAIGHWHSFLRCKILDGKLKSNIFVMNQHMLVQLGFILWEMGPLWAYSCR LIERTISTYTSAIKSSKEPGKNMENVLFWMAAISHCCGNRPVRTRPADRRTSNFEVAS DDVAGPQLWSREGVSSFEEQDEVICTTKMWKDLVVYRVQSSFDSRHVRANNLIVLEHI YGFVCKFFSHTVKGVTRLFAATESLFDIRPLPGMLFPVSSNRSQGEMCIVDVKSIKGM ADLVHDTKDGAIRHIF PHYBLDRAFT_167720 MNNNNNNNTSIDSSAEILRLLAFIINSRRNANLTNVELLLNQIS KQDLILQQQIERSRQQLLDCAANIMIESLQLVSCDYFFMIYTCRSHSFTLERGPQEVN HVRYGVFRQWWYVRHPTLTDDLGSNSFQLQYQMSRANFELLLNIVSQHQVYEAVLNNN SYPIEIQVATVLWRFANTHFGYHLTEQFLGVSAGSYTRFTMRFITAMSDYSDKFVNWG VHDSETAARRAAEFEQPERRGVRLPGVIGAIDGKLISIQKPSLHGNS PHYBLDRAFT_71426 MIVRTGQSGHVNDARLFTDSSLYINMLFDQDYYFPNNTVILADS AFPIMKNMLPPYPITTEDPKQQVFNKAYAKTCHHIENAFGLLVQKWHFLLRHLYFLAR NILEDTLCTFENQVHGFDAYTNGGDDNDVEIEVEDLDPFMNEIPVDLENASRTVNRSV RQLQSAHNLSQEHGRQRRERMMEVMRPLTETQTRWFQADLREQQRQQRRQKRQDSQLR AISRRGGRRNNDQYNQ PHYBLDRAFT_167722 MCKFSQKKNFNDTSAIVYPGLSLLIDGDSETACVSLAQEEHVTT PVTTPGSSEIGGDHGHGGRGSRGSRACHSGCDGRGGSVVDQDETGTQTQVPSRVNISW DHQSTVILLNEVMKPNYMSLVDMPNTQLRGEWWDQMVVTFKRLLSQNGLDVGASNDMI TPRKLQDKWADLKNFHSVQRTLNRTGVGGHTGEERCPYYNYVFEILRDDPSENSGVNV ESMVRDWRHGVTISMTSRAEASIAELQRNIEVAASASAVASVEPSLLSTSDMNIATAT SNSNNSAGPSSRLPTRGTRRTRKEYEAERDDMLFERLLGMHRESEERTKEVLHSLAAS MREESEALRRDQQQQHQQTLEMLERIAREPDNRLLSVIGPFFNTRNRNGENNNNDNNS PHYBLDRAFT_71424 MHVFVYPMQIHLIGIAENIILSFSLTLRFSSNQYLFTTVEIRPE VVEKVIYDMVLSRVRSIELSVERCSSIREAIRFGAKYVSDRMVEAINSLECLSRGNSK LKISSRVFIIFGPLFTRPQGKDLYPFELDVFQEAEDMLVTGSCVKGC PHYBLDRAFT_144755 MKPGLSEVTIRTKKNGIEDQMSVEESLSGCGFLGDGDIGRGGHR RLTSGWARSSGGFRAFAIGSSQWNGHGDLQANALKMAYLMTAVTGADFFWAFSTVFNN MTHILARLAMHTHLILSGNECGCVIQIISDVMGQEFQCVVGVWRLSNVVCKTGTETSV VSRENNIRKLSKIQLEELRSGKNGRDGVDRLIQNSEALWQDRRAEISRSKNYFDRDDR VLGRSDDNKNLVISKQGVGYCIEGIQSV PHYBLDRAFT_144756 MSLLTAEDVTIAKTMLENHVKFCNVQKATSDKVTGMKKLRISNM NNVKYSATRGYKKSAHGATELIRTMEAKRFSILYNKKESVFGCGVCSSLNCGEYTTRL TAMEESHKTMDSNIGIVIKGNKDSLEILDSIVNASGELLVVIVSTTIPASAFAFFGIS SAAPSVAPSVGPVILTDANAGELSKQDHTRILALIQEELKKYNFKSNKTELVAANDSK HSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSEFYEARKKTNSWSRKADRENDHFDY RKLTYHTFKAEIDVKVGKSCNGLLQKEAMSEGKSEDNMPGVSSNRAIRTYNHFLAVVD DFMCNCMDFNSHQMLKRSFGRDTVLAVLPRLTSLLPHWAFRDKFQ PHYBLDRAFT_167725 MSPIPELYNEKCHCAGYSQNDLIYSFVARRTAQRHNKRILTKCY ILNAAILTNQPGALKESYTKTNSPVWEGASMSDTEDVSVTNDVISNDNNDDSGSNSNE INEDKSEDNVIELDNNELNSEDPFATPDMPQNPVHRFIATFVVMFASCYVVDKGAVVL IEFINKLLTIYKQDFQLPLSLPDLQRMTGFSAMTKGIKKFVLQLHHLGYLNLVRGTII DPIHNLFLGTPKRLMNRWIKDEDIQDSDFAAMQKIAETMIVPGGYTSLNSKIGKQFLY MKADKLKFKNWINFVDARCLLIKPTITFNEVNTAHQFLQTFCTRYDELYNAKILTCNM HLHLHLQNTICDFGPVYGYWLFGFERFNELLKNLKTNRKIGFKETFMKIFIEDVHKDD LVKSFLQSTHQTSAFPLLTKLISSFTPATISSIHQCTFRIQSFVKASKDPNVLVKDNE PLPPSAFPLSLKSATTMSDIHYVHLLQYYKVAYNNEQLVHFQQASESPYFVNNTITLL KYINILGQVYKGKGESGSHGSLVQAKFIGSTSEHIIVYTEYEYIEICFPTFSLDDFQC VLPVHGIMLEVATAKYTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_167726 MSDINTTLLNSIQKIEVDLAEIKQALREFQRQFSNQFAPAVSAE YLTTMQQSIIEQSSLERIAKSVKRAQLTEYPDQLAQKYNLLLQILQEQDWKARYKEVP QGQPLPPLVPLSDHDLTVKRLHLKTLGRMVKHDIIDKDYPAASKEWKNIPEKNREYYM MHLERLAKNGGLHIHQCKRMWCARSLLRKSFKSDNQTHKRRMAEKNKMCCLLHPQQVS SLLAREAEDRSLAAVIGQV PHYBLDRAFT_167727 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYNSSQISTNTSTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEPGGKISHGETVDALLKSKSSVKDHEYDVCSSGCRLYGINYDQESCVDCSKPRYKTD PDQGQTPAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTDIFDGDNYKQL VQQGLFSNPDDIVIGLYTDGFVNQKKGKNSYTIIHCIIFNLDLSIRWHGMYFDDISAR LRPLEDFKVGNPSKNIYQLSIYTQLSTFSGSSFFVLDELHLIARGIGKLVYDLITITL TKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIPTSFQGSFDNVFAKI DGTRTVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLMKGCALALQWTLTSELLDEIES TGTRFYINKSRIVPCLVVFSDQCNITWSIYPISSSSKAPCNATPLAQWRDTAISICDE INLIRPKPYGRESYMDLPNDPSGVQLWEPFHQFVNLNNDSVEGVGSPSVKEALLKYYQ RTTGLTGHEFGDSVVVVAARLWMDSTVYSSCMYRRKKNETSRGNHYVMFTCPYRNNRN VIVHSWLIGTVQFYFQHVDFYGFPHFLAFMEIMKEHDAAGHDSSVPIIKQRSQSTRIL GHQTQPTYAVISVNDICHQVGLIQYPLNGNQFYVIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_71419 MVEILLGFDQKNGSYVPTFGPTAIHPTVVSVSVSILLLLSYDAN SAVDLPLCPNFEGQPEKIWTMETIVTSARKEIPDQDLTHISELTLWIEILKSGIQLVI SFNICPQYYTNWFPFLLHYGKKIVFFEFVASFLAYNNQKIAKNPS PHYBLDRAFT_71417 MLRILKKYLYVISEVTRSMFLQEQYSDSVASRNTSCTCGDPDTR LLDLLHLRTYSYYYTLISLKSGQEALLGRQEALEKKQDAMQLQMTSFYNEFKDREFPD RTIVISTSTITGIIPRPISKINDITLKHIYKMITDNLRIELTEETKRIVNTCNKVICD QLAALPSVQDLGTNPAWSLFPQEDKNRLCINHSIILRDNRINFTRCHRNWASIARVSQ LWKGCKKREYSASMIHE PHYBLDRAFT_71416 MNSTTKTYTVMCTCSSCTKNAIGGILQNAQTFKHHNNADKLLDI GPKNRVNTEVVEEETDVEMVDVSETSIDYEDNYSIVSAKTTIQSVPFLREDKIFQFEE SDVETTSLASDNDDPDSSDESEDESEVEVAGVEDFEDMVASEILAFVVASLKIHEMSQ TSQFMALFGVIFQAFYLVQAGGTAMLKFFRHLLVAFDKDTNLPLTIDALKTMTGFNFM TKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYSGNPLFSDTKADRAVPLMVF PYNSLKNALAQHFAKPGFEHQIVQWRSRETVNSTLLDIYDGAMWSELLDEDDEPFVNH DHSLMLTLNVDWFQPFEGRTHASGALYLSMNNLPREERMKPENIILVGVMPGPKEAKI DQMNNFLEPLKTAGFTGFASTNACHICKRHFTVVAGTSKINYSGFDHENWVSRTKEEN ATEAEMWFCAESDAERAVLEKQHGTRFSELHRLHYFDPVRCTIVDPMHNLFLGTAKRM ISVWKDLRYLPTAVLVRMQRLADGILVPPGYAVLSTKIESGFPYMKADKWRLWCLIYS LVTLFVKACRKLTGPSVTYSEIDSAHQLLGEFGKECETLYGESSITPNMHLHMHLRES MLNFGPVYAFWLYSFERYNGKLKNIKTNRRNGLEVTFMRVFLEKAFIGSFLRVYSTNL SSPLIEFLEGVAQVKSNSDSSSPLNLDAGHPPALPFSLAMFQQAATNPWYNVTGSEAL PPTTLPIKLQPLTMMKDDHYQWLFEFYVKAYQSTSVSFCVVGRIPIGEDVFVNNRIQK VKKISLLGQEYCSGEKKKRGSFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRV STFAFIKWFPTYHSSSHQPLADQGLQLWDKGFMEEDASCIVPVHRLHSCFALTTHKMQ SGTQKHLVIPLPRKVVT PHYBLDRAFT_167732 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQWEILPSLTVSAE LDGTVLSTLSTMSTRLNESYSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYC LPTVSRLIRSQTRAVLATMPLTVNEGAFLTSNRPIADVVQSYTHQQAEVKSVLSAVVE EKTRRHILYMLQRAKALPEKIARQNRISRRRSRKRNILADYKVIHLADKTNLESKFGE TVVDLLNYDMLSDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRLANKGPDVIGNSV YPIILRNTELSNEKKARVAAWIHTRQQ PHYBLDRAFT_167733 MSNMSFLTASKIMIKRFFWPVNKDIYLGRVYKMYTIHSIVTGLY LGPLFLIIHRLLFSTDRQIIFYRQCHCILCLSTLLFSELSDIHLFSCLTLFKQTGQEG VPQQAMEYSRGRLHQGWTNGCSKGIT PHYBLDRAFT_144765 MGRKNACSILTSKMVRREGGKFCAKSPIITIDTEKEAGEKEPVE NQIVEEYDWELLDLDMDTMIAAEDLRSSIMHNKRKMKEELEANKDKKVRTLADFGFLV PVAPVSPVTEALTVYKQSKDEELEKIREAYEKISEMIKSPVSSDSELGKFALFEKIEA SEKAAEIFWTTPSKYRGEAVCSWVKEFLQFGKISEHQQGKHAKQSSIVDDEDLKKKVI VWLRTQKAERRTVVDLKKYLNEMLFPSCLGNNQDVYYDGHERQDLIQYCYAWAMRMIG YKQCLSDFTGEDEEIEMTSLLLENQKKLVMVTHDESTFYAHDEKVDMWLEEGESHIRK KGQGRSLMFSRIFVPNLKSSILPLKNFFNFNHHNNGTMRVKGWVSCRIFNVGVAYGSY WTSKDMLDQLKNHVIPLFKSLHEGCTGVFIFNQSSNHKAYATDALVATHMVLKPKIVS ENDKFIFKDITFLRDGCIIPQSLYETVFEAGRKGKGPVEKRQFVGIQWILLEHGLWME LDPFNLSRRWRMDCNGEEAKNHCCCARHLLASQSNFSGQKTAIQEAVEEHYWGAAKRV ARLNCDYSFKLLEKNLLSFLDSASPVAGSPSMIRRFYKKTWRYIKAYSKFLDAKDADA EVKKFTSRISKSYCSRHP PHYBLDRAFT_167735 MSSSNASQQRDRIFTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYNSSQISTNTSTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDNIM MEPGGKISHGETVDALLKSKSSVKDHEYDVCSSGCRLYGINDDQESCVDCSKPRYKTD PDQGQTPAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTNIFDGDNYKQL VQQGLFSNPDDIVIGLYTDGFVNQKKGKNSYTIIHCIIFNLDLSIRWHGMYFDDISAR LRPLEDFKVGNPSKNIYQPSIYTQLSTFSGSSFFALDELHLIARGIGKLVYDLITITL TKETKFYYTHPDNTLNITEYPFHIPRADLVTIGNCITSSRKYIPTSFQGSFDNVFAKI DGTRTVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLMKGCALALQWTLTSELLDEMQS TGTRFYINKSRIVLCLVVFSDQCNITWSIYPISSSSKAPCNATPLAQWRDTAISICDE INLIRPKPYGRESYMDLPNDPSGVQLWEPFHQFVNLNNDSVEGVGSPSVKEALLKYYQ RTTGLTGHEFGDSVVVVAARLWMDSTVYSSCMYRRKKNETSRGNHYVMFTCPYRNNRN VIVYSWLIGTVQFYFQHVDFYGFPHFLAFMEIMKEHDAAGHDSSVPIIKQRSQSTRIL GHQTQPTYAVISVNDICHQVGLIQYPLNGNQFYVIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_73957 MYGFSQKCPLGFVIENFNQISCYICNIWCKFCCVDTKRLYHVHM SYCRKLKKNLNMCDINTTLLNSIQKIEVDLAEIKQALREFQRQFSNQFAPAVSAEYLT TMQLL PHYBLDRAFT_167738 MTETHVDFIPIRTNKIPSATARINALINYLWKKKQETDISIFLE EQKLIQLQFHMSTRQCHHIMHKDGINMLAKLSREVQEEELSSIDEAETNLLNNLRMQT NYKYFDFNHNYLKLLMNILELYTTLEQNCLNIILIEKHQQL PHYBLDRAFT_71408 MQETLFALQKGQEELQIGQDALKKGQEVLRDEQALLRQEIANVC KDMNGQESPEPTINLSRAIPRPVPNIKDITLVHIYRMMSHDLGVELDKGNKAILYTCT GFVCDELVTLPSVQALGQYPNWSAISQEDKNWACTRHACLLRNNGIDFTRCHKNWASV AKVSQL PHYBLDRAFT_71407 MSSIKKLSLINAVLAKKTYSNMKMAEKCLTLCLKNQLENMRNAH LSATPLLSMPSQSVSAAIPGLMLKENTSTISHESTDGNNNTDLNDPMCDIKCDSEIES STSPLVFDFSQPSSVPSNNNAKNLEFMKIINDFDISCQAHEKLAVHLNSILGMSTEIT YRVCISYLGKKLLKRFSSIEKTVYDVCQNRYMMFNDTEEVACKHCGEAHYKSDKTDKD SILIAKKTMVQIPLARQIALSLANNGTRHEMLYHHNHEQKADAARQTSLMGMHINQSN TFSLKSRMLQIAMIPGPSTPLDFWSFLKPTLADLKVLQEEGMVVITPTLTIHAKVHVL VVTGDILAVARLACHAGLLFFALDEMHGLCHGIGKQVWGLIGGKYEIKQSLFLPANVL KEIGVAMTATRKTVLTAFHGS PHYBLDRAFT_167741 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQHHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGNNNDSGSNSNEISEDESKDDVIELDDNGLNSEDPFATPNMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGPQRMTVFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCNFVKLGARSSCNCQLTKTSALGALVAKRSYLYQSLHCLGYLD LVRGMIIDPMHNLFLGMPKRLMDCWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNSK IGKQFLYMKADKLKFKNWINFVDVRCLLIKPTITFNEVNTTHQFLQTFCTRYDELYNA KILTCNMHLHLHLQNTICDFGPVYGYWLFGFERFNELLKNLKTNRKIGFKETFMKRFI EDVHKDDLVKSFLQSTHQTSAFPLLTKLISSFTPATISSIHQCTFRIQSFVKASEDPN VLVKGNKPLPPSTFPLSLKSATTMSDIHYVHLLQYYKVAYNNEQLVHFQQASESPYFV DNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFTHSFT PPPISSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPENDKSREYKHVETCFPTFSPD DFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_71405 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIDIVIKGNKDSLEILDSVADVSGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSETFFGISSAAPSAASSVAPSVGLVVLTGANAGELSKQDRTRVLALIRGELKKHNF KSNKPELVAANDSKCSWDVNIDYRLPPNRQLMHDLHAYLAPKADISDCIYTNFCGTRR RVKESYEARKKTNSWSRKAGQETNHFDHCELTYHTFKTEIDMKVGKSCDGLLQKEAMS EGESEDDMPGVSSNRAIRTVHPSWRSDEYNHFLAVVDDFMHNRMDFNSRQMLKRSFGR DAVLAVPPRLTSLLPHWVFRDEFQ PHYBLDRAFT_144773 MHPLHNAILPKLLSTIKHGYEHDEPPSHEHIANQELSFHTSVID MDILQQNVILSCRFSACGKNPYTENANTSYYSAVLTFSYVQKLVLPPMRVSKTRFPKK PGGTTSVPQDTSLGQLTIDINVHESGITHHGLPPDQTTEDTHCPVSVTRNGLMWCLLP TNLTSNRCFLSAVGSALVS PHYBLDRAFT_144774 MSNNNNNSECKCSKYFSNSMRFVLVSTQTLRRHAQQDTVRQYQS ESSSSVVEVMSNNNDIEIDFEDNIDTED PHYBLDRAFT_144775 MPVDPTHAFIASFAAFFISKYVVNSGGASFRLPLSINSVNSMTG LSDMTQGIQRFVACGNCNKVYKESNVVPECCNFDTKWNSLKDSNGLPFVNHECSIMLT MNIDWFQPFNGVTYSCGAIYLCINNLPRLERYKKENVVLIGLMPGPKEAKSMMPTIQC PSGALVRAALLLVVYDIFAVCKTCGFTSHSSTCACNKCNQQFPCLPDSNAVNYSGFVF SEWVPCTDAELWRMASSDAQRKRLEKENDRFSGLITDAHLAEIQLDADKLVLPEDYTP LGTKIRRGFPFMKADKWKLLFSVHDSDYISYDDILIIWDISKSWCLVYSPVLLRGRLP EAHLGNWTTFVNACQYLSMPSISMAHLDEAHQYLEAFCRECEKLYKALFLLPNMHLHL HLFERCNDILKNYAKNRKDGFEGTYMKKYLEEAYQRDLIRQTLPII PHYBLDRAFT_144776 MSHLPGVLFFWKDLERPIDMILLQSDQSKSFAEDIILSFSLTLR FSSNQYSFTTLDSPEINFDIVKGNEPLPPSALPLALKGEIPMNESEYEHLLEYYCETY NDQTLVHYCQAGHSDNFINNRIQKFESINILGQIYKSKTKNQRRSFMQALFETSDGRS TKPYTGQIQYLFVNTAEVLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAVGEP LGLEGKVQMCVVPLPRKIYI PHYBLDRAFT_144777 MTGKNRYDCGNILSIDVISDGELDGNNKVRAYHSSWRTDELQTF ISTIDELTVIHLKKISESLKKYIPYEKEVSISENLAVTLPDWCFSK PHYBLDRAFT_144778 MVFAVVVAPIDTSTPEVAVDTAPEFQVAVTPMDHVLTLLAANNV SMQSLQENAKGVTDAITYLKNDLDLSNKTNEFLKNSVLQLMTENAEIKKSMTSQNSVM PSAVPADSSSSMDDDLDLGVKHHPLISQLINRYIKKPNFVSTDLLKVAENNNRSAWSM TGTYGNKYNKTLALALFKYLRP PHYBLDRAFT_167746 MASRTNINQNARTNGSTSRPLINAVNTGHIESSNPMIAPRPENM SIPVSEFNDVVSLLATLNDKMTAVSSDVSELKVQCQVGAQSTGMQAVLDSDMDPQDII SSSRHPKISYYSGAVRDINLKTDDLELIRENDDKPTWDVNVGLSDEFNKNLASDLMLY IRRQPVAAMVPPKELCGIIVNSYYNRLAASKLTEEDRQTNTTSNRRGNRKTALNKRRK RTYTKHKDAVTEKFNQDYNGVFYRDAMSGDETETDTSVVASRPDWRSDELNTMFNFLD ELARDDLGKRAMQLKSRSHVLVHKTIPRGLVTKMPTWSKRI PHYBLDRAFT_167747 MHNLFLGTAKRMMDIWIANNLLDDKDFVEMQEEANRMVLPVGYT TLKIKIGKKFPFMKADEWKSWCLIYSPVLLKTRLRDDLLGNWIHFVNACRELTKPSIT KNGIKKAHESLEEFCVGCEDFYKPDVFTQNMHLHLHLKEMIEDFGPIYGFWLFSFERY NGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQAHLRNVISPLLLSLFLKLSGRKIY NPALSPHPLIPSFFHLPTFLQSAEKPSKQTFGNEPLPLSALPLCLKPPTTMRKSEYDC LLDFYKIEYDDDSLCSAKTTIRNCWFVNDRIQKISSINLLGQVYTGGEGLVVRGLHIQ AKFIEKSGDSEERYAGRIKYLFLHDFTPNLTHTNLSPCHNPQHVFAFVEWYKIPRHQP RIKQGIELYEPEFLKYDYDNILPVHRILSPIAIGSHVSGSGAAKVVVIPLPRKLYA PHYBLDRAFT_144781 MKGILSVSLSSLFTLARFYETHSSSHSDAPSSQQSSGLARVNKH PSYERAIPQELPSHATVIAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFVLGTSNK IFHPKYNKLAEQEVAEDIE PHYBLDRAFT_167749 MTNTRRERAIALRPVEITNQQLMEILSTVRAYMSTVKGQIGNVE QTLVNMNGRIGVLAITSTNTISAIDSLARTPLAAPVRAELTVASPVVISNHEPTHEES NAVYAHIHNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSPTREIAERLLSNLERR FGSSSMRWSDLRKRLHTNFTSRTRHERMSDDEIVETNALTRRAAHADDNECRRVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESANEMDEDGIKHVIHIVQPGWRSDEAMGSTA NQRIRRITTSVSNSAVPDNISPNFPRWALRDGL PHYBLDRAFT_167750 MFPSIQMHNTDCHCTRCNNNDQGVSRVSRRTAQRHNKRARFEAE KRSMEVDTEIIPTYQSDSVEAMDGQANSPISDAISTFDNDVFVGNDYNGDESDTTDDN DSDDNGEEDTAKIYVEEFNNEDPFAASGMPENPVHRFIALFTVLFASCYVVNKGSVVL IEFINELLKIYGQDFQLPESLAGLHKMTGFSSITKGIKRFVSCPNCHCIYEENMSVPP HCVFTNVGARSPCGLRGTIIDPMHNLFLGTPKRMMDWWADKKTIGAKEFAAMEKIAET MVLPRDYTKLTSKIGKGFPYMKADDWKSWVLVYSPVLLHGVLPFEMYNNWMNFVRACC YLIKPSITFDEVNSAHDYLEMFCKKATKLYTPTILTCNMHLHLHLHETIRDFGPMYGY WLFGFERYNGLLKHIKTNGKDSFEATYMRSFVQNAFKGDYANAVLKSSSHVPFFNILS KLSPKFTPTTTVITLSSHPFRLQSFLLASSNPHLPPKGNEPLPPLTFFLQLKKSSLMD ETDYAHLLQHYKTSYDLPDLVSYQYATLTNSFVDNEITKLKFIDLLGQQYRGKNGSAS CGSLVRVMFVGSDGRNTLAYAGQIQYLFTHSFTHPSNSNLHLTRMVHDHQHVFAYIKW FNTSSDRSREDDGLEFCLPTFSPDSRHCILPVHRIFLEIATARITTSRNVSKMLVIAL PKKLYA PHYBLDRAFT_167751 MFSIANPDEVHCKCTRCNCNPLGYTITDKRTAKRHAQNDNDRNI DKTINEQIVLTAEVNTGEADMDVDQIEEHIEYDNYSDGAPSPEQYVNTHLPLLVEESL FETEEYTSEYESEYESSDEFEQEEQNREQEQESTENLPENIWHQVIAVFTVIFISSFI VDEGAVILITFINTILGHYGEDFRLPTSIPGLRKMTGTGKIRTINILSR PHYBLDRAFT_167752 MQEIVKLETLFRSCGGSQQVTNLLQKIKKVTSEFEGKTGHPLIN FQAPEKIKYPGRRKGDARPKYLPKDFGQANWRKISAGLKAMVRLRARTRDGKPAAAQK TKNNKKQNKSKKEPLNLIDATKNKIKQIKKEPLDPVDATKEIGFKRPATAQEDYQYDY HTSVGKRVKFQPSFPVSHEIVDDVKGGFNPTADGWCGFWVLAHLIYKDQEKFPLVKRY MLATLPKYSSIYASTFGTDVKQLEDIIKHGSDLCITNPNSNSNFIPACLDATRQSFFY LYKSYQSVSLRQHKLSITCGLNDSPLCFFIEIICGKYFTLRVTHISSELKSINVRITF FSFVFYETFTGFICYLNICIKGFIIFISIMKVFEKNQSQIYFFICKIN PHYBLDRAFT_167753 MLLYLVKVIFLYEKIFYYHFAQKQVVPPKEGEIYAWRQFDECTK FGSNLPLLLISIESHHLLIEYDRRHIISNICIPAIKVCIPCLLLKGFKLYIASKKY PHYBLDRAFT_144786 MSLGRLTIDVNAHESGTARHGSLPDQITEGIHRPYSGEYPRLSR GRPGFNSRSESFAMLKGRPSQIRKDIIVVFDLDCSAKSRSGISFLALVTKLQNQDHWR SSSL PHYBLDRAFT_167754 MSSNTQQSKKTKKTTTKKSVQQTTGTAASTRQREILPSLTVSTE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQTLTTGEYIKCR LPTVLRLIRSQTRAVLATMSVTVNEGAFSTSNRPIADVVQSYTHQQAEGKSFARKDSS TKSDFSTPVQKEKYYKAIHLADKANLESKFGKTVVDLLDYDMLSDIESDEEKNKTRYT PRNRHPLVDEYFTVLKKQRLANKGPDVIGNSVYPIILRNTELSNEKKARVAAWIHTCH Q PHYBLDRAFT_144789 MHNLFLGTPKRMMDWWIEERKITKKDFVMMQKMADKMVVPNDYM VLGSKIGKGFGHMKADEWKYWVLVYSPILLKAVLPTEMFNNWISFVNACRNIVKPSIT FSDLNDAHQFLQEFCNECKRIYTANVLTCNMHLHLHLCKTICDFGPVYGYWLFGFEQY NGLLKNVSTNRKDSFETTYMQSFVQDTFKGDYVNAVLQCPSQVPFLPLLAKLTATAQP SILGNEPLPSFTFPLHIKPSSAMSNVDYSHLLDYYKVTYHIPDLESYQFPFSSFSFVD N PHYBLDRAFT_144790 MQSLFLGSQGNNRLAYTGQIQYLFFHSFTPPVDNTKLQTRTVYQ DKHIFAFIKWFQIEHDCSRELESVNICNAEFIACDFECIFPVHWISSVVAVCDYKTST NNKKRLVNALPHK PHYBLDRAFT_144791 MPTPNHRLAPSLASTNAQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNITVINDIDALSALPAPVHVPTSVAPTSAALPTTKSGDTNAVFGYIHDYMWN PKLKSRDQAEIQANAIKPKWAVDVRFDCSPNRKLVEQLLYYLEKKFAGTDMRTRDLCK CIYTNFCSRHCQQRELLETRQALNTNSRRSGCETDLVMSEGESDDDMSPSQPRNEIRV ARPSWRSDKLNNFITEVDSFVIKQLGANSHQLLKRVYGRTMESTVPIDLDSALPQWAL RNRS PHYBLDRAFT_167758 MRHKVVFQDDGGHLLLEYYFLQPAFCQARYQICVSLFDYTLSDI SRMYLYSKTTGIVLIILKNMFISILCQVFSAFIPAIKVCIPCLLPEGFKLYIASKNLR TDNRSTLGFRVPVVMMIRISTIDDIVPLLKMLYHKNCDDIGSIIYIFGTFDPDEITLF FQ PHYBLDRAFT_71392 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISLHQETELC PHYBLDRAFT_167761 MQLAEFCKMHRPLSVITMLIKSDVEATSLASDNDDSDSSDESED ESKVEVASVKNFEDMVASEILAFVVASLKIHKMYQTSQFMALFDVIFQVFYLVQAGGT AMLKFFRHFLVAFDKNTDLTLTVDVLKTMTRFNFMTQSIVKYTICNKCFAIYLPGNHQ PNCTFEKYTTTPPAYCVNPLFFDPEVDHPIPLMVFLYNFLKNSIAQHFAKPGFKCQIE MNAIYADMWACAESNAERADFEKQNGMRFSESHHLHYFDPVWCIIVDPMHNLFLGTAK HMISVWKDLGYLPAAVLVRMQRLADDIIVPSGYTVLSAKIESGFPYIKTLFVKACQKL TGPLVTYSEIDSAHQLLGEFGKECKILYGKSSITPNMHLHMHLHESMLDFGPVYAFWL YSFERYNSKLKNIKTNCRNGFEVTFMRVFLEKTFISTFLHAYSTNLLPPMIQFLESIA QVTLPLTMMKDDHYQWLFEFYVKAYQSTSVSFCVVGKIPIGEDVFVNNWIQKVKKIPL LGQEYCSSEKKKCESFVRVLFLGRTNDNVSEFPDQIEYTGKMT PHYBLDRAFT_71390 MTGIINCIRKGHQNQTKPDENSIKLNGTGDRRTNGLRRQEGHKQ LRGASTNGSASDSRSEGWAFESLVPHFSSFLLWCVVLLFFIWVVISMLLSFLIWDGLP FTIAKSKGQTHWGSESIYVGDEEYTCGDITGQQASKTYYRSYSFSQKTTIVLYK PHYBLDRAFT_71389 MTKNNPKMEHSPLTTCEIKKSDILVPYIKGKNHAKILPQFSGTK ISSLLQCVPRSYVINWQLFHLFRILEQTLTGHCFLKRIKTGCVSIIPSYSETMESILP DATETGHQLQGLASSEEGVRSENTLVSSYLVGILASTIHE PHYBLDRAFT_167764 MSNNQKKDSYVICKCPDCTKLDSCGKKQKRQNAQRHYEKHIVPV AKDDAMDVPEEHFDDMEVDSIDSDNDNDYDYKNEGEGEYEDKNEEQNIEFDQEVDLPL SQEESIFTVKDTITGAFVVDGDEIEEGDTSFDFEQEENFDETSGTSIVESVRPSSFDN MPLYIRFVAVFIVIFHLIFLVESGESILIEFCNTLLSLCDMSGALPLTINSLKHKTGF NMATDRMTVYIACSQCHSIYPPETSQRVCTFKKFSQSAICNNNLFKVSTGNRSLPAMI YPFNSLKYALQQKFSKPDFVSKINLWRKKENSTRWSELHRLSYFDPVHFTVIDPMHNL YLGTAKRMIQIWRECNYINEKNQLTMQELANGIVVPCGYARITKKIADGFSFMKADKW KSWCVIYSPFVLKHVLPAKNLENWILFVDACRLLTKPSINDKEIDEAHSKLQLFCTRF QTLYGKSAVTPNMHLHLHLGECVHDFGPIYAFWLFSFKRYNGLLKNIKTNQKGGFEST MMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQLAALHPSSTASTFSLSDFV EYSLNPRHSALGCEPLPPSVFPIKLNQRITMCKGHYECLLEFYRHAYGSHDLFGHYSN CESNQIFVNNRIEKMKRISLLGQEYSSGSYFRAYYLENNSEDKAAFPGRILYLFQHLI TINETVITHTFAFVEWYSSYSSGSYQPMLNEGIELWNEPSSVLNYECIIPVHRLYSPI AIAKYRFTITSEFKRLVIPLPQKIEA PHYBLDRAFT_144799 MSRNLNNNSVNNDFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLALKRAQLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAETTASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLRRQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLSNRAHFVNSFGENVDSILHA DYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFVDELDADYEAAHDKKNN THPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_71385 MNNTDNTVIQLLQGIQAALISLKSGQEALLGHQEALEKKQDAMQ LQMTSFYNEFKDWEFPDRTIVTSTSTLTGIIPRSVSKINDITLKHIYKMITDDLRIEL TEETKRIVNTCHCKRQPI PHYBLDRAFT_144801 MSKITKAPRYQCRTCKSTFNNSRTYKRCLERCMTNRAELLANDE VSQTVSLPDQSQENINTRSSEDMDIIDSTEDDESMYNFGEECENVIDKIEGTTPSLVF DFSQSLPVPSNDDKKNLAFMQLIQEFGISRRAHEKIVEHFNQILDCSINITYRACSPH LGNKLLERFLGIKADGYDICIRGCMQFNNKNDIACVKCGEARYKNGQTSESDTRVPVR SIVQLPLARQLALCLADNKTRTEMLYRHNHQSSQDGQKADVFDGHVYQSMKHLFSGEN DIAISLSMDRFNPHNVPGSVTIVHATVLNLNPTIRYEKNRMIQIAMLPGCTGPSDIWS FLEPTLRDLRLLQTEGMEVKTPPTTIRAKVHVLMDTGNIPALAKLACHVGHTSKNGCH ICHVVDQTPKHGQYFRMLPGTQTRSLESFRNYNLASSADRKGLNGQSPLASMETFSGP FFFALDEMHGLCHGISKQIWGLVRGKYGIKHSLCLSLAAQREIGAAMVAAKSTIPTLL HGAWRDVTKNASFFRAVDWADFLLFVVPTLDAERVQDLVAQNVLLGLVQTCNLLMSWE LSTEDQTSIRSNLVEWNLFLESLLSTADIDIGVFTINQHIIQHYPQMIDLYGPPRAYS TRSVERAISEYFRSIKSNSQVSVNAGNIMIRLAQSRRVAELTTVANTKTPPANLLVYS AYTDGWPVTEGGDPANAECEIEFWGSLKNLTIFNSFEDRSHLSLLLKTFHDLKGEEFS MLEPSIKTSRKAYLNGCVIDAAFNQSSTREACHIHVQLQVDMNSRRSRSYRPGYKHFF GKVVIFFQHVHNSKRWPLALITIYSVHLENGLPITSVVKPKTIVIHASDIVELVGLVP SNVNGSHYIIWPSLKRGPKLTLGALSDI PHYBLDRAFT_167768 MSFSNINQQRDRLSTQTHKYNQCVLLFSTYYQLQNHKRGYENNL TSANIISQYIAEDVEMQNDIRNNIIDNNELVSSSDYAVNTMEIDEAIFYKCSCSFKDS ESEAHIYNSSRIGSNTFTKAKLMKPGAKISNGKTVDALLKSKSSVKGHEYDVCPNGCR LYGINDDQESCVDCGKLQYKTDTEQSQISAASMKLMSVSDMFSQMLADPATRELLYYR ANRESVAGQLTNIFDGENYKQLVQQGLFSNPDDIVIGYTNKYLLQLAILPGPKKSTHL NSFLIPIISEIKDLEVYDLVIKSNGVEVCHAKIHLLLASADIPAVADMTHIGSHASLF GCQICKTKGKAPDNRWHGMYFEDSSAPLRPLEDFKTGNPRNWKAHLQLDHCVPYQGDK FFYTRPDDTLSTTECPFFIPRASLVTIGNCITSLRPYIPVSFQGSFDNVFSKIDGTHA VDWLDFLLYIVYTLVVPFLPNRAVKTAVLSLVKGCALALQWMLTLELLNKMDVHLKHW HYYLSQQVQNKTISHSVFRPVQHYLVHIPFIVKQLGSLRCYSTRSMERVIGVFSKLIK SKCKSSRNASFLVERFTLHNYINIAISIQNEIDLIQPKLYGRESYMNLSNDPSGAQLW EPFH PHYBLDRAFT_144803 MSDINTTLINSVRKIEIDIVKIKQMVRMLQDQFSKQFVPAVSAK DLSIMQQSIIEQTLECVAKSVKRSQFTEYPDQLGKRVIGTGGDFKGKNKAQKYNLLLQ LLHEQEWKDHYNDLSMKQLQLKMLSCTIKHNLIDIDFPALSKEWKGILAKHQEYYMMQ LERMAKDNDFAIYKCKSMWCVKSLLRGSFKSDNQKQKRRMVKKNIAQQDANDSLLSSD NMSETDSDESSIMANVLSPLAEMSVEPAHKRSQRS PHYBLDRAFT_144804 MTRHNKPPDKPTEQTDLPVPDITTWLKAVPAYNTCDTRVSGARF PKKPGGPLLSLETRHSVDSPLTSTPTSPVPSVTAHRLTKPLKESTIWYPPTETSQSFS YLQHSQLNTHARMVKWYNLRLPREGPGFDSRSAQLLQL PHYBLDRAFT_158684 MFTCPYRNNCNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDSSVPIVKQWSQSTHTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFY VIAPYYIFNNNMHITKGNLSIL PHYBLDRAFT_167773 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFVPAVSAE DLTTMQQSIIEQSSLERIAKSVKRAQLTEYPDQLGKQVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQLLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQYISDSLLSSPDMSETGDVESPIMADV LSPPPTASVEPARKRSRRSVNAYFTEQKLKKMYKYIKSRSGISFLAPVTKSQNQYHWG LLSF PHYBLDRAFT_144807 MGKKEGLPGVYWEAAQEVAQNLLIVDSVENRLHAEEWESASTPS ERHLLELHCLEYLDLVRGTIINPMHNLFLGTPKTLMDRWIKGEDIQDSNFAAMQKTAE TMIVPVLLKDVLAKDRFKNWINFVDTCCLLIKPMITFNEVNTVHQFLQTFCTRYDELY NAKILTCNMHLHLHLRNKIRDFGPVYGYWLFGFEQFNELLKNLKTNRKIGFKETFMKR FIDVHKDDLVNSFLQSTRQTSAFPLLTKLISSFTPATISSIYQCTFHIQSFVKASEDP NVLVKGNEPLPPSTFPLSLKSATTMSDIHYAHLLQYYKVAYNNEQLVHFQQASESPYF VNNTITLLKYINILGQVYKGKDESGSHGSLVQAKFIGSTGEHIIAYMGQIQYIFTHSF IPPPTSSSLTPLLHTHCHPMQLLHNSQHTFAFIKC PHYBLDRAFT_144808 MANNCQSIALAPSPEHTALLRRLTAIEESLKTMDSNIGIVIKGN KNTLEILDSIADASGELLAVIAPTTIHASASVPFAASSIGSTLDWYTTPSEASFGISS AAPSVTPSVTPSVTPSVTPSVGPEVLTGTNADKLSKQDRTRVLAGELKKHNFKSNKLK LVAANDSKRSWDINVDYRLPPNRQLMYDLHAYLAPKVVVTSHFDCCKLTYHTFKAEID VKVGKSCNGLLQKEAMSEGKSEDDISGVSINCAIFVDDFMRNRMDFNSCQMLKRSFGR DAVLAVLPRLMSLLSHWAFRDEFQ PHYBLDRAFT_67717 MNKLLLAKNEVTIPKNTFFSHSVIVSTYSLTLKLRYRVAKSVYC IFIQLLQEIQAAIISLKSGQEALLDHQEALEKKQDVVQLQMTNVYNLFKDCEFPNKTI ATSSNTPTDIILRPVSKINNITTKHIYMMIKHDLGIELTEEIKRIVNTCTKIICDNWQ LFHLFRILKQTTAVHCFLKKIKTGCVSIILSYSETMKLISPNVTETERHLQRLASSGE SI PHYBLDRAFT_67716 MDTSNVFSRGYIVRTPKESCSIGIEINHTNINIESFLSVNTTLL NISLCCSISPDTIILLIPTHHKLLEEEHFLKRLICDGTDQENNRRKYYKVKIASVILF SDNTSGNTSKQFNPYESWSMKCVGLSFEERCSIENILFISAIPKKKGANAASLLPEIV NDLKKLENGVVMFSAEDNAYVLVVSSLLWIEADTPCHSELCGLGAPNSTYPCRKCYIK LQSRIPKFGSALNYKTEKGEQFNKHIREHLFHTNHMNTSKDICLKFGKQYMTRHIIDG GSWIGKNGLRKTCEKAIAEYMQQISDGKFHETLLGGSREFADNNGTGLTPGKILKDNT FALFRQINRYIIIGIVLFSKGYYLYIKYPSAHAVNNNYHLALKYADDIYMPLDELKVV CLLDTHLKVDCKYVVNLNKFGSYWSFLYSFY PHYBLDRAFT_144811 MNRVTKKLAPGRVSVPTLRILGQLNFSLVDIGKSCSLCEKTFKD HWNLKRHLQRCHDITETVADDMSNVQETEYQDIQTSDNPKNPLTPSESVEEESDVDNE YYNSILNYDECEESDDGSRFDNSDFDVEKNTEPNAGIPLFNYILNNMSAFANNNESSI DEEDEFQSEVFNSTTWNRFTPNTHPFKDIQMMILLTLVNSDNDMISYKMLKKILFTIN LLLKIHEEAIRKDISFKLPRLDAL PHYBLDRAFT_67714 MTGSNKSYSDLDQLLFQLVELFLKEAEGDRSKAMKKQLASEEEV QKQAAADKVVERATLLKSNNPLRVVEQKKCTDKVEGSSSGHLVDQSMANFSDAREMFK SFQNELSSMVTGISDGEVLTLMRRLEKNVERGFLAIETRQRTLEQRQTLLEERQARFK YNMQRYLL PHYBLDRAFT_144813 MTLALMIVLRRMVFPACLVDLSLLFGKGKSTLIVIFNEIIEKIY IKFYPVLKFDYCQFWESNLMRFSQAIRERPPAMYCVGFIDGTFNKIARPIVDQEGAYN GHYRGHGLKYQAVVTPDGITSFIMGPDNGRNHNVCMYRESQLDAMMCIAFNFTNINGP CYYLYGDPAYTASDHMMIPFRRQTADEQELAINKSMSAVRISVEHKFAHVGSLWAFLK YSQTQRSGRSPVGLYYIVGTFLKNLHVYYNGGNQTSKKYGVAPPTPEQYIYGLLNQ PHYBLDRAFT_144814 MFSFLKMSRFDPIIELMDHYINHNSRHIAEEQELGWVSVPEQQE EHADIYEDQEPTNEEIQEALA PHYBLDRAFT_144816 MDKILKDEYTARTATYDMCMEGCVHFRDIEADVFDSGAVRRLCE GGIVGQDDILVTMFVDQFNLFDHTKMLAAIIHVQQHNAAGDYIWTKTLKLAFPKMFPN ITQYVFFGDELPVHMRTLESLCQLEGNSYSVNGPNAFRDLPTLTSPAFFGLNKMHLLR HDIGKQLYKALGEKFQISPEIADNADQLNQIDRSITKYRADIPAIFTGSWWLLKKTTG QQKAVNWLDFLLFVVPTVVVKNFVLAKTRNAVQDLVKACTIAQQWKVTEREVNIMEEA IGCWHVFLRHEVAEKRLEPTIFVINQHMLTHLSYMMREMGPLQAYSCHLIKRTIGEYR AAIQSWKEPGKNMENILLCKARVKHCLGSQASRRRLMDRRTSNFEVASNNIAGPQLWS NPTRKSLGVVADECEMNYHNLISSLACLWLKKPPTPEYLTIVDCLRGIWPNNEEKFPV WESLTLSEIKVVEMKSITGMAGLIHDINNETIRHVVFPHPHYYK PHYBLDRAFT_144818 MSAPVCGFPPPKHNVIVDFIPLADKSLDSDWEFLSDLGISRLSL SPIFCPDWASLDSTHCLSLLYVPPEVPKEMKAEILSDTTSSKVVWLLFVYGFLIVDIF VSYPKQKMNTNTSATRISGSDSAISATDYMDKRNQEVITSPNMAFLNAVLNQDIGRAK ENDFEAFMAPGSMHTISNSVDVEFNNDYTETNEAEYNDSDNSNDYSYVNINTLAIKSD FVTENVNQESHLPFYEPNTVRGKKDHFF PHYBLDRAFT_167786 MSSFKFVYEDGQGKSVNENSSQALSYIVDEDYNGLKTIATHSQF LEARNRDRISEVSESTQTENQVGSPSIPPPKRSKTTRQRNTYTDEDIIKFFKLKDKKL LSAKVAAKQLNISARVAQCWIKKYDEDPDNLFNRGKKGGKKGLTNEHKKCIKEFVAED ASVYIKDMMNHLVSVFGKINMSKETVRRFMANECNLTFKKVYKQPVAKNSEENIEARY NWIL PHYBLDRAFT_144821 MIVASISAEDSSWQVQSFVNENTAYVVEATDRLIMKCTCFNFER RQKTCKHMHLLKMHTAFSLHFSTTPLNPTYEHNVIPISQPITTNNCSSLFLDQCIQTN QTLHQSHQDLATLA PHYBLDRAFT_101127 SCRIFNVGVAYDSYWTSKDMLDQLKNHAIPLFESLHEGCTGVFI FNQSSNHKAYATDALVATCMVLKPKVVSENDKFIFKDTTFLRDRRIIPQSFYETVFEA GRKGKGPVEKRQFVGIQRILQECGLMNCNGEEAENHCCCARHLLDSQPDFSGQKTAIQ EVVEEAGHIFELYPKFHCECNWIECYWGAAK PHYBLDRAFT_111778 MLFPSCLGVKDNVAISTAWKCMRAWGYVHRKNNQDVYYDGHERQ DLIQYCHAWAMRMIGYKQCLSDFTGEDEEIEMTPLLLENQKKLVMVTHDESTFYAHDE KVDMWLEEGESHIRKKGQGRSLMVSEFQCACHGCCR PHYBLDRAFT_144823 MALPVKDSDPPQGSNSTIGRPNANPIATNPPLPSYAQTASTKPT QVASILFSTLPASPSHDSLLGSVPANKIIGVSFPSKQSTMHEMHLTDSTTCLDICSKG FLVNDERFFPSQGISTGTKTLHLYLTNLPFLPCQDLEQLIKDSLAKYGIIHEIGIYLR RSCFDSTGYAYIERPPTPTTSLLPLSYKIPTSDSTHFLATWTRMGSHCMYCHAMSHNI EACPIRPQDSRKCFTCHETGHLQNTCPQTPATESRLSKRSRKLPRTILSAQDPKTHPP PPPAPHPDSASQSKTEKPLTTSLSKTTQPKRTYATRASPGVETANPFVVLAEIFKAAE SHVAQTQEKSDTNPANPPSTPLYHNLQTALIPEGSGSSPTILDKEFHESTFSDADSTP SSNNEMEDTTTPQLWLTLPL PHYBLDRAFT_144825 MSKFLQYHTFPPISLATLCQPLSRSGLGILDPQVQQAALQLHWL CCRILSPQRPSGLVPPWFSFLLRLHSHSEHPLLPLLFPPLHSPHQRDYNSPLHTIFAA IDILPHDFTAVTVNLPTCLSLPLSAVSLVDCRHAVLAPRPLHRIPH PHYBLDRAFT_67696 MIPANILAICYPSLTLPTGSSIDVQPFLDGLVPGSAWPLLALKD FRSLCKFHPSPTRSIVPTISSRSLHRWWSIPLPHSARNVWYCTWHKKIPCRSHLHFQI PLAFPDPTCAMCSGDLDLQTHFLFDCPAKLAVWSSIWTTLFAFPITSDSIHSCFYLLN FPPSKDTTLSSASIFGCTLLAIWQHHWLFVFDQVPFIPSATLSTTHILLNRLRNEIAL DHGSI PHYBLDRAFT_3461 LLAQMEQLNSANVNDPKAQIILNLKRQTIRKSLSLHNKGDDYDW DFWAFAICDFEQASKNQPLLISNVRRGIPPSLRGMVWQLLAETKELDLETQYMNLLKK SSPYEKMIQRDLARTFPGHTFFKERDGLGQEGLYNVVRAYSMYDQEVGYCQGLAFIVG PLLLNMPDEEAFSVLVQLMSKHSLRGHFTPDMEGLHMRLFQFEALVAEHLPHVSRHLE QQGVGSTMYASQWFMTLFAYKFPLNLVYRIYDVLLAEGIVSIFKFSIALLKHNQAVIL GLDFEHLLDFLKNGLFEKYKDDDRRLVDDAGVLEIPSRRLAQLEKDHKTQSVKEAANA RVLEELQR PHYBLDRAFT_133304 MNVFARSARVAVAAAKPARLARFYASEASTTDKLALTFVLPHKA IYKATNVQQVNLAATSGDMGILANHVPTIEQLNPGVVEVVESAEVTKKFFVSGGFATI NPDSSLNINAVEAAPLEDFSLENVQAGLAEAQRALAGSGSEVEKAEAKIEVEFYEALQ SALTK PHYBLDRAFT_167794 MAAAIIRSTRRASLDRQQVQELYGNVNKSRNIKLLLESILNHFG QVNIIGVLNNDKLNEISEQLACLLAACLSPGNKNFVVPAIFSQKGEITKVGRENVLDT SHTCTSAQAVTDLYDIDKLI PHYBLDRAFT_111688 MKDFMNLYSNLVQRCFEDCANDFTTKAVSRKEESCVKMCADKFL KHSERVGARFSELSQTVSERITDPQILIHIYIR PHYBLDRAFT_99189 PRIHSIFYAVFDIIKGTVVQHQVPEGSITPLANQEESTTKPLIE FEAISEYVIPKKQLYKRLVTICTNNYKVMGCPVILNDHVKYRDFRNEFRFNLCFVFER DAETSSYEAVVRKLSRVLEGLEVRNSSKYSETKSVQNVIEQLFEDLNSYCECQIPINA FNTINLKLFPSYPNPSTVYDYQVPVCTVDVKKMMTHNWDITVQKVTDHINGINHVKRI ADLSNVRTEWVRQSMEHLMYYGCIIMTDIFQFSNVYAVKPEITRLLDDSSGLAQECLR YITLANMPTPPLPRIFALYCGLQYGSSVRDWIDEQHVVSLPIDVRRFISFGIIKGLIY RVHKYPILISLNPNDSFEPNSADKPFSNIINLNTGTTIHSEIIPFLNGSHHYDEICTT LKCSPQELD PHYBLDRAFT_167797 MYVLSNSIKVCGYNTWYYHFKLAPKEKDVMHKSIRPSPNDGLEE DLEELQRNFFANEQKAAATVIRSTPPAMQSKAPKKESVFAQRRREAQEKALKDAAPMP QLEDVEMPDLESVPVGSRVEQVSDQDDGIDDQVPVEDPFPEPHVPVTKKMLDLTSMLG NILGQVKEHNVETVTAPVLPADRPTGQSSRRHVQGFPEAAHRSEFSKRMAQKKKEVKS ELSSTSTKVNDTYKQDDEEDENSRRIANMSEQEIEEARQEIMGTLSPASIETLMNRRK NVKPVVKNTQRSTDELIDEEEKERIAMKETYFADVPMESDKLAWMKQVKEEVEDDKAM SSNEKVYRKLRFDLHGKPVNPSMDIPRHQGLHHHGDEPEKAGYTLAELLHLVRSQVPS QRAIILTTIGRIIQHSKKCLYSNNPEDSWAHEVMRVLLRPDLAITLYLRSALDDRSLV VQASAIEAMAALVLDVDNKEDNNMEVFLGHVISPRTPPTAGSTTKVTGLANRFTSSIN AIRNQNNDEDEDDDDAVLAEKDLIRGLIGMDILARIRYLVAPDSGLLTDPMSMERLVA ILVRFAEAGKDVCEKIMKHELLERVFEWGIVNPEWPISGTSSTRPSLVVLRLMRVLAQ GSKTIAKDILPKTTAGLPFLVVSPAIAGSREADAYELQCEMLRLLRVCMCYGLVMPII EDLQSLNMFWLRESVHTPSALNDKRSMAVLSLLEVELHLAADPHKTTPVHAISWHQPL QFLPIVVSLLGSKCAQLQDCALGYLAAWSSYIDRFPPSATEIRLVWNALDMTDWSVPT VDRTLRRLQLLKAFGSIHQPVYNDLKENVKHVLYDASLVESLRRSSGRAGRLAFWLWI SQTDESGRKQVWADCNTAEITMAVCSVHAGAAETWLAQALMRSSVLDRLETSVLDAFY IPQSDPSMSKALFEYDGRIIDTFMYPSYDVSKDVSVFLWSPIDELYHGEKSFVESDAK AADIIKATLKAASLQPETDRAVAIVSLMKIFLIGDREGRQSGFESEREVFWNVTKEVE GWLELLCSYPTQLDLLESAWKRSSAHIRQAHVSFYQFYQAFVGQYAAVSMGTHVFARL LAYVATELRDEVDYWHLLVSDYHDILRTIHVRPDEVANRQGLLDLQKHV PHYBLDRAFT_67689 MPSKKHAIADDDFEPHQLNVRLPEVCVDYLSYKFDEMDLAASWR VMTKKKKTVVDGIRLENASWRTWAKTKNNLKTISPQTLNWLKDSDVTWLYGPLHTVIK STDKEDRFGHPRVATTEETLGLMTSRPLCTNAPITPQGLPLKSALKKVTMADLLKRSA TELRQSEAEHEEWLNHQKQYRQHQKDHQTQQQQEQQQEQQQQQQRQQSGDITLSPTIF SSGRQTKLRFNHHVEQCIALLDDETEVRLQPTLPKVSLQESTQRSNSKNVCPRSSIKI IAPARLKHSQPDDIDIDELSPSIKSFTEEDKEILPEVIVPEQVEHFEAESTAQPKIQD TAKDNGALRECLVGIDQRGSTHWTGNHSLQGNDAQDISESSIFSHFAHWASSYLWPTR SPIPISTASPLHLIYAYA PHYBLDRAFT_124538 MYSRIHSKINPRLTTTVLHSSIQSFSRLSTLRPAVRATPKKSVP IGIVRFFSSSSISRSSRDGAAVQVSEEILGEVPLLDVQNVLVVGSGGLSIGQAGEFDY SGSQAIKALRESGVKTILVNPNIATIQTSHSLADSVYFLPVTPEYLIHILERERPDGI LLTFGGQTALNCGIQLDRMGVLDRLNIKVLGTPIKTLVTSEDRDLFVKALNEIGIPAA QSTAVESVDEALSAADEIGYPVIVRSAFSLGGLGSGFASNGDELRSLATKSLALTPQL LVEKSMKGWKEVEYEVVRDASNNCITVCNMENFDPLGIHTGESIVVAPSQTLSDEEYH MLRTAAIKIIRHLGVVGECNVQYALNPHSLEYKVIEVNARLSPSKATGYPLAFIAAKI ALGHTLPSLQNSVTKTTTACFEPSIDYVVTKIPRWDLAKFQYVDRHIGSSMKSVGEVM AIGRTFEESLQKAIRQVDPSWQGFHGLSEPFNDVDDILTDPTDRRLFAIGQVMLSPDK AVREKYSVDHLHCLTKIDKWFLHKLKNIADVYHQLSDSRGVLSKVPIDLLKKAKKTGF SDIQMAKLLGTNELALRQVRKENNITPFVKRIDTLAAEFPAHTNYLYTSYNASEDDVA FDDKGIMVLGSGVYRIGSSVEFDWCGVSCIRGLRNLGEKTVMVNYNPETVSTDFDECD RLYFEELSFERVMDIAEKESAKGVVVSVGGQLPQNIALRLHDNNINVLGTSPKMIDAA EDRFQFSKILDKIGVDQPTWKELSSVEEAFKFADSVGYPVLVRPSYVLSGAAMNVAYT PQALKENLTMAADVSPLHPVVITKFIQGAKEIDIDAVAHNGKVLVHAVSEHVEDAGVH SGDATLILPSLDIDTQTMDRLKTIADKVAKAFEITGPFNMQIIKQDYNSTPNSNEQEP PALKVIECNMRASRSFPFVSKVLGINFIDIATKALVGKQVPSPVDLMKKTYDYQAIKV PQFSWTRLQGADPFLGVEMASTGEVACFGKDKYEAFWASIQSVQNFHIPPRNSGVLIG GDYVTNIDDYLHIAYTFYNELGHSMFVPTKEDKLILEKANIPCIVLPVAEQTENKREL NRIFKDNDIKCIVHLAKVRAPDQFDKGYICRRAAVDFGIPLLNDSKVARLFVDSCVRH RIDDVVEIPSEVKSWGEFVETKI PHYBLDRAFT_167800 MYSSASPFHLLDPTFFFFFSTSRKGTFPFPSALSLFFSAYDKLP SLDIPPSLSFATCFSLPLSAIYHSLPHNTFFSNSRFHQLLVSDAFYYDPLLLCFSRLH LSSRTTCPILINRFFRIVDTHTQVIHPFFASLCLPPSHPSASHDSSYGTVVPMHLSIS FASFIRQLKLSRHSFRLLCPPPDPNPHQSVSRLSPRQWNFFWFLTLSTSTHNIWFRLL HNSLSPASILHAIITSFVASPKCRLCGYSNQTPEHFLVECPLVWQVWTMTMHMWIPHW QAQPSTILRTFYALALPPSPPHINSYHVLDGVLAAVWKAYWRTIFDDVPFVPANVVVS VNESLQFFFQSSHLLD PHYBLDRAFT_167801 MIPANILAVRYPSLTLPTGSSIDVQPFLNGLVPGSAWPLLTLKD FHSLCKFHPSPTHSIVVTISPCSLHCWWSIPLPHSARNVWYRTWHKKIPCRFHLHSQI PLAFPDPTCAMCSGDLDSQTHILFNCPAKLAVWSSIWTTFFAFPITSDSIHSCLYLLN FVPSKDTTLSSASIFGCTLLAIWQHHWLFIFDQVPFVPSATLSTAHILLNQLRNEIAL DHGSI PHYBLDRAFT_144837 MSKFLQYHTFPPISLATLCQPLSRGSLGILNPQVQQAALQLCWL RPLILSPKQPSGLVPPCRYCQPTYLSLSSSLSHVFSPPPTVLPSHPLGEISWYPMLTR WIAATQFLHHAPSIASPVN PHYBLDRAFT_144839 MALPAKDSDPPQGSNSTIGRPNTNPIATNPLLPSYAQTASTKPT QVASILFSTLPASPSCVWRKSTTPHSIFFNPPVGTPQEDAFWNSLLSSVPANKIIGVS FPSKQSTMHKIHLTDSTTCLDICSKGFLVNNEQFFPSQGIPTGTKILRLYLTKLPFLP CQDLEQLIKDNLAKYGIVREIGIHLRRSCFDSTGYVYIKQPPTPTTSLLPLSYKIPTS DSTHFLTMWTCMGSHCTYCCAMGHDIEACPIRPQDSCKCFTCHETGHLQNTCPQTPAT ESGPSKCSRKLPRTILPAQDPKTHPLPPPAPHPDSAAQSKTAKSLTS PHYBLDRAFT_167804 MMSHNLGVELDKENKAILHTCTGLVCNELVLRSSSTIYLGILDT EQERLVMVYAFIFIGKNRMFLDFKSNKVILHSQLLLARPACYIWDKKFSTKNKYLKKM RCLLSFLGYLGLDTAGDALTLEVPSTNGQRNKTFINTKINSELDIVILVDSNFITITI SKNSDNKQARAQIFQKIITILRLSLY PHYBLDRAFT_144841 MAEQQLEIVSLKALSTDTTMTTGLDLHSLPVHTLSEEKKKTLVE CYSPIVSVQYSPSFTIPMALQKFSALQKLMDASLSAIQYSSMAIFYPQQSLHYDSRHL LGFYYESSCSHQGFTLSFCLPLLVVFLRGPTTQSWQAFHKQWTHSQWVIPVVTSLKGS QGISQMGGFHNAQTFQSKKPLSSNSPFQRGPHISEGFCIHFAQQLPMSSHPCPHIPMS HDQTLLLRHEISQILYKQAIEPVTSPSPGFYSNLFVIPKKDGGARPVFNLKGLNQFLD ASKFKMETLYEVLGRPYIPVSHHALWSLHRSLAVHKDYPSCSSLGLLSQDSGLCLPQR LDPNGPHSRTSSGVHSSSSSVHDVIGMNCQYEKVPSDSNSTDQPPGLLGKKLRDLQKS IHAILLATSHTSRIIHSVTMCICAAVIAMFPVNLYTQALMFYKNKQIKVSHYWNNPVA LPLPCCEELTWWLHNPAKWNGHSLLPPSPQQTLYVNASDTGWRAALNGQLKALHLSLQ TFGHLSNMAVHVRTHNTTSMSYANKQGDPHSRRSQHSCRLGIAESFLQEHVVADSLCL PTDPESLGSLYHQSVCRPNHSPPLKIYLLASGPSGSGNERHVHPLNRSTEHVSQSSME PHPLLSLQVASQTSSSHDSGASLVIHPLVSSPVLHGSPTSSPPPRSTSDSVHTHPLVI NQPQVEAVHVAGLRRRCEDRGYTEEASLALVSPLLMDSSTNRVYKKEQSLFVVWATQN DVSLTEFSSQDLINFITSATVSNYSVNTFQLFLSAIMWFHVNPSSMHTGDLALLFSSL HCSTPPIPLSHPHVNLSPTFVILAHIPSSPTTSLSKPSDLAQIDLTQSYAFDKILCLV IPIPKENCSGHHIPLSALQSPSTLFLNSKNPSRPIQTSTISSCLFCFVYLSTSVCPTP SLWSIVLDLTLCRDVPLEDVVTMGNWSSLAMFEQHYHCMRAPQTNISLFMLPISVK PHYBLDRAFT_67681 MLKAMMNSEVSEDDSSSESSQFMLPAIPSLMLEENTNIIRNEIS DISNNTDLDEPMYNIEYESNMGESADMDGTESVTSPLVFDFSQPSPVPSNNDAKNLEF IRIINDFGISHQAHKKLAADLNSILGMSTEITYRVYIPYLSKELLKHFSGVEKTVYNV CQSRCMMFNEAEEVACKHCGEARYKSDKTDKDGMAIAGKTMVQISLARKIALSLANSG TRHKMLYRHNHEQKADGSKADIFDGHAYQSIKHLLSSENNVAISLSVNGFVPHNVPGS ITILHAIVLNLPPMVRYEKSRMLQIAMISDPSIPLDFCFQNFEPANLSSKGLVGQSPL SSLTSFTGLLFFTLDKMHGLCHGIGKQVWELIGGKYGIKHSLFLPANVLNKIGVEMAA TRKTALTAFHGSWRNVSKYSGYFKAVNWVNFLLFIVPTLVAECVRDTTARKALLGLVQ AYNLLMSWELSAEEQTSIKRYVYAFGPPRSYSTRSVERAIGKYSCAIKSNSAIGINAG NIMVWLAHTRRLLTDSEGGKQRGIVLQYEDMSAGWPITSKGECASAHSDIKFWGPLEY KTIVDSFEDISCLPILIRDFYRSKGVECGTIEPAIITSRKAFINGCVIDSSFSQKTLR EAHHVCLQVQVDLFTNVCCRYTPVAKDFFGKVILFFEHENSGKRWPLVLVLIYSTVLY NDILVVVNGQLKPKVVHLADVKELCDQNLTVAQN PHYBLDRAFT_144844 MQELLLALKKGQQELQKEQEKIRLEISDMHKNMNSQTNLEPTPV HDNIGGPVLRPVPNIKAITLRHICKMMGQDLGDQIQAGASYPKKTRATCAPGMHFYSK DTA PHYBLDRAFT_111766 MSNWICQQKIEKRTASNVKRYIDHILYPVKFGVVGDISLSSINK YMKTWGFSFRKFTSTVYVDGHKREDVVKYREEWSQQMMTYKKRMEEYSGDNMEVVEEP KVLHGEKKLVLVTHDKSTFYAYDRREKNWLDNKENPLPKKGQGQSIMVSEF PHYBLDRAFT_67679 MNAHMNTFTADAPIRFNHKINLIAGRDRLLVELLIHQHLSYADH GQSGAAWDEILKKVNDAGGKGNEIGVLTLKQRHKNLFLKEAEGDRGKAMKKQLASEEE VQKQAAADKVVERATLLKSNNPLRVVEQKKWTDKVEGSSSGHLVGQSMADFSDAREML KSFRDELSSMVAGISDGEVLTLMRRLEKNVKRGFLAIETRQRTLEQKQTLLEERQARF KYNMQRYLL PHYBLDRAFT_67678 MTLALMIVLRRMVFLAHLVDLSLLFGKGKSILSVIFNEMIEKIY IKFYPALKFDYCQFRESNLMCFSRAIRERSLAMYCVGFIDGTFNKIARPIVDQEGAYN GHYQGHGLKYQAVVTPDGITSSIMGPDSGRNHDVHMYRKSQLDAMMCIAFNFTNINGP CYYLYGNPAYTASDHMMIPFRRNKYCTVIYLDETADEQELAINKSMSAVQISVEHKFA HVRSLWAFLKYSQTQRSGQSPVGLYYIVGTFLKNLHVCYNGGNQTSKKYSVAPPTPEQ YIYELLNQ PHYBLDRAFT_167810 MQRIINYPKNSRVVVSAPKGPGQHNFAFDDIRKTCSLCGKDFDC VWNLRCHLTKYHKLATHIANDISPQYADRNLASQRQTTNTAEPTTPDHNDDSINEDLH VESDLEDDDSSDVDDMNSNGDDNVSEIELDAGESIIEMDEDTSPFESPSPGNHLYMHI RNSMLSSASNTSSSLDADLDLLREATGSHTTWNQYTSDTHPFPDLQSMVLLAFVDGDN DIVSRRILKKILFTISLVLKLHEEAIRKKSPFKLPRLDALLNYQTRKKSKISVFPSTK VDIQLPENNTTSAYINLPSDHVRFLAANPKKARNMFSLPDRTPNQSICLQQGEKWRTH WYYQQSMFTHNGVDFWSGDIVNFMNGSTPARFLVESFHTMDNSAVFVQGYMVYILEGG QFIGIEVESISIKLETLLGVDSTPVDVALCYSVSPGKLEEPHFLKRHVLDETGKLIDP KLFYKVRISSIILFTNDTSGNRSKQYNPYESWSMKFAALSYEERSSIENIHFLSAIPK KKGASGMSLLPKIVEDFKRLENGLVMFSAKDNENVLVASPLLWIEADTPCHSELCGLR MLTSLYPCCKCYVRLQRSMPNLQSSLYYTGRHTARTKVHYLAAASTLGRGSTIPDAPL TGNALTASDLCFANRATDALLELQSFDPSTDTPVEVLHNILLGVAKYLVNDLVKVVLK KNPNQMARLSKALKDYENSQGMSRKFTQELRHCGSFLGRDYKVLLQILPAILVTEFAN DSMLSLITPSFVGLGRLCSLVFVRAVRYDYDMYIDEVEKAVTSLIQELHYYVITCEIE GHNPYSLKPKVHLLTHLPNDL PHYBLDRAFT_167811 MRHIIDGSSQASKDKMREKYDNSTAEFLKENFSNNVKNILFGGS RDFADNNDTDDITAKALCDNTFAVFMLKESKDQHAHPFIGKVSSLRVEHYRVESSPHT QGDNYLLTQRVSNDASTPLDQLKIVSNILCNSTNLSPQTSLLIETCTLVLFSRYYDID EGHTVINGSIYNSVLVDFYELISIYKLVEMSGKIFEPIELLKIEEISTTDEI PHYBLDRAFT_144850 MFTHNNIDFWSGDIVLLKDCLPNIHFLVESFYTMDTSNVFSCEY IVRTPKDGCSIGIEINHTDINIESFLSVNTTPLNTSLCCSISPDTIISLIPTHHKLLE EHFLKRLICDGTDQENNQKKYYKVKIASVILFSDDTSGNTSKQFNPYKSWSMKYVALS FEERCSIENILFISAIPKKKGANAASLLPEIVDDLKKLENGVVMFSAKDNAYVLVVSP LLWIEADTPCHSELCGLGAPNSTYPCRKCYIKLQSRIPKLNEVEYYTNRHPTRTKDHY IQAASTPDRDTVIPDIPYFDNKNTAEELSFKNKSTDKQLELKAYDQSKDTPDEILHYI LLGIAKYLITDLVKVVLNKNKKELEELFDYVKDYKNSRGISRAFTRSLTHAGSFLGRD FKVLIQILLVILAINQYETYISEVDSAVRSLIEALHKYDTNCKHKKHAFYTSKPKVHL LTHLPEDLRRFGPALNYKTEKGEQFNKHIREHLFHTNRMNISKDICLKFGKQYMTRHI IDGGSWIGKNGLRETCGKAIAEYMQQNSDGKFHETLLGGSREFVDNNGTGLTPGRILK DNTFALFRQSNGHIIIGMVLFSKVYHLYIEYPSAHAVNNNYCLALKYADDIYTPLDEL KVVCLLDMHLKVGCKYVVNLNKFGSYWSFLYSFY PHYBLDRAFT_144851 MNRVTKKLAPGRVSVPTPRIPGQLNFSLVDIGKSCSLREKTFKD HWNLKRHLQRFHDIMEMVADDMSIVQETEYQDIQTSNSPKNPLTPSESVEEESDVDNE YYNSILNYDECEESDDGSRVDNSDFDVEENTEPNAGIPLFNHILNNMSAFADSNESSI DEEDEFQSEVFNSTTWNRFTPNTHPFKDIQMMILLALVDSDNDMISRRMLKKILFTIN LLLKIHEEAIRKDISFKLPRLDALWNYQTQKGSNIPIFKSKSLDVTLSDSTKVTAFLN LPSEHIKLLAANPIKSKSIFSLPDRTQNQSVCLQQGEK PHYBLDRAFT_144852 MPINNASQSTNTNISLSKLPIFPVLSTGSSMSTFSFSISTPLTT IFGQQPIAIKGLPGVHWGATQEVAQVGVFRLQLFHLLPVMDVVVVEVEEVFKRKN PHYBLDRAFT_144853 MTFLWIENYFGAGNVEQVTVCEKEMNKTDENENEDKKFVNLKIN KEACPAEFGKQMFNTRSTPFSRKDFKIFKDDIVAVITGSSADGLEKYESPVPKTANTN KEITN PHYBLDRAFT_167814 MFLHEFLPESREVGWLSPKKNKKVIELSKKYERYLVYGQVQTNV DSVAHFMIRDSYKSGKIIRVLKSLWTSDSESEFLDTYSISSSHHMLFWDQKLRNLNFA NRFCTAIPKKQHKGVQQALALDFSLEKGKTLNEGDIEFACALRHENIFRCLFGAFVIV MFSLLQVY PHYBLDRAFT_67672 MKYLYFRSKISKYGSGELIENIVDSSGALQYYQLAKKNHTNIID ISLEPTSMVATSEEMHKKARRDRPAKKIMLSTFVGSNAFVASQSRSSINQPEFHLALK ALPMKPVDPQYSYTVGPTEFVIAFFKEFVFKRTNIKSVSTETDIKAQIGLRAEDNEER Q PHYBLDRAFT_167816 MILKLCVINNLHDEITKVKQNYEDFQYSFLSVAKTDLFYIEFKN IPSVLLSNLSLHHCIPAFETNKINIFRFLNMTDPVEFSSIGINPHDSHKLSLFVKAFQ DSRRDAGYTPKSTRRSFSSSTSSQYSASESGSRSSTQSTFLPLENEWLKDNLHETSPF LDAYESQEGKQDLLRAVTELEYMIKDPQTKSPTDTTTNTGPTLTLTPTMLSLKDICSS NKSSSTQLSAISAFSATTMVALPEEETDEANETRECIDDHKQNTRVVQNMQSPSLNTN HSFHLLPLRLPLPLTPPPLYDTNSAEPTFNPALLSPSSCLRLEGPAGIAVPRPRKQLS PSVSPRPVSMPAYLHPNSRIPSTANNASGGGGNRTQYNTLSLPPPPDYLESTLGGWGR NKGLILPREEEGREELPPYICTVYKIGYVSIKFEKDNPDTKSRWRLWRNLYVELWGTA LRIYRSEPSDSASGLRLSRLPSLVPWSRYTSVPLLTLSLAGAEATRALDYTKKPNVLR LTVPNGPQLLFKQDTTIEMISWIEHLQASINISLDLEHRPMPKFVTLYVRGSNEPTLA ARTIELERAREIRRNAQEEVLI PHYBLDRAFT_67670 MRYSTMMVDVFYDSSLPHMPVFRLPFEIITNISGQLNTKDKYQC ALVCRAWRTPFTESLWRKVKIHSEIQLEAFCSSLSTITPAQKSPARLVKQLALRPYIG ASNAQLHLLQQHLTFIDRLWVDDESLIRTCSIKNMHWGLWKCLTKLDFHVPSTDFSTK EVLEILSYLPNLTQLSLGERYLFTSSSFSIQDMETTHSYLPRLEKLSINTELRQISTQ DMDWIKNVVPAKALTFLEFDSTYATSEWLYYCAHKYPNISLMKWSAGDQDIERPTFED TVSKKISVLRNAFQRLEKVTIECDSEQVRLHQVFLKILLSQNALLKDFTYSMDTTYSK VYYTAEFIKKLMRCFGMSLETLTLDITANLNDQLVISRSFLPCPRLVELKLRLLSLEL ELDIILDLCPVLKKLDVAVNVLSISPDSSEQLQPHDLRFLRISGAKASATLFNYVSWR CRDLQTLDLCGLRVSEQISALTGNFRIYMPYSHFEVLKLENIHFYRSSSYRTCSERNR VRLVSFAQESPHQNSTGLEVNRNWLMNDPPKGKADWFYQCFAANKFSPLYTWQKLVGE EIEYTKNYFRTFVHDPLCESHVVESPMCKGCAIWKHHSNRDKWKHDLPLGYVNLRCGS AKAFIFKSGSSLKK PHYBLDRAFT_112060 MPPVQFPRHLKPLEPQGPSLLAEERANATLNVPNLTTAIYGKEY LERHDRVLSILLKDPVLSDKSHRYYTGRDVRFNKSLAASKRLAELVKIHSWSAEDLAI ADVLFDESGPFRLHRSMFMPTIENQGTEEQKSLYLDAARRYEIIGCYAQTELGHGSNV RGLETTATYDIETQTFVLSSPTLTASKWWIGGLGVAANHAIVMARLITQGKDFGPHPF IIQIRNLTDHRPLPGIIVGDIGPKFGFNTVDNGFILFDKVRVPHIAMLARYARIEQRT GNYIKPPNSKLTYGTMVYVRANIVLESRYVLARAATVAIRYSAIRSQGSDASNPKQIE TSIGSKTVETPVLDYTIQQYRLFPIIAQAYACHFTGKEMHRAYNENQIKMAKGDFSYL ADLHASSSGLKSLTTTMAVAAIEECRRACGGHGYSLFSGLGQFYQDYLPKATWEGDNY LLTQQTTRYLLKSFRSLIANNVSKTETNFSTSYLEEYLANPGARCPVLDGPFNPETLL SAFKFRSAFLVERAVYSLDQEHCTWNEMLVDIYRISRAHCQLVMVSNFLGAVFGGPPD DTADLINQSVMQKVALLFCLSTMEQEVADFLTSGYLSPSQSLLVRQQTIKTLKDIRPE AVALVDAFALPDYLLNSALGENEGRVYERMAEMTEKEPLNRSEVIDGYEEFILPLVHA GKSKWKIGKDAIARL PHYBLDRAFT_112086 MTEVLVPLPTFGRLSERVWRVLGLNPGKFTLQGTNTYLVGKGPQ KILVDSGQGIPKYIDLLEDSLKSISPDAYISDVLLTHGHHDHCGGVNDLLSSSINKKH PIRFHKYPLPLGADKTSTSDLSSFTIYPLTNNQIFKAESATLKVIHTPGHTKDHCTLW LEEEKSLFSADCALGQGTAVFEDLSEYICGLKYLATLKPIQLYPGHGPVVKDGVKKIN ELIQHRQERENQIVSLLKSDQTKNWTAFDIVGVLYKDYPESLHLPAVRGILLHLEKLR DENKVKLSNESTSETDISKLARNEWVLVK PHYBLDRAFT_186779 MSKRAASPNLKEETSSSKRVCRTEENHSTGCNDPDCNGCDVGNI EITFVGDNGEDKAADPTAQELLEMAIEEAGNTEAKPEVVQRLFDMAIEKFEKAEPKNR IGYATCLIELGQAIKVEESLREGLDILRGEIKKPDVGPLAQFILARAAALLAADIRQK KNALFEEARAELVDSDGEEDEAAMDELNKKQAITREEIKLYKEAIAAIEKGFVEYDPK NEEQTKALRVAMRQLLSYGQLLEDPSHGIHVDTLMNKLVSYIQRLENEADSSLLTEWA ACLLHKCKFEEIEKQKELCKEVEKLLLKSNNVYKEAHNEENPWGWELYAMLKMNQSNL AENEDEVLEKFGEAVDTYKKALELNPENKELAAMVSMLEGSPEDEEDDDEDEEEGEDE E PHYBLDRAFT_181203 MRPLPRTVPWTSFNEFEQVYKWLYEDTEMAPELVELGIERVRAW STRGNIPRAVESTAAFAQVRLRDRKGLGGHWLSQHELRMMYAMAFILSIEQFRFVNGI VDPAQTSTFALSIAAIAERLGLPLWFVELRHAGTHEHLPSLSVLRDGCDQALGWIHEF YWDITLKPEATAELSLETMNIVKAMLNDYKETRKAFLKELKSNARPDKTPMLNSLNKI LRKMSPDMAKQGVVSLLVGIGGMVPAGKKKRASIENMSISEDLIKLWKPLIQELSSHF PGFEAALLATMMERIDVNSDFVLNEKLIYPSYGYGEEETASEDTLKTPSYLLTLTCWL RYFVEDSVQKSDRLFEGNILDDIVESCLRKPNYYTRSVLQAATTVDPELATSLKPFIQ YIDRMLRANNKKSTETTDSIMEDESMKNELDTLSKQLQLVYGKTKSAETTVEANVEQD NASPWGLYDASAWKSCPIGCLPNGTVPCLDLPIAMEEN PHYBLDRAFT_67665 MTPSSLSPHYTAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGKKCFLWTG DAVPSSEHILYKEKQDLLS PHYBLDRAFT_133315 MSTKVQKIMVQPINLIFRYLQNKSRVQIWLFDKSDMRIEGQIIG FDEFMNLVLDDGEEVMTKEKTRRTIGRIMLKGDNISLIQSVNN PHYBLDRAFT_133318 MPLPSADELEQLEDIEKQWAVKAMHHAETYFKLISAMDCSKLRL TSIDDEIYKDFIENFPHIDVKHLDEKDFKSPESKDKWRHWINKYEKRVNEFNFGCLIR IDPSKDYTEENTMFGVRMQFYAIEIARNKLGLNAAVRKQ PHYBLDRAFT_158694 MTSMGNLPPADSFKATWDFLEQGLDQIMNRLEEGLDFTRYTVLY SAVHNYCARGSPTSSHGVPDPLSNPHSRRAAQLIGGDLYTSLSQYLEVHLQKIKTESE RYMDEALLQYYTKQWERYTTAARVVNNIFMYINRYWVRRQIDEDRKSDVYDVFTLALV SWKKNMFEYVHHNVIGAVLKLIQRQRSGETIENGLIKSIVDSFVSLGLDSSDSTKSNL DVYREYFETPFVQATEVYYKTESEKFISENSVPDYMKKAEARLLEEETRVSLYLHEST NKTLVPTCERVLVKNNSEVMWEGFKSLLALDKQDDLRRMYSLLARIPDGLDPLRKSFE AHVKKAGLSAIERIAQQEGEVVDPKTYVDALLDTHRKYNDLVQNAFSGEAGFVASLDK ACGEFVNRNQICKTSSSKSPELLARFCDSLLKKSAKNPEENELEDVLNSIMTVFKYVE DKDVFQKFYTKSLAKRLVNGTSASDDAEGSMITKLKEACGFEYTSKLQRMLTDMSLSK ELNDHFKELVQQSSQTTSSNVDFTILVLGAGSWPLSAPSTDFNIPEDLVKVYDRFQKF YQNKHSGRKLNWLFQLSKAELKTSYLKASKAGYAFQVSAYQMGILLQYNTATSYTYQD LQKSTALTPEALHPALSILVKAKVLLLSDGEKVGDDGSRYSLNFDFKSKKVRINLNMQ MRTEQKVEADETHKTIEEDRKYLIQAAIVRIMKTRKVMKHSALIDEVLTQLQSRFKPR IPDIKKCIDILLEKEFIERVEGQKDMYSYVA PHYBLDRAFT_186784 MALVLLLLIPSLLTLFTKGVQAVELQMFCKCFCGSNSTIFELPM VDPKPCSNCTKQFCLDNVKDGMCDGIADETCPSNDFRTACFARDSFKDEIIVRIFLVI IFGLIIFAFIKPYVDQWKKDRQSGNQYTPVIDREN PHYBLDRAFT_78861 MGFFSKLTKQDTPPAYSQSPAASHTSEKQQQYAPPPPPSSQPLN PDSRPMPQGWVSQFDPSSGKFFYVYEPTAQRQWEHPIDKPLGGQSSPYQGQAYGAQNR GQQAYGQQQYGQQGYGQPQYGQAYGQQGYGQQPYGHPQYGQPQYVQQQQGGRFGGASG GGMGMGTGLMAGGAGLLGGMMLGSALSDMGQPDVTNNYYGDSGGGDFGGGDFGGGDFG GDF PHYBLDRAFT_181211 MPRHNYVPTHLQHEALPLRVSCSVDSRHLSPASCGSPPYPDSDG NLSTSSNGSLKRRRGPGRPSKKELKERETRVQKKEDSLTMAQEKLKDLRLPSTGTNNK SQLIQGIHHFRAGDQVEALKCSDYCKEWYCARVVQVSNDKTEPCVFVHYEGWPPDHAD WVGLGLVRTHANRTPLRFGPLGRESDRSWKDYATFYYTSQNISLRQYTGLVQDRRMAL HSCPCHSAVTIHPERPDRIGSILQAFHAQKMLRYFRHIHAREATLDELVHVHTPTHVR NYTSTTNSLPPTTDHQHEVDPNPRPLKITSIAALLNSPTESEESPPSPSPRSHRHGSI VGPTRGVGGGVVVEANQDDIQQQHRRFSTAATTAAAAAAAAAAAAASSSSLSSSSYDS NTADLSEGVLVPVSPPGLVCKMTCGELGIAVDTTFHPLHSSLAARVAAGALINLVTPI VEGQLHNGFALIRPPGHHAEDDNAMGFCFFNNVAVAVSNTLEKYPSKIKKILVIDWDV HHGNGTQKIFYDNPNVLYISLHRWEQGKFYPFSGAPDECGEGAGLGRNINISFNESLD KPKPMGDTEFMAAFYHIILPIARQFLPDMIFVSAGFDAAEGHPDNLGGYKVSPRGFAL MTKMVKELAEELCSGRLVMTLEGGYELQPLANSALASVAQLLPDHIDPDGPLEYKHSL NAIKPNQGAVDSLETVIGIQRKFWDLPEYLFQPGFRFHLPVEWRATDSISTRPRRDKR PRKVPVVEGY PHYBLDRAFT_177460 MRKRILCLLGGTVLLASTVKAEPSTNLSLSYTPVSYNPSFGIKT DDCPPCFNCLLPGFECLHFANCSDYNGKCDCPSGFGGDDCHQPLCGALSDGRNRSPRF GDECECPEGWEGINCNVCKTDNVCNAMVPTGQGTCYRGGLTVVENHQMCNVTNRKILD QLKTQIPQVTFSCNKPDETCDFQFWVDQVESFYCHLNTCAFEQEHGYDKNTTKYTCET IDCRCIKDEMLCGKDGSIDLTELLRDEIVGPASFTCTGSDCAFSEPAMDDLISAVFGD DSIFLSCSSGECLHYTQVPGFERPQRPINWLMIVSGIAGVTVFLLIVGAVVSYFAKRS SNSGGFILLPDDEAGKLMSDHTPTSLIFENLSYTVNKKEILKDASGIARPGEVMAIMG PSGAGKTTLLDILANRTKTGTVSGDIYLNGHRMSRSEYKKHIGYVDQEDTMIPTLTVY ETILYSALLRLPRSMSEAAKKFRVGEVMQELGIDGIKDSKIGQTGDRSISGGERRRVA IACELVTSPSILFLDEPTSGLDAYNAYNVVESLVTLARDYNRTIIFTIHQPRSNIVTL FDQLVLLAKGRILYSGPQMHAQMYFKSIGYACPPGFNIADYLIDLTMQSIDPAQSPGQ PQTDSNRPIQSDSLLNPIPTPYAPGSPSLADELENTSEQWASEMSARQERQRQERIRK QRQQIPAGLDVVVDDGSQVDQDGLTPHLRRLVDTYKSSQIAASTNDEIERSLAAASSS TPESIEQGVVYVPKPIITHERPGWLTQFRILADRTFKNLYRNPMLMFAHYAISVALAL ICGGLFYRVSNTIAGFQNRMGLFFFYEALLGFMCLTSLQVFSSERILFVRERANGYYS PGTYFLSKVLFDIIPLRVVPPLMMGLISYYMVGLVEGTANFFKFLLVLVLFNLTAAAA CLVIGIVFKNGSMANLLSCMVMLFSMLFAGLLLNKDSMSPYFGWLKHLSFFNYGLEAL LVNEMLYLQLIEERYGLNIDVPGATILSTFGFNAKNYWPDVIKLGVMFMSLIVFAFVW LVFFVKERR PHYBLDRAFT_181213 MENPVLLSSTVSPTLDEKPPVVLENKPAVTTKPTTKTTIEETFN EKVELAKQNLKDSKPTQNSNHQHIHWAPLGVPLERRLQMLTMIIWNLMIPICLGIFIY AACFPTLWPLLIAYVTFIYLDKVPERGGRRFAWMRNLGFWRYFTGYFPAKLVKEHELD PSKNYVFGYHPHGIISMGALSNFATEATGFSKTFPGIVPSLLTLSSNFRIPIYRDIIM GLGIASVSRKSCEYILSSGAGRSIVIVIGGAAESLAARPGINDLVLKRRLGFVRIAIK QQARLVPVFSFGENDLYDQLDNAKGSPVWKFQKKMQALLGFTLPLFHARGVFNYDTGL MPFRHPIVTVVGKPIDVPKLEEGQIEPTQEQLEAVQKEYIDELLEIYNKYKDVYAKDR KRDLCLID PHYBLDRAFT_144872 MIGAIFLVSVTFSYALSVGLLAIFTGLAMSKQSCQALFGTNSPL MKYGLSVEIISSLITEYSLHLMTLKTAVLLSIRYLGFSLNILWLIQLFDCGTILGLMF LFYEGFVEEAVAHGEIGKLVGKNINPYLAFTSANYMNKLINPLWTSSDTMVYPSITYA TNEELREAFNISQDFKEASKMVLDIYTRREKRIELYPVLISLHGIGWQHETKDLFLPH EKDLVVVVNVGYRTSSKATYSHQLQDVKRAIRWIKESIHLFGGDQNSIIIQGDSTGVH LAALVALTANDPRYQPGFESLDTSVKGVICLNGVLDLQSNSNLASRFMNNTLGVKVPS PEFATEHNPIEVIKSAKEANNLAKFLIVNCGRDTLVNTSVCQNFKQEYDSVSRTDIEK DECSIITLPNSRHIFYSGWSPRSINLSNVVQTWCKQFTLNS PHYBLDRAFT_40143 MVVRIRLARHGRRNLPYYHIVVANSRSARNAKPLEKIGTYNPLP DDTGNKLINLDFERTKYWLTVGAQPSEIVEKLLVKAKLVPEGPKPWAKEAAKAFSAEP TV PHYBLDRAFT_78856 MDFIHKPKPRTVRIGCYSAFWGDSPAAARQLVQHEGSSLNYLVA DYLAEITVGILASRRKRRLATNYNKNNTPPPPQPKATDYIAEFIDLVLHPILPDLAKN RTKVVTNAGGLDPIQCKHAIEALLVKLNLHTTLTVAAVIGDDVLQHTNHINNPSSRPD YSRDPNNRRNSSKSSNRGHDHKDHPLTLPPAPVCLGSIPNLQPFSTISSTNHTLDADR MPAPEEPIVSLNAYLGARAIASALDAGADIVVTGRVVDSALVVGPLIHEYGWNDDQDP EYYDRLAMASLAGHIIECGCHATGGNFTDWRLAAQSAFGGYANMGYPIVEFDQTGTYF VVTKPLQTGGLVTPATVAEQILYEILDPALYLLPDVILDMRQVTVSQVRPDRVLVKGA KGSRPTPWLKCSGIFVDGWKISAELVVAGHEASQKALAVGQAIQQRSQALFKQLGLAD FRDLNIEALGSEHLFGANKTTNPSREIILRLTAHHDNPKALEVFAMEISPAATCMAPG ITGAAGSRPKSVPNLVHFPCLIPKTSIETSFVVGKKSTSTADTVAIQQSAAAVVVPWG AWDETQSFATPLVVPVIPEPSTISETMVKVKLIDVAYGRSGDKGDVCNIGIIARDPKY LPFIKRSVTEEVIREYMKHLCKGSVTRFELPGLNALNFVLTKALGGGGLCSLSIDRQG KTYAQLVLSGLDVELPLSMVIVPNESRL PHYBLDRAFT_186790 MVPTLTKLDGDWFYIRTVSNGNVASAGPIIAANDVETTRSEIRV EPPKYDDSELWCWKDRMLVNKSNGMVMSIRKGRLRLIEDTEICLYPKKSDEYISQQQW GVQHDDCPFGKRLEGCLLYSFHSNDWVFDIQTHVVNGDTKLILFPYKTIDNDTQRWEL VSPADILQYSRPSSGSLSSSFSPVSLSSPTGFQSNSVSAFGSPTIGDLSPSTSSSSSQ IMSQELNGSMEFACGLSPSKRGSQSSATGLPLETYRENHQAVYHGNGVPSSDKILAMA AAYQTWLDWSQDKQSQSSPTELQNQRARHEKTRARLQSMARTEVIKLVNANTTSTSNN HSAHRDNTISLTNRFITQLYEQMPMA PHYBLDRAFT_97033 DEIQEQTGTIIKITNPPPKHSAFASENTITIKIDGLPPQAEIAR VRVLTILDSLSDLSIDTVKIPLRLQPLICGRKRTALQPIIEETLTNIYFPSPFVDPLN NNASESSSEFSPPIYITGDPSSVSRVKDMLTKLAAQKAKSMYHKDTIMHARKLDWMLL HRRDELRTIMHDNGSFIALPPLGSGTGLVTVYAENRVNAERTLRSLNFLACSIYEACF YFNNRDGAIYGTDGSNTFFNSITNLANLVTQLSQISGAEVAYKTDPGCIEVHGTERAV RNVYQRLHEMTFLKMFHQDTTFSVELSNEQREFISGKKSGKINKIMKTSGAKIKFMPF SEYNFIIEVESTSFTKALDGLTLLQEELPAEISFYVPETYHKRIIGVGGKNIQRIMKK YGVYVKFSNAEEFAALGGYYGNDDNVVARTPMKNQLNLDNLRHAVMELISAKDKDFVV QTVGIPFRMHRTLIRDNEQYLKDISQKANTRILWPDHELASDAVTLVGPEAQVGNAAQ MLRACVPEDYCIHVPFSTNLIAILDSDHYQEAVVDRLEQEMNV PHYBLDRAFT_144877 MNTINKESIFIKRNEYYPVQQSFLITSDNGYANMTVPTSETQEY THSNSFTLGTKDHCDHIKICPSSKAGCPYIIKLSYKKITNEYLSLKASSETEISHNHP PHDKCLESTLKGRFSRVTSEDAKQISMPVDTNTKTREIQRSITDETDDNNKLYISDIN NIKAAFAQISVPVMEGARCFFEVMAVDVVYFTNKLEILLVRVEGIHNLGGEALKPFPA AFAWVSNEHQDTCIRFIQQLKPLVFEDKTLSTVFVEKKCTALINALDIVFSDNNKLIF YWHMLNSVMKVFSVKVVKSRESLTWLLTSMAKPAENNLEETIRVDEKSEVWIKEIIKL GSLFCSCGGSQQVANPLSKIKTVTFEFEDRIVHPSISF PHYBLDRAFT_22752 MPLTKDTIATTSSDAAASHALLVKAGFVRQSSSGIFGILPMGLR TIEKIEHIIDEEMQAIGSQKLSLPLLLSSESWKKTGRWSGAQGEFFHLKDRKDTDLLL APTHEEEITQLVGSELRSAKQLPIRLYQIGRKYRDELRPRAGLLRGREFIMKDMYSFD ATVEEAYSAYDAVTAAYYAIFRRIGIPFVVAEADSGNMGGSKSHEYHLVSSVGEDTLL TCTCCGYTANEELATGHLKTSPSLLENTPVEHTHEPLGTYEATHAMEFSYAKYTGQNQ NNEAVTGYTVIVTPKGRTVNLLKVQTSLGRHLQSKEKIQDGSSIELNGVHHKTILSIP EEIKKSDLHVFLDNGVMPLKYTLAQSGFENATVHAADHYRIAEAGDFCNSCHEDGKST PLSSVKAIEVGHTFYLGTKYSAALDCGFKVPSQPEKVPAQMGCYGIGVTRLLASVAEA THDDRGIVWPTSIAPYSVCIVPTHDKNVELHKVADKIYDQLEATRFLEKDIIMDDRKA GFGSKMKDAELIGYPFIAVVGQKAISNQIVELHERVKGEKNIVTEVPLGELEKWIQQR LSV PHYBLDRAFT_181217 MQEYDPNNDLKTPVQKRKRLAQACIVCRKKKTKCDGVQPECGNC VRLKQECSYIASAKKRIQRQGHIDMLEQRLLKMEAMLAQSIGHDHNQLSPVSETPSDT PGELLTESPASRLEANMDSLYEVPSNPVDTSSPPIESTKNSNIQTACHTQPTFQRNNQ WNSNILPSDDEIMHLTQLYLAYLHELVPILNRDILLEAIEKKTVSEFFVLSLFSDRPS IRTDPIWQSGDKYAEKARAILNDVLENPRLEYIQGLLLLTLHYYGCAQGPRSWMYTGM AIRMCLDLELHIEPALEHSIGDVISMDKWTEYETRRKVFWDTFSHDKFASAATGRPSS IPQEDCNTFMPTEDTCLVAEKFYAESIDGRRCMRLSVQRDSSAFPVSVTVCEIFTPNE MPSNREYRQRNWCSQMLREIALMGKITEFVNRGIRRKSPIVCFDPESPFAKLDSQLEE WSIRLPHHMRNTPANFERFRTRPGLSSALFFFAHMLHNAMVVLLHRPALMLSETMALD ILQPEMRELIQNSIEKCKSASDNVTLILREINTRIELIPPFLTYLAYTMTTVIINDCF SEKPDESQKAKTALCEHFRLLQTMRPYWAMAHKLYFMIQDLYSSQKQSSKHHSKGKGK GKEKSNMFYSSVNGAEIRWDDAFMKLADPSQPTVLLPSQINPPSVPSFGVSSASQFHM QNAYENSSFLYQAAPFVTPFSTTLNPLWSMSLDGVVLPTTDWTTCIDNDENQFLAAIH AITGANTPSYQMFPLQHVEDNRQNLDNTQTDERWPYRYTDPSGRQP PHYBLDRAFT_103751 DKRTTFMIRNIPNKYTQQMLLDCINSTHKNTYDFLYLRIDFKNK CNVGYAFINFTNVNLKLSLHHTSLFIKYFIRNLFNSEKRCSLSYANIQGKDALVEKFK NSNVMEEDEAYR PHYBLDRAFT_167841 MSRFFSRKEKMTLNLDNEVERKTRDSERTTVLTPLQPNIAIAQP VISGPIVMQPISPLPNIAKSPTQHYTIPSPYSIRETTHRPATSTCSTPLSPTFKPINS PRTLSSTQTTFINVDHTSLPKAGLPSDYRPPLYSAADLSYNSSVASNASTSSNTSTSS YSVSYFSDFSPTGPNFPVSRNSQQRSFASYSREDSIDPQQFYKKTSLRNKPSPLTLDS KTDRISRQQPIAPYSSIGSCLAPAGFGSQAKDINTISPDKTSTSHLVMAIFPVEDYDT KDTMKILFDSNIGSMQGDKAIERTSYWEVDGISDLVTLVQFPDPNIVIDNQTADYMDN LLGYCLGLTSIIIMVSTYIAVFEIETLYELTDHLVTTMETIDPSSSWWSRVLIVFDDK ETQTTEVSNHRATVLNISLPCIRERHALEKTPSCLFINSRVSDFLDAADYDKYQQEAQ RVLRHLVQVHHQNGWWRGSLYSGNVEQEEFSSSEEDIAFATVIEPKKREPLPRNKVSS VQRKFTKKNGYRSQNEILEFRYSLPGS PHYBLDRAFT_67645 MVRLEEAPFNRDIPTQSNWLQPNNHSHDTDNADGSTKKKSMDRI SRLFQKKPKKKQPPASLTTSFSNLSLASSELSPVSDVQPSIDSLSMRRGVSGQINQNY YPQPSQSYENQKTLPPLPQNEKGIKPESGTLDTVSTAPSLSNTTSTHHLGTEQWQSPA TTGQTSSLIRALSTRSNNQHHLTPLPDKNLSGISGQDSLRSMQSIARSLSNSSYTDHT SVSALKARLEKQRFVLEKLELEKHQYEQDNIHLNDQLSQLNRKSDQRDTDMGQLKVNY DIHLCSMRATEDDLDTIAAKVRLLKESISQLAGELMEHADPVIATNALYTFWLNLSTS IDELKEGPDQTLPLHRMRMLTEKFIMDVLIQNLNVSFFPGLSTAATSAYNELCTFFRQ HDREFATRLRQELALVVVKNKGQKETIDKELHDILQSNWKYLYGGLSKAYPFLYQHDK IEPDVRKHYGAKIQKLVEQAVTLGCAIKGQEIVITGADVREGTQIFDSNFMVDEDGQT SGVVAFCICPPFVVLCEPYRCLEAGKVLCKPN PHYBLDRAFT_158699 MSDWEEENDIEVSIPVSKKWDDEDVEEDVKDSWEDSDEEAKPEQ PAVVKKKVPLAQKIAEKKIAEEERKKELDAKKAALAKALEEETEEDAFDRKQRMRQLE IDADLNNATDLFSGVSVSKDKAEAPIEDMKPKTRVEFEQYQKRLSAMIVANSKSINYA NFVDQLVRELCVPMKDMDVRKAASSLTAMANDKQRAAKEATKTKKKGKPQLTGAGKTG VRDDMNTFESNYDDFDDFM PHYBLDRAFT_181219 MLRCTSRTLGANSHTLQFRLVSRRWLTTQKTTLLTEHTYGRTRI YTSLTTMAKGRAGTVKARIASPIGNRLAWEWLQPKDSDTSGQASAWDRQKRRTAAGFG VLGSIKQNMREMFLPVGYPDSVHSCYKRFHLWLGLETYVGSAIGVLCSQAMLASLGLG AMEATGGAVAIQWVLKDGIGEIGKLFFIKRYASSFDSHPKTWKFVCELLSSVGSFLQL CTSIASPKLFLPLAALGNTFELIHESIWMASHMTFTKHFAASPSGNVGDIVAKDDAQM SSAHLLGMLSGVGLISVSHSPLFLFGAFAVLSPINIWATYKMLNSAEFEILNQAKLML LSKIFIDTGKVVGYEALRNREIGFGEWIKPSGTPGGVSARIKLGASASSAYSNTNEVE DVIDIMQHENYLINYHKGTMWVMFHEDSRSNDVIKAVLHANKFHDMLAEQNIHRDTAW DKYNEILKESLEWSKEHYPTFVAELDSKEWQSNAVYWNDSGMRLSWEGHDVKEENIE PHYBLDRAFT_133330 MDISARLKECIEIPQQKEKLDAFRSVLNDVLSSSQGPQQVNSLE EYVKAVLDVQVGLVIARQLLSELIELLDARITDRDNRKKLLLYAIGQAQLRAVSFEEQ LSQMRSKLADIYTEEEDFLEAARTLQGISLDSGHRAISDDFKLDIYIRIVRLLLEVDE TISAETYLNRAALLLPATPDPARGLIFKLSQARILDAKRRFLEACQKYHELSYDSQMD EEERIFSLSAAVQCAVLAGAGPMRSRTLATLYKDERTHHLPSFPILEKTYLDRVIRPE EVSDFAATLKPHHLARLTSNTTVFDRAIIEHNLLSASKIYNNISFDELGVLLNVSSEQ AEQAASHMIGENRMVGSIDQLDQLIYFESGGAAFDQSGDDNVISAAAAAANGTTSTNQ RLEQSMLEIAKWDSGIQNLCQDIDTIVTLIQERYPDYASEHFNAMA PHYBLDRAFT_155267 MSQDGNSAFLLRAFYNRFFPYKTYFQWLNYDIAPTKNFSHREFS FTLKSDIYVRYNSFMDVEEFRKEIERFQPVKIDIGAVYSVMPKNKKSVAEKAFRPVEK ELVFDIDMTDYDEIRTCCSGGDICKKCWQFMTVAIKVIDTSLDEDFGFKHRLWVYSGR RGVHCWVCDDRARKLDNESRKAIVNFLEVIKGGSEQSRKVNLGNSLHPSLARSLEIIK PYFGPLILNSQGVLDTPENWNKVLNTIPDAGVRERLNEMWSTDSLSSGQAKWQDLINV LDKSSDKQIFYVRFSSCLQAIILNYCYPRLDDKVSINIGHLLKSPFCVHPKTQRVCVP IPITTCENFDPFSVPTLSSLINEFDAFSANNGPDDSERKLPDYKKTSLRPYIEFFEKF VQRLLLDAQRAKRGNPKKSLFFKNIYIYMYILS PHYBLDRAFT_144886 MDLGLGRIKALLNALGNPQEKLKVVHVAGTNGKGSICAYISSVL HQHEISVGRFNSPHLLEPCDSIRINEEPITQNTYVNACKHIQAVDTGAGTHATSFECQ VATALWIFDQMKLDVVVIEVGMGGELDATNVFSTPLVSILTPIGWDHAGMLGGSIESI TKAKAGIIKPGCPVVISPQDDPIVLDILVSCAQNLESPCVCVQSAECISQTNHGMMCR LDYVAENTLTHESWNVNHEYPIPLNGDYQRSNSATAVTVLDWLSRLDTLPNFKLDFDK LKLGMQKTTWPGRLDWITPETHPKLKRSDWDIPSVLVDGAHNPPATNALRIHVDSLCS KYHLSRVIWILGATMGKDIEVMLKTLVQPNDIVYSVPFSQPSGMPWINCVNPMDISDC AKTLAAESQGFQSLHDALVKASSVLRHGRDLVVLCGSLYLVADFYRLLD PHYBLDRAFT_155269 MANSSSSTETFETFDFDPRLARAVAQLNFTHPTLVQQKVIPLAL AGKDILARARTGSGKTAAYSMPIVQKILASKEATLILVPTRELAEQVTGHINKLLVYS NQIVKVANLAGQVAPQLQRPLLAEKPDIIVATPSKALVHLEAHNMVLNESLENLVIDE ADLILSFGYEDDLRKILSFLPKIYQSFLMSATFTKDIEELKDLVLRKPVLLELDEDDE EAEQLSQYVIKCSEFEKFLYTFVIIKLRLIKGKVILFVNDIDRCYKLKLFLEQFSIKS CILNSELPLNSRYHIVEEFNRGIYDYLIATDESELKGEQDSDDEDKKVEENEKPKKKA KIQRDKEYGVSRGVDFQNVAAVVNFDFPTSSKAYTHRVGRTARGGQRGMSLSFVVTRE SMDTNKDNARGNNSHDEVVYKRVEKQQTEKKAEMKPYTFEKKNVDGFKYRVQDALKAV SKMAIKEARIKEIKREIMNSEKLKAHFEDKPKDLDFLRHDQALQPSKVQEHMKHIPSY LMPRVAAPNALAVDQASNPVASIGPNVPFNSDKRRRAADSKTNGKSHKRKADPLKSIK VRR PHYBLDRAFT_144888 MESTIEKNDLIAQKELYLVKEPLPTFPINGFSERTIAVEVLKNY ATANNFSLVVKESKPTMVHLKCSKGGSYKNKHKVEDTKRKRKKNSSLIGCPYLLKLSF KKKVQGYLPLKQCHLEEAYHNHPLSPTPSTIPLKPRLPEASVEKNVVEKSPNRAILET PNPQKNNTIENDDAHKPKIQSGRVLRSHSRRIPSKSSTKMPIGL PHYBLDRAFT_111974 MPVKEFNSAYPVIDTDPHFFRVVRYFRSSDYAAWAAGTAAAPAI MLGMEKMNPVGPVRNLRLPLRVATVFGAFGGFLYAYQNSSLRFWGWTENAAEVQKDHA EMSQRLKEGKSLYGESSMPPHVANESSRNSRFAQLKFSVFPWFNFANHNNHGVDTSKY ESS PHYBLDRAFT_133339 MSSNQIPVGDLHCQKDTYSKVCITKCISCSEKPNKKGFYEVKLH DTVIFPEGGGQPSDTGMIDNIKVYEVQRKKLEHIHFTKEPVPVNKEVEVTLDWNRRWD HVQQHSGQHLLSAVLEKEPYNMETLSWNLGPAKCYVELSTKNTKTLGAEQLATLESRV NDLILEELPMECHVEANTGDGRPDSLPADYVGGGSIRTITIEGLDTNPCCGTHVRHLG HLQVLKLLHVESARGGNIKLFFLFGQRVLDTLDVAYKTSRQVTSILSVPQEQFVENIT KLQQQTRASQKQIKRLMTELAGHTATEVKLQLKSQPYGFVYRPDGDMDFLVDIASALK DTDDIKDKVVVLAAGEKQTGGQMLITGGSEEIVQKTAKALLAAFPDLKGGGKARWQGK AKSWKTIDTLDTHLKTLFK PHYBLDRAFT_67635 MQSSVKQATKEPNVFPEAPGYRNTESSSASNSPALPESKFDKYK RFLLWWNTEEWWSCWIGLIFFGCITAAVHHGIPQPEFLKWETNPFHSFNTVGNYGLIV LFFAMGAMLWLAMGATQTPHWRFYGTGYAVVFCVALLSKILASNVTLHKASIGDSIWA IVLGCVIRNMIGIFRPTLPPWLKVSQQTELYIAISLVLLCIDISVLRPLAPRAIFVSW LDTPTLFIVVAMIGWRWMGIDRQTSIIMSGATFICGSSAAIALGASMGVPYKTEMPIA IISVFTIPSIIVLPYIAKNLGLSPSVSGAWFGGCVDSTGAVIASASIYGDKEAINTSA VVKMVQNVLIGPLSVIVAWAWSRYELQTANSLNEELLRNAGDEDIELHDIPGRSRHHP RRTESVHVLLWKRFPKFVLGFIITAILFNTVVSTDPEIRLNVNDFCFYVSEWFSTLSF VSIGLGLHFNELKDNIRSLGKLCSLYLLAQIVDIVATAGLAYTAFTYL PHYBLDRAFT_133342 MVDKYHIRSLLGVGAFSRVYLADNIEDSQQYAIKAINKDRMFTN ARVRSSIEREVGVLKVIDHPNIVRLEATMETEHILCIVLEYIEGGELFDLVQKLHQDN QGRPLDEELVKRIFLQLVGVVRWLHDHNIVHRDLKLENVLIRYDDDDKDHKNPILKVT DFGLARVVDPLGPQLQTRCGSEEYAAPEIVQSLGYDGRLTDTWALGVILYGLLVGYLP FRYQPSRGEKVSQLFYRIVKAQVKWPAEWANDASLGVSLEAREVVEKILVRKPEGRIL LKDIESLPWFAGIEPL PHYBLDRAFT_167853 MCLRGTVPSITDFVCYCCTFGIPESIARVFKGNPSLKSLFLLKS VPDSGAVSTKLLILDYLMLFFFIRDVWGKLIIAVYEAEWKTKAHLKLRNNYHQALAEG QKEVGHTEEKEEKSLLENQFPKTLDFFLARL PHYBLDRAFT_181226 MSLVNRPNTILAKQQYFQAPSNTLLFLRGPRDKVIVYTTFLVLG TGLLGSVWGAVKMARAVQICLGSGLTTALHKPKTPTFNQMSKHYVYLPHHRRFHSKTL TLNESCSPHPEVYLIIYLSNCLPRFFKTPVKFSSILQQKSMPRNIYVTLTHKTT PHYBLDRAFT_181227 MATESKGGLSDYVSTKIYQYELQTALYMLEPWEKALFNTLLLSI VSLSAVTLYQYTPLVFNKLMT PHYBLDRAFT_67631 MRDSNPQYSMCPKLLCLTARQDKACSFSSSDTFFPSCALSLETA KMIFSLQTFSMHALGHLYLYLEEKENETYRSKSRFSIFGPSSWLPVPKKKAWMCNTVA MSKTMQSPY PHYBLDRAFT_167855 MRVPLSYEHQIQLVLIASALIILLLPVNSAAPIETDLKENQDQS DIETYLLRHLSKAVIDALKVLKTVIFAYIAHVMTVRSDPSTTLLPNIYKRLSALAWPT GSVYESFGVIVKLRSSNKWFYPNGTSRDTCDPIIAYSSLPTLNSNNRISSTTPFIPSQ REISPFDVNPGLESTRMNQYDNIEYLKNTLDKMGPKKAKRVKVCIFNGSLYIDTNTLL ISKNGTNLRLKTADMTICGLGVNCTYQLPVHPSYVRYFSKSMIDELELSSYIQKRASF KTFIAFLQLAYTVYESAYSIRGVVEFQNTYEDLKAEEKEYSHFFATFFVRVFPGIPKK IFTDRECIAYDILCKVGIKQKDVYALANPSKTAEILNRVQYPDWWEAVVILGSTFIWI MLGFWPGFMTGTITEVLVLIWIFAGFLFLILRIFLFDPSYLIGWKLYFFLRFFCCCQA SVLFLLPQSMVTFLELK PHYBLDRAFT_67629 MLTFSRIIVVITCSIIFFKHITHVNISTSRHSSPYNVPDMSNRR ASPQIESDSTALQISSGSKLIDKPVKEFTNTKIYSITNIIHPKATSYKTSYTTVTYDR TYYVTVPVTSFRTNLGSSVTTRTHSSPPPKKTYNPKTKKTPSEVANEKNFIWFTIPYT ELRIFLYIFVPYDECVATEFDIFCEPASQETVDRYLRHAYYILIFLFLFYQATIYFTI YDTVLFVKYIFNLVRRLCAKLHRAQLN PHYBLDRAFT_67628 MDIKKILKPTLGDINTFMPSTLVHSIFNGDCVIWSDESKFMLFD NGGKTRIGKYPKGSYFNSHELSKLDTAIRVERSNILAEIFKTHCMSIPNCIHEMKRVH GGHELLSERRSTKLRRLQWSLDNFKVKAGTKRLEIIEE PHYBLDRAFT_67627 MYSVLVLFNISIRQYQEVFVNNTQFTPSVLLTRCVFFWFRSDHE YPAKNYKHTSCYICPFYTHFTISPYRWIDPSIITVIRLYLSIYLPSELAGNYIFIYYF SDKVAPHQRNTCQCAIPFFSYKNRYNSLFPVIPPLPPGFLLVVLGFRHASISLRSQRG SSRQVHVSVSSVQCLVPFCFRAQCPVSRFQPRFAFEHQYFLSPLRLSVRRLVYRACLN MSFWFFIGSIALFGWSAY PHYBLDRAFT_67626 MFPPQNHTKKYFQTNYIKKHPSHQRLAINLENNEPVCFYGGGIQ RVHFFRLLLCNVPGATSSKNIRTVEGQEYNINQAACHALRLFNNYGEVRYRSSLAEYY LHSEIISQYNKSLEPSQETSGQTTHRQVSRKLIQEQEDLCNKAYILSHPDQPYFNGSQ QALYNSIMKAMHGSQLGARLYFVNRPGGTGNIFVCNVLFQKIPINVDMNSMCSIFASS QVAQFLRRTELIVWGEVSMVNKYISSAVNCRIQDTIKAVNPVLVNVPCSGFFFVFEEN YQQAPHANPRTSKSQTVAQYITSNPEIRRKLEDPKNFLLRAGNKNKLMAGGPDTVAIP NEISICGTNLNGHTNAIVFF PHYBLDRAFT_112024 MELELELKPVTPDESTICANCHTTTTPLWRRDMLGKTICNACGL YYKLHRVHRPATMMRTVIKRRKR PHYBLDRAFT_67624 MLCLYLTIDNLESLSASNQTNIKTASLEAIEHSTDLCVLVSFSH PIATVDTHWNDIQSLLGNIYVVQLQVAYANQQPLMECNVLFESWCGYQMAFEPNLSRV LVSPQYASCIEEWNSSRANAGLGLFEIQILDTTAVTNTSTTIRSTPTITTVGNNSLNI NKEPNAVSHHLSPNQQQRDHTVAVVKENKEEDKKQQQRQQEQVHPFSRVALGGTFDHI HAGHKILLTMAALLTNKSIVVGVTDDSMLQSKKHRQFIQPTEKRIGSVERYLHSVRRN VEPYVVPICDPFGPTITDPAIDALVCSKETLQGGEAVNRERQKRNMDPIELRVIDVIS PNSTSIGSQDMSALKISSSWIRQYLATK PHYBLDRAFT_144900 MSANDNYKHKLEYMELSNLKTPCMIFKDTYVSGIKNLEVFHDCI PHLRHFSFDTRMTNLAEAGVKLITKAVPANISPGDRIFDTMKELIGVCSKTIEYSQFT KTGYRDKQFEQLCLFTGSVYIGSEAMQLFNPYGLRLLTLTEMHIDSDTLIYISARCES LSHVVMDRLTVLGTISANKGTLLIDMSSARLAELRFSHIRFIPPGEIDLLKPARLIAS YPRTIDSDEHINQSSTRI PHYBLDRAFT_96384 QVMSNALLLRLFTSEFFNSWIAVSYLFRYPDNVGIQHYLCAELK KFPISEIEFFLPQLAHLLITRPSESVALECFLIDMCEQSTHMAIMSLWYLQAYLSDLS LDPSSASFELCKRLLNKCQAIVFSDGQTSDSEQAEVEGVKEAHKVSEHAMPALVGMSA MLLGVGQPLMTRSAGRIALAQGRQNRSFSLTTALRRNTVGTGRHSVPSPPIKANSVDA LPLSQQQHHSHHHHSHKNHSHRHQQSRSMTSPFQSASQPDLPLHRHSLPNPFTSSPSL EDLHPSDEEYSALSTLSLDHRKSLLRSNYFRSEMQFLLALVDIATRLVIVPKQARQNA LHAELTLLNHNLPAEVCIPLWCPATAEKPYHHRVVRISPSDAVVLNSAERAPYLLTIE VLDDELSFEDSYSSALYRIRRTMKRNKARKEKACSSSQASGSPCQSPLSKNFKTTTNG NNNNNNNSSSSGGGEGGGAISNSNSTSTSNSSGGGSDSDGSESVGSFEKQAEIRRRSS DAYAERMRTAAIMLAQLQQNSIASLGVGTSRSASAKSRQSTSEIQQRIIKEMVALEEQ RMETMHKEGVSSGVGDGGEGGGSDRLDDEQRMAWVVNKEDPSAAVLSEDWDTKKARIR AGSPYGHLPNWRLLSVIVKNGADLRQEQFAIQLIREMQRIWQDTAVQVWVKYFRVLVT SDNSGLIETLRNTISVHSIKKDAYTRGWNAKGVVFTLRDYFERTWGAPESEKFLNAQD AFMRSLAGYSIACYILQIKDRHNGNLLVDDAGHLIHIDFGFMLSNSPGSVGFELAPFK LAQEYIDILGGVNSEKFAEYKALLKAAFLAVRKHSENILLLVEMMSKDSKLPCFQNGD QTVQQLRDRFQLHLTEPQAEEFVDKLVMSSCCNVFTRLYDTYQYYSQ PHYBLDRAFT_158704 MFNNIKEQTAKAVQGASEFGQRANTQINSFAEKTADRAVEGAST TNSPVLGTLSEECIKASRTLEKFIDKEEMENGFDNIIPVKVLQQAKGLAIFTVLKAGF LWSGRAGSGIVIARLPDRRWSAPTAIATGGVGFGAQIGADLTDFVLVLNSDEAVHAFS KGGNVTLGGSLAVSAGPIGAGNEASITGEIGSKPAPLFSYSKSKGLFAGVSIEGTALI ELSKSNASFYGKPVKAEQILKGEVEPPVEGKVLYDTLKKAEERDPY PHYBLDRAFT_67620 MRLSRSVFIWKSKIHKWGSIDRDRFEELLIYDVTYETSPAIQDS ILAIETQPCDIHNYGAKVYDSLTTDLTSSTLTIAETQLSPQMNTDAPAITVYVTNTEV GFVTEYISHFPVFTVTKSVVILETVTLKEPYIKTRYITDPPVTITKTVADQRTSTVTV PFIFTKFVSSYPVITITKYGTSPQLVTYTFYDGTYSPPIRTRPKVKGTTSFLSSPTTL LMRSSASITIVNTEISILALPNNRDTIQGKNINIDIRKEAYSKQTDIPNSSKHFIIKF KNFELVISIAAIIPQKVCVSQGQKTYCWATSDRIIDDSIKKSYITVAGLIVFGHIFLN LLSYTIRTLFRFGAKLVKACIDRYTPINQNSSPASGDLVVVILTACFTAVSLAPWYFG ILHIGMMIALLLKAVKIKTTLVNRSRRMNLILDYMVLNYCLSLSDIRKGKEQRSQLDQ LHIALVLELKPLHPCPILLMFLDFLSYFKNGNIKYIYIHLISILALEYDSFYSYSSHF KICISS PHYBLDRAFT_167865 MFFYMSKRIKSMTPSSLSPYYTAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTETMPHDFSELTELASNNFHFPMLVAWKNLPVTVARDVSL PHYBLDRAFT_144905 MSESITIDQFHTRSLAKEFMEQARPDRAMVCWYSIFVVKCYIDK LEETNDGTCHPKDDERNSL PHYBLDRAFT_67618 MTGSDIKVPSLVSDNSSTTGTSLDILASSILNQTYPQPFFTPDK FVDDPPRLLSKHSSRYSLCEDDMYPVEIIDELYDFFGYDIHSLDIDETQYRYRQSVVQ RLLDSEAEYNAKLKVALDRFKMPLQALSRRQSSHQHGSATTLSFLATSISKPSLLRLQ DIETLFGNLDEIHMSSSDLIQSLEERFCVWGPTQLLSDILLSMASTHNFISLMSWLHV VCNIPKLRAYAVYLENYKKSMLVLERLEKNQQTKKYLEHSTVEFGTLHLHTLLEQPLY TISRYADILEDLLKHTDPQHPDAVRLTQCFARVARVESGLAAPIQRCHLLNQVVRLSI QSRQGFLLTDAPRIIVKQGELWNLHRHLDRRVYVLMSDMLLYFKPRAEDNHNLLQYKG KIDLACAMVGKLKADKVQYGIDITIHHSDPSCAYSEDINALLSASGSSPPQHHYLKAN SEEEQRVWIEAIQSVILRLQRRN PHYBLDRAFT_78842 MASNILRASLRALSRPAFKSVVTPVLSATSRRVTPAIGFTPIRA FSAGLTRLNSGAVDSDLAHKLDEELQYEKSNEEASQPEFIKEFLDTHLFEIEDKPGQD EVSLTRTFGNEKIRVLFSISDINSTEDPEDFISEELEGAEEVEEPAPSFPVRASVTIE KNGKGAITIDTVAQDGEISIESVMYYKDAKLASDQSSESDWQRRGLYIGPQFAELDEG LQNLFERYLEERGVNAALASFLPDYVEHKEQQEYIRWLQGMKDFVAV PHYBLDRAFT_67616 MHLDSRRSQVLFPLTQDLVIYSYYFVTTFCVNLSHTILNILRVV AKLGKMVRVCVIDFAGLTDDPVDLIKFLKGDRNVVKHKYRWSIMSFYRLAHRYKPLYA SISSVFKPDRKMVKSTQNTKLRIVKACQLVTIEDYFGRIRHTGTYWDRYINKGLYLKW YFRSIGIKDGIDVGEE PHYBLDRAFT_167869 MPTTRSSNPIGRPIQNVRFKVYTPPSLAKPSSSAPKASSSSSSS SSSLPVPLPSFLPPIVNNPTSARATTGPAQNRPADPLTALSTTQAKRKRAPRSSAPAP KKPRTPRGYWVLDNKLPHVRGSWTPRKELALLESYAEIFPPLWPKGKRQTAWRQVIDA VNSVAPKDVPLGYDACRRAVERLSKSRRDGTDLEKAALEAIARKEIHEKEIKKNKTRG LEKQKAKEAKSASEVEFVKEIIDNRNQPESGNQNPTGNLDKGNENTTSGQNAAPQVIE IDDDDDDDDDNSNANNKYENDVTTVEDTDSSEAEMDSPQAQRQFRKDMREYARNQEKT MSNIDKTLTNINSTLSNIEKFLDRFTNK PHYBLDRAFT_124582 MSSVDHYSVLGVSKDASAIEIKQAYRKLAIKYHPDKNPEGAEKF KEVVHAYETLSDEKKRAAYDRGEDTSMDGTFRFDESMFDMLFGNSYKRSFYDEDGEDD NEGPRHGTPVKLKVTLEELYTGCTRKMEFSQRQVCKACRGVKNSKIRRSTCPTCKGTG TGQPPRNKKAKNAPKSRPQKCKECQGVGKVKMVDTCKVCNGERYKMEDTTVEVKVPKG ASPGFTIELPEKGNETAGSEKRGNLLVVISQQKHKVFKRLHDDLAATVQITLTEALTG FNRVLLVHLDGQPIKVSHPGGNVIKPGMQKIIKKMGMPVQDNPRTKGDLYIKFDVVFP DKIDIPKDNKITLEDLLPK PHYBLDRAFT_167871 MVHFLDKGKPENNESSNSLHRSPASMSSSSYSSSSRTPESISIA EQHNLSNTLPRMHYILLWNIILEALVVSALEAALIYYHVSFSSHCVLSITNMGLSLAN VTHHGLAIITQVYQVLLYIDLLKQHNMPQLCMTMIFQTLILVFAIIKVYHSSLKDFMI CDDSLEGNNTFSTTNYPLVFTRNDTEFYKTKFQSLEYAVFGVTLACSIVFLLCLIRLY RASTWTSHYLHSFDDNTKKAILAATALVSLLKFDAFFCFFYIVQLVPATITGYPVPYW EVPAVVLASALILLLGWYAVLKESSQLTLAFATATILSFGYFVYRIISLSLVSVLNQE ILKIIRSYLQLSISITLVFTLLTIVVSLTCFLNQIRGINLPQPMCHSCTHPQKKPPPM VPEHTEPPYSMGTALRSEPKQSTDTCFFSDCHSVIK PHYBLDRAFT_67612 MLDITVFLFLVYFCKNDYLFKEPHILCVNHSNKCKINFIHQIKY NLVFSLVKPLVSVDNYLNSESSVCGYVRPFGRFALFRKYVSSPKKYQEFDWQLESFEI ANQSSFRIESQERSAKLFLGKRQCFSCVVKKKESLLGPPKLSQRSLYFNYLIYFLDLQ FLAKKYLELLLVHPIYSLLVVTNNNNYEPFNQ PHYBLDRAFT_167873 MLLIKDMLFRAMSEANDIHQPHLTETQATQSPTTSQQSPESQEQ DDSSPVAPSAPPIQQEPPAQLQTLKEAFPSVEVDVIEAILESQGGQLEAAFEALLAIS DPNYRPEPTNNIPPQPAPAPHPAPHPPVQQTQPSEYYQPQREIPAQHENLQSNNNTNE RIPPPKPPRPQQQRPNNFTQQSSGLQNQPYGYWAGTQDPSIQTRSQISVEEQLRMDEE FARQLAMEDANESDYQYQRKPTPETRIPKTATAEKEFPVIKEKVIEVGNAAKKKVIDL FNQFKASRNNMSSSGSSIPTTNVHYRGLPSDDGDDLLAGDMSALHLSDNDVYAQTRGV VRPRTTPENQTYIEEDQEDQEDQGELRSNIIHVNRPFVPPVKTQKYNDAWSTEEQLRS DEALARRLANEDMIERGSLGTNTSVTGIRAPSPSQSTTPTKASEDPVSNPEKEIPESS PIKEQDNKSENKAKEEEDVPYVIGDDDSDDDLVDEDEDTAVKEHPRNKYEQKPHKHFL FSISIMNTHPENIVAVPSIHQDNRVQISEQACAHLLDNAQQCLSSPGHPMTTLSPNQP FTFSPAHHEIPLHFNIGSHLTTPEEGQSLINHPLLPAREQPSGPCTPQPSSSSGAPDI LHQEQILCQQQSQSPHNDLKQEQLQHASRSPSQQTPQHSGNMLEHHYGQQLSLALATA TQQNQTVQLQHMYAGSQTLDTHSPMPALSQDLINQHSQAEIEHMAMLVKQNSTPLTQG AHLPVTQSVESPHQPALVPIHTPPVAMHSHPNPGREPQELNV PHYBLDRAFT_167874 MDNLLVMLLHINLNGLVLLLLNLLLLLLFLEFAIVDVDVVHELA FAFSVALVAVAGLVDAVHELAFAIVVVAVEPAAVEPAAVEPAVVEPAVVEPAVVEPAV VEPAVVEPAVVEPAVVEPAVVEPAVVEPAVVEPAVVEPVVVEPAVVEPAVVEPVVVEP VVAGPVAVGPAAVGPAAVGPAAAAAVRVLVIVIAVVVED PHYBLDRAFT_111836 CEKRFSRPDSLTTHIKTHSNVRPYLCSYNGCSKAYYHSRSLKKH EKTHEVAGMQQQMTPAYQNAYNISTGPVPVDYMNSHMAMHQQSQVQHQIQHQHHNQHQ HHHQQQQVPSVLPHQHSLSLPLPPHGQSPLGLPHHPYPLQSPHAGLSSPHPHPFVQHY IPPTHMKNYPTNSMPQYSPSGMVESPAGTPQGVIPHGYDPTHQNGYQFRNA PHYBLDRAFT_155273 MKVKALSRSTSDYVRERAQDTHKVQRNLDPALHPFEKGREYVRA LNTVKLERLFAKPFVGALSGHIDGVYSMAKHPQKLSSIISGSGDGELRVWDLSEQATT WRTKGHTSIVNGVCAGHHTGQFLSCSSDKTVKIWNQSVGTDPIETYMGKNAFTGLTHH RSDPIFATSGHTVDVWDETRSDPIHSFEWGADSYQTVKFNQVETNILASCGQDRTIVL YDLRTSKPLSKLVMAMKTNAIAWNPMEAFIFTAGSEDHNAYTFDMRNMKAAQNILKDH VSAILDVDYSPTGQEIVTGSYDRTLRIYNSRSGHSRDAYHTKRMQRIFCVQFSMDSKY VLSGSDDGNIRLWKAKASEKLGVKDWREKNHLEYAAKLKERYSHMDEIKRIDRHRHTP YGVKRADSIKKEMLSAQKRKEDNRRKHSKKGTEEKRVSEREKAIIGIAK PHYBLDRAFT_177469 MFKITPKLHEKIQHFARFPQTGVSLRQMVLFGQKPSQASLFKAS QFLHEELPIRLAHRVKDLEELPRYLSEMPSILKVKDWYAQSFQELIELPPPRLSREMK EKLKHASKNASQTIPPCVPNPANVHLARPHLEARLMTLPIGHRYFNPVEDIRCSPEVL TYTDSFVKTIEGVKRRHDPVVTTVAQGILEYKEHRKPQVVDTEVEKFLDRFYMSRIGI RMLIGQHSTLYRGPFRQDYVGVICTKTSIREIAQDAIANARYICEEHYGLFKAPEVKM FCPGDIEFMYVPSHLNHMIFELLKNSLRAVVERYGSDYEDDYPPIKLVIAHGKEDITI KISDEGGGIPRSSLPDVWAYMYTTAERQELEPEFNKSEFKAPMAGFGYGLPISRLYAR YFGGDLKLISMEGYGTDAYLHLNRLSNSDEPLIM PHYBLDRAFT_186806 MPVTPEITSKLQWVSQMNVDIEPTAGRKSSIIGTIGPNTNSVEM ITQLRNAGLNVVRMNFSHGSYEYHQSVIDNTRKSAELYPGRPVAIALDNKGPEIRTGI MRDGIEIPISAGHEMTFSVDSQYATICDDKVMYIDYTNLPKVIAVGKTIFVDDGVLSF EVLETSEDSLRVRANNNGKLCSHKGVNLPGTDVDLPALSEKDKADLLFGVKNKVDMVF ASFVRRGQDVKDIRAVLGEEGKRIMIISKIENHQGVQNFDDILRETDGVMIARGDMGI EIPLERVFIAQKMMITKCNLAGKPVICATQMLESMTYNPRPTRAEVSDVANAVLDGAD CVMLSGETAKGAYPIEAVKTMHDISVLAESVQCYPAVFNELRALTALPTVTSETVACA AVSASHEQKAGAIIVLSTSGNTARLVSKYRPQAPIIVVTRNPQTARQVHLYRGCFPFY YPKESSAAAQRLSLSSTSTSSAHMSPAEYAPWQEDVDARLMWGMEQAIKYGLLKHGDP VVAIQGWKGGLGNTNTLRVLFTP PHYBLDRAFT_78838 MYFIREAFYKRPFESARFAAARGVVAVLFVLLFFAYCAYLIVQI VDDVPLILLSSESVNATYAAPDIEMCVVNTTMQFAFCNAIYTNFTSLPFDCIGMTERG AISMGSTPQCYMLRTQGLLQYAANRPYPENSSLINNIEILWKLGSVDSAGYNIRAQPS MDIQLYSPTFNQWYLNSSEFIPQERTLYTSMQNGNNRIYSNLNVSTIIKFSPVKYRAI KPKDSRSILGFGAKYVDIITLDTTQQDFPLQQKEPLTTGGYDGIFSISLAKTNMDVKT EQRQYTVLNAIALAGGAYGVLTGIYTILFGAGRFSPWGIFEMGVVYNPFAKSTEPAAR PGKGRPKRQSSILKARISKGPQSMPFPKEHDIQGFAPAASAPLSASQRASMENDTYNR LLGIPFPQIPSTGGGGAVGDPVTHYDHDRLKRRMDEMEAMLRTYFIDAEPYDVLRQGY VKEQLARDALNFSPSIEINSQQHQPMLSTRRNPLNTHNSSTIVAEPLDHK PHYBLDRAFT_6992 VHERVEDYSCYQANIEAFGRLKISVAGTLAVRNEALKKKERRLK QEFKNLYEQWTEKNVALDRVRDHERKASEKYSSNPYHVPGTGSWTSDAARSEAELLEI IQSLESAEMRNPELRAKKTTATIPPMILDVRE PHYBLDRAFT_158707 MKSKTAIQVRNFYTNNESKMQLNEIVNRQETHQEPPSHQDNSRE MPRMGSAFDNSPGKNSSSSNNNNNNTNTNNNNNNNHAAAQAHFQALQNFPFPAPLVTS GEPVVVPKPSYGIGPTSVGYFPPTHRQPMQQDPRRIQMDPYASYSYPTGAFSIHEPSQ STPTASPSTSAAVANSSGRSRPSGHGATLPSAVTKVADLLNSDDPPESTSTKQSWKAW FGE PHYBLDRAFT_91462 QSDEVIWNVINQQFCSYKVKTVNQNFCRNQYNVTGLCNRQSCPL ANSRYATIREEKGIIYLYMKTPERAHSPAKMWEKVKLSKNYAQALEQIDNAMIYWPNF LQHKCKQRLTKITQYLIRMRKLKLKEADRPQLIGVKRKLEKREAARERKAEAAARLEK SIEKELIERLKSKAYGDAPLNVNQELWQKILDQDLEDAEDEESDEENEEEEDEEEEED EGGDIEYVSDLEESDIEDMEDGFEW PHYBLDRAFT_144919 MSPSIPMPEHPLPSYYSGNYARIRSILGFSQARKLDNPVFVIPD NFGNLSHQKGNKSNSWLSNQFKKDTKSMRRIISTPDTSPSNSCIPAASYWKNNVSGST FNVPIPDNDDSIDFMEGSVIEELPYLPPKKAQDRHQSHRRSYAANSIKVREAQVGPNL YSFYRVRMLGKGDVGKVYLVRQKGTDKPMAMKGESPRA PHYBLDRAFT_3177 VLSKKDMLKRNKVKRVLTEQEILSSSNYPFIVTLYHSFQSKDYL YFVMEYCVGGEFFRGKPGKCLDENGARFYAAEVISALEYLHLQGFIYRDLKPENILLH HTGHLMLTDFDLSKQSFSPSPPAIIKSTSRNKDPIIDTKTCISDLRTHSFVGTEEYIA PEVIKMCGHTSAVDWWTLGIFIYEMLANQPVPFPEQPSFMSSLQLRNKNKPKTTELQA VSGLCKQLIRRLLDKEETTRLGSRAGASDVKAHPFFQPLNFALLRSMIPPIVP PHYBLDRAFT_67602 MIDCTSTFESSSSSPITKARIRLTEPSTSLSNTTLMADTTSAPL SLADGEMAYDNELRYPQAVWRFRCPVCDTINDLKPRLRSQKTQSPISLRQLKRIVYEC RLKEKAKKVKGTVDEKERERLYEPVERLVDVLFGSWICLNQSFGNGKETTLQDSGVAM EDVRDAYSILLNLPVNVIRAMMTATDRLLKRPCVPLSRPSDIKFFLMILENPLLTQHN FPAETKYHHSLLKRVFGMLSCLDNTCHQALVNWFAKSNTTQLSTLVNLVQAFVSHRIT RARRSKLEMPAAYESDWKIASAARVMALLFRANHKSKNLALCEFYNTMVDYIHLMGDY GSWQSRSG PHYBLDRAFT_112040 FAFCQYPFLISMGSKMQIMEADAKQQMETKWREAFFNMIFHQKA VMPYLVLRVRREHLIEDSLRQLAQNELDLKKSLRIEFIGEEGVDAGGLRKEWFLLLVR SLFDPQYGMFTYDEDSNLCWFNPASFENKDQYFLVGVVLGLAIYNSTILDIHLPTACY KKLFHQPVDLTDLGVFRPSLTHGFQQLLEFEGDVENVFCRSFVAEFENFGQRKCVSLL PDGAQKMVTNENRQEFVDLYVNYVLNSSVERQFDAFQRGFYHVCGGNALSLFRPEEIE LLVRGSDEPLEIDELRRQTEYNGFEEDERTIVDFWSIMKEMEPEKQRRLLMFFTGSDR IPATGASNMHLKITWGGNVLDRLPSAHTCFNQLVLYKYENKKKLKRMLEMAMMESQGF YVK PHYBLDRAFT_167886 MRTRSVVVEIRMHLHMVYNYHIQSFLLDFGLMGEIDVSLLETEA EVFTPKLVSTKPTRGYGPLSVQWELLSRGANEIPPPIWPTPCIGSGWTWLGSNAVQRR RTSPKPSIEAFSSLACEAVECSSATLASILDLRLGEERRTDKFGARSSKAMEYAVVTF FFKPLSPCERLMSGVDQTLP PHYBLDRAFT_67599 MPNSFCIEDLLYVSYYKNKIPDQVGPSIHKFWLVQIVELSDIRR GKKSRNRFYWRFYCSRSFHFYFEKKSCYNVRQVLQLNILESLCLILELVYRAHHKTGS LKQKMLQTLPFILITLITGVVSQNCPNGEGYYCGIGVAKDAMSLYICTELNGWQISEV CQFGCEENLPGFPDHCFQPVSPSGYEETAQIISASNDTVTQTASTSAEESINTEVPQP DTVTPIV PHYBLDRAFT_144924 MYDSLEPTIGIPPILKPSLSSSDEEVSDKKKSHKKRLKIWRFFS HYHHKKHPNKDTKQATCLLDEHIAKITTLNEYAKEHLAVNLEEEAFQSLLEANEWDMK KAIVDLEDYQEAAHGILRPLPKSETQLLGSENDKNTSCYIDSLLFAMYANMTTFDPLL TYDTTSDVVEKQKLQALLRLFVNTLRKGHLVKGDSVQWLRKVLQDCAWHGQNKDGVWT QEDASEFFMFLTETFDLPFIPFQIRLFHGANKDSDDDRVMTDRLLMLSLPDSDGRNKS VQLESVLINHFYNSMVTGVKRQIDYSAYAISPQSTSNYLMDDVPEEKKLKEKIRKDKE RQNKEAKDHQEEVDVTAWQVLELLPFYSATNEQGTSIKTQAENSFPDSNMILPIVLKR YKYDKFGGCTKLHTQVEIPATVPFNEFVNQNADAPVCPTCNKQIEFVMRLRSAVCHIG SSPNSGHYIGFVRLGIEDESDWLKLDDMDVNQRVRTIKGKNSAEVFRELSKNSYILFY ELDKTCGHPVDASIAAHTRLRDEKKALAEKEYFEQISPIDGNATNHHHHSHNSQYSNQ KIKEQRNPACNLM PHYBLDRAFT_78835 MKFHSISLAISLSLALATSFYGQVDAKKITKSKVAILGGGVSAI NAARHLTDAGIDDFVIIEAREILGGRAQDVPFAGINVEAGCNWVQGLGDNPINQLRQK YNLTTSVTDGDSLAFFDENGKTDASETYNAFMAASDRMTELSFERIKNDQVDLSARAG LDLAGWFPQTPMEKAIEYYVFDWEMGETPEVSSMQFSVENSNWTYTGFGPDSDGDLFV TDPRGFKHIFLEEANLFLKKDDSRVKLNSTITKVEHNKRGVTIHTEDEIFKADYAIST FSIGVLQNHDVEWSPALPAWKMEGIYGFHMATYTKIFLNFPTQFWGDEQFSVYADPDK RGYYAAWQNLNAPGFLPKNTDTNIFFVTVTQEQSYTVESMEDSEVQAEIMEVLKTMYG KDIPEPTEIFIPRWHSNPLFRGSYSNWPVGELEEHHANMKAPINNRLFFAGEAMSEDY FGFLQGAWFSGAEVGDNVAQCIKGRCPPAPYYPEINNVNLKSDFVRR PHYBLDRAFT_167890 MPDHEGLIPMSSIVQIDPHTKHSKKQQEGQVVKKEQEGDETEET EEEGEDRAWVDPLANPEDHHWQSSTSRSQRIRKMIRFNRINRRMVWEHAVQWRWVWGF FFFVFIMSSIMYHYRRELLEGLETLSNTVKEMGTSGYLLIAGLIFLSAFPPMLGYGTY QTLSGFTFGFARGFPISYFGALFGAVSCFLISRIWLKDRVRRFMAGYPNLQAVVKAVE KKGFKLFVLIRLSPYPFNMLNMLFAATDISLYHFTLGTAITLTKIALHVYIGANLTSF AKHVLGEEEELTDEEKRSDMVRYIAAGVGSILAFGVMGYVYVVAKQAVKEASEEDQQD EENMAFLRPDRDQAHDEDWVDWEDSDAGSTHEGHTKRLSPLSPDHV PHYBLDRAFT_155278 MKENSKAVKTAKVPLTLEKDLKEWEITEIFIKEIRGLIPAHCFE RDTLRSFAYLFHDFFFVGILAYGASWIDTFSSDPLRLVLWSAYWFLQSIASSGLWMIC HECGHQAFSSSKLISDGVGLIVHTFLLVPYYSWKFTHARHHNSVGHVTRDKTHTPRLR SALGLPPYDEDTEADGPHSVFESSPLFNSLKLVGFLLVAWPFYALFNNPGDTTKDRWV SHFNPASFIFQRNQYWKVVQSTAAVGVMVGVLIRAGQIWGSLAVVKFYVVPYFLVHAW MVVITYLQHTSPEIPHYDTDVWSFQRGIALTVDRSYGPILDHFFHHIGDTHLVHHLVA TMPHYHCIEATEHVKKALGKYYLQDNTPIIKALFENWTKCKFVEDEGSVRFYKN PHYBLDRAFT_111830 MWLFFYHTGLITDLGYYTRPLWDSNPQSFNLIPHYYAEDVPMKD LCKLHGWTLKKKEDRPRVFDAIIFSVELDLLEIRIHELWDTVDIFIILESNATFTGSP KELVFKDNKERFAFGSSKIRHYVIDQFALPPGEGPFWNENEMRREMNNVFIKEDVQKG DLILMSDVDEIVRSKSLEILKSCAGVPELLHLQLRNYLYSFEFLFDDQSWRAHVETFI PGQTIYRHGQVTQDCLVDAGWHCSFCFRTIKEFQFKMQSYSHADRVRNPGLLEADRIQ KVICDGGEIFDMPPEAYNYRELISKIGNIPKDLSAVGLPSFLLKNAQKFKFLMPGGCI RDDVDTY PHYBLDRAFT_167893 MSSISSERKYGGAHRKGKEVAGRNYPEQSTSQSRRASPRQRSFN RSREGSTDYPAHAGSHVNISDPVASAAAIFAAFEAKELEAKEKQRAKEVEESPVVISS HFDDSSSELLALPYSDTSPAMVSRLGSRAQRSRPQTTRLKRTAERTYAIPKSKTSPAT DYRIPEQSTSAAAAAFVHPSQTSRPNPAEYDYKTLAPWCAIESDPDVFTEMTQKQGVK NIIVQEVFDLDSKLLNDKKQIIRTKCTCERVLPDNHTKPDPDANGILYSCQVIQNACA TLALLGVLLNSRDYVDLGEELMQFKDFVKGFDPISLGLAIGNSKMLRDTHNYFATEQD KKEAEAALEEYRRRYYTAKEEEDYDEEDKDEEDEEDEEKEEIYHFISYIYKNGYVWEL DGLNRAPLKLDECHLYNWTNVVKPYLAARMESKEEGFLFNLMAVTDDNLPIQHRTIAD KSRLIEAYSQRVELEQLRLPEYLTTLGKRKRDYPGIGYTIQVEIIEYMKKRQKILADD IKQLEEDIENENLEREKTKANNLRLGHDYTPFIETLFQRLDEHEALVPMLKEK PHYBLDRAFT_158710 MAEMMSGFDASGEINEKKRQIRDAIIKLDGEGSGSLSIEDLVSL RRDLEDSKVLLEQHSKTINILTSDKEVLDKKKAELDHKFGTLEKEYEELLDKTIAEEE AQVQNNSEFANTITT PHYBLDRAFT_103720 LVEQNGSLKKEVALAERKLISRNERIQSLETLLKDAQEKLVSQN DKFESQLKAVRDRLELARTQKSQNTMSVNFSRIVKPLRGRGNAV PHYBLDRAFT_167895 MYLVEKNKSLKEEVALAERKLIAHNERIESLEPILQCGQQKLTA CNERIKYLEMLLIDSREKLFTQKQTFQGQLRVVRERLEQGQRQRVETTLLINSGRIAK PLRGRGNVVES PHYBLDRAFT_186813 MLKIPPIARFFEKNFPKKNGGFDGDFVIISIKIGRLYSSYGPVN DKKNSKPWLERFFLGHSLFPKPNCQFIKANSVNNSLMTSRLPFEVLSIIASHLSIKDK VSCITVCKLWSAPFQDSLWSTINLNKRHLRNICNINHTEQNAYKKNGNRVQTLNLHQS TEVDDGQLYLLQQYFQRIKCLRIQHRSLSNHNFGKTANWNLWASLVSLEIHIPEQNQH TPKHELYQILACLPHLKHLTVPDKICYRVVCYTWRDLDTIHNYLPHLESLNLAFLFTS IANEDITLIRSTTPADKLKRVRLSNLDINIGWIFYCALKYPNIHTYESKTNFGSRSKL VVPCADTMSVIKASEHSFSSLRVATISQKLQLDPYFYAFWRTFAQMLESLQSLDYRVN LTCGEPPQPETIATKAIPLSSKTLQKLFIKIIPRGLIYSNFPLQLDYCPCLADLTLEV PKLVIKIDTILRNCVSLKKIKLKDGRILLSQEASYISEKHGLQRIEIWDSDVDPSILS YVSFCCPQLREMVLCVVKITDSVSSETTTLLLDMSFTQFDVLKLNNVVFRLQDNETLD SNDTHLRSNSNIHLLVIERTQSIFDSGEIGSVVGTPPVSLLQNMIPKQIWFQHCLKKV ARRLYSEVRMLKKREVEFAKNYFQKLALKNKNIPDPEPPKNQCHNGFMDKNSWKDDLS RGYVLLRCKYVGKYEIETYSFDHDIDIL PHYBLDRAFT_144934 MTSACYKDANVKTYNRSYYYEIYIQASILEYGHNRPTTDDDNIS NTLLLVETIISIKPTLLKHDSQSSYENTNPPVVHKIYEKKGPYIIRLTVEMSSNLKSP SERRFRVPSRSIPYSPHRESEPLGDPRDSRLKPRLTPRSTSKPEPSSVGGPSSMTDPL NTMPLFDSVSQNVSPDGSVQEKGNEDQGILTGTEEYPTASAKTAFIQKITDIFEDNFL SKERSDYFSRKRRHLG PHYBLDRAFT_177474 MSLNTKYEAKRPPPDIETFSTRAASHFDEKIDDEKIHSGIKGLI ANPYVFATAIFASLGGVLFGYDQGVISGVQEMETFKDRFPMSPTENGFVVSILELGCW VGAWLVGYFADRISRKYSIVLFSAVFLVGSSLQGAAQNLSYLLSGRFVTGLAVGGLSM LVPLYQSEIAPPEIRGSLVSLQQLAVTFGILISFWIDYGTANLSTEAQWRIPLCLQLA IGLVLGVGILFFPHSPRWLMSVGKEEQALQVLSKLRRLPDHHPKVIKEWREIKINVTF DKLVEIETYPDYVDAGTSGRFKIFMMGYVELFRKGMRNRLFIACAIQFFQQFVGINAL IYYAPKIFQSVGLTGSSVSLLATGVVGVINFLMTIPTVLFLDMVGRKKMLMIASVGLS VSMIIVAIITGLYEDDWPNHTAEGWVAVVFVYIFIANFAYSWGPIGWVIPSEIFPLRA RAKAMSISTSANWMCNFIMGMITPPMLAGIHYGTYVFLAVFCVLSFLFTWFFIPETKG LSLEEMDNIFGGDMANSDSNLMSRVREEVHQQGVPARPVDTTSDSRGA PHYBLDRAFT_167899 MKIMIDLDFLQMHKYIVHILLCIFSHGFYDFVRACNSLKKIDDA LREMRGDSDVDSGSKSLIKNRFVFAITIFVSLGGVLFWLLPKLSSQCNLLKMTLLCLF STFDARWSQVLYCLPLAIFFDGRSLQGAAQNLSYLTTSRFITDMAITETLALGLFLLP RRLGEESIQSQSSSEHAILYAQQQSPVQFTGLNYPTARKNTTKEKITSHPYITSQYMT SYHDISHMCFGETGEEKASMRRNTFLDGFPPDATKIMGGPNIMVPLYQSEIAPPEIRG SLVSLQQLAVTFGILISFWIDYGTANLSTEAQWRIPLCLQLAIGLVLGVGILFFPHSP RWLMSVGKEEQALQVLSKLRRLPDHHPKVIKEWREIKINVTFDKLVEIETYPDYVDAG TSGRFKIFMMGYVELFRKGMRNRLFIACAIQFFQQFVGINALIYYAPKIFQSVGLTGS SVSLLATGVVGVINFLMTIPTVLFLDMVGRKKMLMIASVGLSVSMIIVAIITGLYEDD WPNHTAEGWVAVVFVYIFIANFAYSWGPIGWVIPSEIFPLRARAKAMSISTSANWMCN FIMGMITPPMLAGIHYGTYVFLAVFCVLSFLFTWFFIPETKGLSLEEMDNIFGGDMAN SDSNLMSRVREEVHQQGVPAKPMNTANDSQKT PHYBLDRAFT_124605 MREIIHVQAGQCGNQIGQKFWETISEEHGIDPSGAYAGDNDLQL ERINVYYNEGSTGKYVPRSVLVDLEPATMDVIRASKYGKLFRPDNFIFGQSGAGNSWA RGFYTEGAELVESVLDVIRKEAENTDCLQGFQLCHSLGGGTGSGLGSLLLSKIREEYP DRMLCTYSVVPSPKVSDTVVEPYNAVLSVHQLVENCDATFCIDNEALYDICFRTLKLN NPGYDELNQLVSAVMSGVSTSLRFPGQLNADLRKLFVNMVPFPRLHFFMVGFAPLTAF ASQQYRNLSVPELTAQMFDARNMMAASDPRHGRYLTVSAIFRGRLSTKEVENQMLAVQ QKNSSYFVEWIPSSVKTTLCDIPPVGLKMSGTFIGNSTAIQELFKRVNEQFTLMFRRK AFMHWYTSEGMDEMEFTEAESNMHDLVSEYQQYQEASVEEGMEEEEEYIDEEEEQPLD E PHYBLDRAFT_133379 MAALTSDPILDNGLGVIDPDVYFQMNKPPANLPEFEAKLKDFVK LHEAKGSRLVFITSGGTTVPLENQTVRFIDNFSNGNRGAVSAEYFIEAGYAVVFMHRQ FSLQPYQRHYTQDPNKGFLDYLVPQPDGSIKVDPQYAPSMIKVLEKYQKAIRSNQLLL LDFVTLPDYLFKLQAGTRILAQLQERAMYYLAAAVSDFFIPSQKMNEHKIQSREGALT LTLDQVPKFLKPLVSVWAAKGLIVSFKLETDDKLLVPKARNALTRYGHQVVIGNMLTT RKQTVTFITKNSEKVISLSKDQQAKDVEIESEIIPELVQIHDSWIKSGATQV PHYBLDRAFT_97167 WTTLDLGGMGLKNIAPALCQYTFLTALFLNHNNVTYLTPTISKL VNLRTLDVSGNKLTAIPPELGLLIQLRELLLFDNNLVTLPSELGTLYNLETLGLEGNP MDAEIKNLLMKEGSQAVIISLRENAPVGMPPPQRMWETIESDVSEEQSGKKKEAIIDA SAAVSSVAAVAAAVLFTVLCYNILSQRYATSQAYGYTPSWALNGEYRKDLLLREISGC NADVICLQEVEMALYEDVLVEYFKEAGNYDGVFYPKSRARTMSEKEQRAVDGCATFYR ASKFNLVENSLLEYTYHALQRSDFKKTADIYNRVMTKDNIAILTMLENRDTLERVLVA NSHIHWDPSFADVKLVQVGMLMDQIEAFATKHLQPPATTATTSADGSSNGSGSGRVYG STSKLPTIICGDFNSVPDSGVYEFLSKGSVRQDHDDFGDHIYGNYTTDGLSHKLSLKS SYSHIGELPFTNYTPTFKGVLDYIWYSNNTLDVISLLGAIDKDYVSKAVGFPNAHFPS DHILIMSEFKFRPPRREAEEAKFNSTSK PHYBLDRAFT_67582 MIFRLPFEILTEISSFMTNRDKLQCTRVCKAWTRPFQDSLWNIL DLDYTKLANICSTCDLQNNVYQKNGYLVESLAVREFHKLNDQKLYTLQKTFGALRHLS FQQYSLGKQDFGKTADWNLWRSLTELDLFMPDVSLEIRREEMLKILGCLPRLTRLRIV ETYMEEVGSYTWQMLESIHAILPRLRNLHTNIPMNRVQPGDIKYIKSITPASLITQLK LIDHNMDIGWLYYFSLKYPNIDSLYSQVKRCSGSYIERTTNPDEIRQVSSWSYNFSRL KTVTMEQRIKSSVDSDIFWKSLYQIVGSLDHFIYTVWFEDDISDLPQGEVSRSIGFSS NTLRSAHIVIKSKDDHQIGAQLKFGICPRLVKLEVGARHGVIQLDLLLRNCSSLKTFV LRGGSVKLNSESEVHAHKQQDYCLEEVSLWSSTVGTNVFEYISTHCKSLSNLSLSYVK VKRMPSDDSNDSDEKEVVLDMPHTGFNSLEFKFTHFSLNTDLYSTVERMALVNSSRDT VYLIVLELLGSISNSADISSTNVNVRKPQETRFSQPANGRMWIYYQPLVIGEEERRCL RQLGEDETEFVENHFGLSKSTEAEEMSEEIDNVHFGSEKNKLPDSGIGQPFRTNQCSP LSRSFIDLNVTAYINVKTSRMESVPIGYTQGKLTHARSWLIRQEAPLPSTSLLQATL PHYBLDRAFT_167904 MLTNVLPFEILGIISTFISTDDILVCTTVCKAWNAPFKDTLWET VNIKTSERLKAICTVSHTEKNIYQANGNRVQTLSFRKMIRMNDSQLHTIQTYFQRVKN VHMPLGSLTKEDFGLTADWSLWKSLINLEIFMPALTLDNQKEELLNILSFLPCLKRLD LAQEYSYRVQPYTWETLESIHEYLSQLEHLRLHLFLHPIPTKDVLLIKDVIPATHMAS AEFLGHNMDHEWLFYFAMKYPNIQTLNAKAIYKNQLEEYTQNDETIDTVPEVSQQFSR IKKVTLRQEVIQGLHYDAFWRLFHELVRSVKSLEYNLQLKDAVSGQQKDTVRDAICFR SETLEKLILRVKSRFSIPSILFTNLGICPRLVDLTMEVSNAAIEFDVLLDNCALLNKV RFRDQRIVLSSDPSKPVVHHGLQSIELYMSSIDADVFKYTSSRCWHLSKLRLQTVRVP VSIAPETGVFCIDMSYTHFDVLNLEGVKFDLLDDNDEYDEYDEDDDEYDLLQYEEYNV NLIAIERIHSKSKHASCTDSVVLFENVPPTTVDKRLWFHQYWEATINNEKKEARMLER QETRFAENYFKYFALNSGSTPCTYKSRFPGGLVKKPFWKEDLGRGYLLLRCGYVGNYI INESLFDHDIKLPWYAIFSL PHYBLDRAFT_167905 MLASRLPFEILALIASFVPKFKRSECSTVCKAWKTPFQDSLWHS LRINRILLKLIIYLPNEENIYKKNGHRVRELQICGNLKIRQRQIHELQQCFTQLKSLN TMDARLTQECFGTMAEWGQWSSLTDLKMFILCPFSDFFTQEMTKVFPCLPSLTRLEFI ETRYTADNRYTWKTLEIIHTSLPRLKYLVTSLLFSPVLAKDISEIKQVVPADCMTDLT LSSTNMDIGWLIYYSLKYPKLEKLILSLEGCRVDQPEDDFQNYDTTPLLKTLPDAFLH IKTIEICQTAVVSKRHDMFWQLFQQFIEHVKDMKYILHFTNLVSEQPQGVLDECVQLS SASVENLDLFIKFPCKDEFNLSMKLGVCSRLVDLKMKLPETVVELDVLLNNCPALKNI QLDDVLVRISRDTSEIPKKHCLETIFIEFSKVNSLTFGYISRRCIRLRSMTLSYVNIY GSTPQYTGNLIMDMSWSQFEVLKLNGMTYRDKKHSMKILAIERIHATPQAVHSDDGLT LLTSMLPPVNQRLWFHLFSEDQSDTMCRRVRILDKKQAMIARDYYTAFLSSIWNTNKK AETVCDSDIRKKPCWRKDLSRGHVTLRCGYVGQYSIESVDVDHNVQFL PHYBLDRAFT_144942 MLYQENSNSSPKKTFYSDHQKTSSSTCPIPSEKKPVNRKQGKVP LHVLEYDQPISKPPMYNSQKYTAGLFSSTAPTSPSIRTHLDEALPTPSLDQPYSLSSE TSPVLNTVLKAERKAKYEKKQYLFNTDYHDRIKLMNLSDSISNPHRDLRAEKHKTTRI GAGIKRRSDKNILRPPIKKFNCSECGYMSSRKSNYTRHIEQHDDERQKWKCDTCAKSY SSKFNLERHITSTHTNYNENFGSGPHYLLQILTIISTLITTKDKLQCTIVCKNWMNSF QMALWNSLKINQDKLENIVDAFDNSQSIYSKNGHRVREITWSKTLEISNEHLYKLQHC FQNLQKLDMQMGTVSKYDFGGMADWNLWNRLTCLVIYKPKYDSTCENVLLLKILHCLP NLTFLNVLDRRIVPLVPNTWEMLETLHEYLPRLKHLELNFYLDGVDFKDMESIMNVRP AKSITTVKFQSHYLDFGWIVYLALKYPNLSILESKKCFRKIVNKQSIPEEAIAVLSRL KGCFKSLKSAKLKQNFTLGPYHQILWNVLQPLAGSIESLNLVLMSNCRTNDGPIELSS NSFELNSGSLEKLILNIRLPETIVYQAPISFGMCSRLNILDLDISQGVFELDAVLTGC ISLKILRLRAKSITLTLHVSHTPDFHALEKIIIVHSTIGSEVLEHLSFSCRKLTKMFL MNTVISATISELENHLNINMSFTNFEELSMHDVRFHAQIRESDRVGYLKVSSTSIFVI EQTHPEKDPKNQESDHLQSIDDKQTRFYRHRTMYENKKMRILKSPEIRLVQDFLKDSS ISEKTLTVYEDKFLTDFSKNSLDSYNPNLYTKLQCRHVQVYSIHADEQE PHYBLDRAFT_144943 MPHFSVFHSWTHSRKHVQSSTTTYRLLNELGNGSSGIVHLAIDK KTRLCYAIKQIGKTRLERQETTTRLFGAGPHHPRSGLGISAHPNQATCASKRRRFNTV APLPVPSVSKEVLVMRRLDHPNIIRLVDVVDDPKTKSLMMVMEHLDPRPLMDIAPNTI CSPYSQQQCRILFKQILDAVEHIHCKGIIHRDIKPQNILLSSEKVLKIIDFGSAIFYD DTTPLSPMLYGGTPAFMAPEVVRKPPPGYKQPKTSGDVWSVGVTLYCLVYGRLPFEKK SRIDLLNSIEYDSIVHSPEIDSDLRDLLDGLLTKDPCQRLTIAEAKVHPWVTHP PHYBLDRAFT_181246 MMNGSNEHALSDMEVSDNEEFSQQHNSIDAWIQDSMPQGQRSQQ SYPDGRVYQNRKDTSGSSRSIHINPALTQRFQDAPYYQFNDYENVESAQNNNQRGVIK RSGRAKPLPRLASSKKNLSPKTIVIDNSDESDSGESFVTAPSSPIENRSMTLNGNPKP ENDTERLQSDLSNTENELDIVDKELSNLIQKKMELENELLTIKLKETLKRKKINTRVP QQKKLELSEYPPPEHKTEEAPKNVSIVTPPKQTSAPHTSTPSVTKTKHDPVPEKKLFM DTFGKPTLRPTNIFGVSLPPTIPTPVKKPMPMSNKSLPTHNKVAVPGPSERVSFSISQ PMTAPVIKSIPLDGTSQSASMESTFPIPKQYSTNVFKRAPLKNTNNPLQYSSLSSSSE SKGFVNTLSTNNNFDRAQPQIEKKLMIQGTPQSSTKSRAPKAIFGFVAQNINANKVTP QQKTFSKKVPSFDLIGEKEETKGSNPTSIRPTTVDRSDVAAKKDTTASKSVKESEATP TAAHPLPTDANNQKFIHSTVPYEIFGGCNTHNNSPSNQAEKTTTNTQSNGKSEFPSIK KEESALNSYVKTSESTTLMNTSPETPRPKVNLVEILAIAQVLDHREKAKKLAEIQKNQ PKPDYTGLSLLEILGAPSFVSSSTQHDSIKPTDHPEALQNGISTKQKERYLPQTIDKT QKAVKNEPVQETHTEQKTQNAVKIESVPETHTEQKTQKAVKNEPVQEAHTEHESQEIV KAEPVQETRTKHEAQKSVKSEPIQEPHTKQDPRKTESAQQVESDDILTRKRSDYKHSD LNIVNKKAKYEREKSKEKSVAIFDESPGAATTNIPEPMVPDVSIKPRTTSFTAYESPL KVFGINHQEYQPPQATSKPKKPFNKKSTLCKFEASGGTCNNDTCTSIHFRDFQ PHYBLDRAFT_167910 MPKLRLPQNNHYHPIYDELLDIIKCLQAVFASIFKYQDLILFGI KQAMIPRIYDLNTETLEIAGKPSNYPCYSLIFAKANFSAQLVSFIKSEYSNNIFGAGK IFASIRMRMGTI PHYBLDRAFT_22765 MSVAAAAAKKAIPRTNNGAGAFVLQCRKMVFNYCEHWGSNKGMV EYIKKDLVKFAQENPQVEIVVQPRPGRHPIVRGLYLNGRDKVICTRNLNTAEIANKVA LLRDSSGEKLKLLAKKPVISTTESVRGIWSPFHTNPHTI PHYBLDRAFT_78827 MVLITTASYERAYKEIKQDSVEGNCIIFIASDVDSICACKIFQA LLKGDMIQHKIVPVSGYRDLEIANDKLVKRDNDLRSIVMINCGGSVELSHFFKDTPGV KIYVFDSHRPFNLDNLTNTDKRLFVFDEDDDERKMRKMIEAHKANQDMGESDEMSSNP EFSDEDEHGRESSNRPRQRRRYNDEVVHSRSKRRALRRQQTQMLSEYYASGIYYANSV AGVMYSLATILSKTNNELLWLAITGVTAQYVFERIDTKKYLDKVQIFTEDVSRFDVGL GENTSQSALENRIHIEEEYRFMLFRHWSLYDSMFHSGYVASKMGVWREYGQTRLKNMF ARMGFSLTQCQQVYNHMDMELKETLREKLETVAPIYGLTDICFPSFLRDYGYKQQLSA SDVVYSLAALLETSPAAAQHLDKTESTNNTEENWRELDEIAPECVDGLASVRRKWWLG NFYAAFDSLGSPDAIQRGISLCMKTQRAVVRQGMTVLAKKPPTFNNFRMVTIGKGEDL PIFQHPLTVSKLALFLTDAYREQGKRNLPLVIASLDEENDSYLIVSSTGANTFGDTRK NPFAIAFQDTALKTKARASFDSFEASILQIHKSDLEIFLENLYGPS PHYBLDRAFT_186821 MFFYMSKGIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLSPGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTEGIIGTVKPVVLNYPV PHYBLDRAFT_158715 MFDGNDKNIGDECPVPEGFVFNISSGEPWCTITPDLNNPEDASA LLFHAADYAPSQVPRRIDNQPWILETQESPITASFRTNEGLMEPFDFLASYHLKSDFL FTYFSPYVYEVANRPLPDDFMKKKTTRAPILWIAKNCYATSGRQTYVAELMKYIKVDS YGPCLNNMEFTENLTRTDLMAEYKFYLAIENANCDDYVTEKLFDTLVLSTVPIVDGPD SYDSFLPNSKAGIRMDKYPDPRDLAAYIDYLDKNDTAYLEHLSHQRDAQTLPAKERLS PAFIDNWRDYGLHTNRSDWCSVCRGVAPIWRGRVEPYYTYVPAVERKDRFLIDRSCNK PGKWDYASSGPPYIAPWAQVPHEGVDSVQLGGDSTHGEVVGDQVWSILSIWKLEIVIC VLFLSFIASMLYKRHMFICLQQRSSLPK PHYBLDRAFT_144948 MPLITLPYISVQPDWDQVVRDVSTNTTPSEQFWVSCYETGKQSV HAKVAVEKSSSPSKPQLKGIEGVEVKPINSISFSLSCPSYSLKDILIVGPKHKLSPFQ GKELGCINVSPNGDLYAASSGKDISIGKLNNEQAVRTLEGHLDDVTVVQFFPSNQAVL LSGASDFQVKIWSVLDGSNPVTLKGHTSAITDLDIVGRGKNVLSSSRDGTVRLWHCGT GTTIAVLGDYGTIVNKISVVALPAKYMSVVVEGTDEKESETADKLVLVGLSDGTVRGI HLGTKEELFSTLPKDSAITAIAFDDNTSTLCTGDLHGVVEVFSLSDTSKPLVHWKRND HSVTALTTRLNENGDRVLCVSNADGSVYQTNSFELVLKQGSVALEVEYTGNELEPVRS MVILPSTETIGFNRIVCGVRDGSINIY PHYBLDRAFT_67568 MKRFSSANTDIPGKGILVCQCHDRETRFSRIHAQYPLKFIPTKA YADRLAVVYMLSYGGGVVSGDMFDVVIEIESQAILMLLTQGNTKIFKDRIQQQKWMAS LAQTTQPLLGFSTLIPSNLIKAEAEAAATTAAAATAEKEKTFVNPDDVKVSQQHITAA VAPLGTLIILPDPVTSFRDSSFQSKQTFRLEDSSSQLVLLDWFTSGRQTRENWCFRHY MSHIDVWVGKRMVLRDRLVLEDEEYMRKDGSEETSYASRLKPYTCFATLIIISADPTT VSDPLPLKQSIDFLQEKAEQVRMLPNSMHSPEDRSILWSTSPLLGGRGVLVRISGMTT EQVRDFVKNECLGDGLKGIIGEGMFKKVLA PHYBLDRAFT_111945 MSSPAPINPTISSTPIQVQPPVQTLAVPQLQRRNYQDFPGRNKF FCGGRFMTSREYWAFLVALVLIVGPSVLFGIFTCPFLWDNVHPAVPIVFCYLFVLSFA SMIKTSWTDPGIIPRDLDPTPEFFDDQGSAYYGESEWSHVPVQKEVKIRDNTWALRYC DTCKLYRPPRASHCRQCDNCVENEDHHCIWLNNCIGKRNYRPFFTFIVTATFLCLFVI VFSIVHLLLIVRDHSGNITFITVFHAAPVSFVLAIVCFLLLWMVGGLTFYHCSLIFKG VTTHEQLRASIINSKYPGISANPFNKGSPAQNMIHVLCRPQPKR PHYBLDRAFT_5171 KDTSLKNWWKKVTLKKQNTRKSVQVFGVPLENSLELSRAIVTYT DNGNKPIVGYIPTIVAKCGFFLKDQGKVGLTAQHTSAKRIGELQLIFNTPLDYGKQFD WKGYSVHDAANVLRRFLNYMPEPVIVHELYHPFRNFITETESTDINRIRAFQHLLDQL PCENQHLLFYLLDLIGVFGKHAHYTKMDIFNLASVFTPGLLLSPEHAMNPIHYKTSQK VVQFLIEHHASF PHYBLDRAFT_144953 MVQYAYRNEPPSCDCADGALAATNQSLFPSLAIWGIAGAAAFSL LGSDVPIVQKDVLSKVPFVGGYWFVSGKDLEE PHYBLDRAFT_167920 MCLASFTKAQTFSEDAILPCQNLRSEFSETSSGWIAHSLNMDTY EITPSDGIKMVLLPPKKYIRHFDESVGKFLLITKLPYNEFEGVGPTFNSTNYMKYGRF SATVRSAPVGGAVTAMILMADGGDEIDYEILGGDPNHVQTNYFYGGKLEYIVNGGLHE VSGEPIFDAFHTYTIDWSPERIVWEVDGIVVRTREKKDTCEDGVCKYPTHAARVQLGL WDGSTKPGTAQWARGPIDWSLHSSVNAYVKNVVLECDSRYNSIMISENAPKPSEKEVS ISNGTPSVLSSESPNVAKKEIAYSQPSQAFRIPLDILKVGLLAVSLTFFF PHYBLDRAFT_167921 MYFHPFLDHRVVDSHPAKPHRGYQATLSKVKAAQNWKRIGPFRH QIDFKHNHRPDNIPSKPQIVPRYIYDKAAFKLKARGLKNIITNFSSDPHVTVPAAPIV PVVPAVPILPDVLSVTMVPSVATVPITLRKQCLRYPDTPQLSSNNSSTSLVTCSEATI SVETRDVYVQTLSQSDVQSIVSIQTGLASSFVSMSIHESDEESSFESSSILYTDSDTN SNNSTPFQSTASFQKQTLPTLEKTRTAWTKPSQYQGYLKPKQSIKRIGKMAWKVVSQA TRQLFERSFEPSRRLSFSPGSTYDDLDEYDDEYEAGSYDADPEQSLDVTSVELQRWYL DKAIREEGRIERRFSPLDYSPVTKPVWNGTLHCKVLQVTNLGSAKGLKYELQIRCHGI SKVTRPGIMKKVAKGISAASPLELFSLQVPKSYITRPRNSATRLISYGVSKAKKLLYR RIRLLREDSDDSLGDEACETTQWIGYKRIDLNENMDREVSSRHLYSLASPFEAAQVWQ PEIAVVYRFQPTQPISEFSYPNGPGTGTDEYLNITNALNHCNRGDYLTIYQQGPGCPL WIRYWARLDKYNIVLYRLSNEHKNPASLISLKDLCFVSTPLEDEEQIFLGRPLGLVLD FDNDHTGSYISADLEEGNRIGRQEYILMDSLTNADYWYRALLYCANSFQKQRRAV PHYBLDRAFT_97237 RDEGQGYWKDGVHHIGARNPRTERELYGVVEDVDRQHTGINFEK YDDIPVEASGNDCPEPVTQFTSPPLDSHLLTNIEFARYTTPTPVQKYSIPIVGNGRDL MACAQTGSGKTGGFLFPVLSELFLKGPMEIPAEDPSRGYRSRKAYPSVLILAPTRELV SQIFEEAKKFAYRSWVRPAVAYGGADIGSQLRQIERGCDLLVATPGRLVDLIERARIS LANIRYLVLDEADRMLDMGFEPQIRRIVEKEDMPDVEERVTLMFSATFPRDIQYLARD FLKDYVFLSVGRVGSTSENITQKIMYVEDEDKRSAILDILHSTEVPGLTLIFVETKRM ADTLSEFLLDHNFPATSIHGDRTQRERERALDSFRSGRTPVMVATAVAARGLDIANVS HVISYDLPTDIDDYVHRIGRTGRAGNTGLATAFFNRGNKNIVNDLVDILKEANQEMPS FLESISRESRSFGGGRGGRGGSRGGRGGSFGGRDFRKQ PHYBLDRAFT_144956 MSIQEKKGATFSDSLSTNNQSTRDYEASVTPSSEEHKGSAFLAY FNVVCVVAGTGTLGLPYALRQGGWIGIFILFLSWSMSVYTGILLIRCLYASKTTKRLV NYKAIATESFGVIGGWVTFFFNAWILLGAPVLYMVLSGQNLNQLCKGTVGEIGSIPWT IISSAIVAIPFILVKSMKEVAWMSAFGASATVIVVVIVLVMACVDEKNQINVHHDGVI WEQFPIALSTISFSFGGNAVYPHVEKSMREPNLWPRVVAGGLTTCVLMYFVTAVPGYY VYGDTVVNPIYNSIPEGPPQIVAIVLMTIHVLMAAPILMTSFSLDVEDMFNISVERFG KWGEFFIRAALRILIVAVVCVIGCVVPHFDNLMSLIGAFANCALIFIFPVLFYFKLTG WRNKRFYELAWCALIVVMGIVGLIFGTKEAVEDLIESFS PHYBLDRAFT_144957 MAQKTLHTTTSSPSSVCYIQIDTKILYQQLLRRTWSEELDKLEL WGIVVDLDENDFRDQQNGVMKFRGIIQTNGVGIFIIKQNQGTTQEPCSRRTTTINPED IPYSHDLSPEQHERIRGQSTAETPRCYRYTSNQQNIRLKRTKFRHILQDVKAQAPNVI AVELEISQTSGQANNTANFAFHTSVEEANFSIFSSFYTNTLSHTSKRPLFCKLPLASY INQHKSNKRLAKIVSLLWKTDTVGSAANRPFEPSSKFSALVHIVAPKCQLCTNQNCLE NTDRSQVRHRLWNQDLAAVLNFQNILNYLRHNGTISKKLGRIV PHYBLDRAFT_167925 MRLMALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYS QVATQNAPPLTEKQPHVIFSSTNNTTPRTWHVGPFKVSVFFTAPPKTSPNFDPFWRAL LAAYPREVNMGITLGSRSSPDTCELHLATSADCERACSHSLIVGISSFPAQPAVPIGT IVCCVFLTKLPHVPYLELAIQLTKYMSSFGKVHEIAIHETYGFFDGSGYVVLANTPTD EVSSNSLMYQIAYNATQKILCKWPSMGSHYTYCKEMGHEVTQCTKRPAKTQTCFGCYK IGHLQANCPHSSDPSKTSKTSNKRSCHPHRNVKLDRPIHKPKPLILTVLLLTYRGSEA SKHNLHKPALLESAELTLPATLPAITTTSATTTSSDPRLQSRSVDTPAKGWDDEIDDN MITDFTDRVKARTLCLQNASCLPHLRFSWTVRPIGHNTSLSPPRFTPPHSKKALDAEA KINQ PHYBLDRAFT_167926 MPPLLCFKTLLTNNFGHLHLYGRHTVVLYASPPILFSQTLYLVL AAGDFNHAIHSNYAFGRRVPLAWLQFLNNHMVDCVTSPGQNPQPTFHRALSSTTIDYI LASPDLHSRTTDSQQYQLSNI PHYBLDRAFT_144960 MHSDVPFLPLLFVPPPPLLWTPSAFLAFKVVLASSTRLHSNALF SFMASLLRTENTDCDPLLLWIFPDSRPRTHRSLDSPLHLVLKAMDHLPHKFDDIFTNL STCLMLPLSAMVNSPPSHPPYRQSWRDLKVNHLYQIDHNLDVLMPIAPARPLPRFITL NHILQRLLDHSLVAHPILFRAYGSPFDFDPFISALVFGKPWSRLSTRSYWLTCSHHHA NAQPLSPHLSPRQLHSFWSFTLPHQARNVWFRGLHNKLSCRALLHHIMPFTVPSPLCN ICQMSIEMQEHFLLSCPLKSAVWLGIWLEFLSTVPPPSALSLAFTSFLFPPTLNPSIP AALVFGLTILAIWDYHWTLHFNSAPFLPFLVLATARKSISRICSEHELDSADSSLA PHYBLDRAFT_144961 MSTPEGLNPQDRAHTLRQGNPPNRAQSITLRPKNKSLHIFTAPN FDKNMIVDLASAAWASTIHPMSVLFNLGKLVPARDVDDVLSDHVGRMTSLTLRYTCSK DLLAEAFFTDPSSRTKATSDGLTYQNTRIIATPRLPSNSHIVKVNLYHINACDPSTDL LEPIENAFWPFGKIVQLRAYLSHRGTFRGEATIYLDTSGQDEVRSLPSRLHLEEGHYL RSCTKRPPCAPRCQRCGVLGHAIDSCKCPTHPDNIEAERAIQEQQQIALADTASLRAH QYSVAMIETPVPPVTSSTLTPTHASPILNPPATHTIRRRSISVMNTRAMTTRNRTPHN DNPPIPFDTTMYDPTGRGLNASKHSPGARGLHSRDDGINPAIYTDDEL PHYBLDRAFT_167930 MLPDNATTAMMSTHSQATESTSDPLISMMSTMNNQLKGLANQVL LMAGDITLSNQTMTCLQETVTNILAGQAVIHDVASRCNTTSSTELVGGFSALMEEDSV SGRRHTEISVLIRDYVKTRNFTSIDPRKIAANDAKPEWVLTSYFTSSYNRGLASAMSA YLRRQPCSTGIPTRVLEGMVKNHFTNQVRESRRPAESVEKKNTASRRRQRGVALSKIV HFRYCCSYCQRPLIDSTRLRNHLSNIHNIHVPSISRGKRRFDTLPITYVNKFSYGQNQ DINKEYGCPACFSHFPEINSLHSHIQEVHMKQNNEHVSDQSEEDGNTNATLVSIATYS GKSIDFSATHNIYEQHLPKLSRDCLKFLEPIVTVDLPSSKCLNKKMRDEVTNENRKKR ELHTLQSFTNVQALLLATLEQSLGTLPRWIWTQTNEDIANISHEYQLLQASKFILTTF ADNCTQKKQQKTNSERTYWIRHIVHIFQSFANQTGYLQFNWCESQTKQHALAGINLNN WTKPTARFCDGLGFDWLGKERLSMEGSSGQHEEKIDHTISDTAKQIINTISMLKGFTM ANTDASFETLRQKQAMTRNLPTISTRWYEQRGFQSSMKSAYLLVELKEQVRVHETLNK EQSSISIVHPEETVRIKLSIGLDDSA PHYBLDRAFT_67556 MHKKTKLTQDRAVPLSYNGVFSSNRSSKHVLHSKLRLISFMLSQ TTIGAAPTQHSVQVFDPLPHTSWRAGTVGIMRWQIPSDPDLFYNIHLLKGDTFPPKLV STLVRWIEADFGYAIVYLPDNTTAGSDYWIGIGQYYSEMTYIHPITVKEYDYRLESTS EYKDLNNDQYHVMLDNIEIWEVLAETE PHYBLDRAFT_167932 MNVTQEFFLCIYVVSHTIWLRSRNRIITRTISCLGGEGSENPYI TGQANPTMIGLNQLFLSKKCKRLLKCASEPKVCVDVSVKDVNCLSVHSYIYYLLRQQN VPVEPQAINIIVCFCVFVVTIVKEIAVNNLKLWGNFK PHYBLDRAFT_167933 MVPIHPMRHNSTPNTSTSRPPTATKTTTADQREQARKVSHSAIE RRRRERINDKILQLKELIPSCAEQDQLHKMSILQSAIDYITYLKDIVETIDGNQDRVQ GKHINVKTHKPLLAKEIQPFTHQFSSTQEQPAAAEEDAWSVSSTSSMSPSIPAVPVIL PPPFSENTPTSPLFHSTSIRPHTPLHSPISEPVTKGLKPSDVIKAGGEPSIPATEPPI VSSLTSCSITDSPPEKEITLRPASLSSENKDMSLEHLLC PHYBLDRAFT_22715 RPRLKLADFQILRTLGTGSFGRVHLVQSKVNARYYAIKVLKKTE VVRLKQVEHTNNEKHILEAVAHPFLVNMWGTFQDDANLYMVMDYVPGGELFSVLRRSQ RFPDHVAKFYAAEVMLAIEYMHSKDMIYRDLKPENLLLDAQGHIKITDFGFAKYVPDI TWTLCGTPDYLAPEIIQSKGYGKAVDWWSLGILIFEMLAGYPPFYDDDHLKLYEKILA GKIKWPQYFDPNAKDLLKRLLMSDLSKRYGNLKGGSEDIKRHPWFQGVDFNRILARQI RPPYVPQIRGDGDASHFDKYPETQEQYGITGISDPYRHLFPDF PHYBLDRAFT_167935 MSNTNFPPSSESPTGFAVRYIWTARLIGPALGSETVSEELKSSF RPTLCAPLPEVWEYYETLYREKKPLANVVACFPRHVFCPEKEDVEGYLDIKAIPSNAV VSELSFILEKTLEGRKTYQVGTVRRLETQEILSENVPVHGNDGSVRLPLHFKLPGRLV SPCFQSKHIRTHYSLVVTVRIELLKTLLKSSSIQTASFTMPISIGNLSHDNILAIPDL TSLQDYRQSTECPIFFDPVLENPPSSTSMWGPMTTLRATPPVASPPNYFSLPQQTPQA GGTRQPDVVIETSRFVKQGDVPSLGEPMWIDATDDGEW PHYBLDRAFT_167936 MRARSSSTSAAGGSQSSFSARRLSQHITGMVTGPWTRNSQSGSS LEVPNHFVPEATPELPPSTTLAVSLDGDTPPVLRPNQIYRVYAAQIRIELRVEEIATV KTRETNEQNLKMDRIDQTKTTYFNISYKVWGNEPTGK PHYBLDRAFT_124621 MENPHQDPNYNEAWHRGQLSPEQLAGLDTEHLERYKLHQEYLEE HKGHDSRHELMAFILLFALFAFQFLILYWKKKHFSSFQLVSLVCLYTFPVLFGIYDGW YRFLTVWTIFSALNGFVIHKATRKPLEAMTPRMVYKWYTVLHNTSFVIGLIGYFLILA VFCGLAALFTEGPGVMQTGILMLSYGLYFGVLGRDFVEICTDRMAATIGYYSKDGLPQ KHLSAHICAVCGQPTSDDTGTLVDPPQRAVFADDPVHQLACQHVFHEKCIRGWCLIGK RDICPYCKEKVDLKQFKKNPWDTQQQLYLNLLDGVRYLVVWQPIIFGAVQLFYYILGL D PHYBLDRAFT_181257 MAEPMDLEDSSKSPMSVDVLHIINEARMTYGLRHQDYQRYRKHC AHRIGRIRQLLHIGQDMTKKNAQRKEIPANVDDKRYLELYIYEAERAWATAMELKAEA ESSMGTRKRHHFTQKLKKAGQHTDALYALCQKLSVDSITVLNIKAYAMTMKGYYYIER KQWQDALDQCVSARSLYETLAQTSSNAHQEALYYSAIDTLDPNIRFCAYKLQIDTSSQ DMDAITKHIKPVTGMESLETELAKVKETSGQGQHDQQNFLNRRISQTINWRSKTLTVK NKNLADPIQKAEDSVRHLDTTTTARSENENKTVESFDPILSHWAEAEKQARKTIKEDK EVSAKVTSSKSVQASEELVWIQAFVIYNLYARSIQRNLVLVEELKSTRGKERELIKLY DDILKNVDSIRDLNVVQEDAAFDLELDTLAHFYRAHRCVYVARIYAEKAMTPEALSLY QRSQLYVVQAKQGLQQLGGQFSEDAILKVSDTELNSLEQTIRTGTHKAHASWYLEHPT DSEDYENDLTHKMNHLNLDKQGETALINRLDVYPATLATNTGSAPHLIDFPPVFQPVA CKPLYFDLAANQIKYPSALTERADKPASKLWGFFGFGGK PHYBLDRAFT_144969 MTVIPSEGMPCEDAWLLDLLYFKKTENFVDDDICTICMLAIDTP DRFLINCPQKQDWLYNPCHLAISLYLWHEQRSLPSVMPAKVLVVIRLLLD PHYBLDRAFT_9413 RPYKCDFCHKSFYRLEHKVRHVRTHTGEKPHACSYGQCDKRFAR SDELSRHVRVHTAPS PHYBLDRAFT_67548 MPQIPHLIYQTKSKSLQKYRHTAFIRKHALTSSIIKQDKKMYCC NIISVYILESVSTAQSICSIMKQYRAWLERQCVPDVLGLANLKSLFRKFGRQSVRSSQ VWFGLVWSGHVRSGQVRYVLNTVKTTSTVKARYSDIRYSDSDKI PHYBLDRAFT_167941 MISSDGKNKIHILRNVNSYSLSNRMSCCQEYLALASLDITLFDF VKKHCILSLKKARFQPVDWNSKEKIQERLDWIHKWEKTDMDFTTNCVVLDESAFHINL KRSMAWSKKGSPAVVTVPKTRAKTTAILGPISAQGLIKCSLRLPQPPSNKKRKQGEDV GRVSKGTVMGHYVINYIFLCNTCVYNLTMLI PHYBLDRAFT_177484 MSDRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSSQYKATIGAD FLTKEVLVDDKLVTMQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNNNKSYESLGQW HDEFLVQASPRDPENFPFVVLGNKVDMDESKRMVSQKRAMAFCQAKGNIPYFETSAKE AINVEQAFQTIAKNALQQETEVELYNDFSDSIRIDQDSDKDYSGCAC PHYBLDRAFT_124627 MLSIAARSIKTSSVKTLRPLTAVRTKVTLPELPYAYEALEPYVS GEIMKIHHSKHHQAYVNGFNLAEEKLQGAFQANDLTQQLALQNALKFNGGGHINHSLF WKNLAPQSEGGGQAPKGSLLSAIEKEFGTFDNFVTQFNTAAAGVQGSGWAWLGYNKAA KRLEIATTPNQDPLLTLTPVLGIDVWEHAYYLQYKNVRPDYLKAIWQVVNWKTVAERF AKAQ PHYBLDRAFT_177485 MCDLMRTVDFIHDDYLTPAQQKLLGDDSQGIMRNLTKYRNRRHS PGFIQAVTDFNKVIRTLKEKGELKKNAQAMRHPDYDLACHVLYQVYSRTVAHEADALN NYAAFSNNVYGEINSILVKEFISKTGITSSSVFMDLGCGIGNVVLQVAAQTGCEAYGI EIMETPCRYAKRQLKEYASRMRAWLLPTGKIHFRHGDFLDMATNGMYSTLKRADVLLV NNYAFDAATNHALAQLFLDLKEGTRIISLKSFVPKHHKINQRTFHMPESILRVEEYEY FSEAVSWTHSGGKYYLATVDRTRLEPFYKGMYGHS PHYBLDRAFT_186833 MTKATLVALLLLLVGSVWADEHNHVYEKGEEVVAWMDTVRPIHN RQETYPYYQLPFCHGDSAVQHHHETFGEALQGMDLINSGISIRYLTDVEDQPLCTKKL NQEDIAMFESAAMNAYWFTMFIDDLPISGPVGTRLAKAEFDDSSPVQRKEYYIFTHKN LLFEYNADRIISVKLQMGNPVKVTDVVPFEMSFSYSVQWQQTSIEFEDRFKLLLETDF FEHKVHWLSIFSSFMMVLFLTGLVSVILLRTIKSDYARYDREEGPADFDRDFGDDYGW KQVHGDVFRQPPRLMLLSAFMGTGSQLVILAGVVILYTIVGGLYTERATILTATVFLY AVTSAVAGFTSARYYACWGGKDWVKTVILTASLWPAMVVCVGGYINSVAIYYSSSRAI AFPIMLAMVAIWTFLCFPLTLLGAIMGRNWKRPADFPCRVNPIPRPIPEKVWYAEPLM IIALGGILPFGSIFIEIYFIFTSFWTYKIYYVYGFMLLVFCILLIVSACVAIVSTYFL LNSEDHRWHWVSFMTCASTSAYIYLYSIYYFFAKTRMTGLFQISFYFGYTALLSLGMF FMLGYVGHTFATIFVRRIYQSVKID PHYBLDRAFT_167945 MTFVFFQLLIFPEFASTNCRISVNGKEKQATTKPTTWINIIYKA SLSKQIGSVEVKTQYRALNHRLVGIDLIRITALVNSASDKHRLKVIFAFIAFAKYSTS YILHCVQTHLYTMCESAHIQPPLTFDQKPLFLSQVNKIIKAIACFQYIINSDNHNRVN GQTTLTDNILFHVTDPKLTRERKSITGHYNR PHYBLDRAFT_93373 EEEQQKFVSKQPTDIIIPSYAAWFDMTQINEIEERFMPEFFNNK NKSKTPSAYKDYRDFIINTYRMNPLEYLSITACRRNLIGDVCSIIRVHAFLEQWGLIN YQVDLEAKPSNIIPAFDSQYKIISEDPPAEHPIVDE PHYBLDRAFT_167947 MNMFLYVINPTSECLDHHRARKDIDEKCVSTHSQRLRDRNLFKD ATMGVVHIAALAALPNLFTRSRALQTKFFRRAETLPSDSLIKALTTVLWKKAQLLKEH QPRSKDPLKEAYVLLCQKEIDMQLASVNRPVTVARGLCKPVWDPVLLLPCTRSERRRL IKWRIAWLAPTPSVECQCGAIKGNRNHMLICSATITLIQKLWSLMDPAPSPEGHLIDY ALNCLPRSFKSPGTWCDWWLCLLSLLRVVDQTTSSYKLPEKKAHGQILIDLAAKFHAT KPTCPHCILPPTQEPVPGDPFPHLLSKISSVPCQPPPSVPAQNCA PHYBLDRAFT_144978 MPRYNRKQKAIREIKESCKNFDEDLDQKIFDLEELALFDDRDTE IYNDMIDRYSLFIDHNKVEEDIVEDIISYKYLYKGKNAIPKLVTKENKLEFLENIGED GFLKEIRMSKTSFNKLYNMVKDHAFYQSPATSKQIDVKLQLAIILEQLRSSGNDAAFS RIARRSGVGKGSIRNFILRFFSVMMSMEEDFIFWSLEFEKQTIMKENEKPLGFPNLIG FLDGLYCGNILADCDHNRKIRYLSTGYFGSSRDMRAIIKSSLRTNSERYFSKEQYVLA DSRYKATNYVVPVCKKPRNQPMHKSNEKFNVYIAMMHVKIEYAFGILKERFYSLKSIP VQIKSEDDIRLVNSWIQACIILNNFLMDQVDDMMTIRIKSKWEALEFEEMRRLEEE PHYBLDRAFT_67538 MISLGKILHFLLKNKFLRRVVKALMALIILSVEKKSLVIRSYQI QYLLLILIVFLTGRLNDICPDLFRLKEIMQTRMTKSLCVSNSDKPVSFAREYGMSSAT RKEISVKDKKQDNGDEIAILEPVSKDEHHLLDALDFIAPLIVGTAGKNPSLALNTSGD DSLLALGPTSNLLTSSLVPEMTKSKKRDSTHAQLVKEREKAVDKKLKVMDAAAKAFQD KKIAIKEAYFLKVREDHWKKETLDADMNMILGMASLFYWSEKKIREEYA PHYBLDRAFT_144980 MSPKGSTNTNVFKTVPPRQSWPAKSLADQTFCSPKNAQLKANLD EALARAAIHEEQHKALLSKIDVIVEHSIALQEQNSTLTEELRIANEHVEFLHNQLQLQ VQVPGASTFTTTTLPPTEIAPVENFSVEASAHGPVTISTPSPTTFLAAAKKAMGKRPN QPKLTTAQATRALQPESEPSVYAFVYLPCCHHLKYSQVRKLLKTFKIQQSRVLDIAFP KRGTLSLLMHNDFKDKITQLFADIGVSDHQQLAYKLHCQRLLALCLRLPAPLGKSVMR HFCIVESSSLRLLSVCLEQYLEDRNLPSGPQASAIDTATAMVIG PHYBLDRAFT_144981 MPNAELTKNNPPITNHPPAHQNNLSNGTQTVSIRLEIMPQAQKQ SIPYTIFKFTATENETSATSLETNSLPKPQIPAKRNKAQTLAIQKQKRAASTRSMINK NHANLVEGGFTYNRDEAMTLAEDQQERAAFKATIADLTGRLEKLVANYQSVMEKLNSL LAKHNVSTTRATFAPTGKDLLMASKHAPKTLMNYDSSNNCTQCANCNPIIDNSNNNNK SSNITTGSTATPTQTSYITQAKKGIDAKQAKQYIKYQEMRKILSSFKIPTSHILDIQF PARGTVALLINGEFCKELITLLEKAKVTPLDNFDPTATDVIADPKLKEEFIEAVMKIS QIAVSDYLEGRKTDSTITTTFLPESAPAIQCPWTQIHNFAILTTSPKPMDGISLLIRP DFPHHVHPLPIINPHVVSCRIDLYTIHCVYLPPRLTSSECQEWLALLPIHDFTIVCGD FNA PHYBLDRAFT_167952 MLLVAVSSPSGDVREIRDCHQIFILFNTLTISEGAFWILSQKSY HICHHVIQTAIRRSWFAATYSAWALMRSKSLPTRHCQRPLRFPVIEASKVDEQSFLLG AVDSSQRWWSESKASSSWIFICISWNCSSCNKRKLIILLKTLPDVWTLSYVGMSKANS EFHNVKSLLLIQFVIISLRGPPQVSPVV PHYBLDRAFT_167953 MHKLSSLGVHMNGFGLSVALRAYLIFIRPILEYGLAIVPASWSD VQILQKAQNMCLRTCIQRPDATIGVVHIAALASLPNLFTHSHALQAKFLHRAETLPSD SLIKALTMQLDLSKEKTTWGELRLGVLWKKT PHYBLDRAFT_167954 MLICSATITLIQKLWSLMDPAPSPEGHLIDYALNCLPRSFKSPG TWCDWWLCLLSLLRVVDQTTSSYKLPEEKVHGQIFIDLAAKFRATKPTLLHRILPPTQ EPVPGDLFPHLLSEISTIPH PHYBLDRAFT_167955 MVYSIELADYERTRRLFTMRGHRLKYQAIVTPDRIISSIIGPET GNYHDIHMYRLADTKRCLFSAFDFTPVGGPCYYLYGNSVYSNSALIARPFRITNVSED NTVFNTKMFRVKISVEQDFADVRSFFVFLKYLQTQRIVNAP PHYBLDRAFT_67533 MMNNNRLATATVTITSTPTPATSKTTCQTTDSKVYGVRSDKRDI ALVKCLIVKYPEHLPCGEVISDWDEIQDLVNAADKDLKSISMPTVRRRYTQFVGNYQA RQRQERRITGAYEKFSVLEQLLTDLMEVEDKFEFAEAEKEKKKELETSRRLQKESVVK DRATMFRIIVTDINSARKRQKTTNTASTHVASGSSLFASLVPPSHVALITSISRHAVA MPPIAMDCVERIVYIFNKGPSILLEKVEDDKVLGAINRLEIKVDNKITVIYDEIQTVN KQIETMEKNLTKKIDMQSQLIQALL PHYBLDRAFT_144985 MSTPDPLSKDDNHSGTWHGVHPSSIRAPQQIAKPNKTLYLFTSL GCEALLSQDAVAAARACTIHPTNFSSDVNVVKINLYNIPACEATNLRKPLKAAMTLYG KVIYIHAYTDHHGQFCDEAAADRSILPWTFVPRSCPPSAATVAWKATESRPAPFALPI VVAVAKLAAAKAHAAAKKDDANEGQEANLSAEDNTTLPQPPATPVTGANIKDDAMIPD VETPDASAANSTTALTATTIKDSWIKTKIVSGDIDVIMGDPTGTSTNSTQQHIISMNE GSIHIGTLNIRGLVSTLSTPSSPKSLLCCYLRSLCPPLSLLALQETHTFPEHKQSLNI LFQTQSSLWTKHCGLVSFNLLLLLTLLSVLNDERVLSVLVSHSYNCIDPFILVIMYDA ITAPNALLLPTFYNGHQYTYIDFIFRSPALTTTSPEIIFLNLTWTDHSLLTISLTLQR PSGRGAVDYLDATESAASQWDMLKQVIKNFMMAYAKQFVCWCKTVEHSLCSEWQRLLC NPLLPGLTKTEARITQLQESKTANLAQRASVR PHYBLDRAFT_144987 MSTSTALSQLRSPKAADCDKLLAYADDLPIFLSSPSKLAPLLSL LSVYSKASNACVNLGKTIAVSLSGHFTPDWGPVLSSADITNLYNHNSANAICSLASST ITVLSSHNVACLSVVRVWSLTPSSSAPSGTFCTLCVHCLPSLPPSAIPSAPTFPLGLV ALRGIFSVSHDARVVWESWTLRANKRPYNFAGSCPYFGIHMLGLTRFSPATALTLRLY AIWTFLPPFSIPRWNLKGIYVHHAFRIDSQGGLYSPQPVDGLFSLVSLIQIDSRPLYN TLTGSLQHHLLTSPSSLLHAVENPLAKGHYH PHYBLDRAFT_67529 MGFIYGSHCLSCNYIGEEGGVVKDKCPVHTPDDVNHDRGNRNLK RGGYGGRKPSMSEKPGNIRNEPGWTHLPQHQCPHRKLAGAGEDEMLEHPPQRDRGDSS D PHYBLDRAFT_167960 MNNTDYTVIQVLQSMQETLLALQKRQEALQKKQVLNLGRAIPRP VPNIKDIPLVHIYRMVSCDLGVELDKKNKAILNICTHLVCNELASIPSVQALGPNPN PHYBLDRAFT_67527 MKKYLKPLHFLVSSQSASVAIPSLIINTSGNNNTDLDDLTYNIK YENNIDKPALVDDNGSGISLLVFYFSNSAPIPSNDELMNLEFIKIIKKFNIFRDAHEK ITTHFNRILERSVDLTYKASTPYYASELLKLFLSIKKKKYDICHKGYMLFNEANITAC SNCSEPRYKEDSDDQGHANKLVPVMTMVQLLLDSQLCFALINKITKAEMQYYHLHRPS QYGTVSNVFDGQAYQRMKHLFSAENDIAISLSVDGFASHNIPGSVTIVHTTILNLLPM IHYERSHIIQIAMISELGAPANFWSYMKPTIDNLFVLQQAEMVIKILIKTIQAKVHVL MIMSNIPALAKLACHVGHMSKSGCRICNVVGETPGRGQYFQTFLATTICTKESFQNYN ETSPSDRIKLNRQSSLAKLSFFSGPLFFALAEMHGLCHGIAKQVWELVCDKYGEKNSL VLCLATQREIGAAIAMTRSTVPTLFHGVWINVSTRSGYFRAVYWANFFLFIVPTRSCL QPSYPECTA PHYBLDRAFT_167962 MFGLAQTRQIGSLAKQNTEQPKSQLLSYDDSSAGWPLTEGGECV SAKSDIEFWEPLRCRLIDENFKGISYLLILIQAFYKSKGVECSRIELIIITSCKIFVN SCVIDSSFAQTLLKEAHHICLQVQVDLFHNVHQTYIPIIKDFFELQKILQRHHTAGQL KFCLTLTIIITFCIKNIKARMVKWYNLRLPREGPGFDSRSAHLLQLSFLIWVVLSDII LMNPRNRDN PHYBLDRAFT_167963 MSADLPDDPQVLADNALSYLYNLWKAHEDAEIWVCTNSLQDKEH WGSVMKPHSFVVGAYALMCHENKFVVDKNPDTDVYKLTTTEGVPYTSWVHADHLKLAK VDSISQSWFHPTASHAQSR PHYBLDRAFT_111993 MSKILLHYTNQIKVHHKFTSAFHPRTNGKCERLNSILKQMLRKY VHGAIHH PHYBLDRAFT_144993 MFPSIQMHNTDCHCTRCNNNDQGGSQVLRHTAQCHNKRARFEAE KRSMEVDTEIILTYQSNSVEAMDGQANSPILDAVSMFDNDVFVGNYYNGDESDTTDDN YSDDNGEEDTNEIYVEEFNNEDQF PHYBLDRAFT_167965 MPENPVHRFIATFTVLFASRYVVNKGSVVLIEFINELLKIYGQD FQLPESLAGLHKMTGFLSITKGIKRFVSCPNCHCIYEENMSVPPHCVFTNVGACSPCG LRGTIIDPMHNLFLGTPKRMMDRWVNKKMIGAKEFAAMEKIAETMVLPRDYTKLTSKL GKGFPYMKADDWKS PHYBLDRAFT_144995 MYNNWMNFVRVCRYLIKPSITFDEVNSAHNYLEMFCKKATKLYT PTILTCNMHPHLHLHETIRDFGPMYGYWLFGFERYNGLLKHIKTNGKDSFEATYMRSF VQNTFKGDYANSVLKSSSYVPFFNILSKLSPKFTPTTTVITLSSHPFRLQSFLLALSN SHLPPKGNEPLPPSTFPLQLKKIITDG PHYBLDRAFT_144996 MLTNSFADNEITKLKFIDLLGQQYCGKNGSASCDSLVHWFNTSS DRSHEDDGLEFCLPTFSPNSRHCIVPVHCIFLEIATAMITTSRNVSKMLVIALPKKLY V PHYBLDRAFT_144997 MTNTRRERAIALRPVEIPNQQLMEILSTVRADMFIIKGQIGNVE QTLTNMNDRIGVLATTSTNTISAIDSLARTLLAATVRAELTVAAPVVISNHEPTCEES NAVYKPKLSLRTPENILANNLKPRWDINVAFNKSLNREIAERLLSNLEHRFGSSSMRW SDLQKRLHTNFTSRTRRERMSDDEIAETNALIQRAARADDNECRCVLAYKDNKEAIDL VMLRACANTLQKAVMSDGESANEMDEDGIKHVIHIVQLGWRSDECNCCIALVDTYAVQ AMGSSANQRICKITTSVSNSAVPDNISHNFPWWTLRDGL PHYBLDRAFT_167968 MKETHSSSHSDAPSSQQSSGLARVNEYPSYKRAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFVLGTSNKIFYPKYNKLVKQEIAED IE PHYBLDRAFT_67519 MSPRISSTFGSRVILFKAQENLVQKDLYKVFCPPDTFPLFGAQT IIKSSITPIATSDCSPTTKKQQIFYLTVLDQLVMNSTRTNFATKRISSFVINHDENHK QCITSSAKDAIIAELRSSLALLKEAFDEVKESNKTLKSMLQALMNKDTVDPTSLIASK HAPKNITNSSSNNNNNNNKNSNSNGDTKTNSMSKQTYADKAKSTIAATVPKVLKQKLD ILKAQTIRALQPTSGSSTYDFVYLSCKRFYKYNEVCKMMSILKISQSRILDIHFPARG VIGLLVHRDFKSELIDLLHKQKIVPISHFSPLDGKIICDPKLALESLDVHASKAQELF DKRILRTCLHQPALEKSRKILTCIYGQMVLE PHYBLDRAFT_144999 MPMSLVLPVTAHRRTKPPKESTIWYPSPETGCERSRVRFPEKPS IIFFLDFIRSELCRS PHYBLDRAFT_145003 MLTNNYIESWYNQLKTHFLGCSCNKRFDRLIFILTNEVEFYFKE ESIRINMCSGPMTAAQRQQRKIEMSAEAVPAYMHANMIVSPSKAMSLNATLDDTDDIL EDRFWFINSFTKDGITYQVEFVNDNTMSIDGTRESTERRYATEAFEYAKNCSLTTRHN EQDLYQLMQYATEEEAEVIRAAYAAPIKAFQEIKAKYEAHFRTLNTQRH PHYBLDRAFT_145004 MSEVTLTRREQQALRKAALEAAPVRTLRDIGSALEPSTIPNVMK LKSNIVRVFASEWELCKQEIEEQCCIKWIISRPNRHSSAVDITKKKCVLFSQIYSCHR GRSYEPESREKHPIQCKLKKVGCEATLTITCYADKPHVYVFDFIVNHTNYIPGDIKTD LGLIPLTRGHPAQGHQLEKSDLRFSATSTSKNILSMDINQTIFCLHEDDFKSMKMWFT KKLSPKGFIIFEGNLQTYSNDESLYACGFTSPFQQSKIKAAATFCMDATYSITQRSND ILYTIVIHDEELDRGFPCAYMLINYHSLDSIVQWLKHLKDNQLVVNPRQFTIDCSDAE TNSLVAIFPGCQIQYCLFHVSQAWYRQLNLKVKTGNTAAQNHLVRGEMMAFLKHIMYE EHIVVFLDKIADFIGRHQQSQPDFV PHYBLDRAFT_167972 MQKNTRQETYKIVKTCPPKRQGKLNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDKSEQAELIVKNTILDANAFDYSSDEDDSSSIRDE EDNIVDEKNDIVNNLFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGTVNYPTSCYPFRDLQAMILFAFINGDNDMISQQMLKKILLAINLIIKIQQETPIGR TFKFSRLDALLNYQARKKFKMPVFPSQRISVSGSNGNVFAHINLPSNHLRFLMANPKK SKLISSMPNHTPNQLICLEQGEKWRTHHLFQQPMHTVNSIDVWFGNIVYLKTNDCSIC FLVELFHTANKNIFARGYLVRAISIVCYGVEVTVTDLRVEQISYVDTIPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDNTSGN CLKQYNPFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGTMSMFWLLLSSFGLRLTCHVIQNFADCLDQPLHFLAEDATEFRCAKDFVKDFSYFC ECHERRTQEHYVLANSSSGRDTEIPNAPKIGMNTPANEISFRDHLTDHLLELQLFDPE KDISVEIFHTILLGVVKYMVIDLVKVVLKNDTVTIARLSEFLTDYTVDNAVKYLIRAL FDYNKRTKNELHKAYRTKPKVHYLTHLKEDIIRFGPVLNYETEKGEQFNKHIREHLFH MNCQNTSRDVCLKFAKQVALQHVIDGGLWINSSGNREKSGTGIERFIKDNNESLFYYT FFGSSRELKDNNDTGDIEDDAIQNNSFGAFVFKDDLISRPRMGLVSGSVVKFLSIVSC TDNDRNNNYAKAVMTGEHSDVANMNLICKLDLHIFCNPFYIVPIFTQNDNKNLWWYIE TLSIVLETIQTGITARHRKDNHPDTKGQKEEKGGEGFEQRYKTLMQCMIAFPRVKQKA IQG PHYBLDRAFT_145006 MPPKRNATVVEDTNNEPIWFKKFKEEHFARMKEAVKEIKSVEIN SHVANGGQDLIPPQKNSSEKEKKSDIRDFIMNNVVEIDEDRASKLLYILKKNSLKAYQ FFALKDDSNGKANSEKEWKDLDGEDRMHLVMSTLQKLVKEARELEVLNLSCGLWVVEF LVQPKWSNMSRNRRRNK PHYBLDRAFT_167974 MDTENSNVSSNNVETREESVKERDDSLSLFSHLGAFKNTIESLL SFESVTNDKLFGMLNDTEQLNYKLISHYKCDKIISDTLNKNNTTKYDMGINGCKMYYS GDASIACCFCNEERQLADFLANSANFSKLVKYKKTIIENSLSVKEPVYKNIFNGSIFC GVRGMKELSLALNIDGFNSFKRGEISMTIIIATILDLPPTERYKQENIFIISIIPGPK KPKSLFSYLYLVTEKTALINNVARNSDFFQLSVFFEVQVKDVVLVGFIG PHYBLDRAFT_67512 MSSISHCCNVVCRCAQCSRNSQGYSLVTSRTAERHIRKDELERI ERLDTAERLANSMLYSGFISQLLTVQEEQMMDVDTQYDQANSPDSNATTMADNVSVDD EISEVNGNDSDCCGG PHYBLDRAFT_167976 MNSDSGSGKEEGVETDVEEFVNEDPFDAPNMPENPVYWFIATFA ILFILHYVVNKGAAVLIEFINQLLKIYGKDFQLPTSLIGLQRMTGFSNYANGIKKSVV CEDCHKVYEQNVSLPTH PHYBLDRAFT_167977 MAETMVVPMDYVVLKLKIGKGFPYMKADEWKSWVLVYLPILLKA ILPIKIITFSDIDNGHKFLQEFCTECQHIYTPTILICNMHLHLHLHKTIRDFGPVYEY WLFGFERYNGLLKNVNTNRKDSFEVTYMNNFVQDTFKGDFAHAALTCPSQVPFLPLLA KLTATAQPSTSKNTITFPQRLFRLSAFIQAYSNPSLPVLGNEPLPPSAFPLHIKPPSA MSDINYPHLLDYYKVAYCMPNLEGYQHPSSPFSFVDNQIIKLKSINLLGQVYKGCKYA SGRGSFVQSLFLGSQGNNRLAYTGQIQYLFLHSFTPPVDNTELQTRVVYQDKHVFAFV KWFQIENDHSRELKSVDICSADFIACDFECILPVYRISSVVATCDYKTSTNNKKILVN TLPHKQYN PHYBLDRAFT_167978 MPTPNCRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNATAINSIDVLSALPASAHVPTSVASTSAALPITKSSDTNAVFAEIQANAIK PKWAVDVRFDHSPNRELVKQLLYYLEKKFAGTDMRTRDLCKCIYTNFCSRRHQHRELP ETRRALNTNSRRSGRETDNYTHHRLAYDAYKADIDLKMGRNCSGLIQKLVMSEGQSDD DMLTHLLLSNWVQIPTNCLREFMVEQWNQQSQLI PHYBLDRAFT_145010 MAHGAKTNLLHGDMYLDSMLNPILTPEASLAFVVGDIVKIAELC IHSEHSSYYSCRVCKIKEDRQQKNQRGIYFSNMTTSSNRRRQSFIEGDVEYEIKKPTP FCQNIGKHLWAIVTDNEQKKSDISNPLFLRKPYKVTLGKKLLLAQALSNHHSKDGSFL NMTTSAGYSRAVDYIDLLIFMVSTLLVEALELQTEGLKIKLKNKKKRKGKGKADKATI LNQSNEVDPEEQSIGTRIETVISRMDRTAEVLALLSLVCQLSEKLYISTEDVSAIEHH VNIWHAFLRDLVGRKCFTISQHYLVHLSKYITEMGLLKEYSACALEKTIGLCKENIKS HSKPGKNAVNFMHNNFASAKQKWH PHYBLDRAFT_67507 MLDAENIPFDEMLLNFCVCENIPFDSKVLIVNRVEYTVAGNHQT VKKNRGRDEIDIISKEITLDMSLKLSISNIQVMFDYQDYCLALVKEKQVLDEDKKSRG TPEGSLGVCPGSRLGKNIDVSYMPIYRHKSLQ PHYBLDRAFT_167981 MKRSRNKRLNKLVFILVHDVEYYLSQEYDRVMSNNGAKSAFTRE QRIHEMEAEEVDDDEREAIIVAPDSVDSRQCQIQSFVDQNTAYVVEVSDTNTIISCTC FDFKRRYRPCKHIDFNFYEIQLSKIALVLQYYRFSFVLLSLRIMSDVDSMTLSLSYNN PHYBLDRAFT_67505 MSTNNIQFNENIYTLATISEAMECISVPGVMTLRLDITIKVRTS KWRDCLLEIGKSCAVKWIICNSNKQSTDITAEEAKDSGIKMCFSQEYLCHRAGTYESK AAMRVVQKRTKKNKCSALLRVRGLFKTPEWYEITLTKDHTEHTPGDVHEDIHTLPLAK KYLHELSQQLEQSSKSASQIRIDMLRAIDRYGRNSECKVNYYNIWNLMNKANTSYSPS LNVFACGFMSPIQQNKMKNAASFCLDATHGISEKIDEILYTLLIHDEEIGRGWPVAYM ITNDRGVSPIVQWLQFLKSSLFLVNPKQITIDCCSAKVHAIQTIFPITQIQFCIFHVT QAWNRKLSDSVKIPGSLPSEACLLRSEMMKSFQEIVYEEDLDQFHHKLV PHYBLDRAFT_67504 MEQALLTRDNAPSAILLLPTTGDHDIKWPSPKGKSGGWNQLVKC FKEVLVTHFTRGDLIKAKQMYRVVGKITQELVHYSFSKYSTNNIAIPSWGSLADDQKA IMSSSLEENAALKNIALHRFENSWGALLILSHKWRTAKYHGRSY PHYBLDRAFT_167984 MNIKDLLNHDSEIRTSGYRLDDSFVVECSNQIKTCIIAQACRKF NNRNKGSIAAPMDFAKFLAAENKNNTKVESMDIDDVQVESVEEINKSIDYVSDNNYGY LHVCNPDRVYNVPVEHVTDHEHFVSTYMSNSRAQAVSLELFSMFFENNVSHEVYDKCI KIVNKYMAELGSTHALTTISAKVDSLLSYYKVDTLLKEEYPVKSIAYDMCINGCCRFS TVEEGDFIDKDETCPHCSEGSYKVERASVKPAQTFQIVPLSEQLQFKLAYPEKRAKMA YDTRCLAGRREDVHEDIFDRDAVRWLLDCGVVGQDDILVSMFVDQFNSFKNAKMSSSV IHVINLNINPKEKYKAGNMMQLAIIPGPNHPKDIVSFLEPVLDDLRNLRANGLQFQTD SGLVIAMVHLVMATGDTPAVSDLMNLAHHNAHHGCRACISYGARDSSMPCIVERDGPL LLRTEESLYQSIGGMYGIKGPNVFKDLPTMTSTAFFRLDEMHLLGHSIDQQLCDISGT KQ PHYBLDRAFT_145014 MNMFLYVINPTSECLDHHKLYVALGGKFCPTINDSRRNAHGIHL QDQLKHHGFPFALDVSLEDIDKAICASRTDIPADFMGTWRSWKESNGKRKAVDWTDFL LFVVPTIVIDHFVFDHIKAAVMNLVTACRIAQQAIGCWHAFLRCEIKEKRLKPTIFVM NQHMLVHLGYMMWEMGPLQAYSCTPIKRTIGVYSMAIKLRKKPGKNMENLLLRKAAIN HCLGCRPVICVTNDKRTSNFEVAKCQDLVHSLVPFWAREDIVSFEENNEVVCTNKMWK DSVVYRVWSSVDSRHGRANNLAVLNDAREYGFILKFFSQTVNRVIRLFAAINCLSDVQ RVNQDLFPAWDSLAPGVMKVVDVKSIEGIAGFIHNPNNKAIWHIIWSSPKYNQ PHYBLDRAFT_145015 MNVNSFLNDAANLPTNAVEKLQLKLQCRKEFKISFAKEFNLQQK VVENMAEAKIKNKRKLDGENYRRKEIIDSYNSMGPLEKGRYYEMAKEDSTTLHQESSV PDVNHDAKSILTIMETMKLSMNYDSLFLFWESGTSSSRKIGCLLSGDTSSIFFKCIEE DGALKSFLEAMGNVERRPMQEIQPMTIAVNDFRNKMNKRLISLFNAIKNKKGTIRAIG WPEKRKA PHYBLDRAFT_145016 MMTRKMGQANQKLVLYRAIKFCNETKKVELGLMLESKYVKAKRI IEESRKALEGFDCVVIEQEWKQHVNKVEKSENYIDIADLMESGCKVQGNIALFLVNFT ILRQLRELANDANGNHVKDEINRLKHEMEKLKTKIQEEFEDFQEPDEENTNLIKYIEE NAQLGYNKFQAYKKIFKDAIRANVQMYHDTLALLYKSGHIGTKKSKQHEISLNKQAKQ ITYLLQAFNFEFNEICPKVHLFLAKKRAEEEIALLKRDAFWLQYYVTKERTLIEQGLK ALDNAVVFAQTSFLLEFSSSPSSIPFVSSYISSSSLIIEDINSPDDGEASIAFVVEGD DDNENNDDNDDNDNANEDE PHYBLDRAFT_167988 MSMVLTIHPGIVQQYKCDIAGLCTCNQISFGISGNRQNPTVKCG IFTIVCLNFPSIKYPIKGLFAESCTRHESVIKLVDMETGEGFKYPLSIINQLLGDSGS DSQSADNSPNINVIYDVVCKLAKSLKKRSKYSTYRSQTTSD PHYBLDRAFT_67499 MSNQNESYPTRRTPAEREMTNSLAILHRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLWEHLWDP KFKPKHLAEIQANNGKPRWNTVVNFNQSPNTELTENLVAYLERNFVGAELRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNACNRRSSCEKEHLKRCKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLRLCCPDWRSDEYNHFITLVDNKVVADLGLNS HQLLSRTFGETVEGPVPDAIASQFSQWALRNGP PHYBLDRAFT_158723 MTSILSSFISVTSTAVATSKCMRCTGLKFGHCCYRRKLEQVTRA YQNCCSQYMFGQGDQLISIL PHYBLDRAFT_145021 MYNPSKRTIKRRQRTAVPQFLLDYFSEDVPISGLNYIPTENPSV PEVEFSSSRLKYTYTHKKRARTMAIAPVEMPSKNIAASQTDLNVEIDFDTYEASQTQK ISLYNKWVDLLPQLAGSFQRYLGRCKDGCLNKKAIAPLSHFLCSCTKFSKKNIYMFFL HSSETFEVVFCGCKSISEQLEYVLRCNKSNNQVSGSPQVEMGMLPASLNNVQYAIHFG LLEFMRDMQDVLAISGQGLADLYNKINLGAERQISKVYCQNLLHVFIRLTIIIEAKVE KLSFGFQESNCCPACPDVDSNVTIDDYQYVAMDGNFSLKCERRKDVEGDVGEKLEQVG RFDSNFHASSSGLANTGEMTLNTLV PHYBLDRAFT_67495 MIICTHIHFEIYSTINFLLYFALALGIFYLLLLPYFLYSHKSFR KFSDQILHKTNFTNKEPFLHISQNGGSSGGREFEGLHSCCVFYIRKPQNWKPNMVRCG TQTILLS PHYBLDRAFT_167993 MSANNHGLIGMINCRKKKPDKYFCTFCKVYSNSQKYRVNAHEKT CKQKNLIQNYPLEHSASDPFKSLLEVAFMAFFHASCMNFSEQKIKSILVMMNIAFGLK EKSPNLKISATNSILNYNNRKRSCIHSITPTVHVGHNKKNQEHQFFMNKPSMYLKYLM DDPNKNPLLSSLPDKSTSELNCLQEDEKWQTHKINDIWARHLVKLNCMNFEANHLLTT QFFKQKKTPSSDISVESESYVSYAEDYLVKKDLSVPLLGFVLKVEKSDGTLMKAVLTS LILFTDDTSGNLSKQYNLFGSYLMTLTAMSYDCCE PHYBLDRAFT_145023 MTNRAELLANGKVSQTVSLSDQSQFTSAMFPNLAFGENINTSSS DNMDIIDSTENDEPMNIIDKIKGTTPLLVFDFSQSLPVPRNNDKKNLTFMQLIQEFGI SSCSPHLRNKLLEHFLDINADGYNICIRSCMQFNNKNDITYVKCGEARYKNGQTSKSD TRVPVRSIVQFPLARQLALCLADDKTGAEMLYYGFNPHNVPGSVTIVHATVLNLSPTI HYEKNRTIQIAILSGCTGPSDIWYFLKPTLRDLHLLQTEGMEVKTMTMTIRAKVHVLM ATSNILALAKLACHVGHTMGQTPKHGQYFHMLPGTQTCSLESFRNYNLASSEDRKGLN GQSPLASIETFSGLFFFALDEMHDLCHGISKQVWGLVCRKYGIKHSLCLSLATQREIG ATMVAAKSTIPTLLHSAWRDVTKNASFFRAVDWADFLLFVVLMLVAECVQDLLRVINR ESALNKKSLLSTADIDIGVFTINQHIIQHYPQMIDLYGPPRAYNTRSVERAISEYSGS IKSNSQVSVNAGNIMIRLAQSQCVAELTTVTSTKTPSANLLVYSAYTNGWPVTEGGDP ANAECEIEFWGPLKNLTIFNSFEDRSHLLLLLKTFYNLKGKECSMLEPSIKTSRKAYL NSCVIDAAFNQSSTREACHAHVQLQVNMNSRRSDSYHPEYKHFFGKVVIFFQHVHNSK RWLLALITIYSVHLKNGLLITPVVKPKTIVIHASDIIELVGLVPSNVNGSHYIIWSSL KRGPKLTLGALREHLINGYTNTSPTITIPTPGLPLTYLTVLTNTTLTFHHAIVLGSND PLTKPRTWQESTSQFSVYYTTPWETSPKFIVFFDALLQSYLPGEIFGLHPSNKAGTLF ELHLSSKDVYTCACCIGFWYNNETVLASPAIASSSKLFKLTLSKLPRFPPQEYTTLDI KLHNALTKYGYVHNISINTLFGFMNGSGHTYVERPPYEEGALLPLCFKMDFDDNTTFL ATWLNMGAHCALCQTMGHDCNNCPNRPKETCLCYGCHQVGHLCSKCPHAAKVDNSYKW DCKVPELQGPHRTTATCNTTNQPTVTHSGSHMKKTLPPPSPQPTFMPYLTLPSLLPGL NTTPPTSPKLETLLTDDPTITNDDVAEAEAYFEKNCKDDPMKAKTNHSQTRSLFIRHL RSCGIDILALQETHANTEPLQQMFHTQFHAQDSLWSPHCSLVSLSPHLSLINSLFSPC GRCISTTVIHTNNSIPSFLICVVYSPASYRDCFSFLRSLLNSPALLPRLPSSCILLGD FNYTYHTTSSNPRQAPPKWLNFIFNYFVDCITLSDYVFASSNLAPCHQFSSVSYIHPN WSDYCLVATTFKFTANHATGKGMWCANPRLACNPTFCTEFDAYISTSIFLLSPYMSPQ DQWDRLKHMLANFIKSFTRCCTQTLTTLEARIQSKRDKLIHKFRQQPAQNFQLPIIER QLQQVQLERVEILALHAGKHWRENGEISTGYLKQTVAECQARKNITKLCHPITDHLHT EPDDMTEAAASFYESLYTPEPIDQLAIDDLLCHLPNDLCMSCSPQTSIPGPDGLPYEI LQLIFHHHSRSQLLTQVYNDALSSGQFPSSWQTTCVSLLPKKDDLSSLKN PHYBLDRAFT_158724 MPLATFGSGCFTTRSHVNPCCITVFLPHFPPLIVRCVERKLTLK TIFSTHAHSSFLSDSRISAFSPNPLTFTMHYTSFPSLPRLIPLHILSQSL PHYBLDRAFT_67491 MIQLVIIPGPKHPKNIASFLESIVEDLHMLQTSGLRIQTISGQG IPQFHTMCFVGEKISAPMRTMGSLCQFERNRYCVNGPNVFRDLNTLTSPAFFRFDEIH LIGHGIGHQLYNVLNSKFVMSNKTEDNDVQQADHD PHYBLDRAFT_145026 MENILLCKAGIQHCLGGRAAQRRLTDRRTSNFEVVSNNVASPQL WSNLIRKSLASIAIKCYMNYHNLVSLLTCLWDQNSTGMEQEIIEVVCITKMWKDNVMY RVRTSFDSRHVQANDLVVLKHPEEYGYVIKFFSHSVLGETRLFVVVDCLQDVWIYNEL LFSVWESQTIGEMKVVEVKSIRGMAGLVHDINDTTIRHVVWTSPRHYQ PHYBLDRAFT_167997 MTSNGMIYVYQYTLVPSVINNCVRIKYKNPDRWLVPTRSSNRLP EFNFGDSCGDERNMALTYSASNRNTKDTNLTKPRPKIVDGIRYVALLNVHGLKFRTFD PHYBLDRAFT_133407 MTETTEKSVVSHYEVKTTEKVEMSHTDYDIEATPATESSIVPGT PEEMRKLMWKLDLRIVPFLGLLYLCSFLDRVNIGNAKLAGLATDINVTPSDYNWALSI FFIGYIIFEVPSNMMLKKIGPSKWIPIVMISWGVVMACMAAISNTAGLLASRFFLGIT EAGLFPGVIFYLTLWYTRQEQATRVAFFFACSTLAGAFGGVLAYGIMQMDGLRNMHGW QWIFLIEAIPTLLLAIASYFALPDFPENSKFLNERERELAVHRLRVDAGPSVETEFSW KQFRMAFTDWKVYMHSLIYICGSTPLYSLSLFLPSIISGMGYTNLSAQAMSAPPYAIA CCFTIVIAMHADRKRERGFHLAAPAFIGFIGYILLIVLKHKGSVAMYIAAIITTTGVF SHIPAMLSWFTNNIGGHTKRGTAVAIIISLGNIGGAIGGQIYRATDAPYYSRGHAACV GLMAGAVILSLLFKYLLNKENKRRENLTEEEYIKESTGEDLCDSHPDFRFIS PHYBLDRAFT_111753 VSVNVCVCKYARVCKSLCISGCVCLCACVLVCVCVCKYVVCRCV LYICVLCKN PHYBLDRAFT_145028 MRHVNGRAIDLFITTLVPETILADFSQRLLVPISNGIGRCTKCQ WAFQATHNTISRKASQKHQLTRRILRPSVLTRFSSLPFQTTYFSTSTRRFISALPTIL DENDTSNDLPQAQIQTQIQMQLPLKNEPKKGELLRESSAAIISFASQGRVDEALARYF HLLGSGGFPSQEALYQLSRALYRASNLAGMYALHDTLLLYYKSKLPSKRQLRSMLYMY TMLINLIGRKTKITPNDMERIKELCGEMESLNIKSTVVLYNTLIKMFVTMKDWTGAYA IYDELLRKNLRPTHYTYSILMQASAQQRDLEKISELMDAMEEHQVLPDRAIVSILVGV LCNIREFDSALELSEQAFNLMQSTEMGAKFWNHLKVSIVSKKHNFTLKKRKRYMLKKA KKRALKDL PHYBLDRAFT_124639 MIITPVPCLKDNYAYLLLDNESKKAMVVDPVEPDNVLGALKAKY PEYTLTGILTTHHHWDHAGGNLKLLNKLSKDIPCYGGSSQVTGVSNLLKGGETIELGS LTIKSMPTYGHTMDHMCYYVVDKNTNQHAVFTGDCLFSSGCGRLFEGSPGDMWAAMVR LKALPESTEVYFGHEYTNSNLKFAEHVEPDNQDIQTKIEWANETKCTTPSTIKNELAT NPFMRADQPSVRDRITTPGNTSISPEQVLGIVRSMKDNF PHYBLDRAFT_158727 MVPGEVGRYWFGEGNAKMAYCRVLKSKMVMVRVGGGWTELSQFL RDHCLLEGEFIPKNRMTIAEDDMEQASLPSIQEGFIETRRAKSPSGRPLPRGSISGRS LSPTPPPVHPSRSTSGQTGYIDGDKYIAVDRHGNQLEVRMTRAASKETNGDRPTRRRV MTRKRDPQESVSTATSSTSTSTATGSGSGSGSNGVTSASTSTPHGATIVVPTASAV PHYBLDRAFT_111764 NRTIIAASSAAVVGVLAGYPFDSVKTRMQTQPYDSISACVRHTY KEEGIRGFFRGIVPPLITVSIIKSVSFSVYEKTKRYCKANYPFFNQDSLGSTFVLSTT GGVVTGAFIATLSCPFELIKIQKQLEFLLQASSISTGATVMRPMAENDPSWHSAKEII KKKGLPGLWSGFGLHFVRDALGTGVYFGGYETTKYLLNQGSGQPAGPLTQFLAGGICG ILCWLVVFPIDLVKSLMQKEIMAQRPTYGRTSDCIRDIYKAKGTLGFYRGITVTLVRA FPIHSLNFLVYEQTLLLMRYLSDHTQDQVSL PHYBLDRAFT_186837 MAYPRNLPFSLSAQSAERQPLLHPTPPTKPALLKNPSNPFPKMP FWTAAATCISCLGGFLFGFDLGVVGGLLIAPSFLSYFGIDPNNKEQEANISGNVVSIL QVGCLIGALMATSTADKLGRKYSIIIAAGIFTIGGIVQVIGYSLAVLYVGRLVAGLGV GALSMLVPVYVAEVAHHKHRGFLGGLWMFFIATGLASSYWTNYAVKRLVDSNDNNLWR IPLIIQTAPGLIMLFGMLCLFETPRWLAAHGRTDDALEVLSKVRGLAQDNKEVVEELK ALTLAFQQGAGPNYVDQTNMSWKAVLGPENRRRLLTGCAIQCFQQMTGTNVVNYYSPI IFRSIGLSSGESELLATGVYGLVKMFTVLIGFSVLVDRFGRRPLLVWGGTGMGACLLA VAVCVASRPMSIEEQIAPSAYAGIVCMFAFAVFFSVSWGPVPWLYCSEIYPMRMRAKS ASITTAINWSLNAVIGKISPLMLAKTTVGTYSFFGGCCILMSLACHFIIPETKGKSLE EMEALFQPNTHKIRDSNDGMDTKNPTYSIA PHYBLDRAFT_181266 MIDIPSSYIVSSKLSPLEPQDVLRVLRLVQSEWNDECVKDRMNR HPTPLIAVGIANPHDLQRVVRVGVPVIHLDRECRALKAAQARYLRELKYGQILASVGS AVLSGVLVARGLKQMNGRSLRALLSKKSWFWMYFGGAGLIWIGQVSLMRALKESYGLV TLQDECFMVRRDLVVNKWRSVLQRWLPYCLLVGSVFRWTRVYSKKGVVY PHYBLDRAFT_111803 MPSCLIESPQKPIQGQSLYHTCLSVLDRLALVNGFQQFLDSPVQ KTQLLNTDPVTKLWNLCQLGTPLYTLFNALDPKEPLTLEYNHNLNQANNAKAIVYHFL VSCRKELDFAEEDLFGITDIFLDDTNGFVKVVNTITKILQILQDRGVISAKSPNRNSD PNAPKDTRDKIVLELLETERKYVQDMELLQASTKEHKIYIPYNILTKFFYVFIQSYMR ELQIQKILSPDTVHYLFANLNALVDFQRRFLIQLEDIAEKPAQDQQLGLLFVQTEDAF IVYEPYCSNYYAAQDLVKEKAAELQKLDHVLSPTHQLLSMLIKPIQRICKYPLLMSSL LKSTNPSWQSYPQLIQGSEAIRRVAEKVNETQRKQENLQLVQDLKKRMDDWKGPPIDS HGALLLQEKLMVTVNDLDREHLILLFEKAILICKELKEGSKNRLTKTNTIMKKKRRAS IQAKGTISVNRITHILNKSTASGGKYYSSSNSNSVQQFTLKFRNEEQLKQWEAVIDKT RMNIQSTSSSTPLLPTPSQSTSDKTSFIDSLDDDDDDESTKKFIRPIASSSSRLSELA NIPLSLLLSSTSTSTSTSTSSASSGSSYFPPSLLSPVTLTGSQDTLKVKLTYNEGVYC IVTPHQIKFSDLMERVEKKIKIVANLKPNAVLRLKYRDEDGDHITISSDDDVQMAFES RGQNTVNLFVTL PHYBLDRAFT_186839 MPPIYWDTFFASSMHMAHSQPKSRRPKRTRSCDEMDGQINQSKR SRTNNTTNNGSNNNSIYLQEPEERHFTEAQRTENYRQPPLILEQQHQEGEDDDAQRHS QLQLQLQLHLQQQQEAQQHLQSQLQEQEQEQEQEHEQEQERQIHNHQHYHHSQSTNNQ GRLTQQQQQQQHALHHRIQQLQQEQSQNYLQSTSSSFQPPILKSFVISTRHTPPNTAY REMNELLHTTHVLRHGDETRERCWVDKMDDEMSDVESSYEDINSVLRQAFLQRHH PHYBLDRAFT_145037 MDGAEVMHRLWNRVLASVLNFRHILNILRYDGTIPVRFTRVIRI GRIRRQAEEDLQEGRQPTQATAGKPLDLNNFVFSFVMG PHYBLDRAFT_181268 MTASDILEYSSADAIEDSVSHVRQVFNTGKTRNIAFRKAQLKRL YSLVKENESRFCEALFKDLNKPYTEAFISDIGPTLDECTYFLNNIDRLVKDEEVKPRM LTNFTGKNIIRRDPLGMVLVIGCWNYPVQLALVPLAGAIAAGNTVVVKPSEISMHTSA LITELFTKYMDTSCYRIVNGGAEETTALLKNEFNHIMYTGNSTVGKIIMTAAAQFLTP VTLELGGKSPAIVTPDSDLSIVAKRICFGKFYNCGQTCVGVDYVFVPQTHADTLINHI RETITEWFGENPQQSKDYSRIMNTRHYDRLAGMLDKPHTGTLVIGGERDRETRYIAPT VITNVKFTDQVLMTDEIFGPILPIIYYNTIDEAISLINKKPSPLALYMFTNDPKLTKR VLENTQSGGVCVNDCLVHQSDYALPFGGVGSSGMGNYHGDHSFQTFTHARSIMIKKQA MESLMRVRYPPYNDRNNSILRFVVSGHPAINWIKTFKGSLKVLTVLIVILAVYLKRLG PHYBLDRAFT_133419 MSDNKFLSTKTVSIVGVPFSGGQPRGGVEEGPLRLVEFGLLNQI QEMGWKVDYEGNNNISSLRPNQDPDVLNLKQPKYVSNVTHAVAKQIEERARQNKFVLT LGGDHSVALATVTGVFSVYPNACLIWVDAHADINTPATTDSGNIHGCPVSFLTGIAGS HPDFAWVKPLLKTNRLVYIGLRDVDAAEKKILRDYKIKAFSMHHVDRYGIGNIVEMAL DHVNPKRDLPIHLTFDVDALDPSVAPSTGTPVRGGLTFREGHYICEALAETGLLVAAD IMEVNPALESEMEVFQTIQVGCSLARCCLGESLL PHYBLDRAFT_168010 MSAYQNTINLNVDSDVEEYEHFLNNPDYTGRKHEPTLILEAVSS QDLRLWHSYFGLHGSLNDINVLDQSDVFQEITEGTASVVHYNIGGKTYDMGYYLADGI YPSYATLIKTISNPVLAKEKLFAQKQEATRKDVERAFGALQARFNIVKLPAKSWGITD LNMIMKACIILHNMIVEDERGIDGLDMVYEQSLAEMSLSDRASRRATSKDVTRGSFSE FVTRYGEVTSRINHAKLVKSLVNNLWSMAGNNE PHYBLDRAFT_168011 MSSQQGVVRPIGRKQSKAGSQGKRKVQEAHFDLVNDQRKARRSG SRKDKNFREMLDMKYIMMDADTISDPVRRCCVLLKQKQALRRAEEVERGFEDEDESSD DEEEEVEELQSDEEYNFSDE PHYBLDRAFT_145042 MRLYLMVRQPKAATQKELTLEPIEDQSICLVQTTWLFLQKTADL RKTLPEDHTLFLAHIGTSQTRSIRPSTLETPLNQVCCSNYSKDKRSVHSRHQATTYGE IISFEGWGSNGQWELSELLGVMDNKHCLRDDVSRMRWYPPTISLKQAIELYGATRKR PHYBLDRAFT_168012 MWISSNEDNAVVFDITDSGLDAAQFFQALKSQYPSIVGALGQDR RDRNIAIISFDTIEDVPRASTPTFGRDSNILRIHLDKLPLRRADKLESQVQEVMGLFG RVIHIGFYMDPQFQLFGGKDFVMLDTAPKEGIEYIPLTHKIDFRGEQEIYAKWQNMPV TCNYCHREGHKKANCEKKTKSPRLCYGCKKPGHIRAQCPDETIEKERKRQCQEDPQVI SPENGGNNHQLEEELACLVRENAKMQEALVQSENALEDKIALVEEQQRSLEGITESSE ITVGPTTEAQGGIAESHTDTENTQSSISTLLQAQSSTWSHHCGLVSFNSEYYIEPHLN SFNPIHLTDGCLLTATIHYAHKIHDPLNFFVIYAPAHPEQCPLFYSLLDHYLAFSSPP LPKSVLLGDLNYKTRLTSSPPLPTTWTQWLSHHWFDAITPVNCTPLPTFTTGSTLDFL FVTNDLKISVHSPVVEYVAGSWMDHFSISIQLSIGSPQHGPGIWRFNPYLLSDKEFCN TMTTFLDVAETQIPNVAPHIQWDLIKANLKAMAIDHSRKARNKAKTMQKILPEQRSAI VTQLHSQSQRDLQSRHPSNPHSRPRTQHTQHISNREVAQEYLGTSQPQSHRIEDEPHT SPTPLTEEQEYVPNSLDDDPSSVLHQQLLEVERQIDCQQEAQNIQAQQENGGLTKSPD GLVKCAHTFYSDLYTAKTIDDNVVESLLANIPDSSCLPSEEGETITAEWDAKNILRAL NHFPTRSSPGIDVIPYELLRWLFQHKFAQGLMVKVFNLALQRQEIPRSWKRHSSQPIT YLDYPLTSSKAQLFCAFEDVITKIRNHAQFLAQQNLLVLGRGLVANSLLLSRAWHVIR ILPVNTADISRLRSEILPLLDQTAPLSFAGKILAYLIRQYCNTTNSFLPLVFPELRSP RLNTFSIFPTIFHAMKTIPLSFDWTVINPVTVQELSLSTILAPSHPLPLFFTCGKLRA CNAFLYDYTLGCICRLNQYERRRARSNIDTLFTLLDHSELRLKEWFSLLTVSPLREPG SVMASFFPTPPRHFQGFLSSGIPKVPQIRNLSAQVIRAHIRPPLTQVSPPFPHAALVH WCSFLELRLPLRIYTIWWRLMHGKTTHNAFYVANWKIMNIYSGHALIKKLIWHQIATR FLEYPTSLTFDHLLLPSSPMSVEVKNTTVSAYSILGCTIGAIWDAHFQHIFHDVPFVT NRIAAIATLNIRKIELEDSLF PHYBLDRAFT_67474 MVNSAIFLLLCPNFEVIYVWKSVKNFDDYFRLHHNVITLESIQQ ACLLRKDLVSKFDKIPTLNYYCYLYYFGLEKLYAWGQKIIMFVSYNYAPKNALMYRIL W PHYBLDRAFT_145045 MPRPSKRSRIAKNLNRVASTGAFAKKIKSTNEELVDLYEIDYED DIILNDRELQRMTENVTLAGFTIGTHSTTMDESAQSSKKDVKVSSIDKLTLVKLAYED VTKNLVPFTRPASERASMEVWVNANTYRLAMIRKYAKEYLDFWSIALHQQGKHARRPS LFSDEDFKTTICKWIQQQRPESRSAILVKKNIDEIVVPEKLGIPGNVLTSMIWKYLHK WGYIFRKNSKDIYYDTKMASFGTNSDVTMPQLSTDEVEHVLVTHDESTFYSNDGKEAM WLVEDENPIRKKGPDMSLMISEFRYACHDTMLDSNTISLFALLHPECKAVFVFGQSTN HKAYSQNALIVNKINLDDKEVEEDDPCVLRETIFAQDGVEKKVKYMKGVCTILEECGM WLEKDPYNLRKKWKLDCKSKDASEGSKCCAHHFLTSQPDFLSQKTVLHEAIEGSGHLF ELYLKFYCDCNWIERYRGAAKHEARLQCNYIYKSHYIEAYSQKMNVKEAHEAVKQFTS RKYTSCCRDEGKD PHYBLDRAFT_145046 MPNLSTGPSGNDLVIRYSTNQEWSTNNNHSSTTRTSSDNLCRHL RHRLGSHLHCSVDSWLEETAFPILQQLALNVQIFCNKYNLKTILPVNPEKIWDIDSGC ICRMGKYLVANLLELQAEPSSRCDQCFRTIVTNNRTIFTSTMEVDPSVPPKDQAESSQ ESSADDPKLSKSVLVANGNDANGRKTNDSANKPMVVLSHIDIVNKNQKKSGLSQTAST YIQGTVRNSSSKAYDWIWKKFSEWYQKMDPILNIEDYNPTLMICNCICVCDDVAPQSD DLFSITEPISGLTLVSRVPKEGKFKISRLRSLEDEPVLCPVQTLIISINCTQAKRTEL PEDHSLFLAYVDHPVEKLSSALGKAVVGWIKTMIENLGVDTTLFTPHSQRAASSTRAK YYLKPNNQHEESSALVKIIFTANNTEKDSISGVEAKATTIVADTTHNRTVVKAETRDM MDTRL PHYBLDRAFT_67468 MSDINELSKETVQELLQLYKQLKRDSQTTDQFKPIELPKEIHDD IENALSISIKQNLKRFAKATLQYHGGRWTQPEAINAVFKSKLKKHQVEASEMVAAIHK GAEWIRTTARAATEI PHYBLDRAFT_181270 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLPIASL TPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_145050 MLYVTILRADLQLSKSYSIHTTIIPTPSSIPMTPFNSTKRTPPN KKSRDVNLSSLACAMNNLSSPPIKADPSSTGRRPVLTSWKRTEWGGDRERWGVRLAMG LKNKREGKLNYPTPTTPTTPTTPTTPTTPPHPHHTNTHPSDTPLIHIEKRSRLVYL PHYBLDRAFT_158731 MVIVESICTKEKLPFHVKSSKDKGALQTRTESQLIGEVGFCLQI NGFYILYTL PHYBLDRAFT_186842 MIIVYFRTVIKRFAWLKQGGSTTLKLFQYFLVTYEKDTDPYVTV DVSKIMSGFDLMTKTVFKYTICVKRDVIYLPEYHQSNCSSEKHIPPHLSMTVILCMPV QQLRSQSLSWYFLTAY PHYBLDRAFT_158732 MFQQVVNILWYNAYQNTFFFCGVGSISLGENVLVNICIQKMKNI SLLGQEFFSEQKKYRSSIVRVMFF PHYBLDRAFT_145052 MTATPLNIDEGTFSISNRPIASMVQSYIHMQLEVEYVLSSAVEE KARRHLSYKIHRAKSLSEKLAGRNRFSRCRTRKIRALLDPTLDVVRSQREIRMQTMHR DDARNTLLNKPTHPQIQTLPN PHYBLDRAFT_186843 MLNPFKPVNPEPRKKSVVRPTYLLGKEPQCLMMRMFQLRMRRFT TAKTMAMKTKKTIMKMLLRWRSRNLLMKILLPPPDMPENPVHRIITTFVVMLDTRYVA NKGAVILIKFINKL PHYBLDRAFT_168021 MIRFVAQPKEPRTIHWSELVDCLTKIEVHVNLYEQATAVASTMA CTSSSLLLTMASLFIHGSTILFALFGVSGKKLYTENMTLDFAVECPKIDFNKIVFITV IVVTVINIDLVNN PHYBLDRAFT_158734 MSTFTSALSFQRLLGFQEFVQEFFNLNISVKTKGILPSFAFALY PRKKSTIMADTDYPRMKCPS PHYBLDRAFT_158735 MVMPLTMNGKIGFLAPKSTDTIQATEDLATALLVAQHIVWTPYE HLYVYAYTYTYTKHISARPHVETKVQIVKTSLNLIK PHYBLDRAFT_80223 MARYHYFCHILALVLFAAFVQAQETSSEAPDSEIDEDDECAASI IGDYNMSLRIGSLFIIMGTSAIGVFTPVIIHRIRPYDHGSIRDWILTIGKFFGTGVIL ATAFIHMLPEALSNFDSPCLSEGWKSYGAFAGVFCMISSFALQLLELAAVSNLDRIYA KRQAQRDTLDIEKTEVCSTAILGSDSSQQSTTKDIDERLTKKGGFGYGIGGGAASSGN RSNDIGNGGHGHSHEIGHVHSAGLLEDDDQSFRNIGTLMLELGIVMHSIIIGITLANT GNDEFITLLIALVFHQFFEGVALGTRINDMNHSNWIKPVLMGGLFMIMTPIGVAIGIG IHSSFNANSSSSVLASAILDSLSAGILLYNAYVSLMSLEINHNVEFRRAPFVRKAVCF LSMYVGAAIMALIGKWA PHYBLDRAFT_145058 MTLYYAIVFAILAIEVFIFFLLMLPLPLQWQKSVFHWLATSPTV AHAHYIMRIIFGFIFVLFLDSVNRLKTISDTVTNEEEGGSPIAVHDIRAEASIAAKKF YAQRNMYLTGFSLLLLIILNRVYTMTLENMRLEEKVNRLEEITPDAALRHVDKAVDDA TPGKMDSKLVAVNEDEKIAAGTSDPKLVAVNKKRA PHYBLDRAFT_103987 RILGIEESQNFISWSPSGTQFCVHDMHQFSKDILPQYFKHNNWP SFVRQLNMYGFHKVGEVSGSHSRALIRHDSNVVYKFRHPDFQRNRQDLLHRIRRK PHYBLDRAFT_13629 IVFTLGIYHSGLELDDREYCFGGHERIDETGVFVMEPRVGPPNV LYKRSIDMGCTAYTEEEIQDILITLSKDFVGPSYSLLKRNCNHFSSKLCLLLTGRPAP SWINRAAHLGTFFPCIITDDLVQPPDFDDSSEEENDVHHDHSNVRNNQDHYADDYSYD NDDNDNDTNNNKNSR PHYBLDRAFT_145061 MTDIPKESFETFIFSDSFDPSNSNLIPMDDGIFFELLSQTMPDP ILWSSTWPSRLSLEPITTTNMNINNNNNNNNNASATSTATAIASTSVTVTATKRSSNA RLGYCQHPKHMYYRVHGLHPHPPSTPRRGRPPKGVASVPSQHLMTVRPLPKRLEQVVG ESSIKVCLTCLKRSDLDQAYTSHPLYIGPQQLFKSTNQ PHYBLDRAFT_133421 MKRWKFSSRSLNTEINIYIYICVCVCVSFTVFQGRQEDAEEFLC FLLDGLHEEMVAVLKDQNDKRSQEAAANGTQDVWLEVGPKNKTSSLRSPGFQESPISK IFGGKIRSVLRCPGAKDSVNLEPYQSLPLDIQPSNVNTIQDAIRNMTLPETMHDYMSP KGVCVDATKQIYLENIPPVLILHMKRFVFDNVGGVQKLQKQVTYGTTLTIEPEWMAQG SKSSGPVSYQLFGVVYHHGVSAGGGHYTCDIKRRNGEWLHIDDTTITPISEQQVLVTE NTRTESIHTDQTAYILFYMRV PHYBLDRAFT_34311 MLCNLTRNQAKSVVKKAIQARRNNSNLAVENLETRWKTFSAAEQ NTVAKQLETAQKQDWKILSTDDKKAAYYIAFGAHGPRAPITEPGHGIKVFSGVVGALV VSAAFSYAVSQKGQETPKTMTKEWQEATNEYLKSQNSNPISGISSEGFKGKGYVMD PHYBLDRAFT_181278 MKTVHQTAAEGFKPAAESYAKTRPHYPDSLLEHFLQIVPTGSNV VDLAAGTGIMTEPLVKAGYRVTPVEPVEAMRQELERQVGIPALEGTSWKIPVDDGSQD AVMVAQAFHWFDDIETLREIHRVLKPGGVFALTWNMESKERSDWVAAIRRIYEVYDEA APQYRKGHWKKVFETDEANQLFDLPLKHIRFTNDFEVPTSHVFERVLTKSYIATLSDQ QKQQLRRDIEAQLVPEKGFVPNNDRMILYPHDTDLSWCLKKQ PHYBLDRAFT_112423 MNRRDGNGTGNEDEEAFLPGPTVQRVSAEKHNPVGLIVAVITFY FIISLSVVFLNKFIMSGSDFPYALFVTWYQLVVALVLLLIWAQAGKSYAILSIIPPYE FDLRIAKKVAPLTFVYVMMLALNNLCLKYVEVTFYQVARSLSINFTILFTYMILGKTT SMPAIVACGIVFLGFAIGSYGEINFSWAGIFYGVGSSAFVALYGIYVQKTLAAVDNNQ WRLLHYNTTLAIIFLFPLVLFSGELSEILATKTEILSDMGFWALMTLTGVTGFGINIA MFLQVKYTSALTNTICGTAKACVQTVMAAMIFRNPISELNGLGILLALFGSGYYGWVR YKERVGK PHYBLDRAFT_112379 MAEFVKLSIFGTVFEVTTRYIDLQPVGMGAFGLVCSSKDQLTGT SVAIKKIMKPFSAPVLAKRTYRELKLLKALRHENIISLSDIFISPLEDIYFVTELLGT DLHRLLQSRPLEKQFIQYFLYQILRGLKYVHSAGVIHRDLKPSNILINENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVAVDIWSTGCIFAEMLEGKPLFPGKD HVHQFSIITELLGTPPDDVISTICSANTLRFVNNLPKREPVPFSQRFAGQDPEAIDLL EKMLMFDPRKRITATEALSHPYLAPYHDPSDEPAAPEKFDWSFNEADLPVDTWKVMMY SEILDFHNVDNVDGSQYLGTPLAGPVDGQDTAYQQ PHYBLDRAFT_158739 MDVAQSEQALQHMRRQSSVVGGRVKPLPQVFVGGDYRGQLEDVT RAVDDNQLSQLLRPRTRDIGRTKIATPVATASTIGLVSPPKTPVPRSAERSKTLIDED DDALLKAIELELGDTDFSTLDLNF PHYBLDRAFT_168034 MWNYEPHLLQDTRNKRGGVDTSLGCLVDEASKEARKQGSKEARK RKVLIKEHSSKSVMTIMPILPTMIIDRKEEGKTAPLNHYGTATVKKTHALVFIKYIQL QQGELDI PHYBLDRAFT_71858 MKYGFPTEGYFYIKSLNSDNVLDVFMGELTSDSAVIVWPQKGGD DNQNQLWRFDDGFLTNKKSNLVVDIRGGDLKADTMVVQYERKYTMAHNQRWAYRDGFI YVIADPRLVLEIKGGSGKDGNRATLGLRQPEDNDNQQWVIEPYQE PHYBLDRAFT_112501 MDPLQEHPHHGNPEQRQAIEELRNLFDLDHNCLVNVIQHFDNEL RAGLADDRSSDLNMIPTYVTGYPTGNEKGTYLALEIAGMDIYACQVKLKGDNGKLAIN QYQYKIPQDLTSGDDFTVLIDYVVECFSDFLTRVNTQDLFVYPMAVSFGFAIRQTGLD SGKVLSLGHGISYPNGVGVDIVKLLHERVRLKGLPVRIVAIANDAVCTLLAHAYQHPC TRIGIVHSLGTNCAYYERTSNVTKLRDQPTTRDRDVIINTEWGNFGSSRRTLPCTWFD RKLDRESINPQFHMFEKMTTGIFLGELVRGILIYLVDRDLLFGGDCSETLNTTHSFDT SYMYVCEGDDSDTLEDTRIVLEDMLDISKTTLADREMVRRVCELVGTRAAMLVGASLA AVVKRMVDSGIGMGEEEEGYAISISGSIYEDYPSFHPRVCKTLKELLPESIASRLSVG IVKHSRIVGVAIVAMMAEKAAANSP PHYBLDRAFT_181282 MSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKH PFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTET MPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_168039 MNRDKLNYAEPKEEDRQRLINSIPEFDTVVRSKENIAQLPQGRD SRTLSSILQKSTDELLDAIEEGESKFKTELEAIDYNHDPLDVYLKHLDWIDQIFVQGQ SNASPLRSVLEQVTTRFQDSFMYKNDPRYLKCWLRLSRLRSDPIKIYHHLITQNIGQM CALFYEDYASYFEKIQKYNEASQIFESGIKKKAEPIASLKRAKDAFTARQTQRRLDSE SSDPSTSQLNERNQVLERSGRKPLGVLFDASAEFCKNSGNAYHFGGGLPQREREVGSS YLPIQRKYHVYSDRTEGNSQPSVNPPQSMQPYTHRSPECKENTVRSERFKGAVLPQNP YKRPKKDTFTVFKDRIETGPSTSQPLQLSQISQSAQSAQSSQSSQSFRSSQNSPKPSN KQSLRTRQMSTERTISVVEEDNLKDLTERFNAIEALCMRELDSKGKYEYISFIKNCPD NSAMLSCEEERARAAERKAKTLGIYTEDNTGNLTAETRAAHNSIGEMYKRASGLSKIQ LEEDAGFYDKKWLKKQEEERRNRVYTNENEYPTASISSTSDNPMLERLRIGTFSGGGD DDDDESDDELIHKMLQREIEAGNAGNSHLISRTN PHYBLDRAFT_145075 MHRLWNQDLAAVLNFRHILNNLRYDGIIPVRFTRVIRIGRIRRQ AEEDLQEGRRPTQATAEGTSQVFNGVQIKASIRAIAKSVFDITSPSTIKDTRKSVLQK FCPFWSISNDV PHYBLDRAFT_133431 MSNSPAPAEDQARLLDEVLNVVKLQAHQMKKCLENNKLMDGLKH CSNMLAELRTSALTPKTYYELYMAVFDALHHLTIYLIEAHSAGRHHLADLYELVQYAG NIVPRLYLMITVGAAYMGMPDAPVREIMRDMMEMTKGVQHPIRGLFLRHYLSGMTRDH LPVGESTGPEGNVHESITFVLTNFTEMNKLWVRLQHQGHSRDREKREAERKELRILVG TNLVRLSQLEGVDLRMYQTTILPGILDQVVSCRDVIAQEYLMEVITQVFPDDFHLRTL QPFLSATAQLHPKVNVKQIIISLIDRLAAYAAREADGEKAEEALVQNEKSFADEKKED AVKSSELSETAEISEKVEEGATVSETTDPEEAKENGEELKTEDQDVSKVEISPEENGA AEVKKNIEDAETEEIKKVRGIPQDVELFLVFWEQIVELVKARPDLSVQDLTGLLVSLI NLSLSCYPEKLNYVDQILAYAKDRIIDLSGSPDLHAKATEGNLLALLLAPATHYDSIL TLLSLKHYQPLLAIQPFTTRRAVAHAIVENLLKKGTLLTVPEHIQGILELCEVMLRDQ KDAPSASTSSYNGRGNSTDSTAEHELYVEEQTWIAKLVHLFVSPDEDTQFLLLSAARK QFSQGGQRIVYTYPSLVSSAVKLARRYRLLEEQNEVWESKTAALFRFIHQVISTIYHQ CDQAEACLHLFLLAGQSADEIGFEEIAYEFFVEAFTIYEESISESKAQFEAITSIIGA LQQTRVFSLDNYDTLITKATLHSSKLLKKPDQCRAVYLSSHLWWATSRKDEKTDGQNL FQNGKRTLECLQKALKIADSCMDAVTNVELFVEILNQYVYYFERGNEAVSAKYLNGLI DLINTNLSNMDDPDQHPPTANSSSLVERVGPVSDYVRRYFTATLKQLQQRKRETKSTD AGPKYDELEL PHYBLDRAFT_145077 MKAYVFEKSEEDQREPHNSGTYVSAEDLAQIGVLYWQFNGEDAL DRINDLAAERKYKNRDEIIVSPEAMGPVYEEKVKSFFAEHLHEDEEIRYILDGSGYFD VRDKNDVWIRIHLERGEMIVLPAGMYHRFTTDAKNYIKAMRLFKEDPQWTPINRPLAD ENQHRFDYLKTFNITV PHYBLDRAFT_181285 MAYPPKVSAIELEELRISAIDWALAHGLIVRPTVSNQNLFASTS VVNHAPFALYPSSFPRAEFEKAKGLQQPWNTLIHKMSQDDSLITEVMETLSKVDEFMS QLYKVYLTVKNEGFAQTASLGIHRCDYLLHSDDGNDIRNAHIQQVEFNTISSSFGSLS TRTCELHRYLLGSLDSYAGGQIKLNQLPENNAIEGFANGLASAWEHYGKPDAYILMVT QPGERNVFDQRWIEYSLVDKHGIHLMRRTLAEIEQRAIINPTTKTLTMDGHEIAVTYF RAGYGPEDYPTQKEWDARLKLERSLSIKCPTAAYQIVGGKKVQQVLTVPGRLERYVDV YTADLLRESFAGLYPLDASPEGINAYKSALAHPDRYVLKPQREGGGHNFYGQDVVNEL KKLSVEERNAYILMSLIKTPPSKNLMVREGEIIEGDVASELGIYGIYLSDGDKVLVNE VGGHLLRTKGHSTNEGGVAAGFAVIDSPLLV PHYBLDRAFT_145079 MSIEKRTNFTINEIDDYHMLRNDPDYEIVNPKIIEIFINEDAFT LWYETSAKRHSHWNISNTSISKATYSTASPSDVVKTIYFVCKHAGMPRKAKPEENCGL ERKPKRIRKESIKDGCKAKITKKTLRNGRVAVEYMWHHATHQPEKNLAMGSGCGREAA EVARNDLRCLEMQESIGKDLSVLISLVKDKIKDSKSKLDSLNMLSDQLGVCISKVEKI GTALQQQQPKEK PHYBLDRAFT_181286 MDKHLMSLPNLWRIYSSFRTPEEERQFSRAAFFKFSGYILSCIA MTVLASRYSNKTKWVTA PHYBLDRAFT_92642 IAKKLGVSKYVVHRVLKAYKESDSYKAGVRSGRPKKLNERDKRE ILHEIRRDATTPLTTICKTLSTPVSVKTLRTYLRSAGIRSRKTIE PHYBLDRAFT_25899 DIVNVDFDFFNPEPVDFHALKRLLGQLFSSDAELINLSDIVDII IEENHVGTTVKVDGQESDPYAILSVINLNEQKENEGVKSLCSYLLAKCPKKEEKVHKA VTDILSLKKSSGNSVGWIVSERFINMPVEIMPPMYSMLQQELKQAVEKKEPYDFEWYM IISKTYKEVSSSLDENGEEEEPKAPKKKSKKASKPVDETFYFQAEDEIIAKYATYQFD FKFTNSEKESVSDAKRAFSDFGIAPSRKLLLVHKSKFDELVGDIEATCTNIVPIDD PHYBLDRAFT_42092 MTASIPTFLLNTGDRLPVIGYGTFGGKNSSEAMYEAAKEALKVG YRFFDTAYSYTTEEALGKAIRESDVSRKELFVNTKLSQTFHEPKYVRPACERSLSLLG LDYLDMYMVHWPLAWKFSGFEFENLRKKDEEGDIKCIDVPLIDTWRGMEQLVKDGLVK NLGISNFTLPMIKELLTQCNIPPAVHQIEIHPNLLQEDMLAFCKENNILCIASTPLGN PGLFGAGKINPLAEPEVLQVAKKYGKTSAQVVLNWGISRGCSVIPKSATPKRIKENFV VFPIDSKDVDLISKIGHRNTIRVCDPFDAFGPSNDVFGEHKEEVAKWLSLRKSVNNLK SNI PHYBLDRAFT_168046 MHHNSDEIGLLHIQPNLSDLPSESAAFYPLVVVESKLGISFQHL TALLSEAHKRYVLVRDAKGAADLAELEQVSRVLILIKPDHYTAMNTRKQLITSGQISI ENELLLLELMFTIPKHSKSSIAWHHRQWIYKLKHSRNDPQSRLIDHINIEHELQLCDR TITLYPKGYYTWNYRHWLLSTMESDHHFVQKEYHHSRSRVEVNVSDHSCLQHLELALF AIVKDSPLKISILEDHLRWLDGVIISYPGHEALWYHRRYCLDILLCDMKDSIYYSQKH YEFIEDIAKGDPSPLAIDTNRSSLLRQVELALHFGVWLCLKEKDTGNVNIGRARSYLN RLESTPAISEIHRLFNDSA PHYBLDRAFT_181290 MSQGKAAKEKQHQDPLLTPGHIKQVVKALPSKIKHPHDRYKYQD TEPLKQEIDEFFNYSEAAIDLPDYRREYNRYFGSPWKTTSDADRRSGLELLIDQLEYV QANRRISAAKQLVYISLGCLGDGACDCDDYLGTILANNQLLYESGALPAFYQALRRAC AKHDALNQQSSDIDQIIEISIEIELYLSLLYLLIESRRQAEASWEELASLTPSMIEFL FSVIAQLREKYVKSFPYKKLILLLWKVMLAAFGGLDKPKSLKEDVKKLNGFQFMDKVD IVAKCTPLDLYNFQNESVLKYPAYTPPKFPFPVSETLTIKATSALASAMGISSATANT DLPYQALFPPKQGQPATQSITKKQSQINPFAPPQQNAFALPLTESQPYVPKSISEAGN LFVKNMHMSLSTYQIIHEREKAIHKWQNESKKQLEENDNITDVSEKIKRLMNSIEHLY HTIMPELQNIIIVLLKLLLSTVTNNSNGTGNAAKPTHNPNSIEAQEEIDATRNHVLKH EYLSQLLVDSGCLLLILKILGLQEITALVAAQTDRSDHGLFHHFYTKKEESSSTEEIL YTNQRNMFWSINFLRILQMLTKRKTNRVMLLVQYKSSVRISCQSDKTLAYCHKKAILK RMLKVSHPVLELYTLKVLKSQVPYLGRRWKSLNMKIISAIYLQCHTALRDDWISKIDT DTDLEDGMAQEINLRILIRMYNGQHYMPSMLPQTDDTDTLSISMRQSIPFITEDMKDN IELDPEFMENYEKWLYAEVYDSEDDTEVPEIKQSDVDTPLPSPILSAQTMPDTLAMEI QRLYLEELNQEFNETSEMYDPMRLGPQPTTEATFDQEVLTLRLQQVEKRTVERWTILE SQSDVCI PHYBLDRAFT_168049 MGIKTTRHTSTDFDEINKKIARLLKDPSDAMDQAPIDIDAMSLS DLKAFAEQGGLGACVSKEDVLAETPEDLMYLSGIFQWLIQEFKGYCEGVIGQFNAESV HFVELDPRVLESLDADLEFAMDQSYGGRYSAASQTTDFESYGVRSSHDEPKRDPMRPD LDLHTRHSIRSFSSTSEQYSSSVHEKPWLHRSSFESLNTHNSASSPLATSHIGQYMPG IDDTLSINSERKDEDIFDTSALNEDYSDESEEETGKRRGATTYNKQQQQQQQQQRAPI SLVVEPSVSMVRASRATRPSISESTTHTTHPSPVIHPAPTTRPVPTKNTVKDPIKINS GHEDDHMNDGDDEGENGEHSGTPLKNPIKPSSSVTKSDSVDEYGFIVDNANQRANHGS MRSDISPKSMKAYREREAKWLSIVGKLDAGTAKKDAKLKKLVRSGIPASVRARAWQFL AGSMDYKKKGYYEALGKKKPTEIDSVIERDIARCYPDHSQFNDANGQGQLDLRNILKA YSRHNPQVGYCQGMGRLAGCMLMHMPAEDTFYLMVATLDRYMNGYFTPTLSQLRIDAY IIGQLLHDHLPKLAQHLEANDIMPIMYIAQWFLTAFTMALPWQSVLRVWDVFYFEGVK VFYRISLAILEISKDYLLNSCPTNSELLAFLLHIPHKSLGPDLLLDTAFRIKLSKTDI KKYAKKASTSNDATTMGLPFEHGIKNLMVGESHTSGLSGLKGLGKHK PHYBLDRAFT_186859 MFIGITGTKCSGKHAIAEYLVKYCHFTFLSLKKNNDQNHELYSN CLMFDTLDQMQIYVTERWREDFVTCDIDGHGLWILKKRPFFLLVSVEAPVFVRYKRYL KKSTAPLSLEDFVLQDDHSLFQKMSSPASKEIVPLNILISHADVTVTNAFQTLDELHI TVKSLQLMNIERLRPSWDTYFMHLSDLASRRSNCMKRRVGCILVKHSRVIATGYNGTP RGLRNCNEGGCDRCNEAAPCGTGLDRCLCMHAEENALLEAGRVRVDDCEGTVLYTNTC PCLGCAIKIVQQGIKEVVYSKSYGMDHMTAKIFKEANVKLRQHSPPPIRLEIQADISA IDGSIQGQLGWSTN PHYBLDRAFT_71839 MATSPLPSHHTKDIGGSFIHSYGTKVFLRPRLDMVQDAVAQSRF NEKKWVWVEDIHLGYVAAHILKEERDLVQVELENGSTAFTSPDKIYPMNPPKFDKVDD MADLTFLNEPSVIYNLAMRYKSNMIYTYSGLFLVAINPYRHLPIYEEDCLQAYKGRRR GEMAPHIYAVADQAYHDMVRDKENQSILITGESGAGKTENTKIVIRYLTTVASEHTKN DATNHNADRLGQQILRANPILEAFGNAQTIRNNNSSRFGKFIRIEFNQRAQICGANIE WYLLEKSRVHQQSPKERSYHVFYQLLSGDKETKEKLLLGSSKPSDYGFTKGSNHVIES VDDAEEYRKLLDSLNIMGLSSKEQMDLFLIVAAVLHLGNISVTKDYRGDRADIRDFAA VERVCHLLGIPAQDFKNSLLSPRIKAGRDWVNQSKSPSQVMSSLDALAKALYERNFAA LVERINKAIDAQKSIDKIGFIGVLDIAGFEIFEVNSFEQLCINYTNEKLQQFFNHSMF ELEQEEYQKEKIEWSYIDFGLDLQPTIDLIEKTNAKECVAPRGTDSRFLEKLDKVWDS GDPEQKYKSTRFKDGFVVKHYAGDVEYCTTGWIDKNKDPLNEDITRLLARSTNRYVAS LFEDYLEDNDESSIGNLYANRGSGRVRSTLTLLKLRKGGGSFRTVGQRHKQQLLSLMN TLYMTHPHFVRCIVPNHRKRSGEIQTKLVLDQLRCNGVLEGIRICRTGYPNRLSFADF RKRYEILCPNLLKPNCFIDGQSACQSLLDDMALDHEKYRIGATKVFFKATVLAELEEN RDKKLSEYVSGFQAICRRYMARNNIRRYARQTEAIRVMQKNARIYVQLHEWPWRKIYA KLKPLNVAYRVESQIKEKDQKIATLETQLKENQVQVIQLTDRNEVLATQHMDFKVLLK NGQSTVRELEERKQDLADKLALTEERMEEMAQTVEEVRDQLDASEQKTKAQAVMIARL HQDILECNQDNERLTEQHTAFQQTYQTLVFQAETMENANKVLYGEKAELEVTIREVNS RLAEQVSLVEQFRIREMEFFEEKKREAEAKVILESEYEKQVARADEAEQTLATMMEEM TRIRTALSEEITLREDLEMRCGELEEHTEELEQMLATESGQRKQETTEHQNEWDELAR LVQAEAEETKLRAERLEQTLATLRQKRPSS PHYBLDRAFT_145091 MSTHQYSLDGVQSTDSAFNYNSASLNHPSTDRHLFSYSPHRKYS KNNSPASVSQRSNRSPVPDRISEHLSCNDSLETSRSSSMRRRSTSVLSERKSPLIVDR SFRSEPRDRRIESSRTETEGQPSRHSTLLEDAPLDIRQAIEHSLETIREMRLSRPHPR SLVEDNQKMSLTSRPRRDSALSMTDRELARTTTMENYTGPSAEEDIFHYSPSKRQQTV SSGSWQNLSENALQQSLLQTIEERCTSMETAMNERVRLLEQRYRTIRPISETKHSRPV TKGVQVELQPVLTSREIEERDERDMKADMLDTLMAELDINDLRTFQQSVHAWRARSRL LTEVRCFVDGVEKVIWNTPYVPLSSICHIGHEDNRQVGLVEDEMMCESHRVRYERALL DAERDKANALERPPYTRERLDATFDRLTRWSHSVRHPH PHYBLDRAFT_133446 MLDHNRVLLTYASRKEEEFYGFGEQFSYTSFKGKKVPIFVREQG IGRGDQPITALLNSPLSVFGEFAGGDHFTTYASVPQYITTDNRCLFLETSEYASFDLK QPDRVIVRLNSNTMIGRIVDGESMLELITEYTLYSGRMNVLPDWISEGAVAGMQGGAS KVRDVVKRLQDHDTPLAAVWLQDWCGKRIQQTGNGSSFKRLWWNWESDDGLYPHWKDF VQEMLNQEKGPVRVLSYVNPFLANVEHKEGAHRNLFLEAQNKGYLVKDPSRPSECKTL IISSGPDFEAGLLDITYPEARIWFKQVLKEQVWGTGVSGMMTDFGEYLPYSSEKASLH SGIAPEVYHNRYPEDWASLHHEVVTELGLQNEAVNFYRAAYTRSPAYINLMWAGDQNV TWDQNDGIKSAVIGMLSGGFSGFSITHSDIGGYTTLNGLLPGLNITRSKDLLHRWMEL AAFTAVFRTHEGIIPESNAQFYDSEDSYVQFAHMAKLFKSLSPYRKHLIREANEKGWP LMRHLVLYYPNDPTVRDLTYQQFLLGSALLVAPTLSPSATFVKVYFPQEHTKGIFWRH IWTGKYYPADGKYVAVDTPYGLPAAFVKEPREDDGLLNTLLEFSSDHYNHHQINQQSG N PHYBLDRAFT_177499 MQQKYEQYEFHPVADAVKDFANGEFVIVMDNEDRENEGDLIIAA KTVTTEQMAFLIRYSSGYVCVPSTDERLDALELPLMVPRNTELMKTAYTISCDYVHGT TTGISAHDRALTARKLADPTSVATDFSRPGHILPLRAVPGGTFERFGHTEAAVDLCKL AGLPEVACIGELVKEDDPSGSMARRDDCFAFAKKHNIKMITIKDLIEYRRQVNI PHYBLDRAFT_145094 MTQMTHNNFFTMTEIGRITLPEPLSCLHSFITLKKYPYVIARHP FVLTLLLPSRFITICRYTRQHAIPHIPQSCIPNRHLNLFSLCKSPSTQLFQMINNTTS KYRDCSIQYK PHYBLDRAFT_145095 MAILQDAVARQYGELERVQAKGITGCAVTAKLDFPKTRGLSKMR VGPSNTTFLVVPTTNVVPSGQLNNHIPARSSSIKENPVSEEQVKSE PHYBLDRAFT_71835 MFGYNSVKLRFKRHGNMATPESEISESSAGVKISSVFSLCQRNY LRMGNVQLSSSMNGNETPRNTIHRYNLFYLYLGCSNIHQNIIFFHSRKPFIIRFHTFT IGRASVAEAVQIDIKLSAHKPHLRLKEVKKNGG PHYBLDRAFT_158746 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTG PHYBLDRAFT_71833 MYLRLEPQAHIRIYVQSGRDTVIDLQALDIFLSHKCPGAHSLLS HTLYRRVNHSSMVKFMRMFMRMDLWYSKKYISLLVLYTHSLAIMLVLLIVKAAPALVS PPQVALKQRASK PHYBLDRAFT_168057 MSTFNEFVKSFVEKSFQMAECFPEENPRDIPEEVASVSDPQISV DLFGSIEQVSQFCLASGSLAVPIPDPFPSNDNLSLGFFSVPSSSFGSFSSVSESLTGY DTGLFAFEDDIPSGETYNTTVLPEFEDDAILSMYLSGQYPADAPFTSPSAQSPFMTLP QQPYSPADLLLSPMAALFPLSPLVNQNYLTNLSLSDPYLSYTNSNFSVSPGLSTEPWL QEAGVSGSTSASGLAAEEAYAIPPTKSSSPGNQIRHQCPYCTHTSNRTNNMKEHIMTH DPNRQKRFICPCCSKAFARKHDLKRHVKSHERHHQYHHQHPYSMDH PHYBLDRAFT_71831 MYSCSNVKRRLTKHFKKPVFKKRKGDFYFPKVRHFTVKLRISTK QVQLNSFLEAISQCIINNYKTNPKYKYEEKLTEKDKTLMKHTYKKIIERLVLKEETTM EDVLYNKAKDYSVEHEIKEIEKETGKANLMKALPESLTIMLMNLDGKNDFDSIDKVFQ DISCNRRHQPEEYWYRESVFNYLNPFMDKDSIESFPTEQDLLQDMYGFINNSSSSTSF YNKNMRRALGSIDIMEQMVTDRSDLTFRYPLT PHYBLDRAFT_57975 MDVNVVGKASRKTSIICTIGPKTNTKEKLVELIDAGMSIVRMNF SHGDYSYHSSVLNNARAAGTERPDKAIAIALDTKGPEIRTGVMRDGNDIPIKKGHVMI FSTDPQYEGICDDKVMYIDYTNLPKVINVGMPIYVDDGVMSFKVLEKGSSHVKVEALN NGTLSSRKGVNLPGTDVDLPALSEKDKSDLKFGVENNVDMIFASFIRRGQDVKDIRRV LGEKGKMVKIIVKIENHQGVNNFDEILAETDGVMIARGDLGIEIPCERVFIAQKMMIA KCNLHGKPVACATQMLESMTYNPRPTRAEVSDVANAVLDGADLVMLSGETAKGAYPIE AVKTMSNTCELAESVICHPPLFNQLRALTPWPTETTETIACAAVSAAAEQNAGAILVL SRSGQSARLASKYRPSQPIILVSRNDQVARQSHLYRGVFPFVYKEDHKEDWQEDVEAR IKWGIMQGKKFGLVKSNDSVVVVQGWKTGVGNTNAIRVLIAP PHYBLDRAFT_133457 MGALFSKVLGRLWGEKEVRILILGLDGAGKTTILYRLQIGEVVS TIPTIGFNVETVTYKNIKFQVWDLGGQTSIRPYWRCYYSNTDAVIYVVDSVDKDRMQT SREELHAMLEEEELKDAALLVFANKQDMEGALSAAQVTEALGLSTLKNRQYSIYKTSA LKGEGLTEGLDWLVNIIQENKQ PHYBLDRAFT_95464 KQYVCEHTDCHRRFKRPEHLKRHQRIHTAERPFECTYPGCGRTF ARSDNLTQHIKTHEK PHYBLDRAFT_112446 IARSLVIFNVDEIIIYQDKIQKAHHKVDPNLFLARVFQYMETPQ YLRKALVPLSPDLKFAGLLPPLDVPHHPGMHEQTRYREGVTLMSNKEDGTLVDVGLFR RVRIDKAIQPGVRVTVELGSPIAAMETRKGQKPLPAKVVSPKAPREKMGLYWGYSIRL ASSISRVMTESPYEDGYDYMVGVSDRSAKDMYGVSGPKEFRHLLVAFGGPMGGLQEAI EADEDLKVAGEDATEMFDMFIDPNAQSGTRAVRLEVNKT PHYBLDRAFT_168063 MTISIFTPLNDVFECRTLKDYVLKDYSHRAHGQFYKYVNVRYIY EAYNSYYVFTHAKKTLTEKKIQDFKYTRSSEKDTDKKKEIYKKGYFTPSESSSNWEPT RIIGYYEGLVCKSEDDESMEDYEIFKKPIKIVHPSPEQKQLYNQHQEKDKTQTSPTQR VQQKLHPAPTLSKGLFKPILSSRSL PHYBLDRAFT_56349 MADQLTEEQIAEFKEAFSLFDKDGDGTITTKELGTVMRSLNLNP TEAELQDMVNEVDSDGNGTIDFSEFLTMLARKMKDTDSQEEIQEAFKVFDKDGNGYIS AAELRHVMTSLGEKLTEEEVDEMIREADVDGDGQINYEEFVKMMMSK PHYBLDRAFT_181297 MGSQLSKEPARKSDKPRTRRKRILPSILSQARSTKSSRTKENRP SELDSFVSETSIQSPTKSPSTDIPKHSHHPSYSPSKSSAASSFKSGSTSNCIDEEPQI LNHPVFGEIDLSYLTRALRNASSLGTKDPFFFEYGAEKEYDRQTRQHYVLKQTFGSNT QVKLTNPKKILEIACGIGLWSLEIGQNFPSCKVIGIDTLPSVQEFDNHTGLDHHEKEL SIRQLNGAERAKNVKYQYGDILVPLEFSSCTFDYVFQRDVATVVPAARWAGLLADIYR VLKPNGQIEFVEHSFNFSNPGPILLLATDWISKVSQGLCIDVDYLDTMDNKLLQAGFV DLEVVEVDVPVGEWPADEAQKEQGFLYKLQTKAMFQSMRLWWVIELGVDSEYYDNLCT LALEELEERKCTMKWKIWTARKPGN PHYBLDRAFT_177503 MSMALSSPAPAEEQPKILDEVLSVVKIQAHLMNKCLDNDKLMDA LKHCSNMLAELRTSALTPKTYYELYMAIFDALRHLTVYLMEAHHAGRHHLADLYELVQ YAGNIVPRLYLMITVGAAYMGMPDAPVREIMRDMMEMTRGVQHPTRGLFLRHYLSGMT RDYLPVGESSGPEGNVHESIHFNLTNFTEMNKLWVRLQHQGHSRDREKREAERKELRI LVGTNLVRLSQLDGVDLRVYQSLILPGILDQVVSCRDIIAQEYLMEVIIQVFPDEFHL QTLQPFLAGTAQLHPKVNVKQIIIALIDRLAAYAAREAEIEPEVDEQISVTKDEPAKE NKSAEEGEEKSKETGADENAKEEKSKESAELLTDELPDATPEEPEKSETSEQAQQTEQ VQQVKQIRGIPENVELFIVFWNQIVELVKARPNLSIQDLTALLVSLLNLSLSCYPKKI DYVDQILAYAKDKVHEYTDSPDFHSKQTEGHLLALLLAPIKHHTSVLILLALDNYQPL LALQPFTTRRNVAVSVVLSILKDETIISSPAEVHGILDLCDVILREQKDAPMASATPT PMYGNSMDRKTAFTSLEDEELAEEQGWIAKLVHLFRSDNEDTQFLLLSAARKQLGEGG DRIRYTFPSLIISAVKLARRYKTHEVKDEIWEKKTSALFRFIHQVISVLHNKCECTDM CLRLFLIAGQSADEGGFEEIAYEFFVEAFTIYEESISESRAQFQAITCIIGALQQTRV FSADSYDTLITKAAIHSAKLLKKPDQCRAVYMSSHLWWTTERPGEVLTPEKEEELYRN GKRSVECLQKALRIADSCMHSGTNVELFVEILNRYVYYFEKGNEAVTAKYLNGLIDLI KSNLKSVDNPDQHPLPSSSSRLLDQTGPLSEYVRRHFVATLAHLQKLKANSGEEWQEL VCDDDDI PHYBLDRAFT_56348 MYDLQQRANAVLSFAVTAFACFLGVVSLLSVINGYGQVDGTITV DTNVVRVVNRRYGPEHYDYRNTKSEFARLAFDIDADFTPLFNWNTKQIFVTVVAEYES ASHSRNAVVLWDRIISRKDDAKLLLENVPNKYALIDVSQKWSHQQANLSLQWDITPHV GILKAGRSATTSGNFVLSPVQEKSKH PHYBLDRAFT_181301 MNSTEPQNLIDQSDIGKINSNTSNDVLQASELLKVKHSYYSRSK QPFGLEEFLAYEKQQQGPPVLESSKDNQKMAYERMDSYDYENDIKYQQSLPASVQAWI NQRKDHSDWNKEVIEQEFLKAKAMYYILHVEPVDVAGYLAWKEKNEAKSQSACPFANL WKNKGKGKYRFAPQEQTSCASFITAETPDLIGGPAIINLSSPKSKNILTVGRLNELKK AYQDAIKNPKATSIFFTATVAENPTHEILSESTPLRAKDTKVVSCGLAYEETYNLVTA SRSSCDLQASQNSLETAYHGISREIHQKGKPMVWFINGKVPHSAVYLYLSDMFVRVIT EHTLLDIGVKPGHAPFSSLGFFQSRLSKAPRPLPSGIGLYLALSPPELGLLRAPELLR LGMADVFVPELRLQGTIESAKSMAVCPLPDTMKALQITLLSQHVYSGPDRLGVWEREI GDIFGAAKSFDELESSLQKINNKWSKSILEHWKQLPPILPRVMFRLVDKIKDMNSLDI YRIERTVNTQWRHSKDYQKWLKQESSWEEADEKHIASYFSDVQVPNGESMFYEAPKEE VEIPAVCPMSGIKSAAVCPVTGKKGTSDGNGGDEASGCPMSGIRK PHYBLDRAFT_124696 MIPPTTAIDWNNLGFEYRDLNGYAKFVWTEGKGWGKPTFEKDPY VKVHICATGLNYGQQCFEGLKAFRDPQGLVRVFRPEVNAARMIRSADMAYMPEVPIEL FEEGVRLAVTKNLEFVPPGSSGGSLYLRPLLFGSGPFIGMGPAKEFTFIVFGMPVGNF YKGNAVPVDAWVIEDFDRTAPFGTGATKLGGNYAPTFKPMKVAKDNGYPITLHLDSKT RTMIDEFSTSNFVALTHPDPKTGKQTFVTPNSSTVLRSVTRLSLCDIAKKLGYEVEER PVFFSELEQNKFAEVAACGTAAVITPIKKIVRGDKVVNIGSGNQTEIGQGFLKLFNEY RGIQKGEIKDTLGWMWPAEGL PHYBLDRAFT_145110 MDLCVTDSICVANASTIATAAASLSFVAMQPLPPLLYEQLIPYM DRPPSIDPSECSLCSCSCASTDEHRSLPSTGRTTPIDPICPSKRRGELTIAEMDEAWK ARKQPLRRHHATHELIQTERDYVRDLGHLSEIFFEILSREEWIPHEHKRVIIRNAQDI LIFNRRFLKALETCLDQSLDNRKTTCELIAQVFLDMADDFMVYAYYCDMHAEALALYA DYRTRPEWTIFLKKCSPADRTLVQGNISDSVGPTTIAPSAKALHFEDYLIKPVQRICR YPLLLKDLVRYTSPHAEEYSLLNDALTAIQNTVGEIDYRKYTRDSKERTELFVLRLEN DRRIDKQFLPKLGNLMIAGGLEVSFTPMGQTVSKTKYLGCFLFPTYIMLVRPKKSTVY EPKHWFSLRQAVFEDVLESDGHVFAFNASCFQEKQLWVKRIREAMMFTDNQGDAVPSD LREAENSLDFSFTESSLPPAQHTIRQSRSFTNMLDFATSINYPSSQTSKSATTTTSTS TNGIRRSVSTGVQLCGETFKGKERHNTLTTSINIDTRTAALARSYFSAGSPASREDSF RSERSQPASGSMTPELLESYGTHHRRPSSIDLLMTSNNTTANMIGKMSSQIKNNHKHT IRMAIDHKLRDVCTQDYLSSRAWHMRDKELLMLSQSQPQLQSQTSNYGPHGNYRKRKS TPFLRPPPSNGSLLLARRASEAGLISRSLRNFEYDDQSNFSLESSVDDTGLGRKQSHS ATTNIRRLSQNSHIRLMTTDSTRYHYPVEQLSTIEGSSDLGIEDFSGFSPDTHSTQQN HDWPTICNENTTPYQDPPELPTSSTYTPAKPKSVRQKRAFVGKILDKLTGHGKKVAKP DKPDVHSFPLSRAPSRHNSDMQPYSNREASLAEISHRISVSDPVLPLSPDEHNPTQSN RELHPKLKHKRSFFGRIKKEQQKAPTNLPNIIPQSSPNIPLSTAFAYASTPAQPQKLG WKKKLSAMRQPHST PHYBLDRAFT_168072 MYTNVLQMCTKKETKKSKSLSACPLFYFILVGLDMFRQLTYITR RTNSSIKHANTTNTATYLYPETIKSNSGQPFDIWLAEAHHGLDAFLDDQINDAQAIFQ QSAAESSFHAVGCAFIAYIEAISTLEPEKIQLALYQISSAECLVKQSAKDVHKQSSKP TTVQKGNFVPVYAENGPEEPVCISFSSPGVTPSSSSSTLGDIDDTIAVNNNRLPNPGQ STYSSPFKPDQAASIQHKLLELNCMLMYATLQLLRDNWVGYIKSAYKLRTAYKTYEHL FHLITGLKPREYASRLQKTGETPSDHSNPQSTLSERHCTSCMLSALSDSTDSSLCTKT SMIGAMPCTHPRLPSRSCTLPSLSTTGSVQGNSGFGKRSSVFSLYSFRDVERVRIKNC EGYIDPLFRQSPLSQSSHHYHNHNHNHNHKKTNQAENQDSVDPTQADSMIKSGIFFGI GAFSLIFSLLPPKVSRVLNTLGFHSSRVFAIDVLQQSYETKGLYGDLSGFFVLSYYSS LSFFGHNRLLPHSLKSEDTRNMLDQLKEKHPRGKLWDLLDGRMVRMEGNTEKSVDLLR DARRRNCAWYTAGTPGKNKIDKWYGFDFGLSATDKNMQHGATISDFGQFQMLAIHEMG WGQIFLGNHFQASETFFRLETMNLGSSIFYHYIASCCMFADGSYDKAALEFQQIPSLI EQKRRGGRKVLRKERFSERRIERWIERAQEMEPRSILNGTSLRRAVFLEPLWELIYLW NGFSLLKPAALTALYNCMETCMVRHQKILTLSEIALLYLMLGVVTRELGNYNYAEDCL RKSIALETKLTEDRWVISFSYYESAILYCLRDNIAKDNQTIDGTELIRILHCRSIAPL TEKDSSGSKMSGQEGIDWGTRLHIRWQLLLERLERS PHYBLDRAFT_112518 MSSNNTRIRIGQYNIIKTIGTGSFGKVKLAVHASTGQKVAMKII NRKKIASMDMGGRVKREIQYLKLLRHPHIIKLYEVITTPTDIIMVIEYAGRELFNYIV EKGRMAEDDARQFFQQIICAVEYCHRHKIVHRDLKPENLLLDANNNVKIADFGLSNIM TDGDFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYVMLCGRLPFDDEYIPTL FKKINGGIYTMPSFLSPETKYLLTSMLVVDPMKRITIAEIRQNPWFLKNLPDYLQPLP TTEEDRCQPIDEELVSEMSKRMGYTKEAIQKALNGSDNNQFKVAYQLVLDHKRMLKDS EHSNVQSFFATSPPPWNISFEVSMQSMAIVKDRHSRDYVSNAQPILPISGTPPPGVMA PSSLPAGRASQHSRHLSTHQLVQKRPHKPRQKWHFGIRSKCPAWEVMIEIYRSLQNVG MTWRNLDPYHLRCRYQYEFVNLIVKFDLQLYKLDNNSYLVDFKNVGTSVFGDKKSSNS ESDTGTDPKLDYPFSVFEGMVLKNTQVLGDLQFSSPNDEDSETKQLRADRAAHPPGWK LNNRDVDNEHVLSIYPFLDVCSKLITDIV PHYBLDRAFT_71816 MSTHEKLEDLLDEIDRGMEKLNATTIEKKAADGRIYAHDATEQL DARKKIMDDCLRDSNVHAELMSLIDDEYELESLIQQEEELKQEFDAVVKSCTVLEKKI EKDNWKNKFSDTEREYEEMENEKKLLEKELEELNKTVEATNELDIEALGLGIYRGLGV QTMMKKDGTITGVQLTSDDQSRVYTYPMKGYSAQYLTKYVWKSISPPE PHYBLDRAFT_145114 MQERPEADGHSSSQATKPLYEKKKEQVQVRFVTKQEKYAISDAA ILVPANFKRYGLSEIVNNLLNHEKPTPFDFLIDGEILRTSIADYLFSKSLSTENIITI EYVESMLPPTPLTAYQHDDWISSVKGRNGLFLTGSYDNNVRLWNTSGECIATLTGHSD SVKSVAFGSVDNSLVHAYSGSLDYSLLGWEYSTENDTSRIRYECKGHKAAIESVAVDS TNTYIASASADSTIKVWLAVEPVEDEPALEESAPKKRKKTEKKSDRKIKTRAMTLEGH VGSVNSVVFDGNDSNIVYSAGWDHSIRSWDVEQQVNLVTKNCEKVVLDVDYSSHSKLA ATGHADNIIRLWDPRSDDGTNVKLALRGHSAWVSSVSWSSTSEYTLCSGSYDSTVRIW DIRSKGPLYTIEAEDPSKKEKVFSVHWDGERVLSGGEDKKLRLYQAKV PHYBLDRAFT_158756 MLRNIGDAFYRTFEGPKNGQVQIDDDSINKEASVQKVGTDEMTE KIKAQGNTGSAGTMSDKAQKDTENRSDRFDTKQPVQ PHYBLDRAFT_168076 MMKRKSTANSTTTSNSSSIPNKRPATEITPRSTPNPEWTKTFTA GREAFKNKQYKEACDSFTRALDFDCNRLEVLDCRGVTYTLLNDQDLAATDFMAMIKIS PNRSRGYLRLGKQLVERNMLDQAVKVYERASAKVDQSDTHYETLMKALLKTRIVRDDK KNARDFMKILPFEIVCQILSYLPFTQKVRCMRVCKTWHGFGLNWSGMWSDLDFGRRLV PSATLVKYFSYATGRHIRKLSIKDKQSKIEKVFKLLIHYDAHYIESLSLSGSEIPGIH LLRMLRLVGKNLTHLRLDSCQMHIEDLFNNTLSNCRHLTHLSYFGYDENVSGEMPIFD IPSSLSPLKHLQLACTKPGDNTGAHIHSILRMFSDLEVFILYGSEDNINRTLHTVQTT CPHLSVFQFKRRWDRRLLAWRAIDMNMATGKATGKKTGGMKEISLIGAHELRDSGIIP LIQIHTDTLETLEIAGCGNNTNQVFSMLISPGLPQLRKLNLNSCLALGESDLRDLIQK CVLLEDLELAMVSAVTDSVLECVAVHPVINKIDISSCVNVTGSGVRQIVDIKGGDVLK KLRVVDCRGVSWDEIEYASTVVKPNVLEYRQIISK PHYBLDRAFT_71813 MLPSQPGYDVFGKEILRAYEIKDIVIKSLVRNGILGDDYTLGPT EQRDGRHLDMLYLPLDKFIESLAPVIVEVQKNRQGFYWSSDPLLFECIRCHRFIPHLV VISNAGFSSKRYRNTTFNKEENEPFYTHPCQSWAKSTKFYTPESIAIHMDKEPLDKMI ALCHVFYAGKEYYASQKVALDIYSIDTDKIAKLFADTQSFCDTVECQFQKILNENDRK RQLKYAKDVVHFVRQFKRKCLPSTDECAVTSIESICEQQESEDLIFVKEKRRINAGRF NWNNCYSESRTEGLFQRYSSHTTLRKAFERETL PHYBLDRAFT_186872 MSSRLPFEILATIATYISQSDRLACTTVCKHWKSSFQVSLWRTL DINENRIEAICNNSSSTGQPIYSINGNRVQTLRFRKSVEATDDQLHTVQQTFKQLKRL EIEEHALSKHNFGLKADWSLWRSLTALDMYLPDLDDKIRKYEMFKILSCLPCLTRLDI EAKLEDTRPNYTWEVLENIHAYLPRLEYLHTNLPLAAITSADIKTINHVTPAKNLSVI KLLDHNMDLGWLFYFSLKYPKVRVFESSMVFSKINRIEDSPSEEVMSIIMAASFQFPH LKSVVISHEAVVGSQHTVFWKLLHQLTSSLRSLDYSLDLASFGNGLFSGFPRESVRFS STTLERLSLIINAKPHVMSILPLNFGSCSRLVDLTTEVSKGIIEIDVLLDSCTSLKSI HMRDELITLSPKAYATSTPHGLQKMEIINSKVDSHVFNFISLRCRQLREMALCSVRVP GSISQETGNMCIDMSYTHFEVLKLHDVTFYWLSGELEAVNDRDDGDDQNQEESSSGSS NGNSGDSNSNTIIDISGGDRSILRPYEIYTINLIAIERLNSVLRPRHLGAPKPLIHDG QDHGVSIDKRAWFHHYWGNTNKDRPRQVWMLGKPQVKHVQDYFESFQTSSANDYLSNE NRYPVGLVVKRFWKRDLPRGYATLRCGYIGRYDIDGTHFDHDVDVL PHYBLDRAFT_71811 MLMLASELPFEILTLIATHLSQKDKLCCMSVCRAWNVPFRDALW DTVDINRKERLEAICNLSTVQQNIYQTQGNRVQALRLKRRIETTDHQLCILQRFFQQI KRLNIELNALTVKDFGRMSDWSLWRSLTDLKMYIPRLDDGRRKKDLVDILSCLPRLVR LDISEHSRFSAHRYTWDTLESIHAHLPRLKYLQLSLPFDPIPANDIKHIKSITPATNI STVRLLGHNVNLGWLYYFAVKYPNVHTLESRLLQREASWTRDIPDNETLRLLSSFQRP FPHLKAVTITQEATPGLQLDLFLEILQRFFGHLKSINYNLHTTGGSSLDNDHPQSISD NPILLSSSALEKLVLQVGPISTTPQLRSPLRLGVNSRLVELDLNFFDTSIHLDSVLND CVSLKRMKLRSGVITLTDHLADRPSSSSSSSSSALLFAHGLESVELCRAKVDPKVFNY LSFRCRFLNEMILNDVSIPALISKETGSFCLDMSYTHFKNLKLHGVEFYAVDGSNWNE EHPDIDQNIYILTIDRTHSSPIYPHQLDIDRHEPSDNYSSNYDNNNQYQNHLPVQKRL WFHHCWGNTKDDLKKEVWVLGYAEAQFAEKYFELFSPEKKYIRRTAEEAAFHSQLVEK RFWKDDLLRGHITLRCGYVGKYSIDSTPFDHDGYITKSGVYLFLSLCLYILSIFRDII YYQYIQP PHYBLDRAFT_71810 MLSVLHLKTFVTNVICNNQVDQEYPSDYANSHNIRRFRIGFKGV ELAIALFVPRNLCTTQGPYTICWPTPETFIAHSVKVSFYVLVAALLTLSFIISCLFYA AKHLVGSYKNRSKSSNTQINQLTSTLSTPVVIPASSEPSSSVELLKPNKTLLVPETSS SSTGYSNPTENLPSYTHSPSNAASHTDQRKGQIWTSAAGLAVSAGSSPVDSSPNSIPI QIVTDDQVKSSLGDGPVNTVDDSSVSHISDNIPYQPVDDAPAESFFNDDPVKTVDGIS VALTSSDSTIQAEDNSSVDHTSNNSPLQEVDDTPVDSNDNSGIVQMVDTSSVDDTSVD SDANNEPVQAVEDSPIAHNINSSPVQVVDNNSEESTARIRQINPV PHYBLDRAFT_145121 MPITHPQLSTSTFGLSEFDANDDRENNDAQIAPLQEPTTVRVER PVPPIDFTLSRPISSLKELNQLTFRPAALNTRLTCRICRYRDGLDKLSPQYQLFLENV DTGEMQYVLTARKKRKTQTSYYTITGDYLDVSTSEVGVPKSVVLGKVRSNFLGTTFVI YSHGRNPFNSNGSEKNDLPVREELGAVLYDPNILGFKGPRKMTILTHTLTRDGKRPEF RPTEESETLLCKYRDGNARDLLILHNKSPQWNEDTQSFVLNFNGRVTQASVKNFQIVH DNDLDYIVMQFGRVERDYFTMDYKYPFCLLQAFAIALTSFDAKLACE PHYBLDRAFT_71808 MKTSCFVFLVICSISLLMCSRVSAQLGPTIIFPEHNATINATQT LKIRYEYQNMGTGNYSVDIALWLDSSATQLIQNITTNEKIVSGNSTGVRLNFTLDGTY DWVVPRGLNETIYLTVTEHADTKLLLPFTIQSSPVLLHFSAGISAFTAHSFTLTLVCL SIFLFFSL PHYBLDRAFT_14156 LVVNIHPFFGGVPAAEGASNLKLQYNAFASKSQNKEIYIGETGW PSAGQANGRAVPSVSNLETFVRGMMNVNLKYYFFESVDSQWKSGGSYGVEPYWGLWA PHYBLDRAFT_177506 MTTNEFTMLSLDDNPPSKSTIKKAPLATLPSLWNTREFYFYYIC FAVVVPYMIYVGYRLGDANKPKYTRFEPLLSDGWIFGRKVDNSDNQYAGFRNNLPTLT ILALVYLSVSHLFTFLVPMSYNTRRNNSAILYRAYFFLPASLVTISVIHGTNLIKILA IVFASFGIGRLTRGSLWNPLLTWCFNLGILFLNDMYKGYKFASILGPQFAWLDSHSGI MPRWHILFNFVMLRLISYNMDYYWQCKAPRPSNQIDDITDEGEITDKERITTPCIPSD YNFMYFLTFVFYLPLYLCGPIVTFNDFISQLRYPSSKITARVVALYAFRLVCVILVME LTLHYIYVVAISKAMAWDGDTPFELSMIGYFNLLIIWMKLLIPWRFFRLWALADGIWP EENMIRCMSNNFSAQRFWKSWHRSFNRWTIRYIFVPLGGSKYMMFNMWVVFTFVALWH DIEMKLLAWGWLICLFLLPELLATRIFTFQKYGKKPYYRFVCGVGAVFNIMMMMAANL VGFSIGLDGLKDMVSGIFQTSEGFMFLLIASVCMYVGAQVMFEIRESEKRRGDPRWKM PHYBLDRAFT_64624 MSDPNSSSGSPYSLVTSPCIQRATSSSQSDSQLSEFIARKRRKA LSKMEHICRRMRSLANFLEGIDFEAYDKQQELRKRTGCRQPRGEYAESNLRSFIMTVA ERWRALSAEEKQCRVTAVEAERARYI PHYBLDRAFT_168086 MSLSEHCALKINSLVFSFSLTSNVDLRILLTATHDRKQEFLGYR KTQEKGLLKGLLKRVAFILYDLPFSFLSLDLSKLFERRGLLYFAGKHFGLGYRMEIIR RPSKHKDPREHIYTLNGSNISVITFTDLQNIII PHYBLDRAFT_145126 MQILSIQKRIISKCQRRQSSTTNTASRVSTFTSSMGQCIKGLAS VKKAFSCKKVQTTAAPSLISSSSTISNDSYLSDLSDDEHFSKSCPVSEKSMALDSLIF DHPSVTVRISPAAYRSS PHYBLDRAFT_145127 MNTVPHSIHSPWLKHKTQATKALNPGTEQARDPANRDFQNEIRT IPERYQKSSQPDQTSQQDHRGPKTTQNQGLRKPYSYRNHHSQISASDLRGSQQEPYEQ KVQANPTVVQAPPSNVYKQQIPEANRIENQSSTTTGYTHM PHYBLDRAFT_145128 MIATSPCVRTQTMRTHACPDIRSYFEMAKPKFICTKGYASMTDQ LDSVEFADDFKHHAKPVTKQGELEIVTKKQVTVSNWKCVYSRKQDPSRRAFTQTFSCE GEARLLSMNLSKPSRDNCIQAIVSPSRWVGQKAHEESRLGYGYNKNKFLIIIRDYWLR TAKKVFEMTGFILWAENTSKEQVEAASRLLLSLDFFVRDNINHWSVHPEDKYYLYTTC LEDTRESLGALDFTKRQSCSGSWRGKPRSGSSSAIWWPNPFVVDPIAASWHIVSAGSL VVYCWWSCIPPAGGQGPFRPVIEEHFAVPGPSLQMMLPIPSQVPFLTKQILSIKTSRI PEMVKPRFAFMSKSNSESCPSQSPNVQNYPSVVWVVPPSLKTKSISKKGSNAKFQKQV PKEKSKTKTEAYTKSCKKKQAYTKSSSPKGSKEIRTRGLY PHYBLDRAFT_168089 MSLSEHCALKINSLVFSFSLTSNVDLRILLTATHDRKQEFLGYR KTQEKGLLKGLLKRVAFILYDLPFSFLSLDLSKLFERRGLLYFAGKHFGLGYRMEIIR RPSKHKDPREHIYTLNGSNISVITFTDLQNIII PHYBLDRAFT_168091 MDYRSWIIDYYFWLWFCGSGFLAVFSDRCQTSDTMAPCLCPKNG NKVKKKHPFFTFTPHNPHSTMQILSIQKRIISKCQRRQSSTTNTASRVSTFTSSMGQC IKGLASVKKAFSCKKVQTTAAPSLISSSSTISNDSYLSDLSDDEHFSKSCPVSEKSMA LDSLIFDHPSVTVRISPAAYRSS PHYBLDRAFT_145131 MSTSLKCCPSLHSIASDLAIQSGAPLDDVVAMGNWSSSSVFDTH YRRSRAIRTNITESQHFSDPVRGPMDSVVYIPQLSEEQTPQPNTRSFLLMNLAS PHYBLDRAFT_145132 MSAMIQSIVPRSSFRSQVITAQKSCIPKNFYSLFTLISAQDQTQ TQAQDLHVLLEQADAHRAQIQAHLDLLANQPSPMDTRPVSAPAPILLHDLPVRQTYEW VPSEKLMSLLPSLQHTLFSQTFTEDEKRSLLDQYPPISGVKYSPPVTLPQATKVFHKE DFRAMILHVEAVNPAFSVAPPASNYTMQPDAFRKAIVHQTSAQKALRDARPASRLRFN RQSGGPSNGQQFFFSSRPTTKRWWTSRINFQSDLPTSPEPPIPSTPLPECLRKIQTEK ITATIVAPFWPSSIWFLLLQSMAKAPPLLLTTKTIETMSPLTPYPLANTSLKRTLEDT LLSDDARKLFLDSLTDERPTNRIYARGQHLFIFWAIANEVSLLHFTATDMVNFFTSPE ISVYNVNTFQTFRSAIRRLHRDPDSLSSDSRLVDLFKLLKRYVPPVAMSRPLIDLSST FLFLASIPSHPSTSLFPLSRKTAFLLAMAAFLRPSDLHRVILSKRCINGQRRLHLVIE APKETREGRRIIKSPIIHPHGSNQALCPVLAFFVLRDHPAAHSRPDDTVCLFRKTVPP G PHYBLDRAFT_168093 MDYRSWIIDYYFWLWFCGSGFLAVFSDRCQTSDTMAPCLCPKNG NKVKKKHPFFTFTPHNPHSTMQILSIQKRIISKCQRRQSSTTNTASRVSTFTSSMGQC IKGLASVKKAFSCKKVQTTAAPSLISSSSTISNDSYLSDLSDDEHFSKSCPVSEKSMA LDSLIFDHPSVTVRISPAAYRSS PHYBLDRAFT_168094 MSLSEHCALKINSLVFSFSLTSNVDLRILLTATHDRKQEFLGYR KTQEKGLLKGLLKRVAFILYDLPFSFLSLDLSKLFERRGLLYFAGKHFGLGYRMEIIR RPSKHKDPREHIYTLNGSNISVITFTDLQNIII PHYBLDRAFT_145134 MIATSPCVRTQTMRTHACPDIRSYFEMAKPKFICTKGYASMTDQ LDSVEFADDFKHHAKPVTKQGELEIVTKKQVTVSNWKCVYSRKQDPSRRAFTQTFSCE GEARLLSMNLSKPSRDNCIQAIVSPSRWVGQKAHEESRLGYGYNKNKFLIIIRDYWLR TAKKVFEMTGFILWAENTSKEQVEAASRLLLSLDFFVRDNINHWSVHPEDKYYLYTTC LEDTRESLGALDFTKRQSCSGSWRGKPRSGSSSAIWWPNPFVVDPIAASWHIVSAGSL VVYCWWSCIPPAGGQGPFRPVIEEHFAVPGPSLQMMLPIPSQVPFLTKQILSIKPYSA LSAPIMRQIQKPESCARMTAARTKIPEMVKPRFAFMSKSNSESCPSQSPNVQNYPSVV WVVPPSLKTKSISKKGSNAKFQKQVPKEKSKTKTEAYTKSCKKKQAYTKSSSPKGSKE IRTRGLY PHYBLDRAFT_168096 MNTVPHSIHSPWLKHKTQATKALNPGTEQARDPANRDFQNEIRT IPERYQKSSQPDQTSQQDHRGPKTTQNQGLRKPYSYRNHHSQISASDLRGSQQEPYEQ KVQANPTVVQAPPSNVYKQQIPEANRIENQSSTTTGYTHM PHYBLDRAFT_168097 MNSKVYNALCAAFALAYLLAPALIFIPVMLWEASPLPSAFCMAK PARRRRPSMAGVGATPFVDARLDGENQVEAHKNVMPQTFLGILSNWLGSLWSAGSRTT GEPSGSLLSERHGGIPGHMSVDDSSSEAEDVVSVGKSSVFVGAGLREYVPHLLPLHKL GCGIATMVSPVNDVAGTCGETHADLDEGVSSELVDVCDEAEISVRLRFICDRFFSEGF AHPRLSARFLDALLEEELNDSENKAHRDSLTEVAEPECGLVPESAEETLVLEQESVQT PSGLDNAGLKDPKPKSGTVEGLNEAFALSSWQSVNGFFGECLSTGLPTPMEIDDDLAW SEVVEVENSMEIDDDVVEVAVPDAVCGEKLVAHTCNPMDEDLQYVSQSVKKDCDTNKI PACVPQQVVDDKVEHDVMRLDAPIAVTALKPQSRGTKKSGEQKKGGESLPASVSGLLA DGAKKVGECTPAVLGPKDHSKTAKPVSQSGKKAKEPKVAAKTELASGSREQQPEETKA APKVSMPGILRALASRAAKEQAKDSKGKAKESKVATKTELTSGSREKQPDNSKAETKA PMAVTLKALASGAYMEQPKDPKVESSTSVGRPKRSLVKGKKSAKSFDAPTNNGLSAAE FQAKGF PHYBLDRAFT_168098 MNSKVYNALCAAFALAYLLAPALIFIPVMLWEASPLPSAFCMAK PARRRRPSMAGVGATPFVDARLDGENQVEAHKNVMPQTFLGILSNWLGSLWSAGSRTT GEPSGSLLSERHGGIPGHMSVDDSSSEAEDVVSVGKSSVFVGAGLREYVPHLLPLHKL GCGIATMVSPVNDVAGTCGETHADLDEGVSSELVDVCDEAEISVRLRFICDRFFSEGF AHPRLSARFLDALLEEELNDSENKAHRDSLTEVAEPECGLVPESAEETLVLEQESVQT PSGLDNAGLKDPKPKSGTVEGLNEAFALSSWQSVNGFFGECLSTGLPTPMEIDDDLAW SEVVEVENSMEIDDDVVEVAVPDAVCGEKLVAHTCNPMDEDLQYVSQSVKKDCDTNKI PACVPQQVVDDKVEHDVMRLDAPIAVTALKPQSRGTKKSGEQKKGGESLPASVSGLLA DGAKKVGECTPAVLGPKDHSKTAKPVSQSGKKAKEPKVAAKTELASGSREQQPEETKA APKVSMPGILRALASRAAKEQAKDSKGKAKESKVATKTELTSGSREKQPDNSKAETKA PMAVTLKALASGAYMEQPKDPKVESSTSVGRPKRSLVKGKKSAKSFDAPTNNGLSAAE FQAKGF PHYBLDRAFT_145139 MNTVPHSIHSPWLKHKTQATKALNPGTEQARDPANRDFQNEIRT IPERYQKSSQPDQTSQQDHRGPKTTQNQGLRKPYSYRNHHSQISASDLRGSQQEPYEQ KVQANPTVVQAPPSNVYKQQIPEANRIENQSSTTTGYTHM PHYBLDRAFT_145140 MIATSPCVRTQTMRTHACPDIRSYFEMAKPKFICTKGYASMTDQ LDSVEFADDFKHHAKPVTKQGELEIVTKKQVTVSNWKCVYSRKQDPSRRAFTQTFSCE GEARLLSMNLSKPSRDNCIQAIVSPSRWVGQKAHEESRLGYGYNKNKFLIIIRDYWLR TAKKVFEMTGFILWAENTSKEQVEAASRLLLSLDFFVRDNINHWSVHPEDKYYLYTTC LEDTRESLGALDFTKRQSCSGSWRGKPRSGSSSAIWWPNPFVVDPIAASWHIVSAGSL VVYCWWSCIPPAGGQGPFRPVIEEHFAVPGPSLQMMLPIPSQVPFLTKQILSIKTSRI PEMVKPRFAFMSKSNSESCPSQSPNVQNYPSVVWVVPPSLKTKSISKKGSNAKFQKQV PKEKSKTKTEAYTKSCKKKQAYTKSSSPKGSKEIRTRGLY PHYBLDRAFT_168101 MSLSEHCALKINSLVFSFSLTSNVDLRILLTATHDRKQEFLGYR KTQEKGLLKGLLKRVAFILYDLPFSFLSLDLSKLFERRGLLYFAGKHFGLGYRMEIIR RPSKHKDPREHIYTLNGSNISVITFTDLQNIII PHYBLDRAFT_168102 MSLSEHCALKINSLVFSFSLTSNVDLRILLTATHDRKQEFLGYR KTQEKGLLKGLLKRVAFILYDLPFSFLSLDLSKLFERRGLLYFAGKHFGLGYRMEIIR RPSKHKDPREHIYTLNGSNISVITFTDLQNIII PHYBLDRAFT_64629 MEITVFCFGLFVSRSSYSWVIFGYRVKIYNSLIRQLKVRERKTV VLCMPACRFLAVFSDRCQTSDTMAPCLCPKNGNKVKKKHPFFTFTPHNPHSTMQILSI QKRIISKCQRRQSSTTNTASRVSTFTSSMGQCIKGLASVKKAFSCKKVQTTAAPSLIS SSSTISNDSYLSDLSDDEHFSKSCPVSEKSMALDSLIFDHPSVTVRISPAAYRSS PHYBLDRAFT_186875 MSVDNINVSLSRLALAKAIKTPDAGEDESEPWTNSVIFHQSHID SNMRNTILGGPQKHRPHPDRARSNQQQYQQQQHHQQQYQQNQNQQYRSQYNNRNDPRK NSPVPRVTRPPQEPISARQSGMSAMPHDNSRRPLPTITGKGRSYVPSDDEEDDDESVE ESEDDEDEDSNKKSNKVVKKPIQSQIHSKSRLKNALPAVSDDDEEEDEEEEKEETDDD EHDNVRPSSRTNTPQLPIIQLQSHPTDARSTTTSHSSSYFDKPTSSDYWQKTLAEADD ISSVPSVDRSASPAHSSSQGSGGHDGDEEPRDLLQQSSFGRPTNRRSVSSNNLLQEQH DAAAGISSSARRSRKPEPVVSNSRSSRILGSSGDARKSRTLAAPQRSTGYDPTDSRST DARRRSQMLQNAPMPVASMSTPMLNSYSMMPPLHQSQMYSPNMMMPVMMGNPMQMPMM KHSNSSNARRTSVAWQNNTWGN PHYBLDRAFT_133474 MRREQPKALGTREVPEARPNCFEGYTFVLTGELESLTRNDTGDI IKRYGGRVTGSVSGRTTFLVMGRDFGPSKAEKAKSLGVTILDEDAFYNLLKTEGAKGN YGNGPNPQPTASQSKGKDKATSSSSRMEPIPSNETNDLWTVKYRPRAIKDILGNKTQI LNITKWLKEWQENKSKGFPIKDSGWSGYRAILISGPPGIGKTTAAHVIAEECGYHPLE FNASDARSKKMLEDQITETVKNHSVTEYYHSNNAKTKAAPKTLPGSGKKIAIIMDEVD GMSAGDRGGAVELASLIKKTKVPIICICNDDRSAKVQPLLRVCCDAKFRRTPATSIRN RITEIATKEGLNISPNAIDALVASTRNDIRQIINILSTYRLGKQDMDYDQAKAVGKSN EKYSQMGLFDIPPALLSASNWRSTSLQEKSNVYFHDYNLAHLMIFENYLKAKPEKVMA LNNPGSEEEQKLLLGLLAKASEAMSEGDVVDAVIHGSTQNYSLMPIHSIASCVRPASF MRGSLMGGRLEFPKWLGQNSKALKSYRNLKELQMRINSTGLADRGEIRENYIPILTDR IFTALKDVSIFEDFEKASDLMDKYHLDRECLDMLNELSLSKKKPFATITPKIKTNFNK YYNSTSHPILFQATGAPIKKVYKQEEIGEQSETLFGEEEDEGEVLTFGDDVSEEEEPE VSVKKDKFIKASKLKAKSKK PHYBLDRAFT_181310 MPDFSLSSLRNENINLAVVAAAATAAVAATLTATAFYSFSETKD PNLKNLKEIPEPKEKYPFIGHLISLGNRPGKQVTKWHNELGPIFKLRMGAQTWIMVSD PYLAHELFFTRGSLASSRPAHGYLRDIYSAGGCGLTFTVTSKKWHRSRSAAMALLAPK SVDPLGQDMIEEADKLTKSLLEDTAKHGSVSPSDGLYLVSYNVIMTVCFGLRTESSDD PDFRSMVHYIHQHEIYGGVSGDVGSFLPILSLAAYISGTKKKQIDFVKKNRDEVFSKL MEKGINGDKDCFVKSLHEDKAKYGLEHQDILVLLSDIIVAGTDTTALTTTWLLAIIAN RPDIQRKIQAEIDDFVAKNGRLPMYSDRESFPYLAATQKEGLRLRPITEFGVPHEASE DIDLRGYHVPKNSILIGSMDAMHTNPLRYARPEEFIPERFLSYPESMATLASGSIKKR DQYNFGWGRRLCPGIYMASSYVAETEMFYNMTRIFHRCNMEPPLDVDGKPIIVDIDAF IDHGITSSPVPYKMRFVPRSEALF PHYBLDRAFT_64633 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVCSEEPAHLTTVCVTVLRQK EQLIIFTQSTYESGFAK PHYBLDRAFT_177507 MLRSKSFVKRTRKGNVVKVVKEHYLRDDISCSSAACSECEQTQP VLSPEPRSTSTIKAHYLIPDTNVFMNQLDIMEHPSIKNVVVLQTVKEELRHLSLPVYN RVTSILADKNKRFYMFANEHHRDTYVEKLKDESPNDRNDRAIRVSTKWYSDHLKRCAP GHPIEAVMLSDDRANREKAAATGIKAISVRDYVKTLQDVPELLDMLSTSNESAKDKDD KIVYDEHLSSPQITNGIKKGTFIQGNLNISQHNILEATIMGNIEGETRTIYIIGRKNM NRSIQGDIVAVQLLPKSEWKKHASVVVEDEEDEEKMQGEEDVEAIKKKDAMDVDSEGE GEPTAKVVGLIRKKWRPYCGYIVKKSVHTKPGSNSAETVVFRPIDRRIPTIRIRTSQA HTLLGNRIVVSIDSWAANNMYPTGHFVKTLGSSGDRETETEVLLLEHDVPYLEFSKRV LEDLPDEGEDWVVTEKHVRDENRRDLRDLNICSIDPPGCTDIDDALHVRELPNGNYEV GVHIADVTYFVKNGQAMDDEAASRGTSVYLVDKRIDMLPGLLGTNLCSLRSNVERLAF SCIWEVNENADIINVDFTKSIIRSKHSFTYEEAQNRIDDDRMQDDITKGIRVMNSMAK KLRQKRLDRGALTLSSPEVRFNLENDSQDPVDVEMKELKETNALVEEFMLLANISVAE KIYSKFPSSALLRKHAAPPISNFDTLRKALSEVGVTLEVDSSKSLADSLDKAVLPEDP YFNKLVRIMTTRCMMQAQYFCSGTESEADFRHYGLASPIYTHFTSPIRRYSDVVVHRL LQACIDSDAVYGQELIDKAKMKDLCDGLNHRHRMAQQAGRSSVELYTNMFFRNKIQVE EGRVVRVLKNGFIVLVPKYGIEGIVYTTKVSGGSSPFVYNEENNSLDAPGDVVIKMFG TVKVEISIEGDENGMRQKMNMKLIEPYVEGVSALPTTAAQKRAIESDRAAKKAKAN PHYBLDRAFT_112151 MHSFEQSFNITSDLNDPNPIFYTSPSCADLDPNYLLEAFHQFPH GDFRPTFYNPFEIKHRRRTSRAQLKVLEKSFAENPKPNATVRRILAQKLDMTPRGVQI WFQNRRAKAKLQRRKS PHYBLDRAFT_112226 MSTAKGPATFADDGSSVIERAIETESVKHNNLETLYEIDRTVDR IVNGNYKRIALQFPDELLADATAVSSILQQKTGKSFFILADTSYGSCCVDEVAAEHCN ADLIVHYGRSCLSPTSHLPVLYVFGQQSVDIDHCIKEFETLFPDKNTPVIVMCDVEYS YDVEDTLKEADGSCGSSKPRLITTEELSAEKTKGGRYYTLPKDLPIESCSIFFVGSES LTLTNILMVHNKCQLYTYDPKTKEARKETVQVNRMLMKRYVLVQKAKDANTIGIVVGT LGVASYMNIIEHIKKLVSISGRKSYLFVMGKLNVAKMANFMEVDCYVLVACPENSLID SKEFYRPIVTPFELEIALAKSKEWTGDYITDFSKLLPSLRTDDFTYDKEDEDGSSDEE EHFSLITGQYKTRPRRNNSIDLDTPLTSKLTDLTLRSKDTSISMLLNSTAGEYLKNRS YRGLEPNIGQDEAGSVQEGRSGIARGYADEKDL PHYBLDRAFT_112383 MSTITRRRVGGSSNQDDSTQEPNASTNPRDSPVTEKKIAFDPRE VNESKSTPKLTLMEEVLLLGLKDKQGYLSFWNDSISYALRGCIVMELAFRGRIAMVKD PNRRKYQLADRYIEVIDDKLTGEVLLDEALKMMKTSEKMSVASWIDLMSGETWNVMKI GYQLKQVRERLAKGLVDKGVLRTEKRNFLLFDMATHPITDTAIKEEVIKRVCTMLITR VTASSIDAQSDIPHCYLRTVSMICAAYAANVLENALLYLQHDLREQAFNRVDELMNDY STWPFAGEGAKMNIGAGKELSIEIIAAVLNVLTKMDAIVSIDILFFKIIIIIMNE PHYBLDRAFT_168113 MFSLRYRRAASKSDKNHNDHNSPIPLYQYGHEDMQDDYDSKLKK QHANMDNQTGFTVQDYIQLVMVTILAASVRVWTLNPTQPISLSELEIVNQIDWYIQSK FFIGTSPPLAGLLYTKLAQLAGYTGNANLLFDGQSFGDFPAQPLRTFASILGVMMIPM GYTTIRLLGHSQLAAILVAGLLAIENGMVTQSQFFSSEGLVYALTAASMLSWAYVYKI QQRDSTKTSWTWQSLTGLLIGCAMSTKWQGICGFVIIWAATVRDTWKMLSEKNKAMSQ ILRHLSSRVVSIGALPVAVYLGVFYIHFQLVPNAGDHDLLISSKLKYSLEGNHFKGTY QEIPYGSRVVLKHVGTAGGYLNSHNARYATGSTQQIVSLYPFTDINNIWIIQKGTELW NSSQPFETVKTNDHIRLEHFVSKRKLHSHNHRPPMSSKKEHHEVTAYGDRHLRDNNDS WWARVLNKDDKIDPSDKTPIKALSSRLRLLHSRGCYLMSHNIALPAPALNQQEVSCMS SASKALSTFVIEAVYHEGLEGKPKISYSVPSFFEKLNEVHDIMANFPNVMHDRLATVP LAEAAKSKNGGSQRSTPLGYLLRARNTLIWDELSGRSVYMIFNLVIQRLVLFNFVAYG ILLGFKCFAQKRQIQWSASNWSSNEGTMWSVHESNDHRDVVHGNSLEYFLAGSILYTI GLSLLPSHTQNMADLLPCIYLCIGATVLNIESLTNRLGRARLLVFLAVFGLAAHSFLS LSPLAYGTTPWTQDDCRASGLKSLDCLRFPSMTQIGSEIDSDSTQKRVVLVDVPGQRY PFDYVLGEEFDADKSIHELRSQRYTQEAEQATGVQRYHRATQTVGYTQEQNDEWADKI NKAGLARVEAEIKKQNEKKKVETEKAAKEALKAEAEKGTKNPAEDSGKASVAEVQAEA KAKAKAEATKESHAQEVKEQVQEVEIKN PHYBLDRAFT_124709 MTCQFHGSCTKNLLFVLTLLLALFFGFDQVKEKFYIFDQHKLQE VAQHNIALYSNDTRLMMNNIAKDLEEVYPGHIQLKEEWVFNNAGGAMGSMWILHASLT EYIILFGTPVGTEGHTGRFMADDYFIILEGEQWAYNAGALKREVFLPGEMHHLARGDA QQYRIPEHAWALEYARGWIPLMLPFGMFDTIFSTVDFVSFYNTFRLYGKSVVGELLQG KI PHYBLDRAFT_181313 MEAIDDLANDNIARSLAYTALECRQQHCFANLLPIATKLLRQRI QYLHPTNTNDLKSWSTAVQWQEDSCPEIIETMWDGTGGQSDNDYQDALFQNPVYYKRL DPETMHAMVEFLSRGTKHYVVVLALEDGNEDTSELKYHNTKEFSDEEWKEVVLTWSET AEEAERIFLMMVTQKRTKMTATPESVTSTREAPEDYWGDWSSEENEPSDTKNTKKKVD SECNSDSDDDSEDEFFTRWSKNPGTLTPGIDEGKNLKEPGLGLGGQTSEQEKQEMEEE YDQSYNPLFTVPSMPNLMDAHTNALAELTHILQTSLPSDQSGTTRRNVLQPLTQINPL PKVVSRTQALYNRNQQQQDAWGQTGVPGAYPETPSSGAVETTREEGQSTASALDSTKQ EAGRGLLMKSLRALVGAAQLLGYSGTDILSMVKDIVDGK PHYBLDRAFT_186881 MINKYGVNDIVVQEVFDIDSNIFTAKSPEEQQNVHGFIFAWPYQ EEPLPNNHSTHDSDSEEVFYSCQVITNACATLALLGVLLNCDKEVDIGETLTMFKNFT KGFDPVNTGLAIGSHPLLRDTHNSFACEEDKEAAIASARGISKENDYEENGDYINEDI FHFVSYIYKNGYIWELDGLKRAPVKLVKCTLSNWNETVKPILQERMRSTGESQISYNL MAVISDPLKALRESITDLNDLVEAYDHEISEQESRSQDLRSKVLGKRSRLVEDYRIEI KRNMLGFLRNRQENHKEKRRNLEKDLEMGEAEKEKEEANNLRLDHDYGPFLRALFQKM EEKELLYLKEPVNVKNVNRHLNYFNGVEFHEFLFGEEDQKLKTMKKKQKVNKKGRKKQ KKTSDNDAKPYLPSETTILLMRIAHYLIYNSHHIFAEMSLPEHCALKINSLVFSFSLT SNVDSRILLTATHDRKQEFLGLDLSKLFERRGLLYFAGKHFGLGYRMEIIRRPSKHKD PREHIYTLNESNISVITFTDLQNIIT PHYBLDRAFT_77787 MASKLPSSILGNIANNLITRDKLQASIVCKSWRTPFQDSLWKEI TLTSNSQIAEICDRSNPRHKIYQKNGHLVRRLYSGWYISIKDVQLYILQRSFQNLTHL HIQGDTLTRNDFGREADWGLWKGLEEFEISAPRLGSNNDIEYLFSILSFFPGLKKFTM TESYCHNSEGYSWRHMEIIHELLPKLEELHIDIPLTEISAEDIKLFKTIVLPTRITKL TVAAQNIRFEWIYYFSIKYRNIHTLTWNNSIEKESATYETRSEARALVSEVKTIFPNL KHAYFEGNGSRADSEHLSIRQTLAHAGVFFKSLYYNVRWDFDKPELVEKFFIDCLYYF TSTIENFTLISTNSFRDVCKVPMTIIRCPVLTNLNLILPESFVAFDHLLDQCTSLKNL KLSLREIFISPGASLTPPEHGLKSLFFIEARTTPRLFTYISLRCRRLTSMRLDGLKVI GRIDKDTGTLRLDMSHTKLEFLLLSCIRFYSLPSPPPSSLSHLSQSQSQSQSPPSLSS PAAAVAAAASLSSLSLLSLLALSPLSPQNSKDDETNSEEDAVMINLLAIEQTGHIHSI DFDSKMCIPTSQSAISLLQDNFQVWFHYYLGNTPTGLSGMMQRLDPKQSKYAQTYFRS FQHKNDSGLEPSHITRGDYEWGPKNMWKNDLNRGCAKWYFDSVDRYRVKGFEENIEHD SYTLSTMDTVDY PHYBLDRAFT_64644 MSTLPFEILLEIAGVLTTQDKLNCTTVCKAWTSPFQESMWDSIT ISDKQTLNTICRVSTTNPSCYQKFGSLVTSLTLGTRLNINDKQLHTIQSLFNNIKHLK IPTVSQSLDISETNADWSLWSSLVDLEIYKQRMESDDDMEEMLYALSLLPHLRKLHIT EDYGSGNIPYRWQALEVIHTYLPQLEYLQMDVAFESIYPEDIEEIDTISPANNLTTAN FFYESIDIPWLYYFASKYPNLHTFRCNIAANPDTTYADYGTDRLLLSKLSNIFPRLET ISIKECENNEYPHGVLCKLLYEHGLKLKNIEYTAESKSNSQYVSQQTPEDVVYKFIDA NVSTLETLTLKCYDYSSQWMPLVDLTFWTNLVELNLYSSWGSIWLDRILDRCPALKTL RLSSENIVVSQRTSDTISLHGLESVKFEHASLSSESLNYISLRCRQLKNLSLRSIKVR GSILQETGNLTIDISNVSLKTLDFTDVTFYQSSGANCTYDTAINFIVLDKPSITPELE GSLDAGVEISGIYHPVPNSKPIWIHQFQSYPKHGYARTYLDTYLRTLTNEQATYAKRY FDSFQNNIAKYRKPESGMSYHERGAMGDSWTRDLHRGYVSVRCSSIERYLLKGFKWDI DYI PHYBLDRAFT_64645 MVVPKIIYRFLYCVYHHSDISSLIRYLFDLGFIRLFLISSPLKN FITCDLRMEDNRELLGFSSPGISLLTRDACKIAFVKNLTPVIVEAQKLVSGVNNNTSA YSPVQQRVAKYAQHCIYSTKKISKKQRIGQGSISVTPIQITKSKYFSFVEEVLGQKQM QNDMDSVLRRRCGKSQFVG PHYBLDRAFT_168120 MTISKKEVKVKKKKKIEGRRVYIIGDYDSNRRPQSNKSTIEYTL YQLYYKAWFISKCNCGISSSSIDLAIVIYIYILIYSLEMNHLYAYGHALNVDKVWDSA KLTCNSKEKNKKENLFEYEQ PHYBLDRAFT_168121 MIATSPCVRTQTMRTHACPDIRSYFEMAKPKFICTKGYASMTDQ LAFKYPSIQEYKPSSTTNLRSTHEESRLGYGYNKNKFLIIIRDYWLRTAKKVFEMTGF ILWAENTSKEQVEAASSRPVTGLTILQPFRGYQREFGSVRLYQKAKLLGTLVGSWRGK PRSGSSSAIWWPNPFVVDPIAASWHIVSAGSLVVYCWWSRIPPAGGQGPFRPVIEEHF AVPGPSLQMMLPIPSQVPFLTKQILSIKTSRIPEMVKPRFAFMSKSNSESCPSQSPNV QNYPSVVEAHFYSSPKSGSYLQVSKPSRFPRKGPMQSSKSKFQKKNQKPKPRPILSPV KKNRHILSPPLQKDQKKSEPEAYTKTCAKKRQAYTKSFSPKGLKEIRTRGIY PHYBLDRAFT_168122 MNTVPHSIHSPWLKHKTQATKALNPGTEQARDPDNRDFQNEIRT IPERYQKSSQPDQTSQQDHRGPKTTQNQGLRKPYSYRNHHSQISASDLRGSQQEPYEQ KVQANPTVVQAPPSNVYKQQIPEANRIENQSSTTTGYTHM PHYBLDRAFT_71803 MNSKVYNALCAAFALAYLLVPALIFIPVMLWEASPLPSAFCMAK PARRRRPSMAGVGATPFVDARLDGENQVEAHKNVMPQTILGILSNWLGSLWSAGSRTT GEPSGSLLSERHGGIPGHMSVDDSSSEAEDVVSVGKSSVFVGAGLREYVPHLLPLHKL GCGIATMVSPVNDVAGTCGETHADLGEGVSSELVDVCDEAEISVRLRFICDRFFSEGF AHPRLSARFLDALLEEELNDSENKAHRDSLTEVAEPECGLVPKSAEETLVLEQESVQT PSGLDNAGLKDPKPKSGTVEGLNEAFALSSWQSVNGFFGECLSTGLPTPMEIDDDLAW SEVVEVENSMEIDDDVVEVAVPDAVCGEKLVAHTCNPMDEDLQYVSQSVKKDCDTNKI PACVPQQVVDDKVEHDVMRLDAPIAVTALKPQSRGTKKSGEQKKGGESLPASVSGLLA DGAKKVGECTPAVLGPKDHSKTAKPVSQSGKKAKEPKVAAKTELASGSREQQPEETKA APKVSMPGILRALASRAAKEQVKDSKGKAKESKVATKTELTSGSREKQPDNSKAETKA PMAVTLKALASGAYMEQPKDPKVESSTSVGRPKRSLVKGKKSAKSFDAPTNNGLSAAE FQAKGF PHYBLDRAFT_145164 MLVKKQKFALAWFLKPGAASSSKKVVETRIWLPGNPQTDNSRMT MAVVTPFDSLHIKMRFVRFTKRTSCRSVGPGAKPMRSEPKVDPEASDSKDWIIVSLWD RHHAPPVSLVLSLGLA PHYBLDRAFT_145165 MNTVPHSIHSPWLKHKTQATKALNPGTEQARDPDNRDFQNEIRT IPERYQKSSQPDQTSQQDHRGPKTTQNQGLRKPYSYRNHHSQISASDLRGSQQEPYEQ KVQANPTVVQAPPSNVYKQQIPEANRIENQSSTTTGYTHM PHYBLDRAFT_145166 MIATSPCVRTQTMRTHACPDIRSYFEMAKPKFICTKGYASMTDQ LVSPSRWVGQKAHEESRLGYGYNKNKFLIIIRDYWLRTAKKVFEMTGFILWAENTSKE QVEAASSKQQSSRRKKYFFVRDNINHWSVHPEDKYYLYTTCLEDTRESLGALDFTKRQ SCSGSWRGKPRSGSSSAIWWPNPFVVDPIAASWHIVSAGSLVVYCWWSRIPPAGGQGP FRPVIEEHFAVPGPSLQMMLPIPSQVPFLTKQILSIKPYSALSTPIMRQIQKPESCAR MTAARTKIPEMVKPRFAFMSKSNSESCPSQSPNVQNYPSVVWVVPPSLKTKSISKKGS NAKFQKQVPKEKSKTKTEAYTKSCKKKQAYTKSSSPKGSKEIRTRGLY PHYBLDRAFT_64647 MSLSEHCALKINSLVFSFSLTSDVDLRILLTATHDRKQEFLGYR KTQEKGLLKGLLKRVAFILYDLPFSFLSLDLSKLFERRGLLYFAGKHFGLGYRMEIIR RPSKHKDPREHVYTLNGSNISVITFTDLQNIII PHYBLDRAFT_168127 MKPILYKKSLYQGITWHLLPQGKYHEQIKGLKNVNMNMNVNMNV NVNINADSNASASASASANSNANEDEESVCINMTKSEFRVWCTKKKPNLLWYSQEKCH SRTNVTISSDRPTYV PHYBLDRAFT_133482 MRPVTRLVNPIQSTLMSANGRLAQTTRWMSGVATTEAQEVLFQK NLGVRNIILNRQKKLNALNLPMVQAMLPKVQAWEKSDLAKIILLKSSGGKGFCAGGDV KTVVDLVDAKDESAATFFEVEYQLDHSIATLNKPFVALMDGITMGGGVGLSVHAPFRI ATEKTLFAMPETAIGFLPDVGGSFFLPRLDGELGTYLGLTGFRLKAVDVLYAGIATHF VPSSRLEDLEKRLSEIENPTHDIVNTAIEEFSAELDQEPNFSMGGEVRAAIDRCFKYD TVEEIIGALEKEDSAWGASTLKLLNKMSPTSLKVTLQQLRNGASLSIGQSFKMEFHLV QKFFEGHDFKEGVNSTLVTRTPPNWNPPDLAAVDQAKIRQEYFDTASKIHLNLLSTKD FKQYPHRKFVLPSEEEIKRVVTGEAPDVGNYALSRDEVVEYFLKDRRSKQGVKQKVTE VLDRKTRILGKGDSKNLKWSY PHYBLDRAFT_168129 MSVVDKRPLDTLDCRSEPGGGVTGSRGRGARNGGGNIVTSGAGN NNNNNNNGGGHGQFRAYHNRRNSIAIPDRMYLLYRRFQPPGSEEEYHTSGNLSTTKLV ISRVLDAMIFTSAMAITAYNYCTGTLDAPPAAVQITPPPQNNTHINPKKKPSLEIQTP ADTEDDVKRRRTQQWAETVSEHSTRTKLEKAVQHRKSQQLPQRLDINQKTKRDKRRTK SMPSNTLSGTQLRLLTEQETDETMARLEETVQSLIQQGQEALGSTVKLYEMDDNERNM RKAFAKQQSI PHYBLDRAFT_145171 MDVNETNYFNKVLQTCSSYRRHALNHNHRRRHDFMALAEHHKKL IPQVLDKINLVDEKIHANSHFLSLMIDGSDTPIVIDSSAPPVSAEMDKVRSTLKQFVR DWAVQGKPEREATYRPILDTLEALYSNTSVTARGNVRVLVPGAGLGRLAFEIAKQGFS CQGNEFSYYMLLASEFILNRSTAAEQYELYPFIHSFSNIKSSNQQLTPIRVPDVVPGD LPPNADFSMVAGDFLEVYKDDNNSWDVIVTCFFIDTAKNILEYIETIHRILKQGGTWI NIGPLLYHFEDSIDESSVELSLEELRQVVQSMGFTFKEEKMIPTAYTGNPDGMLSYVY DCAFWTAVKNPC PHYBLDRAFT_133485 MSFQATAFAYLFPGTPMVNSILATAYISIFPNLLLYFVPPQINT SALNILVSFAVGGLLGDVFLHLLPHAFSAGHGADLGHQAAEQKNVLLGLGLFGGFFFF FAMDKLMRVFNGGGDGHGHSHGGHSHSHSHSDSHQHDHEEKKDSAPAGPSDSELRQRV VSSKKETKEGVQVENKDEKNANKKDVKLSAYLNLFADFTHNMTDGLAMAASFYASPGV GATTAVAVFFHEIPHEVGDYAILIQSGFSKKRAMMAQFTTAIGAFLGTIIGIIIEEAS LAGESVVNPHIHDPAFIHAHANAHGHDHAHAHAHAHAHAHAHGHGHAHGHSHGGLGLM GTGLRWGDLVIPFTAGGFIYIATVGVIPDLLQVSGNMSKDRRQALTEFAAMFVGLGLM AVIAWNEASM PHYBLDRAFT_124718 MADASTVTIRTRKFLTNRLLQRKQMVVDVIHPGLANVSKDELRE KLGKLYKAEKERVSVFGFKTHFGGGKTTGFGLVYDTVEALMKFEPKYRLARIGKAEAG KGGRKQRKEKKNRAKKVRGTKKAKAANAGKK PHYBLDRAFT_112334 MSCCKGSLPSYDQLPVDSTAPPHSAWGLWGKEDNLGTLNLLTPE KVERAGKLIRRGEVYSLNWKLECPDSGMLDRYEPKHSIKPLGGGISFDDVYDNFNTQA SSQWDGLRHFCHIGSGKFYNNISASDITDSSEGRLGIHHMARRGIAGRAVLLDFGRWA VKNAPEFDPFKRHEITVQQLEAVAASQKVKFEEGDVLLIRTGWTAAYEVKKANKGLPN LKGDVENLPVCAGIKACEETFSWVWNNHFSALASDSIALEAMPFDFETSYSIFLGGWG MPIGELFFLEALADDSAKDGVYEYFFTSAPLNKHGGVATPPNALCIK PHYBLDRAFT_77792 MSTLKLGSLLIRTLAKPVATSIKTQAKQHPTFREFCINVAQQSH KLEMTLKMKFLGYKKEVIRPLNDARAIESGANFLSESFVFSVAATIIIAESWRSHSSA KHRRNYVDDALENLESQTVTLKEALEAVQKAHSTTEQQLKQIEEDNAHLRKLLDEILS VSLGLRHHTEYDRQPSVITLPGLSQEN PHYBLDRAFT_181320 MGLRGKSVAVVESGSDQPKNYEHFANHRVAHIIQACYNHENNSN WDCGIACIAMVLQGLGIRCSLRSLAEQCAVESVWTIDLAVLLRNNYEGDFTYYTSYFG SRREHQENKFYQDDFDEDERRVNKLFGSAKSKSIHVVRMMLPMDDFKRFLYCQRFVIV VLVNARLLKCQKCREQRNCVVTVCGQFDFFFEKMKGYDYIGHFICLIGYDPTENLFIY RDPAVVYSYCTISAEDLDNARKASGTDHDCIVIQI PHYBLDRAFT_90117 QTKPHHFLTTADFSAEQLYELVNRAIQFKIEAKYEKKTTDKPLT GKTLALIFSKRSTRTRVATESAMTYLGGHSMFLGSQDIQLGVNESLLDTSRVVSSMVD GIMARAGESTVPVINALSDKYHPTQILADLMTLHEHIHHRSQSATKGQYTAHMQHPRE TLPGLRIAWVGDANNILQEMLVSFPKLGISVAAACPSGYTCAEDIVAIAKSDSKKTGA QILFTTKPDEAVKDADVIVTDTWISMGQEAEKARRLNEFKGYQVTMEMAKRAGAKPDW AFMHCLPRKQEEVDDEVFYSDRSLVFTEAENRKWTILSVLD PHYBLDRAFT_124725 MAIKWLLLVSRQGKVRLTKWFVTIPPKEKAKIVKDATQLVLARR VKMCNFLEYKDQKIVYRRYASLFFVVGISQDDNELITLEIIHRYVEILDRYFGNVCEL DLIFNFQKAYFILDELIIAGELQETSKKSVLRVITQQDQFEEQEVNEQKAG PHYBLDRAFT_168139 MKYKLPRLTQSHAILWSHITLANHKLCCELRLIGIPILALSILP YNRSNTDQLICKGDPVWPTNSTINENISRQRVVALFRSLRDIINARVTLNTLSEAPGR FTPLLTRLFSSIESEQNSHREYDVRRLPLQKRSIAKRLQRSTSTFKILKFHELRIQTK HTSRIESIVPNGENKQLFGNLVRSDGFSVDFLFSKRRSNQKEMATKVNQIDLKLDGFG RREVEESYLPIAVDPGRKTVFCAVADLDLDVRRCTTKEYYHRPSSTRYSSELNKLKKE KHQHHRKCDSYQ PHYBLDRAFT_124728 MASAEYVSLSRTGDKMPLVGFGCWKISPEDAENTIYDAIKTGYR LIDGAADYGNEVEVGRGINKAIKDGLVKREELFVVTKLWNTYHNKANVRPSFDRQLQD LGLEYIDLYLIHFPVPLKNVEKSAAYPPGWYAPNGATAIEFERSPMHECWRELEKIAE SGLAKNIGISNFNVQLILDLLTYANIKPAVLQIELHPYLQQTRLVEWVQSQGINITAY SSFGPASFIQLTEDGKSAQPLLEHEVIKSIAKKHGKTAGQVLLRWSLDRNVAVIPKSM HVERMQSNRDITSWSLDSEDHKQIKTIDRGLRFNNMDSYGFNLPLFA PHYBLDRAFT_168141 MGDWPGFHSRYHNPIEDAYFQKFKQVPSHHSYHPSRIPNVTCHG ILRYTNPNFLEDMDQLLLKHSLWNRYFLFLKFRYILNRLRHDGTISGTFTRVIQVGSI IRLPEDVPDDCCVRQKLKRPRI PHYBLDRAFT_77797 MSTSDNFPEGWFFIKNLSNGYVLMVENPTPDAGSPVVISSIRTK DADSQLWNYNEQGHLTNKKTGLVMDVAKGNAKAGSDIVQQTVSTTGKPENNYQTFGTT VDGHVYLKQKPTLVLGIKESFFSRREGLHVHLQLMDKRHLERKEQRWEFIVPIIKTAS SATLTSVSTSTSLKRAPSVGPAETATKPLGRDLTNIKEDDARSIRSTSSSLDQEESQR IPIGTFPETAFFLKSQTNGYYIGTEAGSIAKSGTRLTIDSLRKSAYDSQLWTFDPTTH RIVNKHSGLVLSIEGNSLAEDAYACQSAPFENSGKTQAWTLSPEGEICLRNDNAWVLG YKDSWFSNREGAHLHLQRSSKNNQLQRFSVVLPVFKKHKAETITTVEHSGVFPEGWFF VKSQAQGLVLTVLESGTLAAQAVAVKLDTANYSRQLWKYNNGTLVNKASEMVLDVKGG SLVSGAEICQYKEKPESTNQQWGLTADGCIHIKSFGNLVLSVNANEKSKSNVFLATKS TDQKEQRWNFVLPVFKKKTTVREVASTPTVKKTITYRYAQYPTGWFFIRSFQTGSTKE NPLVLTSDKSTQTIRLARLSRESWRYQLWSYSNGSLINYETDMAIDVSSIVAGAGLIQ NKKQEIASQRWSLSIEGYLIQDSEPTMALIPQEEGSDKYKLSLGAHGSKQEYRWGLLI PQFGYKAGSQVLLEWTIHYLKEWRRSGSQTIQKTVHSVAAWPEDVFYIRAHDGLAVVP KTAEAYSEITLRKLEVSNASEFQWAFRNGRITHVSSGLILEAVDELAHGKLLQLSVEE ANNARQQWVIKTDGSIVSQSQNELGFSLVQKSNVWLLQLSDSTKASSTHYAWSVLYGR YESSVLIGFRRIILTILTTRTTTNRQLVTHRYAIFPKGWFFVRSKADESLVLTIENTK QGTKIILAKLDFKIFRRQLWQYRDDGCLSNMDTDFVIDVAGGALLANSSVIQWQEKSL KKQRKNQLWGLSVEGHIHPQSRSGLVLGPKGDKISEGAEIQLRARGGLDLKYQQWNFA TPQFGKRSPGSEISRTDSTLTIEGVSVPKEVATADAERYERITKRTIIRRWGRFPDGS FFVRAFNGKDHLALTVVESSKTQVAGGAEYTVSLQAINFKAYKWQFWTYQDGHLINVQ TGLALDSRSVKDAMVESGLRSQLRVREVSSAETQFWGLSANGEIHQKSNERLVAGVSS AERTTVEGAQIGLRQLRTVKTNANNVEESKLQSEEWLRWMFSKPLFTTRTITRTVGNE TTETTEEVLDKVEEIPVTVQEVNESEGEESDSEEENDEDSEDEVEEELSPESPAPNSA AVDTVTTTVNKDGEGKTTTTTTTTTTRGVVSEKAPEKEVITKPIPAVAEKQEASLKKS NSSKSLRLGRKDSFQLSEDYIPTGFEKVVRYKTHQGNFPTGYFLIKSHLHGYVLDIQG DAVDEAYVILTRIKVTDFSSQLWCYRDGLIYNLKNPSLVLDAAKQTIISGERAHLSIQ HADDGRPEDRIWDHNSEEGLINLRAKRSLVLSVKELRRSENYTHIDVYVMEEKVHTHQ KGKGAGARREQRWEILIPSLIPVAQADGGVKIVEAGKVSSVSSSASALLAFRGLKETF IHKITSTNQWPSTDKWFFIRYGAEDYFLAADEEKQVGVYKLQDNDDYKRFLWIYVDGY LVNYKYLLRLVLNENKRWILSNSYDQTFQISARGVITVRIQKIIYYLRIVRRSNRYEL IASTEESGDGYVMQLHIPIFSDNEIEKDCHNASTTVVTSVRQPSRTTTVTTIIRRAFF PDSAWFFIKVDRKEQEDLVLAVEKESETADSKLVVKKLNLRSFQSQLWTYRDGLLINY GSKLVIDVKDVTEKLQTITDKTHEHINTHYETVKDTITDDHVKRVEIIESEKPTVTEV VTETVVIRDVKPTSEKPKIVEDIVKTIKETDTELIVIEGVKPTDDKPTDTESTAIVLE GLETIGDKPTFVVKETDKGKIIVVEGVKVIHEKPTVKDITTVVKQIEETFVIVEGIEV TEDQPTVTEEVTETIVVRGVKPTSDKPETVEDVVKTIEETTTSVTVIEGVKPVDDEKK PTVVVKETTEETVVVVEDVKPVSDKPTVKDIETVIEEVTKETIVVEGIKVVEELKPLS DKPTIVEEVVREVVIVKDVEVSEDKPKIVEDVVKTIKETDTELIVIEGVKPTEDKPSV TEVTEVTETTEKTTLIVEGVEKTEEVPTVKDITTVIEEVTEESIIVEGIEVVKGEKPT VTRETKEVIVVEGVKPTAEQPEVVDNVRETVTKTTTTTVIEGVEVTEEKPTVVVREST EGTVVVVEGVKPTTEKPTVKDIKKVFETIEKTSVIVEGIKITGKKPKIVTQETEEGTI VVIEDVEFIDEQPTVVEDVTTVIEDTESTAIVLEGLETIGDKPTFVVKETDKGKIIVV EGVKVIHEKPTVKDITTVVKQIEETFVIVEGIEVTEDQPIVTEEVTETIIVRGVKPTS DKPETVEDVVKTIEETTTSVTVIEGVQPVDDEKKPTVVVKETTEETVVVIEGVKPVSD KPTVKDIETVIEEVTKETVVVEGVKVVEKKPETKTREVPTKEVVIPEGTEHGLSIVEE TNKTVSGWLSGLVTRFTSPTDEDNKPTTTTERSIEHVTVTSEKELDRICDEAKEKVIT QISKVTGQKPTKEEQDKLVRAIDSMKETAKVTLTEVKETTIAHKDKPEDVTEKLQTIT DKTHEHITTHYETVKDTITDDHVKRVEIIESEKPTVTEVVTETVVIRDVKPTSEKPKN DEEDVLEKIVKTSLAIGGATAIATGTILHEEKKPVEKPEQRDISVVEDVIVEETIVIS EQETKKQPKVKKPQDIELVKKENEVAVSIIEDTKQSTIGWFTIVTKKIADRVKQGGEN VAKDVTYIVETAEKEIQSILEKKPVNLSETVDFKVNEGISTVKKSITEQITAVKKAIE TIEVDASPEVAEKLLKVCEDSKKELDTVFVTVTETIVVDDVTLEEVDVVVDEEHIIVK DDINEVDTTKEIVRAITEEQVAVVQTAQDATESVRSWFTILTDKISSLLDLDDDCEQV NSKTKIAIAEAEEEIATKIAELKETAKSHTVDSDDQTTADLKLDEFFGNLQTSVNDQL KTVKSTVVTVTTEDNKQSVKDKLSAVNTKLNDQVTNYFDVVQKATVTVVESGNDVIER TVKDRVEDAKFQIETGTRTITRGVKKTKDEVNEAIVKVATGAATIAAISTAKSQRKPE DTVEVVEEKVTEQVIQVVEAPKVQQVQYAVYDWYTTLSKRITDRVSQGGENVTEDVEK ITESAQKELEVTITKAKEENVEEVPVSQTESDKVEKNFYSTLEWIRSNANNQVNQIKT IVSEGANKVDVKSQIDNFTMVARQQVGNALTIHTPVKTIKNEEAPTTVTSTQTVTKVS QDGSIVNVVVIVDETKEEVEERTRTEMVHVVDETKTNLAGWLDGLLVSTREIIRRGGN NCREEVTLLVKNAEEEATQLVQDAKIKFITINKSAPSQSEEIRTLVSKTQKEALDCLD NIKDTIHSRVVVIEDVVSSSDDLSNFDVIDEKLTSTVTRTKNQIKTMLDRTTESSIGA SFQGKTITWTKTLEVPRSFGEVRAFAFDVAGTAVDYLTTLLKVWRTVTLTKRTHLYGF DSQAFIIRWYKLYLIERTIYGRSTSDYEVFRIVLIRLLKERSIEHLFTDYDVKTLCSA WSRLDLFSDTVTSVRSIKKQSKMDAVAISPTFSTRTMVDLARHGCLCWHAQFTSEMFA ATSDGSTAEVVVSGTTEFLALEHPGQLAVVSSNPLVLEAARKQGSRTVLLNRYDETYN TTYDLKVERLDVLAESFHSFLNEEATL PHYBLDRAFT_64664 MYCCISWPLAKATIFFGLPLKINGITCTRCIPIKCLFKQKQKKK LSKYCVRKPHGYCTHKHTQLPDQLLDTGHEEEQEDGKYDMSLKFYGFYVLNKFANFYI NFKKKKKKAFFWGLVLCLEAVVKNNIFMAVDQCYKLCLHIMLVTVLFLKEFG PHYBLDRAFT_64665 MIDHNFLYHVVYVLKLKLVQVYLLKFTPQKEGHLRLSYVQKSYQ IEHLNAKPRTSMPLHVDHYVDTGNELYTNRTIKQLNDMPIINALYSKIIIETYEGMDT FGNSHVSNIKTCRLNMNSSHIEPDESND PHYBLDRAFT_77798 MLKSPLWLLIFFLTLVLADQKAKAPLPPPTKLLGGTIRAAPNCD RKIGANARITVHYKASVWGVKELFENTYKKEPIVYRLGRDKMLKGLEQGIEGMCVGEI RRLLIPADLAYGELGVPGVVPPNSALVYEVELIDSLPPWKNPWFWIGIAGFASAYYIV DKKTKGKDEIKASKFLESKLAAGKEGVAVDNVAAATVTEGEKEDSAPVKEKST PHYBLDRAFT_168146 MDRKADLVGKHMVIISCGISECIYCLTSVGVTIMTSSHKSALGL PTKLAPYRSDTVYPAMIATMLSQYEYVLICDKSNIRVSGSPHFCVGVTIIASSHKSYL FSS PHYBLDRAFT_145182 MSIILEHSISKSTICRTSYKDEMSSCRVVPKPLLPKAHKKKRLA FVRTATEFIYQEDNAPSHRSNLEEEWKRRQNLAMIVCPPNSPVISPLENLWTHLARKD NIQV PHYBLDRAFT_145183 MSALKSGKPICTTGKNLAGLWRPSFVKVNHQLFVFGGGGNVTDN LHALDLYTMRWDAVQALKGKAPSKRYGHTATRWNDSIVIFGGCNEFQEYCNDVYIFNL HTLTWTSPEVSNIVPARYLHSAMAYDDKLFVYGGFAKSMECTYVLDELNVLDLQTMIW TKYQDVPPRYNHSATLIGQKMYIYGGKDEHGSTVSDLYMINLLVPPYTPHLVLSGEQS STSSKMVLLKSQHFCDAVCGKLLVFGRYLSKNQETNHSSSGISGSSNSSNSSSSSSSS SSGSGSSSSGSSSSGNGIPEASYSLWMLDLDTLEWERQECNIHFEVGGWNYFTAFKEQ MMPGTEDDDQSVATVNSLLFLGNTDTYRPQGYDHFRDALIINSETLGLYDIGPPCCLN QLSRLLTNPELSDFVIVVSGGQEIQVHQVILITRWPHFRNMYNSGMTEALERRLEIPE PYEVVMVLLKYLYSDRLDETESWDVLCQVLVVANMYLLSRLKKMCCEQLYRRHMSVES CGAIFEKAILTDEVGLKMLTLDFIFRNYGSVLKSSTLMHMPLLVHQEFLEAIPDEAVL DIGQMRASNTTKPIGGKASGLSKTYSTPSLAYSSSDNIYHTQTYTSVNIVRVSTDNPV GATDVIIGI PHYBLDRAFT_64668 MHNINLNMNMNMDLSMGLSMGLKNPTKKRVTFSPFETIEYTYSA AEYDRSRFAVYPPTTISSFRPPVPVPALSILSSMQSTQPITPAKRPCVAPLNLSMIPN GSRRSLTANLDLPPLAKKITQKPKLFVDTKSISTNGPLFFTKLSTHYKSLSAYPDDDD EDNNYIPLTAVQQSAMLVIG PHYBLDRAFT_181326 MTEEVEVKSNVSHPAPPSAPYRVKVYKLNVDSVWEDKGTGHCVY VAGSEGELDELWVRSDENSSTLLSSKVQNRRRYHRQQETLIVWSEDTCQDLALSFQEP EGCDEIWHFVCVFTFSFICFRLRIKPDDDSSRPNTLGQDGDLKTGDKHRSNPGMTGFE VDTNGNNFDFQREAPEILFPPAPELSNLSRIASIVTSARSPKEKERLSAYILNENYID KLLPVFKTCEDLESIDDLHTLFTIMKTIILLNDTTIIDYIIRDEIVLGVMGMLEYDPE TPNMKAKHREFLTKHSKVEQVVTIKDEATMSKIHQTFRIQYLKDVILENSMDESMASA LRSAVFYNHVDILTYVQNNNELLTELFGILKDEKASDKKKRDAVLYVQSLCSITKPFQ ASSRASLYRSLAPYGLFDIFDIALTDEDISMRTAGLDMLASIVELNACLVRGYMIKQS KEETTKKSLLEVIVEQFTADKDHILKCQYAEVIRTLLDSSGGPSFGTALTPETLNKQD PETDEFLTLFYEKYAPSLLQPIQDIDAKPIKLTDSVIDPRPI PHYBLDRAFT_112435 GEEVLIDIWKNHRDPEIQNDLKDVEQFKPFRFVSSEKQATKVGE DFLVFGMGRHACPGRWFAMQEVETIISMIIREYDIKATTPVTYPKSERGMPGGKFRLE KRKL PHYBLDRAFT_145187 MLNQARARDRAGITVEDWSRVVWSDESTFTVKSNTSDPPKLNKE YGIMSTFQEDYLDCYTSSYKTWWKKR PHYBLDRAFT_145188 MSAAELPFEILAHIARFLTSNEKLCCATICKAWKQPFLESLWII IRLNNYRNVEYICDMLTSQPNIFEKDTHRTRTLFLGKGLSMSEKQLGIIQQHFQYVET LGVTEQSLGSSEFGEFADWSQWKSLKNMDFCVEGLTLYNQMKEFLRILSFLPCLRHLE TNKTTDVPSVVYKLGDFETIHLYLPKLEYMSILGNIEDFTTEDLVRIKTVVPAHKLTV AKFRIFDLDLRWLCYFSRKYPNLHTLELVCFPIPEKASLFRKEAIAMIPSLSNSFQRL TKVTINCTLGMGWGFTAFWDMLRQFGVSIKHLEYRLIGCHSDINQSIMTISKCTRSCS ETLELLFMSNTLRCDNPSTIPNTLGSLPRLVDLCIIMEGVHIAIDALLDNCTALRKLR LTVKTISINSNDTSDITMHDLRSLELTKATTGTDVFNYLSHRCPQLNSMRLASMKVVG PVSKDTGVLYFDMSYTHFDYLHFTSVFFYATNDGTENDYSSVNLLNFGTSDPEMNPEG ASETVFNLGDIENKMPLDDPLWLHVYCNIVSCMQIDDLRILDQEESDFTQEYFEAYES NVESYYPDHIRRSWWGQVPKESWKDDLHRGYVRFYFNYVGRYVIKTYDGCEDILWNKL YATLS PHYBLDRAFT_168152 MSDNKVKGKQASSRLLGMKFMQRSMEKELQEEHEKERKRVISEA EWTVDYDTGELQKPAIRVEYQPSFLAFSTTTTTGRKSFKGFNKDIEEGEVDIEKTERL KREEAAEEAHSMSDKQMLNSKTTIRSFMRPPKRKDTSKESAESDNVDGEKKKKKKKAK LENQGFIRPK PHYBLDRAFT_77800 MATELQQPLSLLRDYTRNNKSVVLLDSSGQVVSSVADAATVQFG DHSFPRQVPTNLKKSVNSDETYTLDTLIFLIQNAQLDNSAYITEGRLRNIEHISIIDK KKILDYLTGRLDTLPNVEDNKTEKRARVETADTNPNKKMRAIDDEEFVKKVVAREREC VTRSSILRGKKNFDHVVILAQTLILGKEPVHPNSVGSAKPGARLVSQPSAISKIPVPT KLNSIRAKGAKLSSRDKIPIIIVPAAPTAKFTLFNIKQFLEDQVYIDSQELRESGIKK PEQVTVERKKANGQTVPYYVVDSVTGFKQSDWDRVSCVFVTGQQWQFKGWKWERPVEL FNHVKGIYPKWNTDKTAGAAASWAVTDLNIHRHKRHMDKAAVSQFWDMMDNYNANSRP YLNF PHYBLDRAFT_64674 MICFAISTLFASLFTLFAFILELFVLIGQLSNKPLLRSLYFGQA INASQGKTLNFNLWNYCTGDIHGAVSGCAKPRPAYNWAQTPGISQVMSRQANSTGVKS LFLALFVIIFISCGLSLIFWLMSLPICCLRRRGLGYSMTTLTLINFLLALVAMIIGLV VTIHGGKMLTGADPGWLGTPGNSLWCLIGSVVSLFFALLLYSVGSCCCKGSKEGRQRV RPGKTDSLASDNHQRFNSGPYPPPHANNNGYYSQQGPSPNSFVNQGPAMSSPQQPSPM PPASPYVASQEQRPYETPTFQHTPLGQSPNIHSEQQQQHEVNQFKHMPFPGQNNNVQH L PHYBLDRAFT_158774 MEEDGTPENYAWFISRGAEMCDVGDGVSSKPNTCTLHKLVYPTQ PGGAFRLEEVKKYKHEDYHANSWLVKITSNGRYILAPTIYGQIFVFNILTGQLTAILK DHEDIEVRDVIFHPYRPLVFSSGDDGCVKVYTYKTHTDHEGQDMDVALDISIV PHYBLDRAFT_145193 MILPFEILLQIAQLVPLKDLSACISVCQAWKDPFKQVLWRTISV NTQRFRYLQRYPENHHLIQALYIRLGPDAKPGTLTYIQNQLGSLKRMTLSIATDISSF DMGIRWTTLRWLTFRMPEMSACHPTRTLVRLVKGLPCLERLECSSSVMLTIRSFSISD LEKIHAYAPKLKHLAVTLSLDILSTKALEILENIESSNLEILDISILSGDLRWFVYFA RKYSHLHTLRLVTSHFSSIQTTFLSETITMMTSLPTVFPLLRNVCVIGNDEAAEGMRC SLWRLCRNFKIPVKRLMNVIGSDHDNPRLSEETLEECLAVCGPTIERLVIPSTTLANN PSHFPIILSQCTSLVDLRLVDLHSNPCYGSISLHSLLDNCPLLKRLVVQVPPLMEFDK NRNQNQHGLQDLVIACIKTTTELLHHISLRCRNLRYLHLKDLILTGPLINGCMECDMS QINLSVLRINGASFYEDCDRLKQRRINLCYITCPEPIWYHRYLVVKNGYSSGAIRNLA DTEADQVLSYHTSPYSHPDKLRDRNSSLDFVSQRDWHQDIPIGYVKFHFGSVSRINMT SPMHGDSLEDPEISIVGTMEADIFTRSMSIC PHYBLDRAFT_64677 MLASELPFEILSQIASFLSKPDQCNASSVCRAWKRPFNEEFWRW TDIGYEPGFDRSCCLLLDILGNGSNYGQMVRSLSLAEDIEIHCDILWQLQTYLTGLTY ISLPEASLTTSCFHHLNRWLTWSTTLTRLRFCIHGMGVGVSDFLDLLEYLPRLQWLEY TPERATHSLFRLEDVETIHTHLKNLDTLILGTELSCLSPQNLELLSTVQPAVAMTFVK FSVNPVDLRWLYYWAIKYPRLRTLEWITRHDFDPVYMFRDETLLLFSQQDSPFEYLRN LRLLGGIYSRILDKTLIDLLCNFKIALKNIKYETQVGRNDAQPTLQLIEDIMRSSSNT IEDFSLSLQHPIANPRTVPMTFSVCPHLVNLKICGWTTHLGLDLLLDYCVAVKRLDIT VDTLSIDSESSLAFFQSVHSMTYFRLIANSVHASVFNYLSKRCKNLQHMELLNVDIVG PQSVENGSMLIDMSFTHFKTLRLNCTNFHGHTIDLDTPNTLINILNVQGVSETRHNWY HIHSNPSNYKYTIGDRHRHLRFTRNLGQKHCKLASTYFNSRYRLMREEAIQRNGRIEF ETKYVDKNNWKIDLLRGFTVLSCASIDQFVWECTVPTFPFRC PHYBLDRAFT_186898 MSPIPVRSIYVRLTTIADLKHNEKAHSVINAAYRSTGGWTTEVD LVGGERETIEGLAQSVRDQGKPNTLMYAFETNENGTEQVVGTVQIQPIVKAPGEAEIG LFSVDPKYQSKGIGGKLVRAALDTMKTMGFNVAVMKVIENRADLLQWYYKLGFVNTGE RVPFVFPDLLKVKDLSFITLKKPLV PHYBLDRAFT_145196 MATIKTIKRRILKTTSIFSLQRYLPSQVMMYLARKYYVRMESGI LSSNPSLETAYWAMPTLWDQLNREMADASICFTCLLINLKRASLQFIPHLVVISNAGF SSKRYRNTTFNKEENEPFYTHPCQSWAKSTKFYTPESIAIHMDKEPLDKMIALCHVAL DICSIDTDKIAKLFADTQSFCDTVECQFQKILNENDSKRQLKYAKDVAHFVRQFERKC LPSTDECAVTPIESICEQQESEDLIFVKEKRRINAGRFNWNNCYSEDMTEGLFQRYSS HTTLRKAFERETL PHYBLDRAFT_20355 MSTESTLPTYDELPVDPNAPPHTAWGLWGEDDNLGTLNLLTPDK VVKATSLVSRGDVFALNWKLENPNMGMLNRDACTHIIKPIHGDMVFDDVYDGFNTQAS SQWDGLRHFCLSTSGQFYNNTSAEEIKDPKNGKIGVHHMARRGIVGRAVLLDFARWAE VNVPDYDAFTRYEITVEELDAVAAHQGVTFEEGDILLLRTGWTARYEVKKAEDTLPVL NAALGELPDSAGLKFSEDTFRWVWNHHFAAIASDNIGVEALPFINPYAGTYSMFLSGW GMPLGEMFFLEALAEDCAKDGVYQFFFSSAPLNKFGGVASPPNALVIK PHYBLDRAFT_186899 MSSDEDDYMSTKFLEADATNQQLTYSEKRRKHLHNQQIKSYIKP RAQLEQEAREEGLKKAMDSENKGMKLMMKMGFKTGMTLGKSSTAGIHEPISVEVKKGR QGLGMESSVKRARDEQEAQEVKEAQEASKRVALDPMAYREAMAERAKEGKLTRRIGAC ASLCKKLDEETGVESNILWTLVPKPVTEGEDKSEETYEDFYPAEALKALKELSSTDKL DRIIAYLRDKYVYCFWCGARYDSPEDLKENCPGEQEDDH PHYBLDRAFT_168162 MRRKKTPQGTFYQGVNTLPPSCLQNNTKRTLSESFSEESVNKRN RVEGPVKDLEECFFNSMRDRVEELVKQTKTQDKTKELKNKLLNVKTLLAAIITNAAQL AKCDRKNGRSSYLKTNKIAEAAVGSVVKDTAKGYSSATPTNKQPYEEMRTCTVQMNSL FRSDLSPIVKTFVCIRLQDTMVRASSAEDKEKGLSFIFKVNLIELLGGQTLAKSLNLI CSEDALRQMLNDRKEASVEHRLVVQDLNIVEEQQDFLEEEGTLDDDVPERRLNQPKSI IKHLVFSNDTPVYLEDFLPPKKQYHVIAYQMYFCIFANDVLKYALYTKFTRALCPSRS FSSSSALHLDSVALYQLLTQNIDQEKSEEPSLHTNQQEKKGYSRMILYGYNRDELIGS QEKVRQNKDAIFNAVFDIGEIQKACESYGLSFAHRITCLPGMKTVRLLGSRIKTHGSV TEETDQSYEARILRNPNVIQEGQKTKDVLFSELQSLTEVKALESVCKIELDLLKDSNF QRKIKECKSNWGTTDDKDQLYRTIEKYKESRYKSYLTVNKIRNELAEKRQQLYFRQMK IRFKSKLYNVKDVPQDSRGQIEKCGKGVTKVEDRTVVNPGDFNYAGTDNGLVNMPTSI PMSLQRMRFHLKLFNYYTALSKDSNEDKRKKYTDEGEKVQLIEDSMRELESAPVASND MAIGKFRAKYDQRQSLRDFYNSPKIINKKRHVEIQQYRYRHLLCRRERLELKHSERTG AGSRVKGFGKYGGKWKQNIHGEAVNVCITNECKTSRTCIFCFSPLTNPRIPGKKKRSY KVNKGTFLCINPRCITVNNRCASKPRDGLSALAIALVGLSSVIFGAAPPPFYNVSQIT AEHYTKITSDFCTRRDDFAATL PHYBLDRAFT_64684 MLGILRNKPIPKTFSLQKYIDYLDYVKSHLQPYFEFYKSDTAKK SFHLYQSRQREQNKMSNILIYCGRKPLDSKYTKSKISIIVFGNGMHGDAWDEKEILGE LKIPPRGFDFCVYGLISVVACQSCVMIWSHNPNAAKNIKVVSASLLCGGDPPIPFCHP RQN PHYBLDRAFT_168164 MSLLQSLVQVVKSWAMSNWKKMTGSEGGFDNSIYRHIKPSSTDI VSLSTVFSQNFWYTTTLLIIGKEATSTWPLPDDTVDSWKSSVNIMISNTRQSRQKIYS QYLLPACTEAVTVYTKHIVELFQQQLISFILLKFSKLIPNVRKIMSREEHRRAILLPE SMWPPLQLGKPENPSFR PHYBLDRAFT_168165 MNINTEFNPIISPCRLNNNALSPISDDDLFANLNKHVPLAESTR GLSFASVSRSLAPKKMDTVLSCTEMLEMELVQNLEAFSAAHAINDEQAAEIALNKIKR TKEAILISKECSEILSPSVKVIERDSYTKRLTLNIRDLPKFQLSDDMIRPFPNEEVFQ SVDHFLCAFQKTIDLYLLDIEQVWRTIFPLCLPHDYDRWVEMVLKKCVDWKAAKNCFT VRHELRLLISRSVKEVFTMEMQSTESIEDYSKKFLQAVSDADLAKDDAHIADRFLTSL TVQVQTLLRFIINRLDLGGELKRDWTVEQLTQIGRGILGDDNRLHAEATQPIPDKNVH TENIMEKRTDKKMASYSRKKVNHNSVQHRVSKPERSFFCSHHGKNHTHESSECFTLVN RKPKVSISDSCNPCRRCGENYIRGHTTREKTEKGLKRLQDCTGIKLGNILFDFLEIVN LSGLLVKNNYIPIVTHYGSTPLGGTSTSWQMVSRSIHWSFISSQPKNKTVTIFLTPWS EILFNINLAVATWARSVYHVSDIFNLGKTANSTLVEQIFFSIFGATEALSTCLISGKE LLTEVLFSDVKICEQVITIGFLYNNKCIVGTPGLPVNKNIVKINLYNIPNCFPETNLQ DPLVEALKSHGKAGGIRPATPSITAVAVPAQTSHTSVVTPSPPTPTLPAVNTEEDKLD AYLTDFIATVIVKDAVIDESASLSDIKQTASGNTESN PHYBLDRAFT_77805 MTEATLRQQALADGIFKRRESTDSDNTTQSMASEDVSMKKDRGQ SYGKTPDGAVFRVPTTREMVTSLLNPKEQKSFFDILTLAIMAIEIALLFILPLWIKRG LFIVIFSFWRLAYNAGLGVLLKYQSDSRGLVRLAKQYKLFDREANPKAYNWLKYQLSM KMGDDYDFTTAPIEYNTWLLYRQLVDLILMNDFTSYMCFAVAWFNTSPQSSFIFGDSF RWFGGLFLVGFNIWVKIDAQRVVKDFAWYWGDFFFLIEQSLTFDGVFEMAPHPMYSVG YFGYYGVSLMCASYTVLFISIAAHALQFAFLVLVETPHIEKTYNPPIIPKRQPSTPSQ TSDVDCKRSEKHEDTGRFYTSYFRRDLMVFKNFDLCRSTDLVSLMVMIYAFITPILVP GKSGIVIALSQAFFWRIFHSYGLGTLLRLQSTEKFFTRHFVKWGGGVQEAFQNWKSIY NLSLCMTYITFFVACWKMYSLPEDWTYGTTLLRHTLGVAFISLHIWTSTSIHEVLGDF GWFYGDFFLDDHPSTLLYTGIYRFLNNPEKIMGHAAFWGMTLMANSWTIYALALFSQI SNFLFLHYVEAPHMRMLYGNQIRKEAGLTKTLKSAAVSIPKNIPDKLQQEVSKLIREK AELKAAVKTTKNMERIFKEAIEKVERAVEETAGAVGEMMEAARPRLQEVLDETKALLE TSRSRLIPNVANDIGTYDLTLYSVNIRNKSATKSSQANGPTYTFQLGQPVEVSWTAPE YHGARDWIGVYKVTANQSTHITNISSRGLWDWTNSVENKGGASIEDQLFPPDTPIKTE GVVVFKGSKLPWDIGTYEFRYHHDGKHNVMARSTVFDIIAPTPADIHNIGAVERSLLQ LIQNTLGGNPDLMPVSSTDEFVGMGETESRHVVYAIELMYDVEFAWEVVLADNCISRL AKRIQHAKEALSPFADQTQKRLSLSHGMLAGCATSIVPSMTSETPVSCGL PHYBLDRAFT_77806 MSVLAHFVLVNTVFSQGAVFYAGETVSCTIQFSNPLPSLPSQPS TSSSSSSHTRHSRSKSPLGHSRSQSLSSAELGALGKAEVPKNTSRNVEGLVLAGLTAK ASSLASSTFSFLTGYASQKEPERPEPTWEPHSLSGVEESVVRLMDQTQRDDHPISIEL DAHDGTTPRSSSDTHSILLRENIDSRRSSMDSLASTAYQRQHLNAQRLSNLLKSSHNK KPEHILWGFAQIVGNFVVDPALINNNEFASLKHRTMYRPNGGGIGGGGLLGTKPEAHS KIDRADTRTTPVFSTPPSVLFVDLDLAPGETKKFSYKHKLPNDIPPSHRGKAIRFNYY LVVGTQRLNSLTPGQVQVVQIPFRVLNHVSEDGSRPVYDLMNPVVIYNDEAIVDTISD EDSKKNKIKSSKGSKKAGDNAREAFLDYVDELLENSAKNASIHEITRRESDAYDEPST DQEEGGIESRRMSGKTCAQIVSRVTNGSRKAMYDICKNNQRVAQLHLIKTAYRLGEPV MGVLDFQGAALSTFEVSILLESSEIVEPSIALRQPQHIARISRKCHAEHHSFCLGHRR LAFSLPIPAIASPEFQTTGVLKKNGVQVKLQYYLKFEFITSNGSNPLAPPFIPINIDE RHRHYQALQDVQVSTFDCQIPIRIYGSSGGADRALYGRPHTFFVQ PHYBLDRAFT_64690 MGNIGSKKSSKKSVGSVITESTPSHSDVLGTSSIPSNPQSHQTY YFSESDSEGVRLHGQHYLLKNVFQKDLFAPVREKLLEKDTHVLDIGCGDRATWLRDVA VDYPSTTFHGFDIVPFVPNDESTYNNVPSNCIITQYDATQGLPFPDNKFDYVHQRLMS GVYCGDQIDSILKEMMRVTKPGGWIELVESATAPQNTGPVFKVLFEHMEKYIYQRQKE LLCGPILKEKLGKIGCIDILSDYQSIPICWGGAVGKATYEVMEHVIRYMGPLVWDNLG FDCEFDPELYNDYIDRGFNECVKSQAFLNVYWAFGRKPDTGSLKN PHYBLDRAFT_112544 MFAELRRMNVRQVAFQVLNIITIVASALMIWKGLSVLTNTESPI VVVLSESMEPAFTRGDLLLLAMPKDDLVNVNDICVFKLPGREIPIVHRVIKLHDDATT SQQYLLTKGDNNSGDDRGLYDRRQMWIHREHVVGKVKGFVPYVGMVTIIMNDYPWMKF ALLSVLALFVLVHRE PHYBLDRAFT_168170 MYRRQTFQTTAVPSNNNNNNNNNKYNHSQTLALLLSQDTHEVPA TAPVFEGHLYLLTDKQWRWRQFRFDGASFTCLSSRKLKLPQTNVENHEEKYSLRHLLS PSFNATLPRSQQKRRSLSTQHNQPEENRQIKFTIEISNISAISVLKRSKQEHGLKSAF GQSKSRCFCIRTYDNQGYVMKAQKQKDFERWLFVLTKMWTFAQSVREQSPYSPHHSLD RQSRSRSLPVLPSLPDLPLNSTFKLKEHPVLSLEKVQWIDQWRLSLEELVTYGPNLHF TPPPIDPIPDDILTDTSGMTSLGAHQSTPDKPIKRKQPLVPRRSLGSHSKRQPSHNIK SNSVAPVLARSSKPSKNWANEPSNWNASCKPIDDPSYLALGSSFDAYPIHLFQDAYTS HDEEQTPPKESTCAIRYHTSVRGKPVKVVENSREEKSNGATNTRRSRSMMLNDATINT NSPLQTLASVDDAQALKRHIKYTSSESLKARRAQQEDRDDMSLADLRRSLNHLSLSKE SIYHTRSTSAPSILEPSPLIVAPALPPIPMHATPLYGYFAINTFMQRDIPSTVPQSDL ETQDMVMKTWLGATEYYQESTIPSPWTPNLPAKQAQTNMGSFWPQTQATEHPIER PHYBLDRAFT_64694 MKAHIIISLKYKVYYVKSNIDKKRLHTFALFHRNICTKQQTIDA LKKSRKISEFIVKKNTGRVFIASIDELIKNILEVIKKEVNVKLEQMAAVKELEKNLKA NKYIHKVDNVLSDLESKERTLGFFESLGIYSFKEEIRMCKRLFYICYKNL PHYBLDRAFT_55942 MTISDSDSIRDHQTNDTSDLVISALGIIGTGKSSLLNAIAGEHV FETGNGSATTQSVSGEIRQWKSAPAIKSVRLIDTPGLCDCSAHDKETVHEMARYFKSV ANGVTAFVLVFSIHDIRLDAYTQSMLDLFRVLLGKDFWNYVIIVFTHVEDDEKDELEA NIEAVLDPEDGFVAQICDIYKLSRRTFVPNIVFVSTLNPRTSSYTKKCMRQLYQAVND CHIRNHNKQFTSKWLTQIIHMPEDRKANFITESIREAWSSLTSNKCQIQ PHYBLDRAFT_186906 MSSKLRQAFQNAKAQNRPAFVAFLTAGFPTPKHTIEIMLAMQRG GVDVLELGIPFTDPLADGPTIQNANAIALKHNTDIVLCLELVREARARGFHLPIVFMG YYNPVLAYGEEKLVKDCTEAGVNGYILVDLPPEESHEFRNICVSNGLSLVPLIAPSTT EDRIALLATMADSFMYVVSRMGVTGATTEVNTELPAMIKKIKKHTQIPLAVGFGVSTR SHFELVGSHVEGVVIGSRFITLVKEAFEKNEDVAKVVEQYAAEVCGRKPAELAASTKS PQADIEINDVAGKGIILKQDNPIKNFYELETRYGQFGGAYVPEALVSCLSQLEHVFIE ALADPSFRAEFESYYDYMSRPSQLQFANRLTEDAGGAKIWLKREDLNHTGSHKINNAV GQILLAKRLGKKRIIAETGAGQHGVATATVCAKFNMECVIYMGEEDCRRQGLNVFRMR MLGATVVPVKDGSRTLKDAINEAMRDWVTNVDTTHYLVGSAIGPHPFPMIVREFQSVI GRETRSQMLEKAGKLPDAVVACVGGGSNAIGMFHPFINDPTVRIVGVEAGGDGIDSEF HSATLTKGSPGVFHGTRTYLLQDKKGQILNTHSVSAGLDYPGVGPEHAHLKDSGRAEY VVADDANALLGFRKMTQLEGVIPALETSHAIYAAYKLASQLPKDQDIVICLSGRGDKD MHTIVEVLPKLGPKIDWDLRF PHYBLDRAFT_145211 MANAGISMSSRLGATCAGIILPIVTLVSLLLKNLSHSVAEAVVF IVIASLLWFFSLFSYLRVLFSQPGQPVNKRPSSPRETPPLEDTKTPLRLPIYYYSPSL YDPETLQARISDNRTPTQFPIVSISYADGNQRYCDVCHCIKPDRSHHCKDCNACILKM DHHCPWVSGCVGLGNYKFFYLFVVYTCLYSLWVAVTATPLVVDAVNHKVGLVTLEMCW KAYKWYLVTLVTVFMNLCENIWYRYWRSPFTGVARLGLGLDAQWVVLLFIAFMFGLVL LGFSFVHTTYILNNKTTIEHLSSRKQDVRVDFDGSGMNFEVVDVHHRELLYDIGKLNN WKIVMGKSPLGWPVPLYRGAREGYTFPFGPNAYKKVVGLAETQRKARIAALEAPRVEP LNMETMSGPSN PHYBLDRAFT_112479 PPKNKAKTCVFSCVYAYACVCGCICTCKLVCLYACMLVCLYACV PVCLCACVPVCSCLKVYIPIMEDIVFLK PHYBLDRAFT_145212 MESTSAKKSAMRYAHPSNETYPYNVNGRPNMPRNSFAAKIYKRN AIFRPEERIETDLDDDTVSEIVPRQPSPPRTVRPSQGASEETRLLRQQLMKASTEKNF EDLVALRYTEPEERFKEFEEILAARTESTDDIIKHMQAMNDEKSARIEELEKELLETR KTVEMLGKEHDKKLKDSKDPFIEMYKSLTGLIFTDVKTSNTGITYTCRQEGSTGKIQF KLTQPSDTKQGMHYEPQLNERKDAGLISILPERLQKQIVFRTDNLRAFYTVLHSSLQL KTEATKDETRNEI PHYBLDRAFT_168178 MYSDYSYKNDSEFDNIAQNYYRQQLSAYLGRKNAQAAAEQLDAE DTRIRRVNFSTESPIVHIYEQDTGYYDLTGHTPLIESTKLVQISKDDSSEDSLFKCLK ERMPLAQAERIMSARNNWLNRYNEQQVVTESTSLDDHLPVILIPTGKLPIKERTKGGR KKQLEKGLKKEFKRKVKLELIKELEKECNKELDDDAKKSLKKKIKKEFNENKAPAKDL IYDTKAEHYTKEIKEIKEVIEIKEEKPVQKGLFSFLNLRCLSSH PHYBLDRAFT_85757 MNSGIPSRQLTNTSQGSPTSSSNRPLNPSEVNLDNQFSISTHAY TDTPGSEPQMKNKFIHEYFGNDTDYMNDLDDEDGQMPVQRIGSDFRKRRNWSERIVGD VAGLLHILSPVGKILYCSESWIDLTGHYPHELVGRPLTDFMHIDDLDVFIRDFNLAFH RRIQVKTHFRLRKKDDTYILLESIGQLKYLSPDNSSQSFFAAAHPYSTKSNVLVDSFL ELKMENDWLRQRLREMSIYENKPPPPPPPPPPHTLSMPRIMAPQPPTFDPSVANSMPS YMLQEVPENITDDAIFQEPRPSSPSKRTSDPDELSKVPIEISDEGPMEFRSPPPERKE KWKRRKKQRNEDDYVCTDCGTTASPEWRKGPQGSKTLCNACGLRWAKKNKKHLKMD PHYBLDRAFT_64702 MTENHTNGLLDLLRSPVSPQFLSYVSRQASLVIPCDDQPDLDSD KNPLVLPHLHTFVCLLIRRSSMRSGTILAALVFLNRLQKRLSLVARGSFCTCHRIFLA TLIITAKSLHDSSPKNKHWARYAMYFNLPEVNLMEIQLLALLDYELIIDMEELQSEYA RYYQSSRRSSLLELYPQPNPPQYSPQSLESITSCGDLGLVLHYQTFHPNTCEKPLPAM VPSISITQESIATATTTVPLDIYPIRQGSAFVTSNEHLPSLASSISSSTSSNDLSIDA DHSQILSHSDGGIRMLTPVYPAARLRDSTPEEPIRYKHHSDVNTRADKQVLPQLPKPH LGHRFSIDMFVELGRNSKSMGYTPSWSQEDGPRFSYSPRIV PHYBLDRAFT_145215 MAPNVESITQEFAKLNLTKLAREKGPYIVYPETKYPELKAFKHV DPAHRGDPKKASLFNNAKKIYDLTPHIGTEIEGLQLSQLNDTQKDDLALLVAERGVVF FRDQDIDVYQGIEFGKHFGPLHIHNTFGHPENLPEIHIVYFDTSSKKYLADYIRNASD GWHSDVTYEDQPAGLTLLKIDTLPSVGGDTMWSSGYAAYDRLSPALQKLLEGLEAVHT GQSQINQALAQKHTVRRNHVVATHPIIRTHPVTGWKALFVQPGFVSHIVGLSKRESDT ILKLLYEHISGGHDFQVRFKWTENSVAVWDNRVTNHCAIFDYLEIGKRHGWRVTPQAE KPYFDPKSKSKKEAETEASVAAAQKKP PHYBLDRAFT_158781 MGTHMSIPKDSFKRHSGRKSDHSNPTSHSTIETLDTRETYDSRG SEKAYSSPLACFDPHRNGDSDRMSVQHFGLKALFEGRNILKNVNKYVDFDSPTRILDL GVGTGSWIMDVATENPNATCIGIDKLPIFPQAIRPPNVTFQILDILDGLPYQDNYFDF VQLRLFGACLQLTNWPIVLKEVYRVLRPGGCVQLLECLFEEATDEFLTVYTNKLRVLM AANDLDVHIAKQVGKLLADSGYTVIQEELKEIDLRNPKAHLAKEFMYIIDESINGCRP ILYEIYGVATDKEFAVWKAEYLAARRNSSFSRWYAAAGMKPI PHYBLDRAFT_181341 MAITGHPTSLDQYNELIKNNKLVAVDFHAVWCGPCKVIGPKFEK LSDTYTDIVFAKIDVEEVPDVASDVNIRAMPTFLFYKDGQKVGEVIGASLPKLEEQLK ALIA PHYBLDRAFT_97111 SSSPLFLLFPFCIFTMAPATYSFQDTLPRLPIPSLKNSCDLYLR TLVPLLTPQEYANTERIVTEFQTSELAQSLQQRLVDLDQKSPYNWLEDNFWLNKAYLE WREPVMVNSNWYILGQDDANHPKELLANNAKSVAPGEFTRFQIRRAAHMVLRGVHYKE IIDRQELPVEMMKGNKAQCMWQYSRIFGVTRVPLPHCDSVLQGDASKLRHIIVVVRDQ LFNLEVYDQNGPKSVDALEKDLLNIVTYVQNLQQLDAAIPLLTSWDRDNWAVARNHLL TIDPSHRVNTQIIETSLFGLALDDYSHGDALSDRTRSMFCGNQGIGNGHNRWFDKSFL LIVDSSGKCGVSGEHSPADALTVSFTFDHMLMETCPGPFDPSVISKATTPATANLSAV NHLRWKSDAKLIQCLADAQIAADAVASKSDSDVLIFTEFGNDWVKKVGRVPPDAFYQM ALQLAYFRTHNTVVPTYESGSTRKYLHGRTETIRSCSTDSKRFVEAFVNPSVDTKYEL LQKAAVAHRDYTMIASDGYGCDRHLMALRILNADHQMLSKSGKFEDVPMHPIFKDPAY ANSQTWLLSTSSLHAGVRLMGTGFGAVYPDGYGINYMPAPKLIKFGIESKRGAKSVST KEFAENIRQALRDLRVLCE PHYBLDRAFT_124755 MTFKDRTNEFHAIADRIRSRSHVPNALERRSLIQSPSPSGQQAS RSEFSLMAAEISRNITSTAGKLEKLTKLAKRKTLFDDKPVEIGELTFIIKQDIAKLNR QIAMLQDYTKRQKQSSKQASEHTSNVVITLQSKLADTSMSFKDVLEIRTENMKMTKDK RDQFLFSAAEQSGPPALANSPLLKSRRRPNNERTQTPVASIGGSGIGNNNGGYVPQDE PESTLSLGIPMLTPQQQQEQMMVLEQDRYIDHRSTAIESIESTIAELGGIFQQLATMV AEQRETVQRIDQNTDDIEMHVMGAQRELLKYYTNISSNRWLIIKIFVTIVCFFLLFTL IM PHYBLDRAFT_145220 MDYYTMNHDDRPSFDSSPHDYFLFDSNDINIGHSDIDSAGVDYE SLVNTNRELVVQPFDSTENNEHQAILLSDPTYVRQQQLLQGKKEVELRDQQGCGVEEE RHYDPSLRSNLTARLDFQEQHQHQHQHQYQHEYQPQPQPQPYKHQYQSQFFSHTSPSL SPSPTVAPSTSTKQWREPSSSSPRKITRPISQIIPVSSSSTSSVEIDKGLSAKIDHQR RFNELQARFRVSYGNGRKPSGGQKSVAATISSSSLPSLPSTLASTNSVSGSISTMPQI ATSEMEERSQLETPERGRSFSPPKDGSGNPQSPHLATSFPSRTMPIQIQRVQRANTAQ PFDAEQHQRRLDDQLVKTDFGDITVSELKEMLRQRSKPATGKKAVLMQRLQDERDIIK AVRHSKTQRHSQPPPASNHQTDVSRPRSFQGIPSSPSSPYIGSLSIGHASSVDGPSSV PNSTSFVPGSPAGSIGSTGSLNRSIDNLHIGSPPMAPQHIRRYSPYSPRPAPSPKQFT AQSLPSADELTIQPSSSCSSSSLPRSRPYHPAWSTGFIGGSGNGRAKTYAPFTSSNLA TPDNDVDVNPFDTLSFDTTEVKTETMEWLDPSMEDILLQGSMTASPQPTHLPLPLTLP INDTTEAPLDLLGTLSPEDFMALLGHQGWAAS PHYBLDRAFT_64709 MRITFIVFALAVVVSASSTGDQITYSVVSLTGGKHSMSVVVDNV PYPLSQSPKVPILYTGTAPKAAKGYSYAINAGTNGTRVEPFSRKQTSESTPNETFGRQ WNTFPLSKVEPILPPLPAINRVESPLHIDGEIPTIYLSGNQTALDNMHTNSMEDISVS FSHVLLNSPHDVQSFSDIEIGLSGHSSRLFEKVSYNLKLKKKAKNHLYGYRRLKLRAL ATDQSYIREIIGYDVMKSVGIASTEYSYVRVILNDKPLGLFGFLEAYQNPWIQNEFAN GDINYKQGILYQGKYRTTESLLAGVISDLSYYPNITNYALGAYNIKANPANSIGDYRP LMEFTKFIKDAPTTTPDAVQIWQSNLDVESFLRCIALEILVGYSDGYLTMSNNYYLYY ETKAKRYIYLPSDLDLIMGSGFVSTISMTTGNYSDFPGFHLRPLTNKLMQVPTFKARL EELIVKVTKELFNLKTLDKRIDDVVRMITEDVAWDQALPRMGKKFIPGSNSDSRVPKL TSPTIDAETQKDLYSKGSYSLSFKDAVNGPTGHIASPGVKEFIKWQSENTMNFWRNTT GKGV PHYBLDRAFT_112466 MDQKASSSFPDSETIPSGTQFEAYAPPNPPNLFTIVRGQVLTKE GWWGDFDWKNMCMPSFLVKGKTNAPFWGLNSRLPLGLAAIMGFQHSLAMVSGVVTPIL IMIGSGSTSLNLTLEDQQYLLSAALITSAILSLIQITRFKIYGTNYYIGTGLLSVVGP NFASIPATAAIIKNMYSNGYCETSVLEDGTIDYLPCPKAWGAILGTSMVCSFFEIGMS FLPPKMIKRIFPPIVSGTTILLIGCSVISTALSDWAGGSGGCSSRPETGYYSQCPNTD APHPLPWGSPEFIGLGFVVFATILLIENLGSSFMKSAQIVLGLIVGTIVAAACGYIDD SSITSAPVITFVWVKTFPITVYGPAVIPFLIVYLDNMLESIGDITASCDVSGVEVDGP AFQARIQGGLLADGVNSFIAACMTISPLVTFAQNNGVVALTRCANRVAGYFCCFFILL YGIFGKISAVFLAIPKCVLGGMNAFLFASVTVSGIRILAYLPWTRRDRFITTASLALG MGVTLCPGWFSHVFSYSGNNDSLKGFLSAIETIVDTGYCIGSLMAIFLNLVVPAEWGP ETMQEIDELNQKERDEIRNGFLTSSTLNEEHNLSELNERANP PHYBLDRAFT_89606 TQTDMMELVERIYLRYIVPHAEKEIMQLPTPLRSEIAQHFAGQI TTPTDPHIFGPAKIHIHHLLQLVFPTFVHYKVLMNLTLKQQIGRIVAGLLGLMIGFSL EFSLIFLNIHPWQRRIWGLLPIGAGLFCLITGLAGLDPFWVLCLNIRHTTTFHFNPVE EPRVKLILRNRSIALLLLFIALTSLVLIVFCAVPGKRL PHYBLDRAFT_158784 MALPSSAEYPSGSFPDLLQRFGSGQDQDVFGIPVNRNSVPIQMG FVRKVYLITICQIITVAVMTTTLVNIPLLFNWLQESKYAWWIFIFPAFIISILVAWQL WMQYFRLPLETQATMLAITSFLMSLIFADLISKLCYHEGFVVIFMVFFGVIGLLLFTA QTRFPFKGPLPIVCTVGSICLSSPWFRHEYQLDPIQILWPISLASIFCIYLVFDLYYA MNGLMPNDYILANLCFYIDVAYPIRCLHHLCELSDTFELFPEILTPRPS PHYBLDRAFT_87308 MKFGDQLQQEIFAPWRLSYIQYDVLKNELKARQMDHTWNPQDEK EFVSLLENELEKVYDFVTAKLSEVEARISYCERTIQTFMNNPTWSSDQNWGIMDDALT EVLFDVNDLAKFTRLNYIGFKKIIKKHDKWTDLNLQQDFVPQLRNRPLDRQRFDVAIV FISALHDICRLQGKPRTGNSAAGGDQSAFERATAKYWIHPDNITEVKSIIMLHLPVLI FNKEKRFESSDSAISSVYFDNPDFDLYTGRLQRDEGAEAIRFRWYGPMSSKAIFLERK THHAVWLNGASVKDRFRLNVDDVSNFVAGDLTADECAERMRAKGTDPKVVEDSHFVAQ GIQTSIQEKQLSPVLRAFYDRTAFQLPGDQMVRVSLDTNLSFIREDNFDRSRRGSREW RRPDVGIDYPFGHLDDSECLRFPYAVLETKLQTHLGQKAPEWLTKLVDSHLVHEVPRF SKYLHAASYFFRERMPLLPWWLSEMEIDIRKPRSTNFGLTRSKSFKPLIDGQYRRAME TEERRMSMLPVNTEPTLIVSSDVPLDYGPFGKNRQSGQNMEMRNLGEPVVVSGKNKKD IRLNMDDSGSSFEEKKPTTWRRALGLGGNLVDDPNKKGAYVALKKIKIEPKVFFANER TFISWLQFAALLLTVALNLLNMGDYVSRIAGGIFIGISIAVAFYALYRFEKRAWMINR RVLGRYDDLWGPAVLCALLVGALIVNLY PHYBLDRAFT_87319 FDQEFNGNIYEPWRFEYVAYDSINSHIQRRAASGWSNQDEAEFE STLRLEADKIDLFITRKQREIDSRIAYCERILVQQRPLLAQSTTNGLFDSMDDALTEI LLELNDLGKFARYNFLAFKHLIDTHTKLTHIDRQQLLVEISRKKALDRQRFDVVLVKV STLHDMCRQNGQERTGNAAAGGDQNAFERATAKYWVHPDNVTELKAILLFHLPVLVFD STKPFEASDSAISSVYYDNPEFDLYSGRLQRDESAEAIRFRWYGPMSSKSIFIERKTH HAPWLDGASVKDRFRLSENKVNDYVSGRYTAEQYAAEQRAKGASEDSVQQNYFIASGV QKSFAEKKLDPVMRVFYNRTAFQIPGDQRLRISLDTDLTFIREDDIKRRNGNWRRMDV GIDHPFDYLPGDQVYRFPYAVLETKLQTHLGQEPPEWLTRLLDSKLVYEVPRFSKFLE GCAHFWNSRLPLLPWWLDQLTLDIRNAKQPKGDFTGLSRSKMSTFDGSFDTASVDPYY GKNTPRSSYAATPAESMMNDSRARLTGGMDYTIEEAMDEEERKARKAAKKAAKKEKKE RKAAEEEEDELNGFGGMEKDEKKKAKRDLELATRLEPKVFFANERTFISWLQFAAILL TAALTLINFGDNISVTSGAVFFGISFVIAFYAFGRYRYRAFQITTRPHLRYDDLYGPI GLTILLTGALL PHYBLDRAFT_158785 MMIQNNVQQQYQSSTSSQNVHKDKPEEQEHDRDLDLDQDQEQEN NKEDSQNQLSDYEKLRLKRIEENNQTLLKLGLISEMSEDSDDVIHYESMMSKKPLENA PLVLVMVGHQPIEPRQIGLYRETIVQIALEAVKKLAPLGMLIIGTKDIRQKDNGKLWP MSMLVLEDIERAIDRSVLKLKEMVVTVPEGHSKDRQQKNLNTEVEEELEIVDEHLTIV HAIYLVFQRM PHYBLDRAFT_181348 MTTWQPTERGLSDLLQLLHQAINPTDGQNVQERLEYFNTIPDYN SYLVYILTQMPQEDQYVRSVAGLTLKNNIRTHFTSIPQEVMDYVKESCLQHMGDPDVG KSVSLVMAAVMARGQVQNWPQALQVILDNLNDPSPLVVENALNTLHRICEDCAEELDN NIRGVNPLEFIIPKLITFFDHPNCKLRSQAIMSVNHFILLRSESLMRRMTGFLHSLFH RATDDDVGVRKAVCQSLVSLLEVCHDALLPQLDTIVDYMIYSTQSDDSELALEACEFW FVFAEQDELRGYLRPYLSKIIPVLLGGMIYSEIDLLMLGGDEDDAHVADTDQDIKPRF HKPSVTGESRSQPNNNQSNTSLDDSEDEDEDDYDIDDDSDEASEWSLRKCSAATLDIL CTSFKADVVGLLMPLLKTELESSDWLHRECGILALGAAAEGGISDIALHLPELVPYLL THMNDPKPLVRSITCWTLGRYCHWIVQVSRQSLEARKLYFEPLVQVLLQRILDNNKRV QDAACSAFLRLEEEALEDLVPYLEPILGTLSSAFRKYQKRNLLLLYDTISTLAGAVDH ALNTPQFINIIMPPLIQKWQEISDESIDIFPLLECISCVTSALAKGFTPFAEPVYFRS ISIVLRTLRDCQAANMDPSMEPPNKDFMVVALDLLSNIVQALNTDVEPLVAKTSPPIA HFLSVCLMDDVGEVRQAAFALLGDLAISCFEHIQIILPDCMPSLLQQIIPQTEEVSVC NNATWAAGEIALKWGANIQPYVEPLLQRLLPILADPTTQRTLMENSAITIGRLGLVFP NVVAGHLDSFVRPWLVALTPIRDNDEKSSAFKGLCEMINVNPQGAINHFPILCTAIAK YQRPPKELCESFASILGGYKNMLGDEQWQQTLASMPQDVSAALHGNYGV PHYBLDRAFT_112394 MNSTSSLFSPITLGNSKLNHRLVLCPLTRFRADKDHVPTPMMAE YYGQRASEGGLMISEATYITPADGVLPNTPGIYSEAQIEGWKEISKSVHTKGATMFLQ LWHTGRAACSQLNPNNEQPIGPSAISIQGKGLTGVPYEMPRALETHEIPKVIETFVQA AKNAIEAGFDGVELHAANGYLVDQFINTSSNKRTDLYGGSIENRARFAMELVGAVAEA IGEERTAIRFSPWSGFQDMKDDTPYETWGYLVSQFQEKHPKLGYVHFIEPRDDFLGRL DQPERAHIAVTETVEPFQNIWKGPFIVSGGYTANPKRAFETADKNSNTLVAIGRAFIS NPDLPLRLKNNWPLSPYDRSTFYTNDAVGYTDYEFYTE PHYBLDRAFT_38610 MSKEPIVKRILALFKKTHEKSDEPPYPAALERSYKITNKILGVG SFAVVKECIAHDTQKAYALKIILKKVIAGKEHMLDSELDILKQVRHPHVVSMHDLYET KDAVYIVTDLASGGELFTQLLDRGSYTEKDASNLIKQVLEGLSYLHSQDIVHRDIKPE NLLFKSSKKDSNLLITDFGLSKILKTHDDVLMTACGTPGYVAPEVLLQIGHGKPVDLW SVGVITFTLLSGYTPFWGEDQAALFESIMAGRYEFDEEYWGAISESAKDFVDGLLTFK PEKRLTADEALDHPWISDNLTHNNCDEHDIAPTVRKGLNSHRSFKSIAQAITIAQRLR TLSLSDVEDESDEEDVEEGLKVGAYK PHYBLDRAFT_145231 MTGCAYDSVGYPSRLQKMESKKKHKKETVGKPIHPHPSTLTTTL TGKPQINNYSFSQGTIKCRQLGAMLGLTGPIPDYKMITDNQLAFIANSLGVMTMLLIV VYHYVSINDVKRK PHYBLDRAFT_112506 LKCLENPDYLERKQWLTYWIIFCFLQILELFSDIILYHFPPYFL FKALLILCMILPRLKGASGIYMYILRPLLIPQNSTLNNTSAS PHYBLDRAFT_177523 MTLGFDDELPTDVYEFNEIRQNLVYQKSGIASILKPAPVVQNLP SFDAEGKPCFKPYVGSGKLEGKYALITGADSGIGRSIATLYALEGVAGITIVYRDEEE DADATYTKNTIEAQSKCVINLIARDVGYEKNCQEILDSHLAAFGRIDILVNNAAEQHK VVRVEDLVASTVERTFRTNVFGPIFMTKLVCNHLVAGGVVLNTASIAAYRGMDVLVDY SATKGAIVSFTRALSQQLAPRRIRVNAVAPGPVWTPLIANTFSQEEIKNFGSFPPFKR PAQPAEIAAAFVFLASDSASFITGQVIHPNGGTVVNA PHYBLDRAFT_168199 MASSLVKPYLKKFVLRTHPDFFGHDLSRKRCNEDSLQQLYTLLG PLLKKSPPMVKEKTRLAFYDKSSQKQVEGTFDQPTSEWATLQSFLSLCKELGIPIKSS DMDTVRSMVSQSSGTRAARMHKSLKQEFAEALYKGHDTSFRREWTPKTVLENRLLMMG PSVDKNKMAERLCEILPQLKPEIWWGKIPVLIVSPESSLPVTLTKGMLILTSDMQCAD IEQYLAANFERKHAEHIEKYR PHYBLDRAFT_64723 MALLNFFDHGTLRILVLCLVLPCVLMQSIENPTFWQQPIVYNIS DVNDPGQIIEKESLVARSDDFQVLYPGNDPRAPVMAQRILFDFSYSCLSTNISFDSIQ KLNNNTLTSLPIRSVPKIAMIQRGHCGWSEKISVLQKFSEASDLNVKAILIYDNITYD GTPTYALHGNEHHEQNDSSKPLPAERNVYKMADNNIQEGELRIPIYFAPNKYGVDIKA HLEFLNSNNTKNIRKFIQLTPFFGPVPWTMDRNASNNFGTALLSTHGYLAYIVALASA FFIGRLRLLYIFFILLLNTCIVILRWWRFRKLRTSDQNISPNDSENEYANHTQNRQET HPLAVEIVNGLPIKLYTAGIVKNTNCAICLEDFEEDKHELRILPCHHGFCVMCIDQWL TQKSTFCPICKWDCEPSDCNSDDDDQDEEDLGTVEVLGNTMPHEAIEMRAINNRA PHYBLDRAFT_158789 MLRSALVVFTALFAGLTLACEPDCRHGLANDFSKFYSPVLQMAI DELHEKLAAELTKPITIAEQLSVVVYEENIREDVRTNIGPALKGFVAEAVGKKLEDGI FKVMFAEELPFKGDCNNPKRIDRKMPPPGESWTREECEKMDYICGNPPSICHFLPDIK LRIVGRIRQQLHDYARYQQGLLFRTIAQTYRQSVHNTLVKYGAGSMTNDPSVMAYVNT LISGAGNATEDWLVEDISELCTRPAQKELCDGWDEKIIPEILKWP PHYBLDRAFT_168202 MEDRSSSKPTQTESSKSTESTKPTESTESTETTKKMSYEWVYAS GAVWVPFDLQSQSNIEDVWKRAEATWIYVGSFRDKAYVNAPELYVHYLGNDLPIARRC L PHYBLDRAFT_168203 MAKKINRSASSGAFIKKIQLENEDYVDLEFDHEDDIVLDDRELQ GMSEEVTSAAYTNQLLKWHEGADKSLRSYRLTDKGFFIKVQKEAPIELKESIDSELEN IQIDKIAVLKLAHEDVKKEIFPYTRAGPSSQSVDAFELCKLKSVECYLRYRISGAKTM EASEKASMETWLHKNTYRPAAIRKYAKEYVDFRSIALHQQGKHLRRHSLFSDEDIKST ICKWIQNQRPESRSLIEVKKYIDGEILPRKLGIPGNTSTNTIWKYLHEWGYVFRKNSK DIYYDGHEREDVIAYRQKWAKRMMVYKKKMATFSENEETVVLPVLRSDEIEHVLVTPD ESTFYANDGKDTMWLMEDENPIRKKGPGMSLMIRCKAVFSFDQSTNHKAYGQNALISS KMNLNDKEIEDDDPCSLRDTVFVRNGVEEVQSMYYEKDEWFAKKSGQWVQNKVKYVKG VRHILEERGLWLEKDPYNPIKKWRLDCKSKDASEDSKCCAHHFLASQPDFMSQKTALH EAVEDSGHIFELYPKFHCECNWIERYWGAAKREARLQCDYTYKSLDKNIHTFLDHAGK LPNIRRYYNRSWRYIEAYSQEMNVKEANDVSLRFEC PHYBLDRAFT_145239 MPPSQPIPEDSPHKAEDDVHSLVIAKHDTSDTTECPSPASEKSD DDSLEDSPRQELGMVISQLQGILIENEKTFSRDHPEEASSEASGKKVLENPFTENTKL SDGTGFIVPMDHQENGDIYDKQGTQSGSAAQYTNIVSEEIMPPSPGIPPPGHPLEHER SSEDMELESYSDNHIAINKAALKEVKQNTLSPREQEKQDEPMLRAIRHLFNNRFMKAK RLFEKQADSDPLYALGLGSMAFLKAVMTTNEDTTKNAIQVLMTTYNMATAQIDLAMKK SVGDSVVQYFSTYYNYIKYSRGNALPSNMKPASERDIEANKVTFVPNGVLRAHVVKAE SCLQIAILQLLQESVVGYIKCGINLRRAYTSYSLVWQEYKRMGQGYNEFIDRDTVSGI QFGIGAVHLVLSALPQKTLRMVSAFGWKADKHLGFALLKICLEDRRVRSPMASLMLLA YYTVLTSLCPQILASEYTQPAIETLLDAQRSSPDSAFFLYFAGRTSRLARNLTLSTQS FMYAIEISKNEWAEVEVLQLCSYEIGFNYMMQHEWEEAANIFDTLYKEKYWSPVILRF LHGACLDMMGLRTEAILAFADVPELEGTKSSSTAHVEKYVLHKVAALQTSGYQDMDMT LCALEFICLMNSFDFMSHEMLDKSIALVDRALGSIAEAEKIEYGIRTRELLPDTPPPQ YFDQRGTLLLLKASIYNALGRHQDSIIHLNWIIDHKEQITTDKWVVPFSFWEAGVTSW GTDNRVRAREFWDMSLKYSKYDFEYRLAMRLNLAITKAQELGVPAPEQAKNNGKTSED MTENSSTTSMSNNSATGS PHYBLDRAFT_64730 MVNIQSSLRRVFVYIWTMRLTRNNRVYSLRVKHVTNFLRELKDE SSVVRKHKKSRLELLSSLAKETNYVTLLCDTSTIISFLTFKKKVEAIVFAWSVFVHLP PFIMSTLSAFGFIHRKPHRNTQAVKPDMMKGTTLSAIYCSSPEKPSKSIAQSNPTKSR TDLVHTTKSQSKNTINSITHYFTSSHHESMEIEEEEEEEPILKVRQSISCSTMWEAMI NELDTIYSDHLLTVDPHPFLGCGQRRRHEEDNTMAIVHLHSDKRQRTQQALVEAFKHS MKLSNYRPIQMSTLECPEDRSRAMRRTLDINETDAFLSQIISELMI PHYBLDRAFT_133554 MLEEERARRLMKLEKIRPRLVAIAPQALDNAAHLDRSRQIHQMH VVLDALESVIYGPKQPFDQELAALRNSAKGFQLLSDALEVVPMSVAHEGVEHLEALAD RFERVAKEVRHVALVPEDGGLGSHIISMVISKLLFKKNGLVKGDDVEATLSRAKYYLD RRDLENTARELNQLKGWPKRLASDWIEAARNRLEVEQALEVARTQVNFGNLLNSK PHYBLDRAFT_168208 MAFANMYFYTFYDVASNMKQHTFFAETIGNKYKFNVSPLTVSYK RRSRGLPLLHLLLHLPFHLFTYSIFNPHYSFLMSEQEKIRASVERQFVESGEKDRFRL LQLLKERLTDFGWNDRLYAHCRESSRTLLSISLSTKLPIMVEVRLF PHYBLDRAFT_177524 MNIRQATVEDLMAVQNCNLLDLPENYQMKYYLYHALSWPQLSYV AEDDQGKIVGYVLAKMDEEDNSSPHGHITSLSVMRTYRRLGLAEKMMQQSTRQMVEVF GAHYVSLHVRKTNRAAIGLYRDTLKFSVHDIEKKYYADGEDAYAMRLQLKEPVKKLRG VVEEVNQVTVA PHYBLDRAFT_77827 MLSGEDSRTVATTRSALSTQKSIPLHKPIQLAAISNYKLAQLTF APPDLHSLRKTAIIKNVLETIYKETPPQWLDQLTRWTFFTPDDTFVFIDEFRSLQDMT QDGLEEMFSDYLRIMEAFKPNQQQQEEYDEEEDEDLWNDEDQKKSFGITESSIFSHPG ASLSDESLNRPQRPSLDDRASLEIPEDKKSRRQSVTPVNRNRLSWTSDTGITSSVVAQ HLANEIMNLFDMEFSVDIHVNTAPKLPELPFHNHASRRKSKRMSTDSFMALIPTFEAF TVDEKTYPRTRPRNMSNPIISENTPPPRRRSSSLPLTPDPKPKQSPVLPQRSSSLKYR QNTPAKKSSTSLKVDPYIQPIAKSQSSPSSSSSLDVNNRERQFKSSEFLAGRTHVLGD ESSDPSRQPLRRLASLVHYEHGYNNNSNTSTSSLHPSLCSTSSFSSSEAGSLTRAVPM TLHEKSSNSERLVKQRSHQLIRPSIVDAKEIRRSQSLGRYNSKKNKQPSLMERTSSKQ GNGVPPAFYKHDKKQPDLSRSRSAFIKIGKGLRTRRTHKEDTNGFSEASMAHGESLKE NMPHGNRFVQRMATLGKRMRLQRA PHYBLDRAFT_168211 MGWTASMRLNLLPSSTPDIKRTGPKSSTPPPTTVKHSPWLGDKA VELSSSNYLQVPATLKLAPAHLSRSASSLISSGLHTRSSSLSLLSSPDHGITSSVSYD FGIVAHKNDKIRIRELEQQLSYKIREIEALQAKLVALKSQCKIETQQADNASKAKQHL ESELEDLSRCLFEQANAMVACERRERDAAEQRTRQVTHELGLVREQLDHEHTQLSELR HRLENESSHPSSDINQPSLGHLIPIPRALSVFEAFLSQLATTPMDQINRLYFIKQCVE RDIEPCLRLGPKSRLSVRRLLDTIVHQPCFIERHDRLLYQTQQNDHRTSFSISNGTST LGRKKSVSRQSVEMFHCFGCGLEIKLTGSVVGCSSSSKDVFRFRLRDQDQEWQWLDRA CRDRLVAVCDFYVFVRHLRLGLHGPRPIQSLFEESVWLRLGMFWARSGLALSDQERQY GCPPALMRQDSSFIDVVLKEDCQKLLLDAIGSSPIAVRHTTTTMHLSDIFIFFVVTLT LFSPVLAALGDPDAGLILHGDDSKSSGVLGADHKCTTFSNTFTTKKVENKGSTHCALW TERNCQGSLYIVPAHYTIDMPSANIESIVC PHYBLDRAFT_133556 MSAPAPKKLWGGRFTGAVDPLMDAFNASIHFDRRMYAADLVGSM AYSKALAKNKIITEEERDLLLSGLEKVMGEWESGVFALKEGDEDIHTANERRLGEIIG GVAGKLHTGRSRNDQVATDMRIWLRDEASKILAHLKELISITVSRAEKEIDVIMPGYT HLQRAQPIRWSHFLLSHAWLWQADADRLEQLIDRFNVLPLGSGALAGHAFNIDREFLA KELGFRDVIHNSLYAVSDRDFVAEFLFWSSLTMTHISRIAEDLIIYSTGEFGFVKLAD AYSTGSSLMPQKKNPDSLELLRGKCGRVFGSMSGFMMSYKGIPSTYNKDLQEDKEPMF DAADTLSGSLQITAGVLSTLDIFPAKMKASLSADMLATDLAEYLVRKGVPFRETHHIS GAAVKMAEDRKGSLDQLTVADLKTLHPSFEDDVTSVWSFETSIENRNTPGGTSRSSVQ GQISKLKTWLSSKRNL PHYBLDRAFT_64736 MNSLREHDDPLSSTFFDTTSSYLGSMNESQTVSSSLYAPQYDYD NDPWGTVHSLPLRFNQWNTISTLPPFSVDGIKLPDVYNKLFSSCQRSGRVSLVSLGKL INAGHLSAIETEKILQIVSSGQSFVSRQEFNLALVLVGCAQHDMDLSLENVNRHREGL PVAVLDLGLDEPKVPPLGLASNTPNLLHSAEQAPLPVQTSQPPAANPNPNPNPNPINT TNWFQQLEEVKVTIAPEREGFIFKHVNYIVESQKRSSIVLRRFSDFWWLMEVLVRRYP FRALPSLPPKKMGGRDGAFLERRRKGLSRFMNAIVRHPVLRADEVVARFLTEPAELAA WRKQNPPKLDEEYRRKGDSVQTDLTVIPEQLDEHIQKAKRRVGASIEHYINLCHLMER MVRRMHGQATDYVRYSIALNSLAESEHRYHASECINCQRVVKGYELVAKHMQCESSLL DNQVNVTADGVLENLKRFRDLLVSFRELDERKERLAGNQIEVLSKRIAGDRTKVNQHK GVPGLEAEVERLEESIRNNELQVHDQECRRQFIRHCIYGELIYLHKQQAFVSSLYRDY VRDMAQFSRQRAENWQSLENPILEMPTDVNLFD PHYBLDRAFT_186924 MQPSVSTDRHRRSRPDRFNLLYQKYIHAIIRSIDYDKMPEYLKH VAQTHDETKAAQQQMQDYIQDNLEATCEHLKLKYQLPEKIADLERLIKDAREQGDQAQ GQMIIPQSERVRRALAIELKRRELSRLQAAKEQIIQESKTTMERISEKKRNVRALHNR LEQDMRCFDEAINMAGSIQVDRLISTMDSLVVSTPFGETV PHYBLDRAFT_181357 MDFEDLMDMEWLNNHTEDMPIKEEPLDSYYNFQNYYEEPECSLA GLPYLSPGAGSPELADDEGPPLIMPTTDQIRQLIDMAKRQLALREQEQSAPPTTPTIT TTALPASSTAPLLFSEPIQPPQTVAPEDLIKTEPKRSRRDSSASACEDVLALEACAEA DGIDIKKLTPKERRQLRNKISARNFRVRRKEYITTLEGQVDKHKKHAERLQDRLGSVE DENRQLRTEVDTLRRQNQMLQKAAATSSSATILPSSVELTRTPSSPRPGGLKPNLRKD ISILGTKAQDYRQDNYILVSNAIMPNWDLDAILSQTPTPVQPTHSSSLSMQAAAGHFI ALVAQMATHIPLDQTITKMPSYYEEVYDGLIMTGLIDNVQSGSNVTDKSFWWWDAQPQ HA PHYBLDRAFT_77831 MLRILSRCAPSSLRSGLCRTYNTYNAAVANLTVQQEELRENVYT FAQQELAPKAQDFDKNNEFPMEMWKKFGDMGLLGVTAPEEYGGLGLGYLEHTLVMEEL SRASGSVALSYGAHSNLCVNQITRNGTKAQKDKYLPKLISGDHVGALAMSEPGSGSDV VSMKLRAEKKGDHFVLNGNKMWITNGPDANVLVVYAKTNPEAGPKGITAFLIEKTFEG FSTGPKLDKMGMRGSNTCELIFENCKVPVENVLGQEGKGVYVLMSGLDLERLVLSGGP LGLMQNALDVTVPYVHERKQFNVPIGEFQLIQGKLADMYTKLNASRSYVYSVGRACDA GHISNKDCAGAILYSAERATEVALDAIQCLGGNGYTNEYPTGRILRDAKLYEIGAGTS EIRRMLIGREFNKQFK PHYBLDRAFT_168218 MSDAQYKAALEGLDAETLALLEGEDIYPSPGPEINSTEEESPVC QRCHKLKHNNKLTTESSPQFLRETQQYGSLDFLKTKRDPLLVVVIDLTDLPSSLTPVV KMLNGNPSARIVLAANKFDLLPGRARLHEQRLRDWIVHQAKLTGLPTQQIQWVSLVSA RKGWGISGLVRRLGEARLPTDDIYMVGCTNVGKSALVNQMLSQGGRGTTGVESAVARA YKASVKKRYSITSSAIPGTTMGTIKVPLHAFGLGHNEEGEDWMKRRFITRDRFLIDTP GIINDHQLVHQLSAEDQNALNKSELIPITFRLQPSQSLLLKPLVRIDLRASSEPVMMT VFSPLEPHVTKTAKLPLDPFAPTGETGVAPIIKPNSSMAFTLGMKPLDGTVRVVNNGN PLQASVDLSFAGGGWVAVAGRFDEADFKVWLPNGADPKAFDVRDPPMLPFEYKGNVRK FFGSGHRVR PHYBLDRAFT_181359 MSTNEQTPIALKEEIVFTTCEDLDNDNALKVIQQHDTILNGQIK ADLTLQPSPILNHSPETSNSELPEIDTAPTTTICDSIVSEENSNDALMDTVQVPPVSA EKPITSGSMETDVEDFVTSIIGGNAPTENKNAEENATKDVLDTAIAAADVTTTGYESS DLEMSSDEDSDSSDESDEEVSGEAKTELYDDEDEEPAGTTELRTTNEIVEVVIEKPNY ILTPQTEIIAIGTIHNVINNVIVVQTTPGSVFALDIGTLFVYGDRELMGEVFETFGPV QRPFYSVRYNKAEEIDKERAVVGARVFFVPSYERTNVIQVEALKKIKGTDASNIYDEE AGEDELEFSDDEKEMEHKKSLKAKRKSRASERNGKDRAPKRPAHQSLPATGTDDFSAE MADYQGYSNYINQAVAPPPGRQVQSYADISNPNSSNTHY PHYBLDRAFT_64743 MAGRILDNEFDNYSYIVGIDFGTTFSGCSYIYIKSSINEIFDIT DWPKRSGYIYPKVPTVLFYEQNSKNLIAWGYDAIQRAKRPDTMGVLIDKFKLHLDHSQ TYPALPNGLTVLEVITDYLREFNLYIHTCLKDKLGVIYNSSNFKYCLTVPAIWDDQAK AIMREAAVLAGIVDRSDNPDRLILTSEPEAASLYCEKKSGQFNLKHGQRFMICDAGGG TLDLIVFEIDTSSGDASLREVTKGSGSTCGSTFLDRNMRDLIIKRFGTYADGNKEIID NLIDHFVASTKPQFDDEDDEFFTIPISLGLNEEILANIGVADGRLQVTVDELREDVFE PVVKQVLDLISDQINQSRTQIDAIFLVGGFGQSRYLGRRVKEIFKPKVGSIYVPSRGE MAVVRGAVMFGMDPNKVTHRILRRTYGLRCGSIFDALRDPPEKKYIDYDGVTRCHDRF SIFATKGESMAVNKCVSNEYFVFYPGPFNSDLFAYDFDGSSPRYTTDLGVRKVAKFSK ELSIFTNVKLGDKIFFTTNMYFGKTEIMIETLIRGEKFTYTSSFASHELEKTSS PHYBLDRAFT_145255 MASKIYEFDFDEYPYVVGIDFGTTFSGCSYVYNKDRLDEIVDIT KWPKQGCAVYPKVPTVSLYDPESNELIAWGYDAIFKANKLNNKGVYVKKFKLLLDPSN SSSTTLPEVISDYLCELYSYIHSSFKNSLGVVYNPSKFRYCLTVPAMWDDKAKRVMRE AAILAGIVRRSDHPDRLILTSEPEAAALYCESKFNQFNLTKGNRFMICDAGGGTVDLI VFEIDEMFGLRSLREVTKGSGSSCGSGFLDDRMREFLINRFGEHSESNKVVIEQLINQ FVIATKPEFEDEDDEFFVIPAAHSLDKKTMSEIGVVDGRFQVTVDELREDIFEPVVKQ VLSLISCQLSQSETRLDAIFLVGGFGQSKYLAKRVKDTFETQVESICVPSRGELAVVR GAVMYGINPNRITHRVLRRTYGLEVHCPFDESEDSIENKMVTSDGAVRCWGRFNVFAT KGECIAVDSCVEREFFIFYPDNFVSDLFAYDEDCEPPRYTTDPGVRKVAAFTTKTPVL PGIKYQQKVYFTTSMYFGRTELRVEIDIKGNKFIFTSDFDSHEL PHYBLDRAFT_145256 MTSSDLEFYPEDFPYVVGIDFGTTFSGCSCVFADDNIDEIFDIT EWPRKSNFIYPKVPTASYYERDNRALVAWGYEAINKASQPNIIADYLRAFYKHVHEQF QTRLGAVYDTSKFRYCLTVPAIWDDRAKATMREAAIIAGIVSRSDHPDRLMLTSEPEA AALYCEKKSNQFNLSHEQRFMICDAGGGTVDLIVFEIEDSSGVKSLREVTKGSGGSCG STFLDKNMRKLLKKRFGSHAKNNKAAIQYLMDHFVTTTKPEFENEDDEYFTVPAVLNL KGGKMSDIGVDDGRLCVSVDEIREDVFEPIAKQVLNLISDQINQSQKQIDAIFMVGGF GQSRYLGKRVQDTFKDKVGNICVPSRGEISVMRGAVMFGVNPGKVSHRILRRTYGLMV SLPFDPLLDPPEKKYTTRNGEITCDDHFSAYATKGECVAMSHCILKRMSLYPRGKLVN DLYAYDMDGKPPRFVTDPGVRRAALFELKLPVFQDVKPDEKVFFTVNMYFGKTEILIE VNNQWT PHYBLDRAFT_145257 MALPAKVPDPPIGSTTTLGQANITTPQPSTTTSTTTNTRSYLDV ATATPAPGQVPVVLFSNLPTSTDRVWRESTSRHSVFFTPPTDSTLTSEFWTALRASVP TACTLGISFAHRQPLIHELHLTNSTICTELCSKGFLVGGQTYFPSMGIAPGTKILRIS LSQLPYLPSPLLEEAIKTALAAYGTVREVGLHLRANFFDGTGFAYLERPPNPDATLAK LSYKIPYDGDLYFLGTWKQMGIHYWSLATCLPQSTPTDASSSKRPRKVPTTHESPDRS RKTTPGASHRPPAKKSIPPRGTPTMAEGSQATITTSRTNSSTPRRPSVPAVPTSPRQS ANRFAALDGLTDNSRTGALFDPTLPLPQTHNTQYDPVFHPLHNAFLPSNYTSGSTKDE EEFHPSAFDGDDDQDSQNILTDDEMADGDHS PHYBLDRAFT_145259 MLDFGTTFSGCSCVFADDDIDEMFDITEWSRRGGSIYPKVPTAS FYEQDTKTLVAWGYEAIRKANLPNSKGSLITKFKLLLDTSIQTSQNLPNGLTTLQVIA DYLRAFYKHVHEQFQTKLGAVYDNSKFRYCLTVPAIWDDRAKATMREAAIIAGIVSRS DHPDRLMLTSEPEAAALYCEKKSNQFNLSHEQRFMICDAGGGTVDLIVFEIEDSSGVK SLREVTKGSGGSCGSTFLDKNMQKLIEKRFGSHAKNNKAAIQYLMDHFVTTTKPEFEN EDDEYFTVPAVLNLRGGKMSDIGVDDGRLCISVDELREDVFEPVVKQVLNLISGQINQ SQKQIDAIFLVGGFGQSRYLGKRVQDTFKDKVGNICVPSRGEISVMRGAVMFGVDPAK VSHRILRRTYGSKVNKPFDSLLDPPEKKYTAADGEIRCKDHFYIYATKGECVAMNQCV SKELFIYYPNNFNPDLYAYDIDEKSPRFVTDPGVRKVTDFHGKTPVLQGIKIDEKVYF TQNMYFGKTEILIEVKMKGHTFLYTSAFDSHELEKAIV PHYBLDRAFT_145260 MRLAYCVLLISSPLCSIFQGFIETQEHFLLSYPLKSAVWLGICL EFFVTVPPPSTLSVFTSFSLASYAGPHYSSRFGFWMAHIWYLRPPLDIPLQFRLISTF FGSCNFSQINLLPLR PHYBLDRAFT_145262 MLDFGTTFSGCSYVFANDNIDERLDIAEWPKRGGSIYPKISTAS FYNTTGKKEVPLGFSLRAAQGGSLSTPS PHYBLDRAFT_145263 MLDFGTTFSGCSCVFADDDIDEMFDITEWSRRGGSIYPKVPTAS FYEQDTKTLVAWGYEAIRKANLPNSKGSLITKFKLLLDTSIQTSQNLPNGLTTLQVIA DYLRAFYKHVHEQFQTKLGAVYDNSKFRYCLTVPAIWDDRAKATMREAAIIAGIVSRS DHPDRLMLTSEPEAAALYCEKKSNQFNLSHEQRFMICDAGGGTVDLIVFEIEDSSGVK SLREVTKGSGGSCGSTFLDKNMQKLIEKRFGSHAKNNKAAIQYLMDHFVTTTKPEFEN EDDEYFTVPAVLNLRGGKMSDIGVDDGRLCISVDELREDVFEPVVKQVLNLISGQINQ SQKQIDAIFLVGGFGQSRYLGKRVQDTFKDKVGNICVPSRGEISVMRGAVMFGVDPAK VSHRILRRTYGIHISKPFDSLLDPPEKKYTAADGEIRCLDHFYVYATKGECVAMNKCV SKQLYTFYPDNFNLDLFVYDISERSPRFVTDPGVRRVAIFECKPPFLQGVKTNEKVPF TANMYFGKMELLIETSHLDVTPGPAPKP PHYBLDRAFT_124787 MNFDEIEEQDGIRFSWNAWPSSRIEATKAVVPIACLYTPLKERE DFVETPIWYEPVTCKAPCRAVLNPYCQIDFRSKVWVCPFCLQRNPFPHHYKDLSNTNL PAELLPKYTTIEYNLNRVAQVPPIFFFVVDTCLEQEDLDALKSTIIASLSLLPPYAWV GLITFGTMTQVHELGFASCPKSFVFRGTKEFTGKQIQDMLGLSGGTVRPGLPHGAPGK TPAMTAPANRFFVPIKDCEFVLTSILENLQKDPWPVTLNKRPERCTGVALSVAIGLLE ASFPNTGARVMLFSGGPATEGPGMVVSTELREPIRSHNEIEKETARHYKRAVKFYESL SKRATANGHTIDIFAGCLDQIGLLEMKSMVNTTGGFMILSDSFNAAIFKQSFHHLFQK DSQGHLQMGFNATLDVQTSRELKVSGLIGHATSGNKKSTYVGETEIGIGNTSSWKICS ITPKTTHGIYFEVVNQPNAQFQPGSRGLIQFSTHYQHSSGQFRLRVTTIARNFAEGQS PETANSFDQETAAVLMSRIAVFKGEIDDGPDVLRWLDRMLIRLCQRFADYRKDDPHSF RLSENLSIYPQFMFHLRRSQFLQVFNNSPDETAFYRHALNRENVDNSLIMIQPTLTSY GFDIPPQPVLLDSVSIKPDCILLLDTFFHLLIFHGETIAQWRLAGYQDQEGYENFKQL LEAPILDAQDLLIDRFPVPRYIVCDQGGSQARFLLSKLNPSTTHKSNTPYGTPQGTAI FTEDVSLQQFMEHLKKLAVSGAS PHYBLDRAFT_155345 MTDISDINVDSIIERLLEVRGSRPGKQVQLSENEIRFLCTKARE IFMSQPILLDLEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLE TICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKIFTDCFNCLP IAALIDEKIFCMHGGLSPDLQNMEQIRRVMRPTDVPDTGLLCDLLWSDPDKDISGWSE NDRGVSFTFGPDTVTRFLQKHDMDLICRAHQVVEDGYEFFAKRQLVTLFSAPNYCGEF DNAGAMMSVDESLMCSFQILKPAEKRQKLPYGGVGSQKGGQKGKKK PHYBLDRAFT_20429 MDPHGSPSLHKSSYTQSIFNSINILIGIGILALPLGFKCAGWGI GIMVFAFCCGLTNYTAKLLAKCLDADPDSHTYGDMGAAAFGIRGRIGVTMLFITELIT SSVALVVLLGDGLDSLFPGYTTLEIRIFSFFLLTPMLFLPVRHLSYTSLLGIISAFSI ILVIIVDGLSKRDAPGSLHESADTEVWPSDWMTVPLSFGLIMAGFAGHAVFPTVYRDM DNPKKYTTMVNWTYVATTIVYFGVAACGYRMFGSQTMQEITQNIMAVPEYNQTLNRLA VWLIAMNPIAKYGLTLNPVNLSWQIFLFRNSPVEGWCARGAWREPIITFLGKILVSMF IILLAFIIPGFDKVMSLLGAFFSFMISGIFPLICHLKLFGASISPAERSLNYILIAIA SSMALSGTLWSFL PHYBLDRAFT_158796 MRQSSLSEELSAISHEYDYGIVPKSAAVFMRDETNGIGRLDLTL LEDVMIVIEVSNQGYKVTSVLPASDDIACKKAAKQVELHVDQLYETMESLLMSLSPMF CERFQQVLFEKLEAVHNQRQEHELSNTTFEPNDFLNSHDSP PHYBLDRAFT_145268 MNQPEHDDAEIDEGRDALVNNVIQLQSQLRGLIARVDSAKNEHH SLLTENQMLQKYINNSLTSTAVFGATGGAVNPAMAQSKSGPEPPR PHYBLDRAFT_168229 MDPLYQRLLKKGFADSVSAIEYCRDVCAEYGFTIKQEASANKNI YVYCSREGLPDSQRNPKPSPQRKRPSKRCDCKWRIVLSENEQEQWEFRKSLTATALEH NHEMMSPDEMVKAWPPEVSDMIIRLAQQRLQTHEIREAVKQNFPDITWNERRFYNRLT EERKRIRQRGVVDRSQRLLLLSARLCSVVAGNEGWAICVENDLVRMFDNFCQLTRLTP EAIESLVDMQQNQIQSDMSGERSLQPHRLVGNSTGDSLSIADPSASIGMSSNSDLEDH CSGTPAAKRRKSNFRSNESPKGTQVVTVPSYTLFVRSQPLRSPSDSSSQGSRRTMADS PTGLESHSPQNGPPTAFNGPSAASFFALTSPTSSSSSTSNMAFSRHRIDMPQQRTSFS PYSMSATSFSSPPDMPFNFDGASIMAPPPSSSNIFGSMHSTPQPHQTAKVHHNLVPDR HEHTHPHEHRTPVFGYYAVKNDVESAVLQEQQRAIMRQQEHEYDQRVQRGYPQGFTTL PMIRANNEDQQASPIVVATPASQQWS PHYBLDRAFT_145270 MKFAKQLETESEDIPSAWRPYLIQYRTLKKLVAKVADEIENRGL SATFLRETLCKPNLDQDADDHASVPKINYYFTGEPPNVRPCIEFTYNSHSPRIHKLLT RLKDKDFISDDESQDDNDQETSNGNKAVKISKPASKAGTPGLEYKGSQNDTDFFSLSR RVSNPSIRPEIEYKGTQNDTDFFSISRRGSHSSVTIDDDNRLSRRESDTVILIKELMN LAVHDQERQHMMHAIAEEGLNIKETQNTGEPDEIMDCDEEISTDDPHMKTLVLELEQD DEFFYTLMMEMDLASKLQATTSDKFKLDIDGLESQMAKVAAPSEKDDMYTWRAIFNIY MDAQIFKGKIESDRTMRSVQKSKQQMTWFMNQIESKRLATKLKSKSSKIAFEQFIGVN TALITMKHYQALNHTAMTKILKKHDKRSGLTASQNFPEIAKVKKFFDQKMAHILCALL TEKLISIILQPEDFSCPVCMWVAWRPIRLVCGHVFCVRCLIKQQRAHMDSCPVCRHPT AVKLASAIDLDLPRQNLLKQYFPKEIKQKRRENEREQAIEDVQAMTGRIYTEEQLMQM QRHPNVLLPPLSLLVALQSIQMGCDK PHYBLDRAFT_112411 ICLEPHCILSAASILQDVNPDLDPCDDFYAYTCSNWIDNHVLPD AKSKVSVESLAKDRIKQRLNQILSHSNPLEKSLSSSSSLPRPDRIVDSQIFTKLTDFY GACMDEDTLDKRGIKPLYDQFRHIQGLLPASYLKQATLDPPFVQSLTEAMSHLGKQHI WALFEIRVVPDPHNPAKTALSLWQGEVGLPSRDYYDDPDVFSTYTKVVTELLELVFQK DTTGEFGWKSWSPVATARRILEFEKKLAQAKYHDDTIAVGTNRDGLNNGIIYERWSLE KLHATAPNVNWTLYVESMLPRGVPMPDDVLVPLPRFITSLSEDVLGSTNSRTLQTYLV WRTLWKYLDTLGEEFLAPRRKLEAKLNGVEARAKPERWETCLSHVDSSIGFLMGRYFV LSSLDSTSKTEAEGLASTIVTAFVDSLQGLSWVDDKTRKEMISKVQSMNYQLGYPSSF PDTQSAISLAEFYSSVNVKKDDFFGNVQSANEWAVKRQWAQLGKPVHNGVWDVNPQDV DATYSRKKNKAIVPAGMLQPPFYDSQGPDYLNYGSLGWVIGQTMLHGFDLTGRQYNKE GALGQWWSNHSMSEFNNRTRCFVQQYSNFTLKGPQEDIHQVDGERTLDSNLADNGGLA EAYSAWNTRFSQGRNNAHLPGLVNWSVEQLFFINFSRMKCSKSTPESDVRELRTSESA PDRWRVNGPLINSREFSKAFQCAVGTPMNPHAKCDMW PHYBLDRAFT_155347 MTSLFRSEEMSLVQMYIPSEVAHSCIAELGELAMVQFIDLNPDL NPFQRHYVAQIRRLDAMERQCRFLQTQIEHAGITIQTLKNPESTRARSAQQVDDLEET LGRHESRMVEMNVSYESLQHRFLQLTELRHVLESKDRRHAVDTLDDTAPLLNSTSDIS LLDMSSIYPGHVTGVIERSKVATFERVLWRSLRGNLLMYSVEIDDDPSNERSTEKNVF AIFAHGLQVVNKIRKISEGLGGTLYDVADEPDKRRDTIIDVVSRLEDLSTVLVNTENA RQSELVKIAKQLAAWTTMVRKEKATFHIMNLLSYDPDRKCMIAEGWCPTSYIHIIKTS LRETVAASGTNLSSILTPISTHAAPPTYHRTNRLTSGFQSIIDAYGIARYREVNPGLF TIVTFPFLFAVMFGDIGHGFLLFLFALYLVLNEKRLSSNKGEIFSMFFSGRYMMLMMG IFSIFTGIIYNDIFSLSLSLGKSGFDWPRHNGTGPIEAHTNGHVYSFGFDPAWHGSEN FLLFSNSYKMKQAIIIGVFHMSFAICLNVFNHIYFGKKEFVWLEFLPQILFMESIFGY LVFCIIYKWSVNWWEVGQDGQLLRNAPPNLLNMLIYMFLTPGTVDPKEQLFPGQGPLQ AVLIVIALICVPWMWFAKPFYLKKQHENHRYRTVANDEDDHNSAEFDHDMTAETEEEF EFSEMMIHQTIHTIEFCLNCISNTASYLRLWALSLAHAQLSSVLWDMTLKIWFNLTGF PAVAGLVIGFSMWFVLTVGILLGMEGLSAFLHALRLHWVEFDGKFYMGDGYIFEPFSF KALVDSEE PHYBLDRAFT_95741 KVVYPFNGENDDELTLRTGDIIRVLNAVDDGWWLGEIDQRRGIF PVNYTEP PHYBLDRAFT_181365 MSSTALIVGCVSFADDYLKELQKSHTITYSTSRSRQEFFDDCAG KYKDVTAIYYSSESHAVTGVFDKELIDHLPRALKFITFCAAGYDSIDVTACTARNIMV SNTPTAVDAATADIAVLLILSCCRNANQASENIRSGRWRHGMAMGIDPEGKTLGILGM GGIGKTIAKRMSGFEMKIIYHNRTRLDKKTEEKYNATWVDFETLLRTSDIISVSVPLN KDTTSLLSYREFTLMKDGVVLVNTARGKVICEDALVNALESGKVVSAGLDVFEGEPTV HPGLLAHSRSVIFPHIGTFTKESQKKMEMVALGNLEAALTRNTLITPISEHKKFFKN PHYBLDRAFT_168235 MTFITTTKTTTEGFANKIYTFDLPIHMDAIKSIIRQQVENQRLE RPHIVCGVYTNPPVNPYKHFLPSPPIETTGEKTIKSTVDHLVETHSKKDGVDVLPEVF NETKIQDKIKALEEEKHALFQHLKTLLSSQSSQRKPSLEPTLEQRQEPIKPSRGNLRS NSRENVNSRKSRSRSVSHPDYKFTASRYTPYKSTHRQDFFDRTRNYDRQPYHLSHIST LPDTQLLTHHALLAMPYMSRRMPSYSASRQPESVRLPFRSSRGLDRNTPRY PHYBLDRAFT_186934 MSDVNNNSMGPVLPADDEARAVFHEIKEVVVDKLHELNHEDNVH GLHEMDQLKRISSFKLVEYAVEEVAYGFNYFGKIDLGDEKFIHVRAHKYHDGRVEFYS ILTTPETAIWTREEPLVLSHRYQPSTSTHSSGSSASSTRPALPQILTHSPSNAVHLSR STARTSRRVRSHWVTHFTHSWRRSSRTSKLYLASSLTLVALQVIACAGVLAFSWNMYC DRPVRIFLTVHLLRLSLSSPITIYLHFDPRQTPQCPSQMERGEAYPMAEQGSSTGQVP NVQIPPEILPENGLGSWVDRIKGSLDFFAVLWFVVGNYMIFSSTTCVETATPLYYLAL AVIIYGYIILAVPIILCTSVIFCLPCVLGFCINTIPVGMRLLHVSDGVKMGGSSVEEI STIPIYRFKSSKKPTVVRPPKLPGLLPVQTMTIDPVLGERNSIQVKSPPGWLDKLWLY IGLVEEPLSKDSPEQVYDVLEIPDEKDQVCAICLSMYEDGDILCKLWCTHHFHKACVY EWLALNYRCPMCKQDSRGKKSSTVSE PHYBLDRAFT_145276 MSFTHARFQSTSENESGKYGGLPAPLIEFAPSKALKRLVLGGTF KPHKHMDIKSISVLRFDPEKSSLVKQVLKGLFGVFRAIFNW PHYBLDRAFT_158799 MARAHTDSAVGGVNTRPTHEKALDDLCKIMPHIKRSVLAEYVGE AGGDYMKALLLCKNAVTAGKL PHYBLDRAFT_112460 MSLENTGLLVEPKPLRPLRPIVQSTEANPSRQGTPVDMDGLSWP SKGARDRRDESEEAKAERVRKIAGAVETILTCIGEDSDREGLLKTPERYAKALMFFTK GYEENIKDVLNAAVFEEDHDEMVIVKDVDIFSLCEHHMVPFTGKISIGYIPNRRVVGL SKLARIAEMFSRRLQVQERLTKQVATALMEILQPQGVAVVVECSHLCMCMRGVQKPGS ATTTSCMMGVFRSNPKTREEFLTLIRR PHYBLDRAFT_168239 MLRLPTEVLLSILHLVKQDNHLDLSSIASTCRHFQALVADISVW AGAIKIDDNPYSPYSYTTLVKALDSADLIRTSQIAMALSDKHGPQFLNLLLSKQAHQT PLKSVLLYAPAAQHSQILQIFANKPTAWQECGLRDPENPFYVLDRPEKINWLKGLPYL HSLDLPACPAHWLLGSSFPNVHTLTAALLPWKAHLNEDPRERQQAFWTEFRASFPKLS NLTLYIADPKAFSLFVAMFSNARSFPWLESVTVVGLEDPKQYIEHQTLIDSLMRLEGL ARINAGWDLVALHKLMVDATEMSCLSILTGQVLITFSATLWFLSNGFIILILIIIIIL ILIVIIINFEVCHDYNCATLNHQGTKSPMDQWTNGPMDQCTDHQTRKQLSSKCTSIS PHYBLDRAFT_168240 MTKELDDHQENSPNEDTEIKGKTPSKENIEEWATFDQELQAFDS NISSHSEYLSASSQSNTDQYQSSYNFLQPSPDNESPKNVASDRHLDVQHSSPAPSQIP QIKPRNPLRIDTSRAGVPRINAVRADVALNRSESDPTDRKGKRPLRQVLNWAPSGSNP IKHRIPSVTSNPLTSGPYSNLCASQNDSDALARQARIRKAHMASAAAECMVAAAKVAK ARKIHAAADPSSVSSPRKNNSLQEVPSGSQSVAEVPEWSDSDTVTDSKTNSLESSPIH TPRQDDTLPKSPVSDTHGTLEIPVESYFEFSPEALGQAIVMEVDNRTIQRIVDRVLAD AALRYSSEPKEIVVDKSVAFIDPVDKQVEETHQKCEVSESPKEMLEVFDLSPSKDPIS TSEACDTNTIGVVKPSNISQHNKGNSVRSHSSQVSNENPKLLRNSGSTNSGTKVPSPQ EKVEEIKENDEATLLKMAFESARTNKRMSAIKELLETEESYAKKLSNYVRHFLFPIQQ KNNIPDDKKQILLRNCSQIHRFEEDFLVALQSAHMTKQSTLNGHIHTGNIIAIARCFI EWGPRFEVYIEYCVNHDDAYLLYKELLETSDDFSKMMAQLHAKNPVAFRGSRLTMTDY MMMPIQRLLRYRILLQTITKATQKDTVEYEELSKAQDAMHKIASRIDDEKARVQAVKK TGMFLSRIESDSSLPERWYNALGVCVLIGTLEVRSDTNSMRIKRLGCALFDNYMIIVK GKKPKRYQLQYWFPLRIFTVDPNGGLDHGWTMLSDRYTFDFGSVCEQERIIWVEALGK TIEQSKVRYEQLQEEDCLEHLFGSSFDIERPLHRRASTVHSSNSFASISSLASHKSHK SLSEAARMEADTILHSTIDETSIKSHSSFHDIQEFFANSVSGKWGYSKQVQVTQNQQA IDHRFEDVCTTPLLKARCQAIQDRASTFSSWRHRSYVSKTPSVLSFRHIEENGYFGSA SSSVTSSPHPLPSSNRATPVATSPQYRNFGDGLMSAIQRKTSLPIRPSVSTPKEKKMG HRQAMPPPPTPQRPQAIQNVSLPCAYDDENSLEKASQKKSMIFKLSQRPQKPVERSGG DKESSGMSRVPSAIFGKLAVRLGNLGAPLRQRSHASSSTTSVNVMALSLSSSECLEHT EGDNSGSFEKKKQRLSRLALSSRSSTMEQKASSSTKSVISQATGHSGLPPLPSSNTSL KLSVESRRSSKSGIVSSPDIQETGSTRAESCESGSSSNSLPITNTTEPRRFKNLRTRL FEFASPLQKRNKPS PHYBLDRAFT_133571 MSRHRAVRNLDLDDVLDDEYDDDYNENALDETELSNEDLEALDE GLSYVYSIVGDNCPLTDVEIKEALWYYYFDKEETINWAISTITERKAKEEKLKAKAAK AEAAVASKASKVNQQKEASQKKTVKPIKPQVTTHKDDEDVEYLSDDPNDQLSQDMVAL GLGDINASAKRVAQSKIKEAGSNISTPNGTPVDSRASSPLVKIHPSKRIDVLEEYAKR SGEKPKLNLVVIGHVDAGKSTLMGHFLYALGQVNERTMKKYERDSHKLGKGSFAFAWV LDETGEERERGITMDIAMNSFETEHRKFTLLDAPGHRDFIPNMISGTAQADVAILVVD ATTGGFESGFDANGQTKEHALLARSLGVQQMIIAVNKLDVMAWSKARFDEIVAKLGSF LVQAGFRKSNLTYVPVSGLTGNNLIERSKDAELLSWYKGPCLLECVDTFKPAVRLLDK PFRMGVTDFFKGGIGSGGGVSVAGPIDSGSLQIGEQVMVVPGGEMGIIKAMQVNDEPA NWGAAGDSVLMTLSGLDIMNLSTGCVLCSPLHPVPVTSSFVAQIIVFDIKVPITSGFP VILHHQSLNEPASITKLLSTIDKSTGEVLKRNPRHISKGTTATVKVQLANRAVPLEMF KDNKQLGRIMLRKGGETVAAGVVIEILTYGS PHYBLDRAFT_158801 MLGLAQVRQMEVKHTTTITTASLLQCDPSAGWPIDREGSNVGTD SDIEFWGPLRKRTIVDSFGGISCLPELLQKFYESKGEECSMIEAAIKTSRKAFVNGCV IDSTLDHNCVREAHNVRLQVQVDKNRNIHSAYSPVYKDFFGKVVVFFEHKLNNKRWPL ALVEIAAVRLVNNIPAINNGQMKPKVVHLADVKELVVLVKSDATINTITVTTYIVWPE LNCGPKLSLGSLADL PHYBLDRAFT_168242 MLISDLPFEIILHLANFLPTKDRLTCTSVCRSWKVPLQESLWRT VDICNKTKLDAICDITSDQHDIFKINGHRVHTLRLADWLSVNDRQFYTIQNRFQYLNR LYVRIKVLGDIGFDDTTDWKLSRHLSQLEIYIEGLTPVAKVNTLFKILSFSPSIIRLE YIKYYYPRGITYTLEDFEKLHTLLPQLQYLSLDAEFDIPFEDLTKISTIMPVNDLTVV KLRMTNLSLQSLYYFLHKYHKLRTLELDILSNSNRSHFDRNEILPMFSSLNSVFPYLE KIIIKGPTTSGFAFITLWKLIRQFDTPLKHLVYDISSRVFTSDRPAGAIIECIRYCST TLETISIFGDVRFSYPHMLPLALGLCHRLVALNFGVNSNSIALDDLLDHCTSLKRLRL SARRLYTKSKSPNGAPIHKLQILETTHAMIEVSLFSYLSCRCKYLKYLRMADAKVFGQ VSRRTGSLCIDMFNSRFELLQLNSVCFYGTNNFTCDEEALINLIALTGSDSQQAHSTN RRDTLMPINGSLWYHTHFHWGDKSESEEVQMLENKEAERIHRHFRNFRYKNEKISYQM ELQRYSHGIASQQSWKNDFSRGYVAFCCGYVVKAWLSCIQYTQYWHLLCMFHGMQQAQ QATQ PHYBLDRAFT_124799 MVNTKNGETSKPPAEKQQKNESKPVDETKGNQGKTDKKEQEEEL SEEDQQLKSELELLVERLQDKDVKLHRPALEHLRTLIRTSTSSMTSVPKPLKFLSPHY PLLKELHKSWSESGDKKLFADILSVLSMTYAEEGERAALHYRMIGSTEEDIGSWGHEY VRHISGEIMLEYETRTDEEKDTEDLLVLALKVVPFFLHHNAEADAVDLLLGLEAIEKL AQFVDKDTYERVCLYMLGCVNLLASPDDVLFMKTARVIYRKQEKYAQALNLSIRMGDM DLIKEDFNSCPDPLLKKQMAFLLARQQIHIETDDVELSECLNNAHLSHHFIALAQELA VLEPKVPEDIYKSHLENHRTAFSANVDSARNNLASTFVNAFVNAGFGKDKLVMVDEEE QSTSWIFKTKDHGMISSTASLGLISLWDVEMGLSVIDKYMYVEDDNIKAGALLAIGIL NSGVREESDPAIALLSEYMDNNSVVVRQSAIFGLGLAYAGSAREDIAELLLPIVSDAA LSMELSSMAALALGMVFVGTSNGDITSTILLTMMEREESELKDSWSRFMALGLGLLYL GKQDASEATLEALKTIEHPLGKQAEVLVEALSYAGTGNVLKVQKMLHLCNDHLDKEKE DDTHQTYATLGVALIAMGEDIGSEMSLRTFNHLMHYGESVTRRAVPLALGLLCASNPL VNILDTLSKYSHDNDSEVAISAIFAMGIVGAGTNNARLAQMLRQLASFYHKDATTLFI VRIAQGLLHMAKGTMTLNPFHTDRQIMSPVAMAGLLTTIIAFTDHKTFVLGKSHYLIY SLVTAMYPRFLITFDENLNSLPVTVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAHS ERAELATEEYICLAPVLEGIVLLRKNPEYMEEDKE PHYBLDRAFT_64770 MSMTNNEMTRTENWKSSCFSESVTQLQSPNPDCLCSSCGQSCLE VDHTLECQCLATNKLCVPNTAQFSTDIVNKRVLCKDPHSKLDLSCPRCSRPLVQEAGS ATRFYCHAMCRWCIQCTIDKTPNRHSQQTEMYYEYDRQYYCHYHFSMIPNSQCTSCSQ AILYKCVESVKYPNKKWHPKCYMIFKFWNVCFTDLFPSNTQPSDSDQLKKNQTTIEKK IDRIWTDLSAFEESSATCISDMLLAVAEGNLIKGVQMANHFVNHLHMLFTGLDTLNDL LVQQNLATINCEKEADIVCKQVLQFFNLLTQQNEGRISNKASDKHNVTQDLLPLVTGL AQNLKSLIRIGLTEALKLEYVCGIQAISSFLKSLAGLDNMRVWIAGRYYFKDAPLFLS DIEIARMYSIPDNCKACSLPIHDKHVQKGSNRWHTACFACKVCQRKLGKNLTKTREIG GTETFLFYFQCCTSHDNQGALCLVTPLIQQTMLLQTTLVSVYQSLCQTNLSKEPEKSP FKPSCNSSQELKIKKDINSPTKDSDKSGCVNTQHIAGVARRNTIRLGRIDEDKTATNN PTEQSGIKRASTLHEGSPKEAQRRNSARRRITRTSSIHSMSCKHPSDTLNTPDHTFDG SNGRQYFQGIFDQKKHERRCSFNLPLSPITSKHLFVNTMVYSDCIIKHAAILHFGSFR VPNISQESLIGLVEYKKSSVWGRILTHFRGISSSSRTTTDRSEKANFFNPPTITFKVP LSELVARIRPNLSSETVKGDGLTSYPPNFAPYLFNATHIPLFVQHCIIALFQTDLTTE GIFRKNGNIRELKFQCDAIDGGKPLSEVMDKASSIQLGAMLKSWLRQLPEPLLTFKLY DLFLASSRIENTASSKEFLHLVCCLLPKENRDTMQLVFMFLHWVSTFSDDNKMNIHNL ARVIAPSVFYSRTSSKNSHEQNHQSANDGIRVVEMMIMYQEDLRKIPFELVKIMEEPK LVGYVKEHGMDFNSKSFLKICHSKLTGAKFQPMDMGMSTLTSASNVSCQNTLETSFPG DTQIAMMTPLKRVYQKGWIMGKH PHYBLDRAFT_145285 MMQISSETVNMNELDQQASSKPKRQLKPFFRPSGIRSPYTLPTQ EPRSNHNTMVINPRLNTKLLSLSAKRACLEQNAPKTQAVPSGPLKHKPSQSSFFDKRT PQISSSKDNGWHTHADQSSSSTQSSNFSLNNSNNQAIHPAPWPDNTMHGNRCWKEEPK IFNKRTNTTHGNNLILVSAEAQASYFKTIHSSKNLESTHQTRLDDPLFNMCTLNSKQM KRFMDKVYNSHWKSDACINILESIFTTNQEELPKRHATEYMLWTEKYKPTKASLLLSN TRSGNYLKEWLEEMKISPVTMSTERRMNEYYHDIFDDIKEVQVDPDDDFLPTNKTSRQ ANLKGRKRKRINMSSNMIMLVGDHGVGKTAAVYGTAEETCYDVFEIHPGMKRAGKDLM AAVGDMAENHQVIFDSTIPLIRSEFKCGGEDVSFEETNIPSEYKKRDIKKKSPNKDDP KPKGSIMSYLQKISKKKATDLALSNNQHAPQQAYQDPRETATTITPMDQITRTNMRID LETMMPPRPLETRQSLILLEEVDNLFEDDKGFWPAVTNLAQKSKRPIIMTCNANRSIW LDIKSVPVNKLSLQAILPFKAPDEKRLLPYLQLVCFSEGYLVYPHDLACLIDVIGCDL RQLIYTLEMWCKTQELPNVQDPGSDKKRFYKLQGLFEHIMGHSNGNNSLNPCLYTLHS TYHMSSISIGYDPKAYKSDSTNICVPCLKDKTKKDCLPVDLKSMQRLLSIGSSLDIWT KFSEKQSFKEGLTLDEV PHYBLDRAFT_112566 MPRAVREAKILLAPMELYRQILRVHRCLPPAMRSLGDDYVKAEF RRHKDVDNPVHLIGFVSQWQDFNVPKDGWGKKMDSELYEKMSDEQIGQLYELRNELKP GSGEKA PHYBLDRAFT_133579 MENVVELINRVQDVFSTVGGNESLDLPQIITVGAQSSGKSSVLE NIVQRDFLPRGSGIVTRRPLVLQLITLRSETDGPDYAEFLHVPQKKFYDFNDVRREIE DDTARIAGHNKGIARKPIHLKIYSKKVLNLTLVDLPGLTKLPIGDQPADIEKQIRQLI LDYISKPNSIILAITPANSDLANSDSLKLARMMDPAGKRTIGVVTKLDLMDAGTNALD VLTGRAFPLKLGFVGLVNRSQQDILTNKPMIEALKAEEQFFQRHPAYQSIVNRSGTAY LTKQLNIILVNHIREKLPDLRSKLSSMIGQTQHELAQYGDPAFTGKVHSGSLILKLLT MFSTEFVASIDGTSSQISTKELSGGARIYYIFNNIFGHALDSISPCGNLTNEDIRTAI RNSTGPRCSLFVPELAFDLLVRPQIKLLEAPSLKCVNLAYEELYKICHTCGNKELLRF PKLHARLIEVVSNLLRERLMPTSVYVESLISTQCAYINTNHPDFPGASGAMAELEKKS KGGLKKKQNRTLNQGASGNPNQKHKENGVHTGSIYADSPPKDSFLNYFFGGAPKADRT SKDFQEKNPFGQLSQPNDIEGLDSPFTQHSISSESTIDPTPSSRSHQEDLEVELIRSL ITSYFNIVRKSIQDLVPKAIMHFLVNFTRESVQNRLVAALYCEELFGELLQEDSSISA ERERCKTMLEVYKRAFSIVNDAM PHYBLDRAFT_168249 MLMSSYVAIEVEWYGISIITWAKDKSIDKICEMFLHCETVDLVT ERYTTPIFEAKYRISLREVIPFSCVAYPDRDMHPNVEMKPQKIGKTFLSILVHWKRDW PHYBLDRAFT_145288 MGIDNSLGTLKSFKNIKPASCEWEFPSVLPSSTIQDSINNDSLN ISVHIKQDPANPKEHFLTAYIPESVVKETNYLPPHDATVYVFENIEETDLSEYQSFNC HKSKLTEASGWFKQVFNDAEGPTTNEAIIRGMDPEIFKRLLQYSYTLTCDIMNIEDAL TLIEAAERLEFNNLIKQGFQYLQTNINSSAIWNIWKVADVYDCKKTIEVCKDYLKRNI VSILKDTSWLRTDVDYALRALNVDGLPEAVNEAIFLDATLIWREWHIQEIERKTIYID SWQYERQEQQEREEIDHKFASMVRCIRFSQMVKEDLRYISNNIQYVMNVEGIPRMIAR AFRNIQFDSKETIPKEYQKRHIH PHYBLDRAFT_100869 KARKKVPITAQRNNTGTHFKRSSAETARLIRRFHVLNKELAKCQ QSNNPTDRTREKAIVEEMDRMGGIDWYQKASQLGQSKTRGGDSSKWLVKVLKEHCKES MDTATKPLHVLDVGAVAPDNYKPYSSWIKAKPIDLNPQDLAIEKQDFLTMPITSDKFD VVCLSLVVNFVGDPKDRGKMLIQTRNFLPPLSDTNRLHYLFLVLPLPCVNNSRYMSHE HLLTMMKSIGYTNCIKHHFSNKLAYYLFELTETPVTKKLDWKKKILPGREGGERNNFS VVME PHYBLDRAFT_145290 MASRAIKLTLYSSSTCSLCTTARENILRVQKKVNIHKPECPKEM ADKYIFDVPVVHMNDQFLMQHYVPEETLLEAIKKFESTGKVERVGA PHYBLDRAFT_145291 MAFSASSSRSSHLHFVLLYSPNSFSEERRPPTTTHTVLPSYAGD LVITDKILLQALLKMIQRYKYHITLHEHLLYLEFDKAK PHYBLDRAFT_64777 MIKLFEAIKFDRLAGDIAERTAQKWARRMKEDKDWDILEKQTNK INKSAGQLDEKHKIHLLNFYDSNPQARVVDAVCSLDERFESFTLKESSVRSFLKTEYN LSFKKTIRYPAPRNDPTKIANRIAWVKKWRKTDMDYLENCVFVEESVFYINMRPPGGW LIKSTPAIVITPTTIAVPHSFGKAPSKPKKPASKETITGHYMNFIQKTMNEMDCIPEM KGYYIVMDNAPIHTSNEIDTIVTERGYKYIYLPPY PHYBLDRAFT_20455 MTTRRAQNISEAKLNRLLELNQCLREQLDVPRITVSSASSSLIE YCKNTKDPMVPSVWGPIDKKEDPFAPAAGGGCCAVM PHYBLDRAFT_186941 MLLTLSKTNMVRATLRSSPVRFTPYASFHQASEATDTQNLIEKI VQKYALDLPEGYKVKSGDYVSIRPHHLLTHDNTGPVIPKFKSIGATKFYNPKQPVFAL DHDVQNKSEKNVTKYANIEKFAKEHGVDFYPAGRGIGHQVMIEEGYAFPSTLTVASDS HSNMYGGIGAVGTPIVRTDAAAIWATGQTWWQIPPVVKVELKGNLPTGVTGKDVIITL CGLFNKDQVLNTAIEFHGADALKALSVEDRLAIANMTTEWGALAGVFPVDDLTIDFLR KRMRRIALSHFENKNLPPTPPGQDFVHPRINESTIQELIDNPIKPSPNATYSKTLTLD LSTLSPHVSGPNSVKVASSLASLEEQDIKINKAYLVSCVNSRASDLRQAAAVIKGKKV KEGVEFYVAAASSEVQKEVEESGDWDILLKAGAKVLPAGCGPCVGLGTGLLKDGEVGI SATNRNFKGRMGSPSALAYLASPAVVAASAVLGKIASPGSVESGSKLSTAPVPSFSIE TNEQKQASGTETAAAEVLPNFPNVIKGELLFCHQDNLNTDGIYPGKYTYNDDMTTEDM RKVVMENYDPEFVNIVQEGDVLVGGYNFGTGSSREQAATALKSRAITIMVAGSYSDIF KRNSINNALLLIESPELVNDLKEQAGTDTLTKRTGWEVEIHVAEGKVNVKKDDGSSKL YKVGGLGKSVQEIWLANGLEGWVKNRL PHYBLDRAFT_145295 MNHLNQSEPLNLAKQDLIYTRCYCEENIYMLCKLISEKDEPSLE FCNVVFISNPNKTIPVWSQTACVDAYPVVWDYHVILYYYDNVRSVVYDFDSILPFPCA SEEYVKKSFYPNLVIPDMFKRYSRLIPAVDYLRGFASDRSHMLKDGLYHAPPPTYPAI KTPKNTMNLPEYIDMEYTVDPIYGVVVDENTFFKALQYRT PHYBLDRAFT_168255 MIRRNTRLRREYLYKKSLESKDRTIYDRKQQIKEAIEQGKPIPT ELRNVGDDLKKDLPFDQAQTEPSTHEDDEYARAGVFDPKVLITTSRDPSSRLQQFSKE MRLVFPNSQRINRGGHVMKEIVDACRSNEVTDLIILHEHRGQPDGMVVCHFPYGPTAY FSLHNVVLRHDIKDQGTVSEAFPHLIFNNFNSKLGQRATNILKYLFPVPREDTKRVMT FANENDYISFRHHVYVKTGKDVELAEVGPRFEMRLYEIRLGTVELTNADVEWHLASFT RTAAKRIEL PHYBLDRAFT_145297 MSSVHLDRMVDQVSELCSSETRADIRADLEKTLSVELTLNRIWD GLFIRQSNSNTLIILDSDDEEVATTSVESNHRQSIAIPTISTAKASGDKSSLDITTTP SSPLNEFAWDSDNDSLPSPSALVSHILSNKKKMRSAKVIEIDDLDDIEVRSSLPQPKK KQKQKEAAEYMPSYIWEWDDLDMDENVGSSSNSTPKNSSQKKREREEAKEERARKKKE EQEEKKRKQEALKAEKEAQKAQKKLHEQANKLRTNRSQALPEMIVYLDSDFEKSNVGK LLQTQLTEKDVEVHILSRPAPYTISWKRKQQAEWDEDTQQFIPFSSIRIKDEAFVLVF VTIERLCDLMETRSLESFVEIIQEDAGSKQILMMLEGLEPYFKKRILIASRQFQATVL ENMQSSQVGGAREEQRATQKSRKQPKKRTLQTLAESGPTKEEIEEALTLLQMMKNVMI VPTVDEVDSVEWLMTLTGNIAHGIYKARGAAGNHAPARAGADSEDNWSRMLQEIQLCT PAVAKSIIKAYPTVESLYRGYQLASSQKEAEELLADIEVERAALSGRDRFVNKAMSKK IYTIFMNDDPNHKIA PHYBLDRAFT_133587 MAFSPVSRGAECGPSNPMAGLMKQFQQDRSLQQDRMMGNQRGES SKSGFRTRPTQASIADRQFAGEFMQEDRAVERPAGVFEFNGLNREIDVINANSQHALS NDWANDFMQQPMMQHHPGGNFEDFEKIYQQNQPDHKWHDEFTAFQQNHPNEVAITDHE RHAFEHAFEEAKQGAHVNWEQEFAAQDSWANEFAEQEPITQAGDKEALARTAAMLLDS VRTEENPKFKNSNFMNLMRKLKDREVSIEGNKMVESRGEDWASDFAHGNMWSNEFSQK QERSWTSEFDQMDGESMKETNASSDAIDWAAEFTKHGPMDPAVLQKVLKDGTDTSDWI RQYQENIAHLRTAKDEDWDAMQKDWDTATGEKQGYRAENPEYDNYTFALNNPYLLNPA AINEEHISLADTILALEAKTQLVTSDSNAWQELGLRQQENERDSAAIAALKRAVSMNP SLLEAWLALAVSYTNESCREDAYECLEQWIANNNKYKHLAQNGRMPKTVEGRHEYVTN MFLEAARSFPGEEMDANVQVGLGVLFNVSEEYEKAIDCFKAAVQSRPQDYLLWNKLGA TLANSRNTSGSIEAYFNALEINPSYVRARYNLAISCINLGQHKEAAEHLLTSLALQQA GDSSTSASIMSQQKGGIGGPSGGMSENVWDSLRMVMYMMNREDLASQCDQRNLNAFRG VFEF PHYBLDRAFT_145299 MSSTLIQSLYNEENLLHITGFVAVGVAAKLVHTILVWANQGKGE DPWNREGFKKIPVPSGKYPYFGHVISMGKVPAFQVEKWHQESGPIIHITLGIQHWVII SDPFIAHELFSRNGIRASGRQRHFFTHEIYTDGGNRGILFNDTGKKWKNARGMALSIL SPKFVNRFTAVIEDMADDTLRTLKETSDKEGAVWPMPLLKLGTFSAITRSLFGKTAES LGEETFRTIAFLGEEVIRLAGPENDIDSFFPKFSWLTKLSSEKKAMENVVTKRDIIYR KLIKDAVEGDVDCLAKNAYALKDEYNLSDMDLIIIMSDLFAAGGDPIALSIAWLYAIL PHYPEIQKKMCDEIDQFIVKYGRIPSFSDREELPYVIAVMMENIRYRSITNFGIPHYA TADIEFLEYFIPKGTVIMNSMHAMHMNPTVYEDAQKFMPERFLGCLKSWTTLSNGNIK DREMYAFGWGRRTCPGSHFAEVEIFNMSVRTLARYTVEPALNTDGTPYYYDLDVVSTG LNLPPKEFRVRFVTRVDAPMIIPS PHYBLDRAFT_186943 MLVLYETAAGYALFKLVNDSKLEKADDIWKDFETPEQANQAIKL KAFKKFENTTDALSAVTGIVEGKVPKNLKKFLEGEISEKDMKKEKLIISDPKLGSAIN KKLGISVISDSTVLDLYRGIRQQFESLVSGLSPSDLSAMSLGLSHSLSRYKLKFSPDK VDTMIVQAIALLDDLDKELNTYAMRVKEWYGWHFPEMGKIIVDNLAYAKVVKMMGFRT NAHSTELSAILPEELEAEVKEAAEISMGTEISEEDIENIHCLCDQVISITEYRQQLYE YLKNRMNAIAPNLTILVGELVGARLISHAGSLMNLAKQPASTIQILGAEKALFRALKT KHNTPKYGLIYHASLVGQAGAKHKAKVARVLATKTAIALRVDALGDGDSPDVGTDGRI KVEARIQMLEGRVNARANKEKPAQKQQKFQFNKTAQYNAQSDVAMTEAPKEVEEPKKR KIEEVEVPEAKEEKKAKKEKKEKKDKKEKKEKKEKKEKKDKKE PHYBLDRAFT_145301 MAPAFKKKPKRTLADSPNKKPESQPQSKKQKRSPAVKKVTEIED DEEDLIEAAETGKYDDDAFDSEENDDWEEQSGDEDEEEAFEEDELMIDELLAEVGVKY EKHKALERALHHIKAIFDSLPEGKEMLLNAFKENMSKKHNIETPFPNPQPAPDANYKF KFEKPAAIHLIGGYALKSVAKLKEPFNVDVAVEMPASVFQEKDHMNNRYFYKRACYLA VLANAIKDSKKGFQVEFSSFNGDMRKPILLVKASGDKSEVDFSKTKCIIRIMPSLPEN TFPVNRLAPGRNNVRSGEEVNVEMLKPTPHYNASLLMDTSLTANLAFLYQHSKACGAF RDAIVLAKTWLHQRGLVSTDDIHSGFNAFLFSMLTGYLLQGGKTGGGKKLANGHSSYQ LVRGTIDFIATHNFEEDPVFIGTSDSSEFSAEAFKSNYDVVIVDPSGTVNLAAKMTLS TLAQLQHEAKIAMSYFNDTTDRFEALFLKNVKDIKYRFDNLLRINASGTPVRQYDRAA KADYASHLEFFAKSAGNILKKGLTNRTDLISVQYSAPSTWAIDSAAPDLDENAVITIG LVLNSDNAPRLVDQGPDPQQTEAAQLFREFWGKKSELRRFKDGSIVESVVWQTQGYEN KSLIVQKIVLYLLKFHLGISAERTHYWAGQLYPYINYSKAVPENLFSPELSLNDFQPL MAAFGQFSKKLRSVDSALPLVISNIYPSSASLRQTTVNLPHPADFSNISAYPTTTRYF DAIDVIVQLERSNKWPDDLEAMQSVKQAFYLKIAEQLKEVSGISSVPVQDVRETNPLA SCVYLDVYYFGFVFRCRLHLEQEGELLKSIVTSKRETKAKKALAKEALESYEMQFVHR RAHTYAMQALCGRFTAFSATVRLIKRWFGSHLLSSHASEEFIELVCAYAFLESQPWAP ATSAMSGFFRVLGLLASWDWQRMPLIVDLSGDMTSNVRDSISEKFNMLRNKNPQITQG AMVVATNNDLDGLRWSSQKPSKVVAARIQTLAKASCDVLDQAISSGEENDIKRIFVTP MDAYSNIIQLNTERCTRYFQSLHPQHKFMSTTKAAGSEMGDVVYADFDPVTEYVNEIE KTYGNTVLVFYNKYGGDKIALVWDPITATPKQWKVRVEYNSVPVDMTKRGVLKPAKDC KEVSKLAAPNFDAILCEIKRLGEGLI PHYBLDRAFT_145302 MDYFSKFKKRIVAPKVQPTSAMQLAKFHKCWDYVHNVLMMEQNA GKVHVDQTEIPENLRHMVDMLVDEEARQEDNTTGVCMEYLLKNGVLEYLVNVSEKVDS PADICGETIRMIASMIDLLDDRFLVHHAVHKPTIKLLRFCVLDDRQSDIYHEDLVDLM YIICSKIYGFPALLNIFFHDKQWLTTPQKSLHVRDSDSGTSDPKKPEYEFLLFTYLLR FVHREGRSGDFARTGLLFLMEMATEHLGDFILESDFASIMAAGLGALYSQLPRKLVVN DEDEINSSSASYLLGQDRHPRLENLSSAEQSNSKEFKLQLDSFLKLLEFCQDVLTRCP HREISVRLLQSVRSIFLENILYPSILECSDMDGSSVAVISYIDLILQTLQQDALAEVV VGFLMNEEDDIIESRLRENGIFLLAGLSLDNTKPSPYFTTAERFTLKDLIFTRLQSSS QSTVVATLKLLKTLIVKHCRYSLQLLAIEPDIDPKDHSEEKKKTSTWISHHIREMTLY FSLISAIDSRPKTLTLGYEEYLQDVEQSLESDCCYQSFTNKLPSQDTQGTSKSERRRS FKYGDWQGRVSHERQPIRNLYQSHNNVTRHRIKSADPLLQILLSLLSHFFTQSSELNL ALTSVVAALASCPYRSLEGWISFRESDQTTADDVLDLDRDEMSDSSEERGSIHGQDIY AHFDNGLVDEDDEDDDRSIDYGVEKTTQLRTPVRFKSYPPIFTLLRTLTQQVDYYRSE IDGFDTLLEERWQGLLLGEPEIDPRPTPSTSLSTRRMQAKDQPPEAPTPSRSLSTFFG VSPGKRRPPPPTTSSSVPTINPSKSLSSSTTAAALAAIRTHDTPLAVSVIPAIVSNPL SHLAIHAAKTQNVRIQPLFPSNFVSEEPILDLDEEDIVAFAPQAHPSKPKRNDKSTEI TLAMILNNVVILEEAIKELAAIMQVRRSLGIDAVRYT PHYBLDRAFT_64787 MWTQTYIDYLNNHIFVDKSVFDINIRPLVARFAKGTSEIATTSQ KPVSKGTIPGHYMLFLRNIRNLMDHYPEMKGFYIREYTSIYLPIYSPEINLIETFWSA MKFYVKERVGLVLMKILKTRIDEAV PHYBLDRAFT_168262 MALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYSQVA TQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPPKTSPNFDPFWRALLAA YPREVNMGITLGSRYSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGTIVR RVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHETYGFFDGSGYVVLANTPTDEVP SDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCTKRPAETRTCFGCNKIGH LQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPLIPTALSLTYGGSEASKH NPHKPALLESAKLTLPATLPAITTISATTTSSGPRPRSRSVDTPVKGWDDEIDDDMIT DFTDRVEARTLRLQNASRLSHLRFSRTVRPIGRNTSLSPPRFTPPHSKKALDAEAKIN Q PHYBLDRAFT_181378 MASTVYVGNIPANSHSDDLKEMFNKYGRVVMIEIKQGFAFVDME DRHACEKVIGHLNGTTFMGSEIRVEFARSETDRRYNGAVKGNCFKCGGVGHFLRECPS GHDGIRNYTRRSDYRDSANGAPPPPTVDRYVPEYRNMPVPRAPIDRYGDRPYERTMNT LDTSVHFNERYSRNNISDVRGNYPTRDFDRYGGTSRHYGTNGKNERLPLNYRRDDMIQ LDRPSYRNNLPPREERGFHDRMNVRGQRMTRTSDRSDLRRSPPRHRPLSPERYVSRPY RPLLYREPPPPPSSRYRNERPTIPSGQPVRRRSRSPPRPRGPRTPSPRR PHYBLDRAFT_124818 MNFDEIEEQDGVRFSWNAWPSSRIEANKAVVPIACLHTPLKERE DFIESPIWYEPITCKAPCRAILNPYCQIDVRGKLWICPFCLQRNSFPSNYKDISNTNL PAELLPKYTTIEYNLSRTAQIPPIFLYVVDTCLDETDLKALKDTLVLSLSLLPTYAWV GLITYGTMTQVHELGFSDCPKSYVFRGTKEYAGKQIQEMLGLAGATVRPNQAPVRPGQ HSQPGMAANRFLLPVKDCEFVLTSILENLQRDPWPVADDKRPERCTGVAMSVAIGLLE TAFPNTGARIMLFSGGPATEGPGMVVSTELRESIRSHHDIEKETAKHYKRAIKFYEGL SKRASTNGHAIDIFAGCLDQIGLLEMKSMVNATGGCMVLADSFNTAIFKQSFQRLFQK DSQGHLQMGFNATLDVQTTRELKICGLIGHATSAHKKSTYVGETEIGIGNTSAWKMCS ITPKTTNGIYFEVVNQPTVPFQPGSRGLIQFVTHYQHSSGQFRLRVTTVARNFAEGQS PEIANSFDQETTAVLMSRIAVFKGEIDDGLDVQRWVDRMLIRLCQRFADYRKDDPHSF RLSENFSIYPQFMFHLRRSQFLQIFNNSPDETAFYRHVLNRENVDNSLIMIQPTLTSY GFDSQPQPVLLDSVSIQNNVILLLDTFFHILIFHGEMIAQWRQAGYQDLPEYESFKQL LEAPVLDAQDLLTDRFPVPRYIVCDQGGSQARFLLSKLNPSTTHTSGTPYGAPQGAAI FTDDVSLQTFMEHLKKLSVSGAS PHYBLDRAFT_181382 MALFSPVKIGHHSLKHRIVLAPLTRFRASSEGVPTPDMATYYGQ RATNGGLLITEATFISQLSGGFPNAPGIYTAAQIEGWKQVTKEVHSKGGVIFLQLWHL GRASSSSMLPNNERPVSASELAIPGKNRFGEPNEVPRALTLPEIHATTQDYVKAAKNA LEAGFDGVEVHSANGYLLDQFINSSSNKRTDIYGGSIENRTRFTLEVVKAVSEAIGAD RTGLRLSPWSEFQGMEDETPYETWGYIVKELQTRLSGLSYLHIVEPRVKFFTDDRSTA TETLDPFRSVWKGAFISAGGYTLDPEKATSHSEATGDLVAFGRAFIANPDLVERIRHQ WKLTPYDRSTFYTPGNKGYTDYKIYENRQASL PHYBLDRAFT_145310 MTNRLYTSRDVASRFESVVRGESGFEIKNLQADNVAFKKHFFGA RTNIIIGKDGCVVLQRYVFVRANTSTSSWLPGILTDIHTNPFILSAEAILVDDSFKCK EVMDFGFVFGEDRSITIISFSKFGTYWSLISDYQYLFTK PHYBLDRAFT_145311 MEGLLHAFSYANKHLCSERMVKRIHYMLKLTMELQESTREPIVL PAPDRILNFQQRIKPKAQILSPVGYKAKDKKGEDHSFCMNEPSKDLKHLAVAPDMVNQ MSALPGFTAGLTEVISRFWFENHSSNKFKRILPGSSNTKKYLKMVLAPIFLWSDDTSD NKSKQYNVFYGYLMYMAAMPLEMKSRRENTLFICISDKNLKAFDMLGPIVDDFVKLEI GIEVFSYDHNEYVLLVVPLLLLIADNSRHSQLAMHKGTNSKELTHLHDVIQSKLPNAA RYKILTDTLSFSVNGSEEFLRLNSFDLTKDCPVEVLHTVPLGCIKYLVDYFMKEVLTV AERDTLGNIIMPSRNRNAYSRIFRNNLRHCGSFVGRDYKQLIQVLPTIISKLFLQSTV RINMFSQCFIYLGQLCSLIYLRGIESNYEQYIYVFRDTLSNFTDSLYVLDKHLCQTDA KSPKFSLRPKIHLLHHLLDDVQRF PHYBLDRAFT_186950 MATEEDVQTKLKACCAMCMGYLNDLKDTPQIEESKDFDSVKFKD TMAKLGQILSHDATKLTLACKPPRQPADAIKMIQEISNTLFRLLGFYHSIPISAGRAY LAAYTSLMRGVLQGTHTLCSTFSGDAPTFMIPTAVLWENCAALGKSPKNNEEAVRKLW IGFEETLKDAKSEAREMLNEEEDEDDFEDSEDSEEEEAKEKPTEDQIAAKKAITEKCV QLTDLTVLIYKKIEVRCLDLGSIEWLDRVSEHGNNVVDETDVLISQLYEEDADAMTVF VNKHVKQCIDLVKIAQEVAKDEHAKWFEMCATKLETLTIKA PHYBLDRAFT_64797 MSTQPKRTLDAFFRPQVPTVQPISFSQSVATPPPPPTLQPLPTP PKSFYRPTGPSTPNAILPDRVLFEGGHIPSRIITGGQAHRTHQNQSRHRLKSCNSCHL PLRPSPPSYIQPFRWTKTEIKTMMDKVYPEWESSSACRALYYDHTTISSNNNSSSTHQ PWAEKYSPANLDGMLANKQESIQLWDWLKYKKVSNRGRLVSEDLEMEHLTLMENEVDE HGFLTNAGFERFWGNPYEDQTKEKKPKLKSKQHGNDKKKTRPPETSLVLLVGPTGVGK TAAVYAAAKEIGYEVFEVHAGMRRSGKDIIAAVGAMTESHLVTFSKTGDKRRGEVSKP NLPNKRRVKPEPPAVPTNVGLMRHFARIKPIEKVAEEYIDVMHIDPIIDDAMDIVENE EEKYETNEPQEPKESLILLDQVDILYEEDKGFWSAVEELSDKSRRPIIMTCNDTSTIP IESLRLYGILHFESPPTEVLLPWLQLICFAEGFFVPPVDLVCLVAWVGDVRQIINTLQ VWCPQFDLGDDISDEWKRDCTGLFGVYIEYELHEDDPLIRMYEEYQKHQIDSSLSSLK PFVPELEVSSSTTLDALWRSLEVASVQDAFMAHPNDPLQEEEKVWESSKDHPSGTGRL WDVPSDPNQLAQEIQTVLTRLARSVHGTHGAYGWEILEKNTKIRKENMLEACEPILPL RTIVQPRNSELLDYLPHIQIMCKRPSRPNSRNRTLRSRRYKPYLALSEESIEILTTSP PQQMTDMHQ PHYBLDRAFT_112583 MQHIWKNLGKGLSPYIDGRFTPTTAYQTLINPSTRNPLCELSNA TLDQVDKAIVCANRAQYSWEQNPHYRRDCLFKLSNALERHTEDVAALESFQTGKPLND AISEVQSVVECLRTFSGQTNIPGESFMDPSMHAYTIREAYGTVGLVTSFNYPLLLAGW KLAPALAAGNCAIVKPAPQTPLTTLALAGLATDILPPGVLQVLPGGADVACRLMEQVD KTSFTGSTLVGQAIQRESGNRLTPGVLECGGNNAVIVLKDADIEQAAYTISQGAFSNA GQNCCAVSRIYVHNDVYKTFLTHLKKETMKWKAITPFETVGPNLYPYGPLIDQIQYEH IYPHTQYPYKNWTSPLSQLDGFYVSPTVYTNVPDDSPHVAQEIFGPVVSVLEPFTTTD EAIHRANSSNYGLASAVFSKNHKEAHKVAARLKTGVVWINLYNIVPDFLPFGGRNMSG IGKELGRAGLDEFTFLKSVMVEL PHYBLDRAFT_145315 MKATFFLAVFALLAVATSAIPHGSDQSAKEVGNEGSVSGVFNNF AAAGALSDNSLKNSVSQSV PHYBLDRAFT_177538 MKEQVNDNTPKRIRHIQFGVMSPQNMVKISEFEITQRDLYQPES RTPVKGGVLDLRLGTSNKEAVCDTCGQKMQECPGHWGYLKLVLPIFHIGYFRPVINIL QDICKSCSRVMLEEPERRSFLRRLRAPGLDNLTRGRVIKAINDRCKRVTFCPHCKATN GVVKKVGPMKITHDKYRLKRVAEEAEQFRKTFENATNAMPELKAHLSKAQDDLNPLRV LRLFQRITPEDCELLGLSEEHGRPEWYIWQYLPVPPSCIRPSVMQDDNSSNEDDLTAK LTEIIFTNAIIRAGLERGVTVMNLMEQWEFLQLAAAMYINSEMPGVPNANAGKPSRGL CQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNMRIDQVAVPERVAKILTFPERVHAH NIHKLRQNVINGPDVHPGANYVTFADGYKKFLKFGDRNNIAAELKIGDLVERHMSDND VVLFNRQPSLHRLSIMAHFAKIMPWRTFRFNECVCSPYNADFDGDEMNMHLPQTQEAK AEAIELMGVKNNLVTPRNGEPLIAATQDFITASYLLSHKDTFYSRAMFTQVCTMMGDG EMNIDLPPPVIWKPQRLWTGKQVFNILFRPNKESKVQLNVEAKTKSYEKQEGRVPDLC PNDGWLIVQDSEIMCGLVDKAVVGDGNKNSVFYVILRDYGPIEAAKCMNRLAKLCARY LANQGFSIGISDVTPGKRLREIKQAEVQEAYRKCDEIIAKYKSGQLETMAGCDEEQTM ESTVSGILSAVRGDLGKVCMTELNMYNSPSIMARCGSKGSQINVSQMVACVGQQIISG SRIPDGFQDRSLPHFLKHSKSPPAKGFVRNSFFTGLSPTEFLFHAVSGREGLVDTAVK TAETGYMARRLMKAFEDLTTHYDLSVRNSEGAMLQFRYGADGLDPMTIEGDGIPVEFE RNLKHVKICTPLNGEEGLLPWQIIKLAEDVTSQDVWTTNCMQSFIDMVLTFINDKVAG KLANLRKKHGMLPGLSIPDEDYMDMDIDEDVPEATKHALRNMCVVTERQLRDYLGVCL SKYLKAKIEPGTAVGAIGAQSIGEPGTQMTLKTFHFAGVASMNITLGVPRIKEIINAA KVISTPIITCDLHSDKDVRAARVVKGRVEKTTLGDVAMYMEEVYEPNDTHILLRIDLD ALNKLQLETNIYEIATAIQTAPKLKSSQLDVQTSRPDIIRVYVHAKQDESMYYSLKAI KRLLCAVIIKGLPSVVRAVISEKAGGSGSKQLLVEGYGLLDVMTTDGIIGENTTSNHI MEVAQVLGIEAARNTIIREIQVTMESHGMTIDHRHVFLLGDIMTSKGEVLGITRFGIS KMRDSVLSIASFEKTTDHLFEAALYSKCDAVVGVSEQIIMGIPMSIGTGLFKLIHQPS QPDIPKPKPLLFDI PHYBLDRAFT_74782 MTLVHFSIDRPFGVYFFDIFDKLGYLATGSRASEFTFVPGVTRF STLSEVIITCLTYYAVIFGGEYMMRNSAPFKFKTLFQIHNLLLTAVSGTLLLLLVEQL IPMLSKRGLYYALCDPSSLTTELNVIYYLNYLVKFWELADTVFMVAKKKKLEFLHYFH HSMTLALCYSQIVGGTTVSWVPIVLNLTVHVLMYYYYFRTSSGAKIWWKKYLTSMQIT QFVIDLLVVYTCSYTYYAYNYAQILPNFGNCAGKESAAIFGCSLLTSYLFLFINFYRL TYNAQQKKIADAKATSVKPAKKIVEAF PHYBLDRAFT_133605 MLSVLRVQAVRGPAVQAFCQASRTSRGSLHTARPAFNTTKEISP LGKLAQGINAIRSTVLTSSLKQALKSPQKPVPFTGEAATWNQAIREAQSLVETETERM IDPAQLVGRDLWELKGNIGKLLGSGHPFLDTVAKHYFSGEGKYVRPLLVLLVAQATSI APKQPEWVHSQDYQSIDTPISHNLENITSKDTFDRGIHYTPSMTNQGCSILPTQRRLA EISEMIHTASLLHDDVIDASETRRNLPSANASFGNKMAVLGGDFLLARASIALARLRN AECTELMATCIANLVEGEFMQLKNTQDGKTAGEKGKRNTFDYYMEKTYMKTGSLIAQS CKASAVLGGSTHEVSDIVYNFGRHLGLAFQLIDDMLDFTVSAADLGKPAGADLKLGLA TAPVLFAWEEFPALEPLIKRKFSEEGDEEQARLYVYQSDGLKKTLGLAEKHCQLAIDS LNQLPPSDARSALIQLTQKLLTRRS PHYBLDRAFT_112385 MHNPHQKTGAERDIPKTSPLNRLKNAPKDQIPISKAPRRQKSSR FYVTEKVQLERTPGFHEVPPHMRQELFIQKLRQCQVVFDFSDASAELREKEIKRHTLQ EILEHINMTRGVLNEAVYPEIVTMFVINLFRPIPPQVNPVGDAFDPEEDEPVLELAWP HLQIVYEFFLRFLESPEFNINYAKKHIDHKFIHQLLELFDSEDPRERDFLKTTLHRIY GKFLNLRAFIRRSINNIFFQFIYETERHNGIAELLEILGSIINGFALPLKEEHKMFLT KALIPLHKVKSLVLYHPQLAYCVVQFLEKDPALTQEVITGLFRYWPKVNSPKEVMFLN EIEEILDVIDAYEFQRISVPLFTKLGQCVSSSHFQVAERALYYWNNDYVISLMAENIN VIMPIVFPALYKTSKSHWNKTILGLVYTALKLCMDINPVLFDECANHYKQQRQL PHYBLDRAFT_168277 MISRLLKPLAVVGGVALDITGTIQASGSRSRSVLHTSTPGKVKQ SLGGVGRNVCEASMRTGVPSFMVSMTGQDLAGATIREGMHLLGMVYNALHTGDGQLVA AVADMDIFDSLESSTAIFFEPTSVPKSLKVFEHEDVLSSGVVNYVSPNQYELEAMVET ARRQRPAVNHSKINIGNIVTATMTTPDIVEKVLPMAHYLSQFVPNIVTKLGEYGCLLV SNNKSHVHTQYFPPEIIDPTAIKSVTGAGDCFVGTLLANLLILDKPSPTRWAEMIQQS QKSSILTLQSDLAVSVAISQELLDIFLLVLVFAFTFASVIAVVRVLQDLNPNTKDSN PHYBLDRAFT_74785 MFAASRVFSTAAKRSFSTSAANLSKVAVVGAAGGIGQPLSLLLK ENPHVTHLSLYDIVNTPGVAADLSHINTNSKVTGHTAENNGIEAALKDAHLVVIPAGV PRKPGMTRDDLFNTNASIVRDIATAAAKHCPNAHFLIISNPVNSTVPIFAETMKKAGV FNPKRLYGVTTLDVVRASRFVSEVKSLDPKDVNVTVIGGHSGVTIVPLLSQTGLEFTK EELDALTHRIQFGGDEVVQAKNGTGSATLSMAFAGARFANSVLEATVGGKKNVVEPSF VQSDIFAAEGVEYFSTNVTLGPEGVEKIHAVGQVTDYEKELISKAVPELKKNIAKGNA FAQ PHYBLDRAFT_181389 MQSIPTHLALCLPEIVSHVISFLDDHQSETTSKYAGRLASMSVN RLWHDCTMRAVWRKVEFDDTKEEVMALQKFASVFSNEYTEQDIFDSSDPTTHDKTKDR PRETAQINQIINTSHVIHIDDHVLNDLSPISHVPMYRTTLQSLSLRKIKYKTIDVPLE KIARHATQLSHLDVYICDYFTNTALSAFLCHRRLTYLSLAGCYRITDEAILKVAETCP LLEHLDMRACGLISDVSVSAIALSCPGLRHLNVGRIRERHRITHKSISLIARHTRAVV LGLAGCDIDDDCMLLLAQLRGSLLERVSVNNCPRLTNATLHAYVQYCPSLSVFEMKEC HQVDDWEAVAKLVQRKVLLTLCDQQNMACTAWARRRGHALEVKAPMK PHYBLDRAFT_145325 MEVEKIVIKNNHGEGIAGILTRKTQHDTTWHKPRLIIIAHGVLD FRGNGESEGVAGYANIEEDADDIATVASHFEQLGYELFGTIGHSRGSLACLQYAAKCT KPLPHCVNISGRYKMNDNQIHRNRPEIGEGLRRQGYFNWTVRQRDKITTIKVTEKEVN KFINWDNSFVTQIPKLTSVLTCHGLKDEIVPHYNAIMFSDQIQNHTLTLIPDADHNYR GQFEEIVGVVTNYFEKHEDIVTDGVPIS PHYBLDRAFT_168281 MLASELPFEILSRIANLVVSGDKLAGSVTCKAWRAPFEEVLWGI VNITTQEKLDTACGMSPGAERIYGYRRAYVRELNISTANFSDEKDLLTLQQRFPNLQR LFIDEGCLGGIRIGNISNWNLWGTLTKLNINLSQVTNPDVKTELLKILKSLPHLDHLE SVCIRNCESISFTLDEFAELNSCVHELNTLILSATCLPLSPTDVARIPTLNQQSKVKM ITFYGTLFDSRWICYFARKYPNLEKLAWISIDQVETLDLHQEETISILKSLPNVFQHL SHISIRTTGSTEQQHLIYWNILSQFNIPIKGLTHIFSPPIINIELLQSIVQSSTQSFS STIETLTLQRDERGFEIQEMTTTIVGCPHLVDLNIHICGSAVSVNVILDRFPALKRLD VFNGIMINSGHLNNQSLDHGLRMVKASKGSLNVSAFSYLSFNCKYLEYIYLDGMIVFG PMDEESGKVELDMSWSNIHLLRLYDIRFKVSQSNFDSKNLINLMEIREKRLFCEDSKA DEGGPASDNSTMWSNWYHIFGGLDDDLFETWNMRQLYEREFGESIEFFDNYEHNKKVG DTSVDSRDSNGLVKMDDWRSDFYRGNFSWIFQSLNRIVSEDINVIDTCIWNQVYDNLD PHYBLDRAFT_145327 MDNSQSGIHVPVGSTPSMYNPNQQQYYNQYAYETNNQEQSAKSY EDRLNEKAKKWQQLQNKRYGEKRKFGFIEHEKADMPPEHLRKIIKDHGDMSAKKFRHD KRIYLGALKYVPHAVLKLLENMPMPWEQVREVSVLYHITGAITFVNEIPWVIEPVYIA QWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYGDNIMDVEPLEAIQMEMDDEED AAVCDWFYDPKPLLDTKHVNGTTYRKWRLDLPIMSTLYRLAHQLLSDMNDKNYFYLFD LNSFFTAKALSMAIPGGPKFEPLYRDIDTSDEDWNEFNDINKIIIRQPVRTEYKIAFP FLYNSLPRSVRVSWYHYPIVVYVRSEDPDLPAFYFDPIVNPISSRTIESAGKQHEDSI FGDGDEDTEFSLPEFIVPFLDETEISTENTANAIALYWAPHPFDKRSGRTRRAQDVPL VKNWYLEHCPPNQPVKVRVSYQKLLKCYVLNALKHRAPKALNKKYLFRSLKSTKFFQT TQLDWVEAGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGN AFHLCREILRLTKLIVDSHVQYRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMR QIRMCKDLKHLIYYRFNTGPVGKGPGVGFWAPGWRVWLFFMRGIVPLLERWLGNLLAR QFEGRHSKGIAKTVTKQRVDSHYDLELRAAVLHDITDAMPEGIKGNKSRTILQHLSEA WRAWKANIPWKVPGMPTPIENMILRYIKAKADWWTSVAHYNRERIRRGATVDKTICRK NLGRLTRLWLKAEQERQHNYLKDGPYITAEEAVAIYTSTVHWLESRKFSPIPFPPLSY KHDTKLLILALERLREAYSVQGRLNQSQREELGLIEQAYDNPHEALSRIKRLLLTQRA FKEVGIEFMDLYSHLIPVYDIEPLEKITDAYLDQYLWYEADKRHLFPAWIKPSDSEPP PLLVYKWCQGINNLTEVWDTSEGQCNVMLETQFSRVYEKVDLTLLGRLLRLILDHNLA DYATAKNNVVLNYKDMNHVNAFGLIRGLQFASFIFQYYGLVLDLLVLGLHRASEMAGP PQLPNDFLQYRDVTTETRHPIRLYSRYIDRLHIFFRFTADEARDLIQRYLTEHPDPNF ENIVGYNNKKCWPRDCRMRLMKHDVNLGRAVFWDIKNRLPRSLTSIEWEESFVSVYSK DNPNLLFSMSGFEVRILPKSRSMTEEFTLKDGVWNLVNEQTKERTAQAYLRVDQESMD KFHNRIRQILMASGSTTFSKIANKYNTALIGLMTYYREAVVHTRELLDLLVKCENKIQ TRIKIGLNSKMPSRFPPVVFYTPKELGGLGMLSMGHVLIPQSDLRWSKQTESGITHFR SGMSHDEDTLIPNLYRYIQSWESEFVDSQRVWAEYALKRQEANAQNRRLTLEDLEDSW DRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKNNPFYWTHSRHDGKLWNLNNY RTDMIQALGGVEGILEHTLFRGTYFTSWLGLFWEKACFSGDTFLLLADGNVKLARDIT TKDQFMGDDGSPRTIIECVDGVAPLYKVIPVSGEALEVTGNHILCLTTTSKSSLVWSD EDKIYTVRWFSDEKGAQEFTTDSLQVVTDLVDSLEEDISAKDQEYFSEMTVREYMALP PSFQSTWLMYRAEPEITKHNQSMVVAIKAVELVPEPQTYYGFRIDGNQRFLRYDGLVV HNSGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFM HGKIPTLKISLIQIFRAHLWQKIHEALTMDFCQVFDRELEALQIETVQKETIHPRKSY KMNSSASDILLFAAYKWPVSRPSLLNDPKDIMDGPTTTKYWLDIQLRWGDFDSHDVER YTRAKFLDYTTDNMSIYPSPTGLMIGVDLAYNLYSAYGNWIPGMKPLVQQAMAKIMKA NPALYVLRERIRKALQLYSSEPTEPYLSSTNYGELFSNQIIWFVDDTNVYRVTIHKTF EGNLTTKPINGAIFIFNPRTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSL PVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKVEKFGDLILKAT EPQMVLFNLYDDWLRTFSSYTAFSRLVLILRALHVNNDKTKMILRPDRTTITEPHHIW PTLSDEEWAKVEVQLKDLILADYGKKNNVNVASLTQSEIRDIILGMEIQAPSLQRQQI AEIEKQTKEQSQLTAVTTKTRNIHGDEMIVTTTSNYENATFASKTEWRIRAISATNLH LRTNHIYVNSDDIKENTYTYVLPKNVLKRFITISDLRTQIAGFMYGVSPPDAPNVKEI RCVVIPPQWGTHQTVHLPNTLPEHEYLADLEPLGWIHTQPQELMNISPQDVTTHAKLL ANNKSWDGEKAVALTCSFTPGSCSLTAYKITPGGFEWGKNNMDTSSNPQGFLPTHAEK VQILLSDRFLGFFMAPSEIWNYNFMGAQFNANMNYRLVLDVPKEFYHESHRASHFMNF SSEMETGGEADIEDSFA PHYBLDRAFT_112426 MTNQYFPKEKAYKRKNSDDKSLTSTRYGSIGSIDEYPAKIRDWD ENFEWTEEEERQLVAKIDKRLMSFVLLMTFVLNMDRTNLSNAVSDNLAIDLGFTNDGV NTSIMIYSIVFALFALPSNAAVKHIGAHIWIPLLMNSWAIVTWAHALLHDFNGFIVIR IFIAITEAGFIPACLCYLTEWYKSNELSTRLAWFWGVQAFASAFSGLLSFGVLQMAGL GGLQGWKWLFLVDGIATHIVGIIAFMYLPASPERTSGWIRSREGWFNEREERIAMRRI VRDGLTKQEQEKPISKQDVILALKDTKLWIHLSIAFLGMMPSIPIHNYLPTIVKEAGF SATVANLLTAPAYLINLLFSILIARGAEKNGNVSLYSLAGSVWGLLGFLTLFYLPAST GRWSIYAATLFTASAPSWHGMHVAWMSSNLAPAGKRAIALGAIVGAANINGVPGAQIY QSNDAPRYRRGNGICIGLHLVAISLFLALRSRYTSANSLRGQKWELMTTEEKDVYNET SRDVGSDRLDFQFKL PHYBLDRAFT_158813 MNTDSIPLTPTMVSTGYPATQDIMSTSAKNSPDSDQIQQQKESS FSSMLRLSGGGCIKGCLEAICCCCALDAICWCELDEICC PHYBLDRAFT_64812 MSIFLLDFQRKNETGSLNIIIKNFVTLTLGSRNFIRISSFSTAT VSFDNMKKPFKYFTNLDEDSPNFDQYLILMGDSKSEDEDSVGVKRLKEKACEIELDKS ISVSTRHI PHYBLDRAFT_112159 MPDEKKVTVHYVNWEENDPENPNNWPARTRWMYTMLVASLVVSA AFSSSVVTGRLQGPMEDFGVSKEISILQVSLMVFGFMIGPLLWSPLSELYGRKPIYVC ALFIYVIFNIPCAVAKNIETLLVCRFFSGFFASAGLTIAGGSISDLFHARERGNAIAY FAAAPYAGPVLGPIVGGWISVGTQSWRWIYWVNMMLAGVLWVLICLMPETYHPVLLAR RAKRLRQETGDPSYVTVEEEFPTPLSELLRSNLIRPFEMIVTEPILLLMSMYISIIYA LLYAFFFAYPIIFADIHHMNDGEVGVTFCGVLVGTFLALLVTPWLERVYQRSGEKNPT GEPTPENRLPGMMLAAPFIPVSLFIFGWTSFSWVHWFGPVSSGIPFGFGMVLVYYSAN NYIIDCFPRYVTSALAAKTLVRSGTGAAFPLFIIQMYHGMGNQWASTLLAFISLAIVP IPYAFYFYGARIRARSKTATH PHYBLDRAFT_145331 MPLLMSCATNCEKADKHFDNNNNNSNNTKRDNERGCSSLRWANL KMLTDNGYCSSLLYGNMARKRIGVNRATDYVGPFFLNHFTIHLNFHSLVVSSTNMEKI AVVKGPCA PHYBLDRAFT_168287 MHPTIVRACCSPRELAEQYPPFPIQDGPSIDLSPFFHALVPSQT WARLSTCTFCGLCSHHLVRACYPDSSLGSRCWRNFCNLEIKLETKQQVIVFLDEIYNL LPVSVVNPKIYEERMVTFSEVFGVVLVYSSYFDKKALRSFSSLCGKNSVELIDYARNM KGNIVNNFVDRFPGTAEVELYESIHLIENDDTVAKFNCRTNPIH PHYBLDRAFT_124840 MGKINKKGVKGAAANFITRQRALNKLQISLADFRRLCILKGIYP RDPKNKKKANKGSTAPATFYYIKDIQYLLHEPLLQKFRDYKAFAKKLNRVLHKGEYST AKSLEENTKPVYNLDHIVKERYPSFIDALRDLDDALSMLFLFSKMPADNKIKAKAVAD CQKLIAEFQAYVMKAKCLRKVFFSIKGIYYQAEIKGQTITWIVPYQFSQAVPTDVDFR VMLTFLEFYTTLTGFVNYRLYNELNIAYPPNLSEMAGFDAGDAMLTKTEATAEDAVAA LDEFKSAGGEEDKDTVTLRQIQDASNEVSNLQQLFANCVFFISRETPRYALEFMIRSC GGQVSWDPSVGENPPFAENDERITHQISDRPTVSNRVLGRAYVQPQWIADCINARRIL RSKTYEPGQVLPPHLSPFVEAKEGDYVPGSAIDSDAEEEEEEEVVAEDKEDDKEDVYE SELKAEAAGVTFSESTNAETKPTASKKSTKKRSAAEVEEEEQKEMATVMMTNKQRKLY QKMQHGKQKKVAEVEKLQRKKAALKPKKK PHYBLDRAFT_124844 MVRVSILNDCLNNINNAESRGKRQVLIRPSSKVIVKFLSVMQKH GYIGEFEEIDDHRSGKIVIQLNGRLNKCGVISPRFNVKLTELEKWTANLLPSRQFGYL VLTTSAGIMDHEEARRKHAGGKILGFFY PHYBLDRAFT_181393 MTASISIRGENHQRAFHVKKPLKDFIHKPKQHPNSGPSLPLPSL PSHDRTKEEYVYDVLYECQRGSWIVGFSSKTLLQFDPDPWCDKDMRFTPMNIKTYQLP DPTWEWVHKQWMVDMTEDVDEAGWQYALKFHGASWHGKKPKERNDSDNFKGNYKHFRS FVRKRRWIRLRHHSLLDESITTTVSTNNTPEYQEQQLQLQSQPQPQQHIQQGHQGHQE SQTTHKEQKLVESLQNSCLDRERLCYIKFALNGDRGYLSHMLMSKAKEYMEFFDFDVS RRNFVEILIQHQRSCGIPIDPKDIQPALKSITYYSDLQAILSQLKSTQ PHYBLDRAFT_77863 MVASKSGIAVGLNKGHITTRRELKITPSYTKGAASKRTTFVRSI VREVVGFAPYERRVMELIKNSKDKRAKKLTKKRLGTFLRAKKKIEELSAVIAESRRH PHYBLDRAFT_158817 MVATKEHCFYCFDVLLAHLRREPTPAPRFHNEAFPLFVTWNMIK YGEKELRGCIGSFRPLPLIQGLKQFALNSALEDSRFPPINLAETPYLSCAVSLLINFE EADNYLDWEIGKHGIWIEFKNTDGKKNTATYLPEVMPEQGWTKEETIQSLLRKGAFRG PITPEVCQSIKLTRYQSSKMEVTRQEYDQARGL PHYBLDRAFT_181396 MDDMYQYAASAVNENKEVASKNVPEEEDVILKAFTNFGWGQRFN SLVDTVKKQSEALVEVTKKDLQEFAQVLREDLVDDASDDERDQKNKDEEEESDDQSNL NESSSTIQPSESKGKQRAISSTTTTDNTTIEPNTVDTTATETTTTYAPLQSLRDNLSK INTVNLESLKDGLTNYIHTLPAQLPPIKLPDNIDLTTLREEASQGTRFAEQYIQKFGA EVIQILGKAVTVIEPTEREIKDQDSARMEESEQKSPEGARVWATRKEALLAALRTDPD NFLKDPAERLETETERENEKRVVDTFNAGFNATEYTDEISRLLEEHSDLRDMMDKLVP VQVSYALFWQRYFYHAWKIDQDEQKRQWIVKEASVQDNQDTEFKWDYSDDEDNEKSSV DPKKDKGSDTDYSTISAPHSTEPSLMSPPLKANSATDAEEWVKPDNQKKEESDSDSDW E PHYBLDRAFT_145339 MSQADRLPIVGSHITSASAWIPGYSKKEAKELLPSPPVSRRTSM NTNCTPSYEPNDTYRYPSTPGTPRSFSSAPGSPRIYSTPPPPYEKVGPPSSRLTKISD YVWKRASFSSGIGSIVPSSGGSIAASASPLKPAFVEEVTIDKKQTDHGVTLINVATEM DQAGNHQMATDLYLMGLERMLCALPIESDPRLKIALEKKLVEFKETKGLDLASIVEAT EEDEKETPVDEPYGFSGLVINAAILGAVALKRSPLPDVLSSAVSYAKSGFQSVDEAYH IRQRAWDLATNGVAKAVEIDKHYEVHRILTDALYTGCTAILKAGIAYTEAPGYKEHRR ASSP PHYBLDRAFT_64823 MPNSTILCQFHNFLWRQVILDEPRWPEYGRNNLFGSPESIRHHL VRIVEYTENQDGSLWGEKKRGKILAIVVMIILVVLVVVLVVVLVIIDHLKTNISIKTC SNFRNMRIQLKIIHLARGDFTWRRITVLRVVGPSCT PHYBLDRAFT_186964 MSTEYGTVRTQDEEAPLLNIQIVPETFWQKTKRVLHLHGHRVLI TWLIIIAATAVLTLSFHFALVPTQRPSEDPVEGSPVDSECVSDRSWFLTLMLSIFFGP FGVDRFYLGYVFLGLLKLVTAGLGGIWWTIDIILIALNVLNDHPDGCHLR PHYBLDRAFT_112184 MANNQGESGLTSKDYYFDSYAHFGIHEEMLKDEVRTLSYRASIY NNKHLFQDKIVLDVGCGTGILSMFAAKSGAKHVYGIDMSNIIIQARKIVDDNGLTDKI TLIQGKMEDVKLPVDKVDIIISEWMGYFLLYESMLDTVLVARDKYLAPGGMIFPDKAT MYIAAIEDGDYKEEKIDYWDNVYGFDYSSIKSLAIKEPLVDTVDARAVVTTPCAFREI DILTVQKSDLTFTVPFKITAARDDYVHAFISWFDIGFTHCHKPVHFSTGPHAKYTHWK QTVFYTPEAITVKNGETIEGVLSCSPNGINPRDLDIVIDYSFNGNHGSIKEHCEFKM PHYBLDRAFT_168297 MYISVLKLSPIQISFDKQSSMFNELPNEVISAIGSFLSQEDQTT CLKICKAWTPVFQLLLWRKLFIDSDFIKFMYNASSPDNIYKNNGHKVHLLKIEATGMI EQEHFKLLQQLFPWINHFATSVVRPEIQFTVNMVDWSLWKSLVHVEICLDTSMIIFGP KATFEYMSSITCLVNLNMVSYEHVTSGIYITWQDIEVLHQSFPRLENLKFDYNIMNIP PSDIGTVKNTTPATTVKKVRCKNINVRLDFKWIIYYGLKYPNLETLSFKMVNPILNSQ HHIQVDFKNIITLYPNFSHDLKSLETFYGANGTRQPLAMFNFLNKSKAPIKKLSIHAQ YFLEHPRLIKANISLMNLFSLTLNNLEVSLFDSGHSPEIFALRIIHHPFLVSLEIYLP KFGFEMDHILDQCPLLQSFKVHGSDIRLSPNTPPTLPTHGLRDLLIRCKQLDVKTMSY ISFRCRLLRCLSLNAHSLNKSQLTGNSYLFDMSLTQLNLLVVNSIELMAGPCHLFAIE QAENITQSDGINTSVGILPQASCTQKTRTKCYRPNKLQHLHTPEDFNIFELNRLEIKY LQGFYPDFGPTYFDQKGVSDVEKEQWHTISDSLRKSIGGGYIVFRFKSVKNCFFQGYI I PHYBLDRAFT_64827 MEYLNCSKNKYFGDPFDRFLPKPRIPFDITLEPEKCFRYDYNYA FDDEFDTEVPEPDPHSPLPSLAQMTPFESFTSTNECQMKLLELITWAALPILPQSDWC VSTQAGMKFFGGHKNKYLNDGIGPKGFRTHYRVNQDLFDILVHELAENPSFKDAEENG TEIYPVWKQLAIVLWSVSNTFLGRSLAAERLNITPELHDLFTERFLTAINRTFIGYAI KWPTTLQEFQDEMKAFRQSTVGDGHKGLRECVGAIDCRVVVATKDHKESAHWKDRRGS VYIPLLAVCDKKGSFKIVKIGATGTQNDIDIFRSSAIYENMTQRPEQMFLDPRSYIIG GNNFPNLKHCLTPYPDKGTLTPKQISFNKAHRSAMYSVKEGFENAFKKWQYMFKRKYV FSQRTIGPIVTACCILNNLCQMFITPRETHEEMNQEYVINIHGDQTLMEWYTRINNED GAALSFVNIGDGPNDAPFKAKTNRDEETSLIREGEIRRDQVIESITGTSLLATLIAKR Y PHYBLDRAFT_64828 MNLFVQQLCLIEQNTNIDFFSVYVLRELLDQTSFKGVNIDIGIF SSIDISINISLFLVKQVYALRGNLEIKHAYGVNIEILINKWFDTFGDFHPLKKREHLM WYWQFLNSLEHESKL PHYBLDRAFT_64851 MDYGSWIIDYYFWLWFCGSGFLAVFSDRCQTSDTMTPCLCPKNG KKVKKKHILSIQKRIISKCQRRQSSTTNTASRVSTFTSSMGQCIKGLASVKKAFSCKK AQTTATPSLISSSSTISNDSYLSDLSDDEHFSKSCPVSEKSMALDSLIFDHPSVTVRI SPAAYRSS PHYBLDRAFT_168301 MCMLRRDVFVCNKCQNIFTFCFSPRQKKLIPLICVVRVFSTAKL CFILVATRGSWLWLTKESSRDNDQPYTFSKSILHIWQNKSTFRPKLLLSGFLTVIFLK NVNRHLNYFNGVEFHEFLFSEEDEKMSLSEHCALKINFIVFSFNLTPDVDSRILLTAT HDRKQEFLGLSKHNISPPTNLSLGVRNGFVVYMQQIRFNADPTFQIIHIVYLIYISDC RTNIKNAAYRIYTKLYLLTCMFLVLYEKWISDVALHCIIL PHYBLDRAFT_145345 MTIVTMVTVVMVMVMSPLPFGSSGESLPSYSDYNMSASRIVLST PARMLTRPSPVCGPIAFREFTTSSPVASSEEHSETKIEDQCHHQVAPLTNTTVYAPHG VNEAALERVMAAFIPSVNYVFDD PHYBLDRAFT_133628 MSDNSIKIFTGNSHPELAKLVARRIGIDLAKAVVMKYSNQETSV TIGESVRDEDVFIIQSGCGEINDNLMELLVMINACKTASARRITAVIPCFPYARQDKK DKSRAPITAKLTANMLTVAGADHVITMDLHASQIQGFFNIPVDNLYCEPSMIKYIRTQ IPNWTNAIIVSPDAGGAKRATAIADRLNLDFALIHKERKKANEVSRMVLVGDVRDKIA ILVDDMADTCGTLGLAAKTLKENGAIQVYAIVAHGILSGKAIQVINDSVIEKLVVSNT IPHEDKMAICPKLDIIDISPTLAEAIRRTHNGESVSYLFSHVPE PHYBLDRAFT_158823 MAPPTVEEHTTVLRFLIHNGYRSTAETFKREAASFLDYTNSTQP SINEEQLTQDLARLTVGRTPELEEGDENYFNTPCFSFDSIHHDNILAAIIVPDDSGTL ISSSTNKTVVVSPNILLGNKDLSIPPRSYKHHTAPVLSIDTHPLYPNVMLTTSMDGTI ALVNTSEPLDVLDPESSGLLQQFKDHTKYVVRGIFSPEHGKYIATASYDKYVCIYDSD PDSADQVRIATTTNASNGSLPKYTLIKKLGPFVGNVETICFLEPDVLVVGVRDDNYLH YIHLPDFSREKYNMNANGDNWVSFSPAWISASPDRRFLLCSTDHESGRIILFGAHQSR QVQNYYDSPSDNKFTTRRHAWHSSGKYFYTVGGDDNNVRVVETKTGIVAEALKSHKKM IKSISVVPKQGVVTAGFDHLVNVWSTPLLHSATKDAAR PHYBLDRAFT_145348 MASKLQFNILAIIARHLSQDDICACSRVCKSWAVPFQESLWKVF HINDQKTLKMICDPSFSSQNSICLQKFGHSVYELHVGRHFYILKEQLRDLQQRFGNLR CLYVDSINLRAANLEIMPGRVSWRSVEYLEVSLQWTEAHNDLEELSRLLAFLPCLGIF RLTESSSSQSKSFSWKDIDMVHSHLSQLKEIYIDVILCPIPSEDIETIRDIVPSSSIR KVTIVGKDICSRWLHYFSSKYENLKTFTWNIKLSKITRPGYSPNEKTPVLSLPLSPFR KLVTVDVESNEHESISHHASFWKKLNKFGALPRRIDYKLRWEPDTTEKAEGLITECLK IFSSTVENFIFESYNKYNNKSLGAFNIPMTFSVCPQLVNLDLHMPDSSIALDMLLDCC KALKTLKLCHRRVFIDPETSIVPKEHGLLTMCLWDTSTRPNLFTYLSFRCKQLETMRL NNVSIHGTVSIETGKLNIDMIHTSFKTLEFNSVKYYCPTPYKHNHRLSQSAKEKPINL ILIEQHRVELSIIYDIEKKSAVFDTLLSMPVAEPVWIHYYLKSVPFVPNGGMRILGKN EVKYIREYIDEFKENQEIFFSQPTFEDNNYGLEPETRWKRDFTQGCAVWRCQSVSKHI IKAYKDVYVYNSPK PHYBLDRAFT_168305 MIQLREIGKTDVLVSSIGLGCMGMSEFYGPTNDEQSAKTLERAA ELGSTLWDTSDAYGINSHNERLLAGILKTQRDKIFVATKFGFVRNKDNEFTGVSGSPE YVREAFAKSQKRLGVDLVDLYYQHRVNANVPIEETVKAMAELVNEGRVRFLGLSECSA ETLKRAHKVHPIAAVQIEYSPWSLDIETNGLLDTARELGVSIIAYSPLGRGFLTDVLF LAFFPENFDKNIKLVDGITALATKKGVTPSQYVLAWILAQGPEFIVIPGTRRVKYLEE NAKAGEIVLSKEEVAEMRDLINNADTIGNRYFPAFLSLVNI PHYBLDRAFT_112469 FGDCVYGWQEATSILLGYLSIFCWLNAQMPQVIKNYRLGNADSL SFLFLTVWLTGDVANYIGCVITNQLPFQRYLAIYFTAVDGLLCAQWLYYVCYLGRLSL REPLLIAAPSKQNYTKTANSATTALLMIAFVSFSNPTSVLVSSDIIYSRVGDDTTIWI GRVFAWICAFLYLSSRVPQIVRNYHRRSVEGLSMALFFFAAMGNLTYCLSIFANPHAT RHTMLEAVPYLLGSAGTLVFDGTIFLQYMLYTPID PHYBLDRAFT_186967 MESPIVSTPGVGIAHLPNQKHKIFTKRGAHFTIMVCGESGLGKT TFINTLFTTSIKDYKNPKKRHEKQLDRTVEIEITKAELEEKMFKVNLSVIDTPGFGDY VNNYDSWLPVVDFIDEQHECYMRQEQQPTRSGATDMRVHACLYFIRPTGHSLKPLEIE TMKRLGSRVNLIPVIAKADTLAPENLAKFKKNIMDVIEAQNIQIYKCPEESEDEDATT RNQTILSAMPFSVIGATEFVKTPDGRLVRGRAYTWGIAEVENDVHCDFKKLRNLLIRS HMLDLISTTEENHYENYRQQQMATRKFGEPKVKKYENPKHREKEDELRKVFTEQVRDE ETRFRQWEQQLITERDRLNKDLETQHAQIKALEAELEQVYHHQSSRGNTIRR PHYBLDRAFT_168308 MYNDHYEEQSVGCLGGILSRLGVTNSPVYVRASSSDEKLPAYEE CMSQASPLNASHAMHRMDVKADSEVEAIVKKAINDVSSELRAISLDLHENMETGMKEF HAHEVLTNYLESKGFKVTRGAYGMETAFQAEYTRGEGRRVGICSEYDGLPGLGQGCGH NLIAISGVATVIGVKALLDSGKASGKVILFGTPAEELSIGKIVMVHKRAFQDNVDVCM MLHPGPYDANYAAMIAVHDVKLEFYGKPTHASATPWEGVNALDAMVQVWNNISMMRQQ LLPTDRVHGIVTNGGQAPNIIPDRTSAFFFVRTTRFSEIDRLMKKLENCFTAAALSTG CEVNWTWREIGVTKDVFQNSVLADKYGAYMEKEGIKFPSREEQQKGAGGSTDMGNVSY EIPTIHPIFGIHTTASNHTIGFTAAAKTLDAHNDTIMACRGLALTAADVLLDESFYQA VKRDFDENVKN PHYBLDRAFT_112300 MLVSPGTVITSRSPRSTLTVKVVSCSSKDIPALVKNATRISIAD QPISTSVETLSKNIASLSLSSDAPTSPRGQKPTGLEKAYEALYEVLSYPFLYRDWIAT LGIECPKGILLYGPPGVGKTFLVTSVAEACGAKVFIIQGPEIYGPYLGESEEKLRDKF KEAQGWASENDSPVILFIDEIDALTPHRDRAQSHENRVVAQLLTLMDGIASRGRLVII GATNRPNSIDPALRRPGRFDREISIDAPDKGTRHALITSQLRSMPIDESVDIETLATM TNGYVAADITSLCREAAMHAVQKATKDLAKEMYVSMEDFMVAFGSVGPSMQRGFQVQV EQTGWDDIGGLEDVKKKLKQAVEWPILHKDSFIRLGLKPPRGILLYGPPGCSKTTLVK VIASSSGAAFLSINGAQLYSPYVGDSEKIIRTTFQKARASAPSIIFLDETEAIVGKRD MGNGGGGGDSVQERVLSTLLNEMDGVETAESVLVVGATNRPDMLDAALLRPGRFDRLV YVPPPDFKARWEILKIHTRRIPLSEDVNLEVVADCTDYYTGADLQNVCREAAMISLRE NHSAAKVVIINFIQEFFDQLYFL PHYBLDRAFT_155377 MMSREVLLVIGVIFHIVYLFSIFDIYFTSPIVHGMTPQKSPVAA PADRLLLIVGDGLRADKLLELDNGIARAPYLRNIIKTEGSWGVSHTRVPTESRPGHVA IIAGFYEDVSAVTTGWTMNPVNFDSVFNQSEHTWSFGSPDILPMFQHGASDPSRVDTV MYPPEYEDFSGEASHLDTWVFDHFRALFEEAKTNPELNTKLRKPKIVFFLHLLGLDTN GHGFRPHSKEYCENIAMVDKGVSEMVNLVEDFYGHDGRTSFVFTADHGMNNRGAHGDG HPDNTRTPLIAWGAGINKPMKGSKGHDEFSAEWDLSDVQRNDVLQADIAPLMSHLVGI PLPVNSVGELPLNYLDGDEYTKAQAAFANARQIAEQYKIKHDEKEEKEMFFRPFRPLS GSNDPSNYLSQIQTLIDIKDYMAAESLSKKLMSECLAGLRYFQTYDWLFLRGVITAGY VGWCAFCLEFVIRYYILSENTIKYLGQSTSKLIDGMAVLVFGFLSFMVWIQDMPTMYY AYVFFPVYFWRQVLQNKDIFAAILSSASREGWTVLIRNTIGTLLALELLVYSYFRREV LTVCFVLLATWPFFMPARMRRHYSSLLTLWSISCLCTSVFTLLPVEKGENILLKKNIF CISVFGGLAGISVGGFVLAKLERDNRIKRKTSILMKIQLLLNLVSIILVYSTSTSLQK RQGLPVINQLLSWTVMAFSSICPFVYRGPSNEDYLARLLMICLSFAPLMTLLSISYEM LFYVCFCSTVLLWLETERALYRDQRRSVDRPLQTSDCRAVLIFLFFICVGFFGTGNVA SLSSFSLESVYRFVTIFNPFLMGVLLIAKILIPFFVASAVLGVLSISLDLSPFSLILA VMSITDVQTINFFYFVKDSGSWLEIGTTISHFCISELFIIFTIILFLLSRLLVGHLVL PKLSSMAD PHYBLDRAFT_64840 MKCFYITSNVTKMMLEITRIQVNPLTASDMTTRTDIFNKSNAAL DTLYNVWESMLTIIQAVSAASSKSLTTEPDLAALQKARRDYETLLGKLSLTAIWLEDN KDILPNISVKDSSSDETPQRKQLLLEQESLRNESDRLSNQLKRLLSQSYALQFQIDML LSSSYDQDIDSRS PHYBLDRAFT_20432 MSNANNRLRLLEERYATDVSTPFPKQVNSVMKALADETSREFSN GFMMISESQAMLLHQLVSILRPKKVLEIGTFTGYSAIAMASALGPNASLTTLELDPCH LAVARRFVKEARLDKTVEFKQGPALESILELVRQTPRPQFDVIFLDADKGGYIKYFNH IMESNLLSDNGVIIADNVLFFGQVHQHAGYDDPETIPVSKNVKKLAKKAYDFNKYVRE DDRVEVVLLPIFDGITIIRKARSKTVNSLE PHYBLDRAFT_64842 MYKSARTVQKVQICSTVTFAFAFTFTFASAHCTLHTAVKIVLAC LSKFVWPKLCEDTWKYGFIESHVWLKCTTKKRIFSQVFSYSIDSANSTKISTISQHIF Q PHYBLDRAFT_112298 MTEIIKDGYISVKEDGLRAWIWSKRFSVLRDQALTFHRNEQSGQ CVALIFLKDVNSVTRTDLKPYCFEIGTKEKTYYIACKSDEDLYSWMDEIYNRSSVGSS GPTNFVHEVHVGFDPVTGAFSGLPDQWTRLLKGSAITAEDAAKNPQAVLDVLEFYTEQ TKREADEYGSSQLMGAPTQQHIPSRPAPSPPTQGRRPDLYDSMNDLSISKNRDQIPTS PIRQQYSKPMYPRPEPSKSQGTMHSHRIPKHSSSATTYEDRVQYEREREQEMRERERE REREIREIQKAAAQVSAPKKKVEQRISTMTEAQIMEKMRAVVSPNDPNELYKRMKRVG QGASGSVYLAISLSTNSKVAIKQMDLAHQPRKELIVNEILVMKESQHPNIVNFLDSFL VKNMELWVVMEYMEGGALTDVIDHNTMTEQQIATVCSETTAGLHHLHSQNIIHRDIKS DNILLNAYGQVKISDFGFCAKLTDQKNKRATMVGTPYWMAPEVVKQKEYGAKVDLWSL GIMAIEMIENEPPYLDEEPLKALYLIATNGTPTLKNPEKLSRELKGFLAVCLCVDVRS RATAAELLEHDFLKKAGPLEILAPLLKFKTTKH PHYBLDRAFT_158827 MALFIGRLPFDVSNRELEDLFSKYGKITRLDIKRGFGFLEFEDK RDAEDAMKGVHGKELGREPLIVEWAKSDGVRRMGDGKCFSCGKEGHRARDCFEGGDKR GGGDHGDRRSDRKDRDRGDRGDRGDRGDRGDRGDRGDRDRSPRRDSGRDRERRRPSYR DREDRGRSPHHSDRRGQDRREERGERDSRKERSPEKEKTDED PHYBLDRAFT_112188 MIPQEVIVRKVKALLNKLTLEKFDSISDQIWEYAHQSSKEEDGQ SLRTVIQLTFDKACDEAPFASMWAQLCRKMYNKMDNKGEIVSGGNLFRKYLLNRCQTG FERGWKSQIPELDEKSSADIMMSDEYYAAVKAKRQGLGLVQFIGELFKRGMLTDRIML ECLTRLCPRPYEAEDEEAETMCKMVTTIGKDLDQSNRNNKEWMDTYFERMREMMNSPS ISSRIKFMILDVMDMRKNKWATR PHYBLDRAFT_186974 MMPFQQQQDGTFPNYTNLQFGNFSHAQSLAGNLSFAPDFHALDQ TDLSFVNQNNNANANPNNPPSMMHPSGPVGFQQPSQAVFSPQTMLNSPMQNTALQAQL QHTRLYQGGGDMGSMSPQTFLYQQQQAMAMRAKQSPSQSPALNNATFQNVANSPMIVN NSPSLVGYAGRSGERNKRQGSDDGAVSSPMVASHTASPMSFANQSRVIPSQANNPYMQ SNVFNLQPLMRHDQMGMLQPGMTSASPMTPGRKAPSYDMAQTGMDDLLQAPKQFGAAL PTHSSPKVIDKIAEKDEDATLVTYAPKTRNVETYGGIDLKYFDKFEIKPAIPHLGELG AVDIQALIMSLKSGMKMEVANALNVLTMLSIQQPSLPLHQCEDLLDLLLDILEKDFFG ESTRFLKTKTKTRSKSRSRSKSRTKSKSKTKTKPKINVNLNANANANANANANININP DDIKTDTTLVNSKPSEKSKAAVIDFDKEANYAELFDMSLDEMKSLIPELEESTSEIWL SLRERCLCIFNLFRNFSFMTENVGYLSKHRRFVTTLARIASSPRENETHEAWFVGIRR MDTLDHRKTILIIFSNIAMTFSLDETTGPAIVRLAHDFLLHGPETYYATLAIDLWAKI AVNYGNRKVLSQLVLEKQEEDEDGDRDRDRDGEDGEDEGEERGDKKKGRKNDRFELFV ELWTELSAVIRRDFFAPDGRVMFALNSAQLATLEMAMMGLYNVMVISDDVSLRRRLID SDKSVPMMILRLCIALAESGNQHFAVVTKRGIEVVRGLVCGGDGMRRRPTLLDCVESE APKPCLGRGILDMGCLREKLMLATVKPTTDPEILRELSDFVTLVDDEIGAL PHYBLDRAFT_77877 MQYESSTTALSTYAADSMSPQSNKPYSLNVRYPAHTPPPSTSPI ELPPILDMCDPFTVDRPPSPTSPSMTKRDDFLFSLPSPPAFLEPAPQLNSHASFVFPP FKEALESIQLQNQYYQYQGVHMPIPRCSSHQAFAPTQSNPATGDSEGEDPRDGRSYSP TSSSTTSSMSTISGPISRRLPISPTMAAASASAASAAHHRSGSLVTDTLVNALRLTTP DNHEPLVVDLSSPSYTRVSFMVHGNPVSMDQSMFLTKNAHIKRPRNAWIHFRCHYGQA LKSQDPTLRAEEISKRASRRWAKLTEREKKPWHDLAEQEKQAHKEAFPEYRYSPKRGL THISLTDPDDTESSVRHKRARRTK PHYBLDRAFT_64848 MSLPQTWVFKVKAIDKPDDYDVQFTKHGYPHTFIPVLDHKSTSL QTLAEIFSLGPIHCNHLGLVITSQRAVETISRVLWTLNLSPEVRAAWSALPIFVVGPR TANDLRQIALFSNSNLTIADMATELCDAIEARYPNHKDQSINQSLLFLSGDKRRDVIP RRMAKASIKVHEIKAYETCPHPLLDQSLRDINPSPEDWAVYFSPSGIRYVCQSIKQLP ITKLAAIGPTTAEYLEKELGLKVHVVADHPDAVHLVNSMATYDASST PHYBLDRAFT_186976 MELKEEDLAVNHHTETDDVKNDSPDKNCHQMVALDKVEDESVNQ DTNATTAQHVADAFANALFQHYSFTMPTTEEKDVTTGLPMHAQLVAAVVAAAAAAEKN GPHGSIDYGFTPTPDPATTSAPSMDQTMFDGQMVPGSLKRNLMKLKVREDNRARKKRW REHNEERNKDNDLRCRVNKRAHKLFGKDDTTHKKQWVEEEFQRRRAKRQEKERHKQAM DGALHATHAAALKLNQDLSAFLKFSKESNASAAALVAALQSPQLLQLLTQTAGAIQTS TATSAITNSPTQTHQTQIQHNQNQAHSQNQGQIENQNDSQGQSQSQNHIHSHSHNHNH NQDHQNEIQSHNEIQSHSQNQIQDQIQIQNQDQDQDQSQSQIQSQIQEQTDLASTIAS AIASTTTTDTTIAETGEHILATSTSDSTASVTNDIVSDTGIAIVSDSAADSATATTQV QPEENEVSAKEDESGTGTGGTQTDSMDAVMTLMQLNGSWRQQ PHYBLDRAFT_64850 MCMLRRDVFVCNKCQNIFTFCFSPRQKKLIPLICVVRVFSTAKL CFILVATRGSWLWLTKESSRDNDQPYTFSKSILHIWQNKSTFRPKLLLSGFLTVIFLK NVNRHLNYFNGVEFHEFLFSEEDEKMSLSEHCALKINFIVFSFNLTPDVDSRILLTAT HDRKQEFLGLSKHNISPPTNNTKLATIIQYNAKKENKEREKMT PHYBLDRAFT_168321 MDYGSWIIDYYFWLWFCGSGFLAVFSDRCQTSDTMTPCLCPKNG KKVKKKHILSIQKRIISKCQRRQSSTTNTASRVSTFTSSMGQCIKGLASVKKAFSCKK AQTTATPSLISSSSTISNDSYLSDLSDDEHFSKSCPVSEKSMALDSLIFDHPSVTVRI SPAAYRSS PHYBLDRAFT_102727 SNTQLVGSIQLNHTLSNVLPPPGYNRQRPHVFAIQKPDGGVFLY QASSAEQANEWVATCNYWAARRSKEPLAGGVSNMEYGW PHYBLDRAFT_145366 MSSPLRPKVIDLYKQLVYLGREYPAGYEDFFRPRLKAAFMKKKD LRDEEDIKKALLHGDYIIKELEAMYYLRKYRTLRKRYTNEA PHYBLDRAFT_181411 MNSPRVMTSIQPCPQAQVLPALSDPQYLPYPRLSPRQPIQESYP SSANLPLAYTYAYLLGCSRPDPALQYHIPHSPVVVPLPIHHTLENATITFNTHEQQYH KEDLVKDVFFSPAIDRDNSASDLCSPSLSLGLGLGLGLGLGLSLSLNQTPQNTPSSLS SSASSASSSASSFFSSSSDSESDGASVSSPTDFSLDDGANDTLFLFFANDGLDFQDPP PSPSVSPSPSSGHSESFTTTLINTTTTTTNHNETKDELEDEEKDKEEDEEHFLHPNLL GMKRLGTTSTSRSNGREQTKKPRMMNWPTPTIHRHDHTDYFDASAEESSDDENEDEEK GEMKENIWSPRQQNLIKSPSTTPVRSLLILQSPKQNGYKHKQVKCYNGNDSEEEEDYN CGDTIRSPTLYQQLTEANIDWCRYCGTTEGVNWRPGPWGKRTLCNKHGCDYKGYGFAC KLPRLDLTGFVKESIHDRDRPVLQLFCTGCHRKDSRAGNQLVRCEGCPKAYHQHCYPD SHLLTDAVVQSGNWFCDPSCIENARRKRIVVELPRKRLPLMCAPKSASASPAQSSPST TSSSTSLSLSLSSFSGGRGVRGGAGGLKGTSQSSSSSSSSSFTPNNSSAFSNSQTGGG DSTTRTRSLRDTR PHYBLDRAFT_155379 MSISKTTLRPTLQQYMHTAVRQSLNRANYSTSSELLSIANKYCS DSKKEECRQGDYEAYLAVSFFPSHLRDTQLAIRAFNVEIASIRENVSNPTIGKMRMQF WKDTIDNVYKVNKREAKGINSGKPPQQPIALALAESLKHTQLSSMWFKRIITERTTNL DDHQFMTIQDMETYSENTATSVLYLQLESLGLRDVQADHAISHMGKMMGITTFLRSFP FHLGQKRSVLPAQITAKYGISQEDLFRQGQVEGLEDAIFEVATAANDQLLTARSMLET VPQQAFPVLLAAVPYIKYLEKLEKANFNVFDPSLQRKDWKLPLSLWNAYRKHTI PHYBLDRAFT_145369 MAESTEVQKPSNKRFRKDKPWDTDDIDHWKIDEFKPEDISQPFM EESSFATLFPKYRENYLREVWPHVTKSLEKHGISCVLDLVEGSMTVKTTRKAYDPYII LKARDMIKLLARSVPFPQAVKVMNDNIACDIIKIGNVTRNKERFVKRRQRLIGPNGST LKAVELLTGCYMMVQGNTVSVMGPYKGLKDIRRIVLDCMKNIHPIYHIKELMIKRELA KDPKLAEESWDRFLPQFKKRSIKTKKVDKSKIKKKEYTPFPPPQQPSKIDLQLESGEY FLKNPDKKQYKK PHYBLDRAFT_112418 YIVGVYSKKDNSLTVTPAPVIRMTRTVKALSNENISTKTASNAF GAARAALGLAFGTSKAQQDLRNEERNKVEGEELESMMDIMHNEISKATKNTPTQASLR KEMAKDLPIPPHDINAESPEKVYDMEAIVSEEELNATPIKDLLKENTLEAIQTLLPYN TSDFVNNRLLSILKSSGKKDRKKLRTLVYISYLMAYLCRVRSDDLNKRSKLEVILKGA PSLLIDKMTERYTISNVRTPLMADKILCYMFVLCLAVADYTLLIPGISKDLSIKPSKT TTLLRNLGCKIEPCNAEDLKNADTKLSASAKKAVLVVPLTFPEIRKFARK PHYBLDRAFT_99613 RAKAAFVAVVQESSMYNLRATMRDIELRFNNAHGYPWIIMSERP FTSVFRKWIKASTKSQVYFGQALSDEWNEPTWVNTKIVEKVAIKMSNDKVLHGESVSW RKMTRYNAGFLAHHPLLKDIEFYWKVQPGSRYQCNIDGDPFEMMKRDDKKLSFAVTGY ESENTMLNFWRVVREYIEYNKQSILPSNQTIYPWILDRNIKDEAYISTLQSQMGEYWG EFNECVVWNNFIIVSLDFLRSKPYTEFFHYMDKTGGFFYERWGDAPVQTVAAALFLKR DQVHYIKNMGYDSSIGSNCPVEKEAYSRLQCTCN PHYBLDRAFT_186978 MDEHGFEARLRHVEHILVGQQALYLARATKLPLLQRMDALRKEL QTVYKGNKGIQDFIDKYDVHAKLLNPANSTFLMEREFLAPEAKLELVMAALEDMETFA KQVKQVKSLEHVVSGVDFEAVQTLGPQLTPLEAIHSDQARELNELTHKVSSLMDNYNG LINTLSEIFISWDDILGTMESHVSALERKKEVV PHYBLDRAFT_168329 MGKRAVYGIQFSDRTHYGDLIAQKQLLLKHYEKTKLDTTNLLLE IAELYIERCDDLDDKLSEDEIERDLNEYLQKAIRICNNVYRKKGHEDMILARQDTARQ TEL PHYBLDRAFT_168330 MLGRIIHWNEMYLKPLKSTDSCDETFSRLILSSNVNMGVILSKI HDPEFKAERFFVAATELAQKLNDPEAERECWHEFGKFFQRNGRWDSAMMCQKKEIKIT KMLNLKEEEVACRIDNIKLYLEIEKFDECKKHFKRIKDLTTELEDESLHSEITSLVWD IESIHKEIKEVPLEEPLGRAKLYLELGNNNILHQLGEIFLEVGMKRAAAKSAAEGLLN IIPGFKHTDEMSSMVQIGLMHIEAESSENTTLKPYDVQLAFNQTILFIIHREIKTRKL YLENCIKVYKRMIRLCRYYDKEATSEKWAVIAKAAKEQYHDLEESLAEDIEKTGIVNT SGPQTDDIDPLQKNLRVRINIKGTQVVIGLSCLKEYTTVKWISRQLINKTWRIYGERI SIDYLIINGSKVQSPEAKIQISKGHKQEIRVHVKAHQKGLDEYYENVCSRLKIPIVPR LKDALKDHTDNELNLSGLALSSEDVPVLRFLLARKGPLDNLDLSANLLDDDAIIKLLT DMRCPRHVDLRSNLLTGKCLKHIFKIGQGRLSSLRVSYNKIESTSKHVISDLSALCTS LEVLDLEEH PHYBLDRAFT_145375 MDRIPLEILFKILGHVPLNEFSILYKIFPAPVLAQVLVMKLRRE GPPLDLVSTNLHELHAPHSPTPTHKKNESLLALYFATFDPARSLLWFLPNFQSSNYYF KVKNSYVSHGKLVVRPHGRAAQREHCLGLLGDIGKHVPPPRAGTFSGATETSMLAKTV QEITLHRGDCLVDACLMVGSNHISQPLPLPKQAMLSTNYTRQPLSPSSLSSSQSVNLM PDPTTCGYFIVERVALTLPAFLALYET PHYBLDRAFT_64862 MYLSILSQETLSFDPIKPIILRGSNTEDSSSIFSGNVVLTLTRP VKIASISVTLKSISSTYWPEGIGARGTRLTSETALFEQKLQLVPSKNETRKAVLLSEG THRFSFAFVIPNSFIETIDDVYGRVRHVVEAQVSRTGIPILNSWKAQRPVLVLRCYMS NSLLVNNSIQDLSRTFEKHLVSGDIEVMIEAAAFSSGDLFYIRVIIQPQRKHTRLEHM EVKVTESRRYCVPEMRAWRTNQDHMEMPFAGATRIFSNGESEGGTGDDMDSSDEVRQA FEKDGNGIDLIDTFAHRIAFSTPSCQQNLHPTTHFKEILFRHHLEINIVVSYPDDDGM PVLSRVNSSSSNRSIHSLHSFHSNPEQTSSTDHASGQQNTTQQGVSGGWQNVFLRLRK ARNEKDDVVDGRRRETIILETPITVFDCRLKEDYSRLPSYFELGVKPPDINTMLKSKN GLASNDSSSQSVPLKVDRTKTYYCPCYFDFCRQLELASQCLHLPYDQSLPILDRVPSK PPPDYLDI PHYBLDRAFT_95168 RMRATPKQLELLEKTFSINPSPNNRMREQMSKELAMSERSIQIW FQNRRAKVKNQAKRS PHYBLDRAFT_186981 MREIISIHVGQAGVQIGNACWELYCLEHGIQPDGNIPKELDTGD NSFDTFFSETSGGKHVPRTVMVDLEPTVVDEVRTGAYRQLFHPEQLITGKEDAANNYA RGHYTVGKELVDSVLDRIRKLADNCTGLQGFLVFHSFGGGTGSGFGALLLERLSVDYG KKSKLEFSVYPAPQVSTAVVEPYNSILTTHTTLEHSDVSFMVDNEAIYDICRRNLDIE RPTYSNLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVTYAPIISA AKAYHEQLSVQEITSACFEPNNQMVKCDPRHGKYMACCLLYRGDVVPKDTNAAITNIK TKRTIQFVDWCPTGFKVGINNRAPAAVPGGDLATVQRALCMLSNTTAIAEAWARLDHK FDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSDSLGDSEIEEAEEY PHYBLDRAFT_64866 MTSLTAYSIYHVVIRFNLEANIFYPSEDKIELPLVLVNTQKPLG HSVTNASIADTAVRANRKHIMALAEGRGKATEIGMCTIYLDTNECILSQFADSQTYIK TLHQIHLNNPQKILVASMPLEHGINKLCQLIEQKFPNISIESLSRNYFSDDVGMTFLR DLGIHDNASTLLLGISKKYYCLAAAAAIFRYVLERNDTTYALHTILFKYQVAQDIITA RNLELVTNSDKSHSKETLYGILNHTCTPMGARLLRMAILQPLTEKQIIQDRLDAVEEL VDNEEMLFGIQSSLKYLSDMDHIISDIIKIPKKQTTRYSEAKINNVITLRNALKTIKN ISEIIKCSNSTVISLALNANILLEQIKETLNDEVGYEKSNLGLRNQKCYAVKETRQAY KETIQDIYEMSSQYIESTGLPIKLQFANAKGFHLSIASFDKIKGPSFPPVFINVVKKK KSITFTTMELLKRNCRLEESLTEIYLMSDSIVTNLLEKIRSNISIMYKLSESIASIDL LASFAKNHMLRDHYIRPEFTNTFAIKSGRHPILDIIRKGQIVPNDTYISLSSSFQFIT GPNMSGKSTYIKQIALLTIMAQIGSFVPAEYASFRISNQLLSRLANDSFLDMNTSSFM SEMREAAYVLHNINDTSLILIDELGRGTSFNDALGISGAICEEIIRSKAYCLFSTHLH QLTTSLSIYPNVVNLQLKVDIVSLLENHYQSSYTHLSIETDEMDKCAIHFLYTVEDGN TTKLHYGLKAAQLVGFPNNILARAHEISTKLEDAKIEVADVNSSIYLNKSRQKVMLWF ADKVTQLNQTELCDEMLYERLLLLQDEYNNHLRALQWDGNNATSAPSEISDYYTI PHYBLDRAFT_145381 MFDDDTTDQLYDVFSGNAPVENFDDSADDIEFDIKNEQTSKNKR PLDNDSSDEDDSFRKRPTTNAQDEDDEIRRFRAEEANQPQPIVADTFEQETSREVANI PGLQAAPSIDGEQLVLSHQVRHQVAVPPKYDYVPISKHVPPENPARTYPFTLDPFQRV AVSSIERNESVLVSAHTSAGKTVVAEYAIAQCLKNKQRVIYTSPIKALSNQKFREFTE EFGDVGLLTGDVTINPQASCLVMTTEILRSMLYRGSEIIREVAWVVYDEIHYMRDSAR GVVWEESIILLPHAVRYVFLSATIPNAMEFAEWICKIHEQPCHIVYTDFRPTPLQHYL FPAGGDGIHLVVDEKSSFREDNFQRAIAALSESQGDDPSGAKARGGKGKKGPKTAKGG ANDGPSDIYRIIKMVMMKNYHPVIVFSFSKKECEANALQLSKLDFNDESERDMVTKVF NNAISSLGEDDRQLPQIQQLLPLLRRGIGVHHGGLLPIMKETIEVLFQEGLLKVLFAT ETFSIGLNMPAKTVVFTSVRKYDGKQMRWVTSGEYIQMSGRAGRRGLDERGVVIMMID EKMEPDVAKNMVKGESDRMDSAFHLSYNMVLNLLRVEEISPEFMLERCFHTFQNDAHI PQYEEELKKLEIEKNSMVLERQEEVEDYYNLRSQIETYSRDVRDVINHPTYCLPFMQP GRLIKVKRDDTDFGWGAVVNYSKVVQKGKQESDPENPNYLVDVVLYCSKDSSPSKDSM GQTVGVQPAPEGEGIPIVVPVALSAIEGISHIRLNLPKELRSLDARNNVHRSIREVQR RFPGKIPLLDPIQNMNIKDPAFKKLVEKIVLLEETLLEHPLHNSPELPALYDTYSKRV EITKRIKDIKRKISDAQSIIQLDELRNRKRVMRRLGFTTSSDVVEMKGRVACEISTGD ELLLTEMIFQGVFNDLTVDQSVALLSCFVFDEKVEQQARLQEELAGPLRLMQETARRI AKVSKECKMAVDEDEYVAKFKPQLMDVVFAWCQGAKFFQICKMTEVYEGSLIRIFRRL EELLRQMYAAAKSIGNTELENKFSEGISRIHRDIIFAASLYL PHYBLDRAFT_186982 MYSPRRLPLTKENLSRKEREEAELHSSNISRRRRTSTKDCVMES PRLMPFPYHNDSCFLPTVEHPQSKQPTTESYVSLSNTAFSSCSPSSYASTRTPCSLSA EKRSVTFAAPLEKGSEKLDKSSKSSPARRLLSRGKNINVPGTRFVHFCRKWLGKREPE TCLTENSPVWYSRFDSNPVPPQGLQYAVASY PHYBLDRAFT_64869 MNCQTDPEKQPLLPTQSDAHTPSPARTRSFMDWTSRFSLAATLI WIVCIIQSTDRHAISVTSNEMEMKAEAENQALSCPDPTYPWYGESNLPVSSQYTKLKV EFDEMHHISLKLPKIQQRNSIRVQPNSTVQEVFVTFDIKTGEEYRDRIYMMPEYVNND TLTFHIGRDNFDDDFVCFSVNIIVDVPSNTTLESFEYSTLRSNVSISNNISSPAGLSL SVVSGSIEFNNVTFGETLLAVSSGSIKGQINL PHYBLDRAFT_177551 MEPVLIKKQDIEQDSDKTDEIASSCDSSYSSNSTMSELVKSHNK LRRQGSRSSVLANAIQQRRQSKQDHGSTWIQHKKHFFILSSAGKPIWTRYGDESRISS LMGVIQAIISFFQDGDDTIKSIHAGNHTFVFLLKDPLYFVAVSRTGESDGQLRDQLLY LHNQILSVLTSVQLTRIFEQRVNFDLRRLLGGTEVFLDSLATLFNNDHSFMLSALQCL RLSRSIRDQAGAILSDGRVKDLLYAMVVAKGRLVTLLRPRKHSLHPSDLHLLFNMLTG STTFHTAESWTPLCLPKFNSQGFLHAYICYIERDISIVMISTSKDSFFELSEWKTTMV EAMKKKNVLENVLKASDELYTTEDVGVPALLHFMYKAKSHVQFTSPAFSGPYENDSDR KRLYRLYQHVYDRMHARTRVLKLYYHHSEHEIMLGWITSSFELYVVFGPATPKAVLIA SSNQLLRWIKKNEDSLFILNSPVF PHYBLDRAFT_102585 LETLRQLHVVKTRMEQCKAQLKEAENWSQLENEATKALTQQDFE ACALRLQQAQQSLDVFQHTPEFDVRRALLETLQHDLETALHPTILRALEDQDTRQCQK LYGVFGRIGRSDGFVEIYLTAKSPALQKGW PHYBLDRAFT_112573 MFKQGIEPVQSLYIDADDKNPNSTVISLAQPDLGLPSKEYYEDQ EALKKYQSGLVSILKRILGPTSLNLAKAKELDLNILTDVEIDTLVSNAIELETSIANS MVKSQDLLDPVATYNPESISSLQQSYPFLDWTAFLEEFLPPGEPLPPAIIVTSPVYLQ RLTGLLQNTPKQKLRDYFVMRHAISKVYALDKDTRTLLQEMRGSIFSGTTKLPPRAQV CTKSTSSTFGFLAGRYFVLRAFGGESERAQVEKFVDLIQSSWLKRLPELDWLDAQTRS RAISKMSKIKHKLGYSIKSPDIRSDENLKEYYQNINITTTSFYDNENSATAWELADIW SRMGKPIDKARWMMDAQEVNAYYTRTGNEIVVPAGILREPFYDPDVPDYLNYGGIGMV IGHEFSHALDNAGRMFNGDGQLEQWWTNSTLKSFETAAQCYVKQYDQWTIEGQDKKQH PVNGNLTLSENLADNGGLSVAYMAYQQLASNASLQTATSNNVNMRLPGLEQLSPEALF FVNFGRVWCNKKRPSMAEQLLLTDVHSPNRVRVNAAIQNSEEFARVFQCPANSPMNPI PKCQLW PHYBLDRAFT_168344 MPYQKNLDPLDRCIIKSLIDHCKCTGLNCLENMASLHFEYRLWN RDLVAVLNPRHIINQLRCSPVFTKLEALSLDFLNNIIIITALRGANGRKTSEYKPNLK AIIKPSQNKRACKISY PHYBLDRAFT_168345 MKIRIKSVVDEDVSIQGAAMKKLKAMLLSRYYEIVLLWFDIHRH RVTKSLINHCKCTDPNCLEDIYSLHFEYRLWNRDIAAVLNFRNILKNLRYDGTIPVEK AKKNMFWFDCKRHGGVEIGASLKFNYKTL PHYBLDRAFT_181419 MSPDTKLILSLVNRISVKLPSNSGKSLDSLENDILVQQTQSSWE INMHKPIFLVTTLIELSRFRLSTIASTIVSVLEVVSKSVAATPGDDYIPNDILQSQLF LLRMLSACMQHHWEFIRTNKDSPADLPTLQDPPPLEDTLAKYMISIMSRFMHQMTVME ERDHGSATNITRTAYYSISGLTSLPTDIVVDIYKAASRVVFYLSASNWYIMHSKLRAR ILYLTTTSDEAPDTSDIRLLECCALNSKRLSMMFEELHPTFTRLKKSAQLAIAVILRR AIWGWIETYPSEFINLCRSKKRLDGRPDILFDICNSLADTTRKKAILWPLQTILLALC PDLMIASSASEGRGFLAKKTLFFNTLKKAIKGGRTAELAAICYVDICKAVNYVSKEET SSFKHLVPEIEKELKEKLFDIDHPLMADNLMNSVGIIIDHRYLLADTLVAMFRLNSRK AMQTHFPTCLDERAPTLFRLSLVKACLAIAMQENRLPWNPSISSLYSSLCDPLKRLFL ETTRADPTRSETTSLSNRRPPTVGDKKVKKDKDSTHERLEMMLDMLRLYQADPNMAIR GNAEDKTEQNAAVMVAVTMCLRELNQAVRDAAAETLFKLHAPHYILEWGVPERFMESF WSISSQVIHTLAKQMIDTREREDSLKKLLDLLKKLLICRNEFLRIHQDIAMQGADVRD RLHASIALEVSLLVLLCSADTDICSAAIACFGHICIEAHITDGMDDPQQTSLTVAENL PIYIELTSNTGIVTGRKSQQKQIRRLLRMMSHYAPGNISAWEEAWKRWKNLTPSILRP SEEPKEDMSEVTRKTTQAWHDKLRNTRQQNTISSRSENLEDDRSSEWQNYAGFLAALG GICLMGGALPSSAQSPRHHEADPRRSSTQSESAAMADRFVMDMVDLLLCDNVILREWV KEILGTDLSPALYPMLFRHLETVLAKCFGPDGDPTCSPRYTLFVEQAISVLKFVLDRM EESADTLFTVDFSQLISQYAKYLNKLGSSQAALKIKIKFCQLCEVLMAKKEKVTLRQE FKLRNKLLEIIVEWTSDFSLKVDVNSHYSSFEQGEKLHRDLDLACLKTIVNLLHQLPL QPSEPVHETGASQVKSRMFYKYFTFFLKLLNRCRISENKDNATYLGPLKEYTILAMSN LLSANVDAGLKYSLSMGYHEDTRMRTAFMQVLTNILNQGTEFETLAETVMTDRYEKII DMLVEPDLHIAMSLCNVCPATDIDDASNALLACFSSRGNAMTLLKAVIDKEVQNTENH TELFRRTSIATRLLSVFAKTYGAEYVQSVLQPVFHVLLDTPREERSFELDPSKVGPGE DVYRNRENVISVTQLFLNAICASSNEAPPSFRELCHHILVSVRERFPEAKNTAVGAFI FLRFFCPAIVSPESEGLIKGSLSISREMRRGHLIATKVIQNLANNMLFGTKETYMIVL NDFVTSNIYNVTKFLREISDISPGEEFEADIRQMEDKDYALLHRVLADNMERMTRDVA TRRQRLFADTDTWAVWKRMFDKFSNLLAQLGRPPEIQKQEFSGLRSYTFAAANQVYAE FMRRNSHRSVDAIATKNIFYEGGTSKVGRPVLYIIARNISNDSIDFELFIYYMLQKKK KQVLERTANKAIEVVFDLTLFGHANEIPNQWISQILQLLPSDVCDNVATFHIYNPNSH LRKYIKKLLHPITHKVSKRISFAVTLAELYESIHPSEVRLPKSTMTLDTEPSAVFYPV NHISQFKTNVPVTVKVGAEYVQIMTGRKQELLYGVSTVTNDVYRISEIEDIVLSPGRG AEVANEFSFKYDKGRLIVILSTIKREAIVSTIRHSKRCYEMSKPSNITERTIRPSDVP GRLLNMALLNIGSEDPNLRLASYNLLYALSKTFHFDVGKQLLDARDLCLPANSTAFIV GISEKLAISEPNLTLEFLNECFVGFNKSNEPMRYLCLDYMIAWLPNLAIFSHGSSDDN NSVKTKEVLRLLIDLTVTRADMYKLLQAKVWKTIGKVDDVLNLVLDTFVQFSSDHGVG SPQAESMADTFVTLSNVAVRGKVISRLRKVLQRTSFQPMRSLSEHYTWNEIAVLVRFI LMLSFNNRGPVKSYIPEMFHIVSLVVSTGPILVRASVHGLVVNMIQSLCTAMPLPESN VKKLQLLLAEISDTKFRLLFGLAKPHLNPFTINDETLSDSSDRIQLGSLETIVTTLLD VLQYAAPSADMANVWRARWMSLVASTAFQFNPAIQPRALVTLGCIAREEVDDELLYQL LAALRGALAIFNETDPSLVLSIIMCLKNIVESLPPDSRYLSSLFWVAVSLVQINNGPT FSMAVELLLALLRALDANEYFSGDVMVDVLLAARVPMLDVARRLDQLCGVDFESHFSF AMSCVFMRGLQFANAKDTIYHALTVFLGVENKHSSLETDEIDANILGYFAGLLPMAIK NESLRDVLKLAGMGDLDLEVSDSNMGTTYHGIFDKMDIPDNTTALLLVSMLSTQLNMA DNESERLFLYGLLAEAAVSMPDVFVSVYDTLLPKMNQILLNNNTQPIIESIKTILIIA CSDPVFGDMKNRRTQKTLLEEIGFSSLGEANFGVSTADVLQNAMLASELIERIIS PHYBLDRAFT_5977 SASQGWMEKFGKRHCIKMNRIHGEADSTDIKLLQIDKAAIKEKI ERYSACDIYNFDETALFYAAPPRTTISHQKFSGWKDNKKYLTVGFLCNADGTDKWSNI LMIGHARRPNCFNKNNKKQKASDHGFSMYHYNSNAWMTRSIFHVFLRRFDHSMKAQNR KVLLILHNFYGHIVDYAPTNVELLFLPPNTTSHLQLLNGSIIWAFKTYF PHYBLDRAFT_74195 DLELNETTITDARIYHEPCLHGLIHHVSRIGLITICADLLEEVV SGELCNCRVKVVFGLPCCHDLLRDCMLLLSDIPERWILSSSLGKRLQQLECDISLQKI DVKKPALWVKCIIKLEQLFHQCEGNQQVQNLMAMVDELVDNATPGRPKHVKRKTALPK DFVCHKHRHLLVQKNKNDIRSILKEGLNTGKEVQFSYV PHYBLDRAFT_168348 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFDFLDTRVLLPSDA SPSQCLSGLAKAISPKLLSTIKHGYEHDEPPSHEHIANRELSFHMSVIDMTILASPMY SLGLQINPFASGRTTIYKQATHNWAFLWEILFGEYLFYQNIGHFKLYEG PHYBLDRAFT_168349 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTTMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVPFTVSSVSSTLDWYT TPSEAFFGISSVAPSVAPSVGPVVLTGANAGELSKQDRTRVLALIQGELKKHNFKSNK PELVAANDSKHSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADISDCIYTNFC GMRRQHFDRRELTYHTFKAEIDVKVGKSCNGLLQKEAMSESESEDDMPGVSSNCAIRT VRPSWRSDEYNHFLVVVDDFMRNCMDFNSHQMLKRSFGRDAVLAVPPRLTSLLPHWAF RDEFQ PHYBLDRAFT_145394 MKADEWKSWVLVYSPVLLKDVLAKDRFKNWINFVDACHLLIKPM ITFDEVNTAHQFLQTFCTRCDELYNAKILTCNMHLHLHLRDTIRDFGPVYSYWLFGFE QFNGLLKNLKTNRKIGFEETFMKKFIEDIHKDDLVNSFLQSTRQTSAFPLLTKLTSSF TPTTIPSIHQCTFHIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHL LQYYKVAYNNEQLVHFQQASESPYFVDNTITLLKYINILGQIYKGKGESGSCGSLVQA KFIGSTGEHIIAYMGQIQYIFTHSFTPPPISSSLTPLLCTHRCPTQLLHNSQHTFAFI KWYTPKNDKLQEYEHIETCFPTFSPDDFQCVLPVHIIMLEVATAEHTTRRKVKKMLVI PLPKKQYI PHYBLDRAFT_102220 NLPCNERYKPENTLLVGLMPGPKEPKSEEINHYLRPMVDDLIRL YEGLAIPTFECPSGVRVHAALMMVACDIPAARKTSGFTSHNSTCACYKCNRHFPRLEN GVNVDFHGFDFSRWVLHDGVENRLHAEEWESASTPSERHWLEVKNGVRWSQLHRLGYL DLVRGMIIDSMHNLFLG PHYBLDRAFT_64882 MFAIANPDEVCCKCTRCNRNHLGYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAKVNTSEADMNVDQIEEHIELPIDDNYSIGAPSPEQYVNTHLPLSVE ESLFETEEYTSEYESEYELSNEFEQEEQNREQEQESTENLPENIWHQVIAVFTVIFIS SFIVDEGAVILITFINTILKHYGENF PHYBLDRAFT_64883 MYGNRAFFCSAWQYDIIILTIFKILSTVLTMIATTLSQYEYVLI YDKSNIRVSGSPHDLPASVAQLAARLTVNQEVGGSSPPGSACHAMHFIHVFVIHFVVP DLGWSSFYYSKVKRSNPLGIKEYM PHYBLDRAFT_168353 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSISGVNSMTGLSDVTRGVQRFIACGDCNKVYEESDVVPECCNFERLSG RECGNALFFATSRALTIPKKIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDP MHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMK ADEWKSWCLVYSPVLLRGRLPEAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAF CRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDG FEGTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFD INAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYEHLLEYYRETYDDQTLV HYRQAGHSDNFVNNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAG QIQYLFVNTAVNSFAGHASQHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSIL PVHRICYPVAVGEHLGLEGEVQMCVVPLPRKIYI PHYBLDRAFT_145398 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMVRNKAGRRRNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_145399 MTKRIPTAPRRPNLCMNAVLNSTIAGVVAPIDTPTPEVAVNTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSA PHYBLDRAFT_168355 MNKILELFRDPFRLPVSIPGLKSMAGFNIFTDGIKKYVSCSECH SIYENNELTSCFCIFDKFGNNSMCGNSLFKLLYQNPFVILANRDVNTEVVKEETDVEI VDVSETLIDSNSLVLLYMKTIILLYQPKLPYRSDVETTSLASDNDDPDSSNESEDESK VEVAGVEDFEDMVASEILAFVVASLKIHEMSQKSQFMALFGVIFQAFYLVQAGGTAML KFFRHLLVAFDKDTDFSLTIDALKTMTGFNFMTKSIVKYTVCNKCFAIYLPGNCQPNC TFEKYTITPPTYCGNPLFSDTKADRPIPIMVFPYNSLKNALAQHFAKPGFEHQIENAT EAEMWFFAESDAERAVLKKQHDTCFSELHHLHYFDPVRCTIVDPMHNLFLGTAKRMIS VWKDLRYLPTAVLVRMQRLANGILVPPGYAVLSTKIESGFPYMKADEWQSWCLIYSLV VLKDALPEDDYKNWTLFVKACRKLTSPSVTYSEIDSAHHLLREFGKECETLYGESSIT PNMHLHMHLRESILNFGPVYTFWLYSFERYNGKLKNIKTNCHNGLEVTFMRVFLEKTF IGSFLRAYSTNLSSPLIEFLEGVAQVKSNSDSSSPLNLDAGHPSALPFSLAMFQQAAT NPWYNVTGSEALPPTTLPIKLQSLTMMKDDHYQWLFEFYVKAYQSTSVSFCVVGRIPI GEDVFVNNRIQKVKKILLLGQEYCSGEKKKCGSFVRVLFLERTNDNVSEFPGQIEYLF THTIKIGGVKRVSTFAFIKWFSAYHSSSHQPLADQGLQL PHYBLDRAFT_145401 MAIRISPDEYSSAKHAINQEPLSLGAIIDMTTTKKSVQQTAGTA ASTRQREIFPSLTVSSELDGTVLSTSSTMSTQLNESHSLLEKVYHNMRATNGQNNNSN HSPIGQALTTGEYIKYRLPTVSRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADVVQS YTHQQAEGKSFARKDSSTKSDFSTPILADYKAIHLADKANLESKFGETVVDLLDYDML SDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRLANKGPDVIGISVYPIILRNTELS NEKKAHVAAWIHIRQQ PHYBLDRAFT_168359 MAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVRDFVYTNFT SRKHAANKSQAKKKSDNARNRRSSREKEHLKRRKTTYQSNKTAIDDEMKRDCSGLIIE EAMSVGESDDGTSPHVSYSGLHLCRPGWRSDEYNHFITLVDNKVVADLGLNSHQLLSR AFDETIEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_64889 MSDIDYPQLLDYYKIAYAMPNLISYHDTRLSQYFVNNRITKLKS IDLLGQTYIGNNSSGKRGSLVQAFFHSSNGQTSSLYTGQIQYLFIYSFTLPPHPNHRA STLHQDQHVFAYIQWYNLTNDNEHRDEGIAICLPEFSADNYHSILPVHRIHLEVATAV DVTDMNEERMLVIPMPKKYYA PHYBLDRAFT_102222 NLPRSEWFKAENTILVGLMPGPKEPKSEEINHYLKPLVDEMIQL YLGIQIPTYQQTDGATVRAALLMVACDISAARKTSGFTAHNSTCACYKCNNQFSRLPG TSSVDFRGFDCDQWRHRSDRANRVHAEEWNSASTPSERQQLEVEYGVRWSQLYRLGYF DLVHGTIIDPMHNLFLG PHYBLDRAFT_145404 MPSNSSRKTDRKGKGKASASISTSANRVLAGLNNSINGVKDDIA AVNSNMTAFKNRMSVVVDTSGKTHTAFADFATAYANDQTCMVSLEPSLMPSYVPQTSL SDAEISVIISEIFAEKLWDWKFESDDPALVAENESKKKWNLNKKINHRNNVAVINYLK SYISTQTRLAGTHPWVISDKIKNRYKHSHCTFHESPEQKAKKNSKGRANSHTLQTGNP VEKAYLKLFQKDAMSDGESDIEIVDNLPRWCLHVAHPTWRSEEFNRLLTMVDDIDCTH HMSNAGVGTKPRMNRYPATLLPCSVSATLSQSLPRTAKGGPIQYTKYWRNDPEYPCVK VHGYM PHYBLDRAFT_168362 MYLSSHPVLQVFAMLINGQEQKVIFITMSHLPGVLFFWKDPERP IDMILLQSDQSKSFGKEEHLCYCSLTPQLIIKTYQQQKFIHTVNISMYLIEWLVFENR FSLNNSQCTLCLVLTKVLSSLTSEIL PHYBLDRAFT_102101 NIRFKKENVILVELMPGPKEASMSDINNYLKPLVDELMELYTGI KIKTHQCSNGTTIRAAFLMVACDIPAARKVCGLTSHTSTNTCHKCKYQFLRLAGTSSV DYSGFDFSKWLLCTNNDNCKNAEVWRNATTHTERHCLEVANGVCWSKLHHLQYFDVVC CTIVNPMHNLFLGTTK PHYBLDRAFT_168363 MPNICKSDIASAHKYLENFCKECETLYSLDLISPNMHLHLHLQA TIHNFGTVYGYWLFSFEQYNSILKNIKINRKTGFELMYTSQFIENISFKKITKHQQKT ASTITPYSQFSFSLANFFTATLDISISIKGNEPLPPTAFPLAKKPLSLMPMPECNCLV DYYQVAYNNGMISSCKNGMPSLSFVNERTEILKSINILGQVYKGYNVNGRGFYIQALF EENHTNAYYSYVEEIHYIFVYSFTPTNSPTAPSNHNHEHIFAFVRWFKTISDMRRQPK GIEIYYANFYKLDF PHYBLDRAFT_64894 MSEEETKVIVFEYIHAQPQAVSFWSGMVVSIIHTHCQDIYTRYW SVVDKKMGLMVEEDSEMAFFGAIQKGAMLDSKSDTERLFSGFPVHMLKVAYPSWRSNK FNKFLSLIDESMQSDHKAKGNAKLRMSRFLREEKNVAVPRWLILSLSPCAIKQ PHYBLDRAFT_145408 MSWPEQLVSEKFLMSKLLQREGLPVLLGEALLSLQQKALLGIVG ENQAENSVDSLEKKLDTLEERFDIFEKKFDTFENTIDKRMTRFEAEMKEGQLRMEAAI LRYLSL PHYBLDRAFT_168366 MCLTFDFRVSNGPCYHLYGDPAYSLSDFIMVSFDRLSSDEIDLE IIKKMSTMQVMVKHEFVYVRSLYAFLKYLQTQQSGHFSIGQYYVVGTFLKNIYICFND SNQTSKKCDIPPPSPEDYNNGLVCE PHYBLDRAFT_168367 MFTITKLSHHECSICHKCYTNKKLVAKCKVQCLEKVYKEMNNTQ SLQVASVSEQKSTNMSINKDNNPSIINEIDEPMYDIEHEYSMENDLAIIDVTENTIDD TSSQLVYDFSAPVPVSGYDDAKNLELMKIIKEFDISQKAHISLAKNFNEILSRSSEIS YRACTLYLDTKLLSRFLGVDEETYHVCCNGCMLYNNDQQTECPYCDKACYKTGDRSQD AGEDPIPASTMIQLPLDRQLAVALANDRTRKEMFKDGCRICHVVGRSPGHGQYFRMPS AITMCTLESFKHFDEVASSSKKGLTGQSPFSLLDSFSGLFFFALDEMHDICHGIGKQV WGLKEIGTAMVSTRRSILTSFHGAWISIATRSGYFRAVDWADFILFVIPTLVAEHVRD QAARKALLDLVQTCNLLMSWELSAEEKTLIKTNLVAWNAYLEASLAKGEVQLKVFTIN QHLLQHYSAMIEAYGPPRAYSARSVERAIGEYSRAIKTTVTATTVLQYDNPSAGWLID QEGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFYRSKGKECSMIEAAIKTS CKAFVNGCVIDSALDHNCVREAHNVRLQVQVNENCNIGQSYSPVYKDFFGKIVVFFEH KLNNKRWPLVLVNVYAVRLVNSIPAINNGQMKPMVVHLADVKELVGLVKSDATISTIT TTATTYVVWPELNHSPKLSLGSLADL PHYBLDRAFT_168368 MNDTDNIIESLLLVIQLQLSVLCANQEQIKMDINSLRNEIMIKG SPKQNLSLFINTSSEFIFKPVINIRNVTLEYVYQMMSQHLGIKVTASKKAIIRTCTKL VCNELATLPSVRALGPRPSWGSISSCDRTIICNKHANMLKIVVIDFTRCHQNWAFIQE SVISGKTIKGGNLYKQRRNERSCGSHYVLFICSYRNIIVYSWLIGAVIFFFQHENSLG SLCFLVFVEEMKEHDATAHDSSVTIVKK PHYBLDRAFT_145412 MSTPSTIEFVSHQEDDNQLHSWMKPDGGKDGLSSIDRLQYFLLK DDADNLRKYLGGFKNGKKFKVSKTRMINNCCQYFDKQGVKHTSSQIKSKLIYLIIKQY PIAFKAWEDSTMRISKEEMSQREKDELEDMNIITKSKSPAVYNTTTPLDLNKAQDMSK GEDSEDGEDGENDGGDEASESSKSCKDQEEQRADVSNEISSQREAIVQSNSESSTSEH SDASAYSGKRRPKFFGKIVKNMAKRSVKSIEDIGHGVKEFNDAQILLLESKYKEELKN QKEKLQKELQCEQWVKGVILMAKTFDWSEEKTKNELEEIYNQYFN PHYBLDRAFT_145413 MSTGFFGSSHDICTLSECELGKFPEQFFSDDEYVLADAADQEFN TKISSMQVKIEHAFGILKERFYSLKSIPVCVALNNFLMCQDDDKWSQEAKHRWEDREK AEVECLRKTGYSEESGVVDGSQNID PHYBLDRAFT_145414 MTIHKFQGQILDKDGLYLPDHVFGHGQLYIALSDSNSKLTITQH MLKKMIKMLSDSNVIACEEDHDTYKDFASICKLKLQQEKFMVTKAQDKISKGRYFFKG QNKTKKLVTQKERLNFLLVLDESGFLKEFRMSKSSFYKLYDLVKNHELY PHYBLDRAFT_112330 MFQEDNVPSHTVNISKKCRKELGLDVLDWPSRSSDLSPIENISN ILNDCVCKRTFPLTNLRELEVALQEEWQKIDRKTCADLCLKMHNRLVAIKENKDEPIP Y PHYBLDRAFT_64905 MCNKIHRLRQQQQEQQQQEQQQNEEETIPQEIRRARRARYSKLN LNLLSDGTCIYLFHFALSEIERITTALRLGHVYHFSSIQVRKNLDFAMLLNWYSFLKH LGDMSILFGMSESNVSVVCQEFESIVMNQIKWGLQFNIK PHYBLDRAFT_158836 FASAIYNKDAALPNIVGFIDGTMQAISRPSQGNEVQKAFYNGWK HMHALKYQSIVTSDGITSSLLGPYVVSRHNQYIYTMFKTEARVEKYLDIVPDVELPFA LYGNPAYMVSKCLYSLFEGVSLSDIWEFGEVQKYFMYSKYKYAMKIGETSPATVYMPS TVFKNMIHCTGRNRLPTSSYFGLEPPTLEEYISGLRRDKLDGEDEDDILF PHYBLDRAFT_168374 MQEKFPAESSAMAAARPVGSQASPASIEDEEGEEGKENENADEK DENVDEDVDEYEDEDEDEDHPEMGNEVPESQATRHAEVMGVLKQILQKMCDIEEELKK TRK PHYBLDRAFT_168375 MSPCWPIYNKPPITSCPERYEGMLLYSCLKNHTASRQPQCCYIL PNKGTFKPCSWRLRRTIKIIEDQLKSGSSCRSTRISVLRQIDSWGVGIRKPNYEEIYN RMRKMTTLLYMFASDENASISIWLNVKLAEQNYCIFEINLSVYNDGKKQFAFGFQSPM QVSIMRISQSFCLNATYSILSRSDEVLYTLVTRHPQTGKGFPVAYMVTNNQTAVPIKL WLDHLCIKSSFVPMNITIDCSIIEVNAIKEALHHATIHYCDFHVLRAWQHNLDSKIKL NASYTSEQLGNYKTALKNYLRHILIESNKDVFLRAIEDFKLMVQDQPQFLKYFEKKWT KNEELLRRWGRPYISQQHQRYVTNNYIESWHNQLKTIYFGRACTRRLNRLIFILTNDV EFYFEQEVELIHFNNGKMGPIDNELVRNSFVASKIQNDMLPYIILNPLGETGNSIDDY NGEWQIRSFVTEDKWYTVNISNDLIQSCTCPNFLTRQILCKHSHLLKHYCGAKFSFIE QSLRRDEWQLGQK PHYBLDRAFT_168376 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDKNDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIQDHDDIM MEPGAKISHGETVDALLKSKSSVEGHEYDVCSSGCRLYGINDDQESCVDCGKPRYKTD PDQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTDIFDGDNYKQL VQQGLFSNPNDIAIGLYTDGFVNQKKGKNSYTIIHCIIFNLDPSIRRHGMYFDDISAR LRPLQDFKVGNPSKNIYQPSIYTQLSTFSGSSFFALDELHLIARGIGKLVYDLITVTL TKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIPTSFQGSFDNVFAKI NGTRAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLVKGCALALQWTLTSELLDEMEL YFKHWHSFLYQQVQNNTLSRSVFQPVQHYLVHIPYIIKQQGLLRCYSTRSMERVIGVF SKLIKSKSKGGRNASFLVKRFAIHNYTSTAISICDEINLIRPKPYGRESYMDLPNDPS GAQLWEPFHQFVNLNDDLVEGVGGPSVKEALLKYYRRTTGLTGHEFGDSVVVVAARLW MDSTVYSSCMYRRKKNETSRGNHYVMFTCPYRNNRNVIVHSWLVSTVQFYFQHVDFYG FPHFLAFVEVMKKHDAAGHDSSVPIVKQRSQSTRTLGHQMQPTYAVISVNDICHQVGL VQYPPNGNQFYVIAPYYIFNNNMHITKGNLSIL PHYBLDRAFT_64909 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQRDINDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSQRSVNAYF TEQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFF PHYBLDRAFT_145422 MANKVKEEVEEELESGGTAEDRGVYVFDKIAAYSATMHPGFEDL QTLKTIPGLDQTKTDLIKRALADAVRLMDEYRSENPSYFRNMNTQK PHYBLDRAFT_168378 MASTSACCLEPRRTHWRVSETTIWQAVKTERGSMETFSGPFFFT LDEMHGLGHSISKQHPLCLSLATQREIGAAMVAAKCTIPTSLHGAWRDVTKNAGFFRA VDWTDFLLFVVPTLVAERVQDLVARNALLGLIQTCNLLMSWELSAEDQTSIRRYSNSQ VSANAGNITIRLAQSRCVVELTTIENTKTSPANLLVYSAYTNGWPVTEGGDPANAECE IEFWGPLKNLTIFDSFEDRSHLLLLLKTFYDLKGEECSMLEPSIKTSHKAYLNGCVID TAFNQSSTTEACHIHVQLQVDMNSRRSCSYRPGYKNSFGKVVIFFQHVHNSKRWPLAL ITICSVHLKNGLPITSVVKPKTIVIHASDIVELVGLVPSNVNGSHYIIWPSLKRSPKL TLGALSDI PHYBLDRAFT_145424 MQLQMTNLYNVFKDCEFPNRTIAASSNQLFHLFRILEQAPAGHC FLKKIKTGCVSIIPSYSETMESILPDATETGHQFQGLASSGEGVRSENTLEF PHYBLDRAFT_168379 MPPKRTSKNAENSNKKLRIKQYKLSGFEEEMKPKKAHGSWNDTK ELKDAHKKAWQDIIKRVNDVTSSETELSYNTCHRKMLKLVDIYKNAFEERTVAGISGA NRNRPEVEKAVQKILDKLNVTTGKKVVFLVVF PHYBLDRAFT_20471 PAKSPDLNPIENMWGYLDNKVRDRRPQPTTLKELEVALLEKWAE ITASTCVKFYLSIKDRMILVRKKHGEAIRELFKFEVYPFI PHYBLDRAFT_64913 MIELKKDATVEPKKQNSLHVESLKITDEHLKFIQDLLDKCCTLM LGQMREELFRKYPELQDQNLSISGLHKHIINNIGFTLKRTKPVEEKRNDSKTIELRKV CVDSMHTNGLLYKTNCIFVNKAGFNANLIREQGWSKKDKASIVKTKLKKVLNISIFAG ISYQGFESVWAKLTPDDIT PHYBLDRAFT_64914 MSHIPGVLFFWKDPERPIDMTLLQSDQSKSFVLLSDSAVINTHS QQRPCEQFYTGSCDELITCVLLIHNLGKNIFELVHMVLHYSMNNLELILVTKIRTYKQ SVMVDFLG PHYBLDRAFT_64915 MCLSEGDQYCRHVFPPMKPEKFYTNIRAFNNAFAFALIKANVDE NLASRRNDVFTFCVNGTMYHNIGSLRSQDPTTAGFSQISFWNTNKQLARRSTLFSGLN PSTIKTIQNSINLCNPYAHTLKSDVVIQTLGCWIYHI PHYBLDRAFT_64918 MSSARHFKRLLLFFDYYDEQQQTILQRVLSDIQPCLQVTGHSLS EFPTMLQTYIMELETLSALQALKIVESRSYSSEEEQAKLDATIPIFNIEQKTAYDQIV ESFSMSANVKQPRLFFVDSPDSTEKSLLFKTLLNYVRAQNEIALPVASSGIAAILLPD RKMTHSRFKTPLNADINSTSVNKCLQDIIGAVDAEKQNVSFSGKVFVFGRDFRQVLPV VKKGSRSQTVDQSCCE PHYBLDRAFT_168382 MASTSACCLEPRRTHWRVSETTIWQAVKTERGSMETFSGPFFFT LDEMHGLGHSISKQHPLCLSLATQREIGAAMVAAKCTIPTSLHGAWRDVTKNAGFFRA VDWTDFLLFVVPTLVAERVQDLVARNALLGLIQTCNLLMSWELSAEDQTSIRRYSNSQ VSANAGNITIRLAQSRCVVELTTIENTKTSPANLLVYSAYTNGWPVTEGGDPANAECE IEFWGPLKNLTIFDSFEDRSHLLLLLKTFYDLKGEECSMLEPSIKTSHKAYLNGCVID TAFNQSSTTEACHIHVQLQVDMNSRRSCSYRPGYKNSFGKVVIFFQHVHNSKRWPLAL ITICSVHLKNGLPITSVVKPKTIVIHASDIVELVGLVPSNVNGSHYIIWPSLKRSPKL TLGALSDI PHYBLDRAFT_64920 MNWYTLSSSQPTYMIALAQTLLDFFDLPVTVRQNVVLDSAALFG LSENNGNIISEDNIALLENQMSEDEQKANLLLMNKSLERIWKQVYTSSKTFEQKEVLL DLMKKVNSVVNSTNGLRKQT PHYBLDRAFT_74211 MNDITFDPRISTIYHFMPTYENGTEEFVFEAEEEYQLCFTNNPA CHANWVVQNSNKLKRNVSVGALIDPNLINVTQYIKCDHSGTKAESSKKQAVENDELPV VKRRNTMGNSIKVGCLAALVVKFFNGSK PHYBLDRAFT_145432 MINKNCANLERATFKATVADLTRKLEKLATDYQSVMEKLNSLLA KHDASTTRATFAPTGKDLLIASKHAPKTLMNDDSSNNCI PHYBLDRAFT_168384 MMFIDENMKLAKSSPDQTFCSPKNAQLKAILDKALACAAIHEEL HKTLLSKIDIIVEHSIALQEQNSTLTEELCIANEHVEFLHNQLQLQVQVPGASTFTTT TLPPTEIAPVENFLAETSAHGPVTISTPSPTTFLAAAKKAIGKRSSQTKLTTAQATHA LQPESGPSAYAFVYLPCHHHLKYSQNAALSPSVHNDFKDKITQLFADIGVSMKTDFDP LDHQIIADLAHAHKPVQDCQQLAYKLHHQHLLALCLCLPAPLDKSVMRHFCTVESSSI RLPLVCLEQYLEDQNLPSGPQASAIDTATAMFSWVATMLKCARLRQTHNKKYFHLSPV HPYYQISLLHKEYRHTKLVPNAELTENNPPITNHSLTHQNNLFNNIQMALIRLETVPW AQEQSILYTIFKFTATEIDTCNIPGDQLAT PHYBLDRAFT_145434 MSTDSIHNPSCWVSQVNSQDPLLDYSTITVPPLSGIMPPSMSSA DMLTALYLASAAKSLLVPTYKPSMYINSWLHQYCTEATLAGVPTDLLGKFLCHYLPPN IAEWICTFGCRNNWEELHNLLISTYGLDLDIEKAQRRQTLQATTQRNLSIWLFQVKFA TLVNDMPNSGCLSNRTILNIFLKNIKPPLCQLIEPTLNSMDDWETVYEKAVMHEDCIG DLAPPRARNFHCQFEPMDCSAAIASPQRPKQHSLNDGVPCLWGPDGRPICGYCKGAHW NKDCPGVSHSAAITYQPAIVKEESPLAAAIMPAWLVTTGSSQPTTSAGPSVPYVIQNK ALSVLVDTGSTITAIRASALEGIVTKVDCDQSIVFNTAAGAMAHSHGTVSLPVTLLGR PLELTF PHYBLDRAFT_103098 PPHRASVVENNKIGALIDEMLRNGIIAPSHSPWSPPVVIVMKKN GSPWFCVDYRRLNSIT PHYBLDRAFT_168386 MPNAELTENNTLIPNHLPTHQNNLSNDIPTASIRLETISRAQEQ SVPYTIFKFAATDLKTPTTPPEANSQPKPRILAKRNKAQTLALQKQKRAVSTRSIINK NHANLIEGVFIYNKDKATTLAASQQERATLEAIIANLTDKFEELAANYQSKLEKLDGL MALQAAGAARAAFESTGKGSLMASKHAPKALTVDSNSNICIRCANCSTTTTTNSNKNN NSNNNSNNNNTNKKSNTQNKTGSNAVAGQMSYATQAKKGIDAKQAKQKVQVRRVQGQR LLQKPTGPSEYKFVYLPAKRYVKYQEMRKILSSFKISTSHILDIQFPGRGTVTLLING EFREELIALLGKAKVVPLGNFDPTAADVIADTKLKEKTIKVRAKKAQDLFDARLVKAS LRMATYLGHSVICHFSSKKAVVKISQTAVSDYLEGRKTTSTTAFVAPTNDMDGIESTK TPITTTHE PHYBLDRAFT_145438 MPAVLDTQRMSIVVGARCHPLAMVTLLEWQSCVSGSKGTGEQGR SQAGKSL PHYBLDRAFT_64923 MTGDTRGGLRSEIMANWISSKDLTLWNLELAFGIPTYERVHTSG GVFKSIIDFFLLREEQFHDMQMKIHDELALDSDHHLCELFFQPVFIPQLLTENSVCQL WKLQRLEIKEVYKKYQRRFEVGSDTLQQEIQQTLEDTSILCPVVLEAYSQRLNETIYK ALDDSVGRVSPRPKVWKNFWNAELQHLADQRQELYHWQRRVGNDFERIKAHAEYVAAN QDLQTAVWAARQQIWYDFCDKIQKAPSEMVSTLKRMEIW PHYBLDRAFT_145440 MIDHLENVFGGSMKIRTELAVTPMEREVPWDVDQVKETIRRFPR WKAPGIDHIRAEILKPLVSTLGSLLHMLFKLCWKLLEQCLAEELLLTIPELDIAQGGF RASRSTLDQALCLHELMRQYSVPDPEREQALKPPFVVFCGDPDTWFDEKCSVPWCYAE TWSPAGPTATPLSLLEELRTITCNVSSVSRTEGDAPDYLPMSMAPINCLLYADNVALI GTPDDVQKMLTVAETHSNLLGYKWSPSKYEVLNAPPNSSFSLYGNTLPTCTSFKYLEF PFASQGIDRADMFLKSQQKACAATRKLCNLGVHMNGFGLPAALRAYLIFIRPILEYGL AIVPASQSDV PHYBLDRAFT_145441 MGVVYIAALAALPNLFTRSRALQAKFFRRAETLPSDSLIKALTT QLDLSKEKTTWGELRRSVLWKKAQLLKEHQPRLKDPLKEAYVLLCQKEIDMQLASVNR LVTVARGFANLSERRHLIKWRIAWLPPTPSVECQCGAIKGNQNHMLICPATITLVQKL WSLMNPAPPPEVHLIDYDLNCLPGSFKSPGTWCDWWPCLLALLRAVDQTTSSYKLPEK KAHGQILIDLAAKFCATKPTRPHRIPPPTQELVPGDPLPHFLSKISTIPRQPPPSVPA RNCA PHYBLDRAFT_77887 MDKHSQHNPSEYNPPPPSYSESESSAHQAVFPELAPSAPASAPY DNRGQYYPSPTNPYLSPRGTYQSRGYQAIIIDRQPSPIRMYDTERQFPLAAMMFLFGW VLPPIWILGACCCVGSRNSYEAWWGKANLVMVVLLLFSTITYSIAAITIGNWSMGPRM VAQIMGLETE PHYBLDRAFT_145443 MVAYWYSTDGIDQRRLSNRHLSILDEAFKQQRRVEIYDEDAFGP EVLATACPHLGTMTAGLLHYGLYRQPSLWDACDSSLETLLLMDCGDGADQDSKGLSSD WYENSCGLLDTPAIEIPDSVHSPDHHAMMRTIPQGTNPSSLFSNYQSVPDRPQSHLHD SSYICCIIS PHYBLDRAFT_145444 MVYHQPDTCKCRTPYAQSRLFFRCLHFLSEEVHKKVYITENIPS KVASKGYIYRKLQDVQFVHALGRKKLRSLQEQTFAQNKAIQVDMQAFWNLVKTSGVAG YPADYIDDIDKDCQVWAIDPGVCDIITTVDTSGRQRTTSVNEYYHLCGFNDHENKYFP PAPADHTEAPLKTIIDFGDEDLKTKCSKNMMYMLDEYLTSQVCNESKKINLENLVNSN LKRRVYTILQCQETTCNIVWNRNIMATQNLLGILFSARNNNQRRDVFVCQPKTNHGHQ YSSV PHYBLDRAFT_145445 MLTFPDSTHISPPIPQHPMRVSTSTKCKLSYFPAVLFLNGPPLF VVIKLPIGMTALFPRLSIEDICNPRAPRSPSFRGNATILTSLVLSQLWHVLRLVSLPM PFFQKIWSIVGDFLQRGIFSPIPLDTFCLLRLQGEFGIIDPKPIVCAPCSPPGSVPRW MSGLLQASLPSLSPLFLLLFPRMCPSGRRDLTSLLHSVFAGIDRLPHNFDVVVSLSLT RRFPLSWKDLLISQIYAFDSALALLRSIFIPSRNWRSRVINKFLGRVQLSTLYCIRSS FVLVALLVNLPRNIRPYQFEVTPPLTCSLSPMRLYLLKLGHNFPPALSAGCIPTISSV LAILIPLLALVIGANFGLFLFPLWLATFGSVAFPTRFPVEPFFTLCFRSFFRLLPTLF ALSPPTLKTTSSSLVLSKTRCGSACVELFSTIPTPTALHNAFHFFSFPSFLNSSIPPS AVFGCTLLAIWPHYWTSIFDDSPFVPSAVVGTVRKTLTHMCQELVLNPLL PHYBLDRAFT_145446 MNRSIQTEYNERQEEGDQRLIKLRQLGKLDISVLENSECVFLFR FTYAQIQSMVVILELGEKVYFRENTPQQYSLPTKDALSILFRRMTYHARLKDLSIVFG RSESTISEVFNGMINKLVQKFGPALLFDYRQFRKENLVCFSQAIRRAGAPVEHCVGFI DCTFIRTARPRKFQQSVYSGHYRGHGLRYQAVVTPDEDIMKETFDIRGEGGPCYHLYG DKAYASSLYMMRPFKRYSPNPDHKDVNTAMPSLQRIFLRPAGSYYLVATFLKNLHNCF NRRNQTSKRFGVAPPTPTEYIDGLLGNT PHYBLDRAFT_64930 MNIEQYNPSIPSQSLKNTSKKVKKYGHNSRKRDEALVEALIYAH PFNKKPGKKDELWEKVRTIVNKVDEHLESLSPTAIKNRREYLLKIYKQKENEDNNARG NNDLYPELERLLAELVALGDDLNEEKEDEKKVKDEEVAEKERWDELIKDRATRSVELL CQGPSSSKKRRIEDDRESREGSRSPKRVQQDAENANAYFVLKDFKDEIKDVVDVDTDY EMIGTMRRMEEKISSLADSNERIVEEVKGTREAILDFKDVLCRFLTAIAPPPLQ PHYBLDRAFT_145448 MYTCFVYICILKSNSGLDIGDIFEASAVGTATRDTQNMIMNKKQ GSFSGSVYGSGSCSM PHYBLDRAFT_64931 MLKTYALLIIILSLVILVNSQEIDLEAHNHRQKACIDIESHVNQ CSFVKDACKGLSGFYLRFYYCTSVWRPLVLITLFSGLLLLFGAVSVASADFFCPNLQS ISAKLQLSESLAGVTILAFGNGSSDLFSTFSAMNSGSGSLAIGELIGAAFFIVAVVSG SVGIIRPFKSKRITFMRDAPFLAGATLILGWVVYIERIEWYHGLALILYYVTYVLVVF LSTYHSSATATLKNPSEDSPLLNTNNEEPKIFQNRRTKRKHKPPRLHIPDHGFLVTCN TSDHDPPLGSIIKPISPLPFDQPEASYIPLPISRAASNSGSFSSQSSSRAMAPRVGIR SSLFNAIEFHEQIASLRRVSSINTINLFNSPEGSLRSSSPQRSPRVPEWRISVDMSPS RNISAPDSCLNMPDVHLPHHNDYFSYISQNLAPAQPTSRDYDKETRLNEDMSIPTQCV PEIRLDPPQPLESERSLHHQYIDIYKRSTHGLSLPSPFASISPTLGTMPSPNSSENEW PSIPIHVKVVNVSSSDQISSCKKVREEYFNYALASESVISACQSVQKTLFPTLQFWES KTRFAKISSLAAAPLVLLFTITLPVVEYDTARNKASTPTLYRSPSPEININKKTYLSV NNFGPSYVDRVQEPEPELQQIPWCRWLLALQAITSTTFITAIMAGNGWVTYPHIMWGT VLGCILSIIVLVNTNPSQPPSWNWLLSIPGFIVALHWVFLLVNEMVSMLQALGIIFHI SDAIMGLTIFALLRLTREYIKGNSMGDLVANTAIAEMGFPTMAISACYAGPLLNTVLG VGISSTYQILKSGKAYPLDISPTILISAGGLVVVLLSTIIVTSFNGCRVTRGLGWWMI SVYCACCFTNVLIEFRVIG PHYBLDRAFT_168396 MAGLLASKGYIPNWRRGNEGQYTTVKKKCSQDNRFALSNLVRMR QIIFMIRRGFFVVMVEISFASLLRLSRLFLNLTSTTGTKNIALHKLQAMAFEEALEVS IKPLRVN PHYBLDRAFT_168397 MRLYFDERPRRRTWQKKIETEDKTNELKNKLLNVKTLPATIITN AAQLANCDRKIMRSHMEHRPGLKNVDKWKKFVSQNFDKIAEAAVGSVVRYTAKEYSSA TPPNEQPYDEMRTYTVQMNSLFRTDFPPIAKTFVCIILKDSMATSTDLYPLFFGTRKY DDQ PHYBLDRAFT_20422 NKAAGESDTIKINHLKNRRKQLRFAKSPIHAWGLFAEEPIDAND IVIEYVGEVIRHQVAEKREKEYERTGIGSSYLFRVDNDRVIDATKRGNVARFINHCCA PNCVAKIVTIDKQKRIVIYSSRDIVPGEEITYDYKFPIEQEKIPCHCGSKFCKGRCVK LLNSREILY PHYBLDRAFT_124880 MILLDYHNVIIKDCLESRLKGEKLDALDMTIVDFDGVIYHLATP DSKTVLHISLRWKCFNELVAYGAQEVLKREYSEYLASPENGFDTTLVIDLEKVPADEE TRTELIQKISLLKRNLLAAPFERAFAEQEQFEDESKPNPKSELMAVHYREEEAIYVKS NSDRVTVFFTTKFKDETDKVFGKVFLQEFVDARRRPALQNAPQVLYATREPPMELRDL NLQPDEDMSYVTFVLFPRHFNREVREETISRVQIFRDYLHYHIKCSKAYMHTRMRARV SDFLRVLNRAKPEIAPAEKRTASGRTFRRN PHYBLDRAFT_3063 VDLNHFHLLRAIGKGAFGKVRMIQHKRTKRYYALKYIRKDTCIH KKAVKHVIAERHMLENINYSLVVNMHYAFQDDENLFMALDLMPGGDLRFLLENIGTLS ELEVRFQVAEIALCLDYLHQQRIAHRDVKPENILLDQHGHAHLSDFNIATRFDQYSPL QWSVAGSPAYMAPEILSKKGYSTSVDWWSLGVVAYELLFGKAGLHLTESIVHHPLTFP KHANRVVSDNCLDVLTKSPFHRLGCGSDGFERLKEHPWFNGLDWSLLEQKRAKPPYQP ES PHYBLDRAFT_168401 MEDIPENSIWIQSSKWNTKIRSKKSGLVLAFAQMKESLPNIGYA LKYHPIIDYRNALSAACKTITAPYLENIVEHFRQRAIYYLKFNLRQIHEWLKTTAETI WSEAHLFGLTVVPCLILTTKPEYSKFVSLSEKMIVTSTMVNISSSPDSFISILGFILT YVERIRNK PHYBLDRAFT_168402 MPFDIQEPLASYKGVSSENDASSYTRFAEPVSRLSRQSFRFIDE RDDRLPLPPFESDHSGSVSLERYSLHDTAAPRIDKQDSEKGHPTLSTSYKTQPQDVEN GVQNTKNPDSFINSVKRFPSKLFRKVSVAHHPTSLQRNHTAPTSTSAGHYKHTIYPIG LVPKYSHKQQECFNDKGEPCFHDCNDEIDNKYQIFSNASLTDKQCADRTGCTTTNNNV QLPLSNSHSFPDSITIAETPDYFQSSSPLCRSPTRKWISAILVVTLGSLIALAFVMIG LGKALGSNNTAIPQKAENQHTTSGLSKVVSGSEHTFSSLTVSSPSQIIKSTAHFTYSS KPQAD PHYBLDRAFT_95623 YRHVILPLQIARWIPHSDLLTEREWRSLGIRQSRGWEHYMVHAP EPHILLFRREK PHYBLDRAFT_77890 MISCLPTHTTINNPRLRRTLWNSIFNIKFIASLVIIVIATFLIA CRLEANARVFPQEWVSPRQPQINYPLSNCLNLPNNSPYRHGQASHTISITPGVPLWRA DTCYDFAGLIKPSIKPVLQDTIFHTYWSTNITGFGDKQLDTLRSFVATQNPNSTKLFV WVPSTDTKALVFSAQWKMAQELAPKRIEYRTIDHKALTQNTPLADYVDDWRNHVYNTH TNQDMLLRLLVLSQYGGLWFDMDTLLVRDMGPLLEYEWISQGDCKTSMEGNPFDGGLL HFKKQSPFVCEMLEVAVDQFNIIKQTNTRFPVDNSQAKLLKLTANTPETFGAQLYYGV YRNILHHHIKPWSSLPWCFTDGTMCNSANALPKLTSESKVDSRRISQIFAYRWHGKWT SQPGALYRYITHTHQKITS PHYBLDRAFT_77891 MGTTKSRKARRNDSRPVAFSFLSNISLGSETERQPKDSTAFQPL QDDLGLSSDGRSPGYGIDYGEYPDFIQSSAGRSAPSLHSTDSSLSADDEVPTSVRVGP TDRLSTTAPPVMMSVSAPAAILAEPDHRKTWNYWNEADGKPKQSSDYEGGDVHHQLTR DDSDRPIASEKIKKKSYRANKESKSNGLGILSVLRYYTDKIRHPAGRKADPVINRGYV QQQSSKQDASNRGLIETYGHFLTSSGSLRNDTDEPHITVEGGYDAHFLEDPLYDQAVI NAQTLTGQQMKASDIKRELNEQFRLTHPELAPEITLSKIKAIKAHLLEIGREVDLEVS SVAHAYVYFEKLVIKNIVTKKNRKLIAACCLLLAIKINEAKEPWEHHLLDVMEDRLGV DAEQLHKHEFAVFADLEFNLYIPKREFMPHFERIFKTLEHKSMEDYLGPSHFYEGELR PSVV PHYBLDRAFT_145460 MAGGDSVTNFVIGIFVSLAASFLDALGLNILKLDHVKESKRDAD QQRNDCGRPLWHIGLYTYIGSQLIGSTIALNYLKTQWVAPLGSAALIYNFIFAKMLVN TKITRKDVLGTCVVVASVIWIVVFGGMYNGDDPEENISLENLKTLFMRPIFIIYFSAL NIITISGLIFAIWSRWALADSSRKRRNPLFAGMKDKKMRRLIGLMFSLDGGMLASETL LLAKSGVKLFTLSVSSQVNQFTDNTSRFVILALIVTAVLQVYCLNSALKLYSSVVVVP VFYGTYTALGLVNTIIYLDEISHYPGWAIALVFGGIGVLIYGVYLLSSKPDPSHPQEE EAEIEQEMNVLNPTSLTSDSTAWPTAKEEKEAAERRSIPLGLGESSGSHQQLPLDNES LFGETVKPISPPLPPPSRPFMRWIHRIKQSLSSNKPESPSINHSSSGITMPLVAQTRQ RAVPHETQHTPNHHEMLYYPDLEQRSHIGLQDTASSGLKP PHYBLDRAFT_181425 MSQQKVAHGQPDEVIHLRLQNDQLWKIIEKQRVMIQSLQKDNAR LAAERDGLHDKVDSLEREILRKPRVASILISPQAMKEIAESEDAMTPIDGYSSPTDSV VSNTLSTRDLSHASPMPPPRSPYRSFKESAKMVEVSELAQVAHKEQLQHQRPKQLNFS LDNPMETQPVGTAAAPLDMEGMCCLSPPGSPIPGQITPTSPHCTIIEKDALLFAKYQT ANGPKKDNPKSVPMSRAATASPPSTSPLCPSSPSAEPRLFVPPQSKSTSTLDNRRTGR ARDSMMPPPRTALEHDPTIRAASPPPMTYTGQQPFAHDSVLSLHVPTGSSNRKRESKI YYNTPIDIVSPTTESGQEEWPTMRVEMANGTPEVPFIQEPFLASPLSAEQLQDGMNSL AADGIANISIKVVGSNIKTNDRGKEVISFTISVGKKHPGPEDESQLYEEYEELWRVEK LYSDFLGLDSKLKTQRNRAIAGRIGKLPDKALFATNAPSKVDLRKHALELYLQHIVSL PMEDITDLCNFLSTNVIERETFRISGHKEGFLTKRGKNFGGWKTRYFVLNGSMLDYFE SKDGIHLGTIRLTNAQIGRQTPGMTSVDEFNAYRHAFLIVEKKRAGSSHVNKHILCAV SDEERDEWVDVLFQNIVVDEKEIKKEEKMASEKKKKVEKPRKLSKGEIRTIGATPISN LKLEHPADVEKLTNVPNMQTPNPSLSDSTVEPIPITANLTPSISSESTDSSILSTSLP NSVTPAGWPGIDNQQHPQHPHHHQPHASYSVRTSLEQPSSRGLHPRPVIQRRSSMANL VSEEEEHGSVAVGVGVAVSDQRAPPPAGLGSCREAEESTENLLDLPDNKKTKNKANRM TFWGKKMFSSSNSNSNSNSSNSSGQQQEAVAPPSMRPSTSTENSSRGSALPNPSSGFR SFLSRTNNESSDRPGRGKVSEDGTHKPSKQVFRVPLEEAVRVSRVSEKYELPAVVFRC IEYLDAKNAVLEEGLYRLSGSNMMMKSLKERFDQEGDVNLLASKEEYDVHAIAGLLKM WLRELPTSVLTREHRTDFLHVIDLLDRKDRVNELGRLVSLLPLANYTLLRALTAHLIC VVQNADVNKMTMRNVSIVFAPTLGIPATIFNLFMSEFEYIFWTTEDGDAAPRMLEDEE KQYQEEQLQLSQQSQEQQQDQSEEEHTIQITECDPVAPLQGSVKHESAPSSRPTLGRR PTLKLREEHGRSNRNSVNYIDGAPIAIVDLEMSMDGPPMLDEEEEEVDDLALTVAEDE AEHYRYPAEKHI PHYBLDRAFT_87294 GSKVRALAFVPSFDPRDDGRYLWIGLQNGALIMVDILGRELMEK RTTSHRGAVDFIFRNRNREIWTMDNHGILNIWPVQPKPENYHQPLLKPVRYLITPMLC AVAIIDSIMWTSSGRDISVFHRTEGEMIPYPRIFISKELGDVTNMVLVPFHKSKIFIS HNNGTLSVWDITSRKNLYIIQLGKQSITAMESVGERYLWTGYTDGTISIHETRSIPWR TIQQWQAHESSVFSIRANLTAFTQEGSALTVVTADNDGNIGVWDGLLKKYWKEKKMQE YRKEYCVYRDTHVLNCSWNVDAMKPDKLSVGDQENIMEWLSSQNKPDIIAIGMQEMVD LSSKTNTARILLNSYKKTEPLQDTNELLSHRYKLWYEYLSQVIEKIYGVRSYSVIKTE HLVGLFSCIFVKSTEEDRVRQCEATVIKTGLKFMKKSVHGNKGAVAIRFLYDHTSFCF VNCHLAAGQNHLLQRNEDSQDILDSARFGLYPSTFFGGGDLFTHGTDGSQILDHEVCF WSGDLNYRINLGRERVLEHLGNPNKLAAWDALQAQDQLTQQRQKNPMFALYNFTEPPL MFDPTYKYDVGTQDFDSSEKKRIPAWCDRVLVRAADCVVKNTHYRRHEVLASDHRPVS AAFYTKVK PHYBLDRAFT_133661 MNSLFQGQIPDFWEVRDVINKVKNVVLNYTEMEAKVHEATNNEA WGASSTLMQEIAQGTFNYQYFNEIMPALYKRFTEKEAKQWRQIYKALVLLEYLVKNGS ERVVDDARSHISTIKILRNFHFIDEKGKDQGINIRNRAKEISELLSNTDLIKSERKKA RANRNKYTGVGSEAMHGSRGSIGSGGSSRYGGFGSDSVYSSGGGIGGGGGGGGSSGYY DEDDRSNNFETTKYDDFDDIPKTSSHRELDTSSFSSSTHQKSTSKPKTAKEANLFDFG DEYGSSSKSNNREDEDDWGDFATGSVAAPDTNDDFDDFQSAPTPTTVMSAPAPTSAKP TKTNDLFDLLGDDAFSAPVTASKAPVVTQQQQQQQQQQQQQQQQQRQSLQQFSFDAIT STSSISQPLAPQNSSRNNGSSVAEPAQPAKKMELPGGIWSQASSFVSLDSLGKTSGPA KPTAGPSMNTLKTSSANAGWSDWASSNQTSSDNNRQQQQQQSNNASSSAFDDLLF PHYBLDRAFT_181427 MATPRKFATPVPDRHHPHTLSAIDFQGASSAAAAKAMKAELARF AAQIPNEERRKFFQLEMDNFYMLFARFLDEKAKGAKIEWEKIQSPSAEQIVPYKDIPV TTSTTDLSKLAVLKLNGGLGTTMGCVGPKSAIEVRDGMTFLDLSVRQIEYLNKKHDVS VPFILMNSFNTDDETKRIVQKYASHNVDIITFNQSRHPRIKKESLLPVPLTVDAPIDQ WYPPGHGDLYESLYNSGLLDTLLSQGKEYLFVSNVDNLGATVDLNILHHMVESDAEFI MEVTDKTKADIKGGTLIDYDGRIRLLEIAQVPDEHVEDFKSVKKFKIFNTNNLWINLK AIKRVMDEEAMELEIIVNNKTTESGEKVIQLETAVGAAIQHFKNAHGVNVPRARFLPV KSTSDLFLVTSNLYALVHGELHMNPQRMFNTVPLVKLGDHFKKVAHFLSRFKTIPYIL ELDHLTVTGDVTFGSHVELRGTVIIVANHGERIDIPSGSMLENKVVTGNLRILDH PHYBLDRAFT_186993 MSEGASSPESQRQFSHLFPTEAIASTPPTGQCPVEQCPIEKTFV DQCPVENTFTDSCPAVPSTSTNKTSQSTYKSNAGVDFVIIFKFPTNQPDVTREQLEAK TTKALESLTTKLSKVGLRFQIRPGKERGVLLVLVGCPSNLLYQALKRERIRDFLLGVR VDDIEEDGKSRSINMSDVTDSERLRLVYDLITSPETEGGASISPDLDECVDSIMPLHD ENFDKPEYYSNGSSHGRRNGCLIKTTSTKFETSLIAYYFAFLQNYFVWLSVPSVLGAF VYMTHTNTLTIGFSVFMLVWAVVYLEMWKRKEKELAVMWGVRNCSKYEKRRAEYGGDG TIKDEVTGEELPFVAPWKTIVRRILAIPGVALGAVFLSSIVGFVFFLQLFLHEYYAGP FAQILHYAPTIGYVLFIPTMTNIYNKWVKILNDWEMHKTDASWESNYTKKIFIANFLV GYLSLFITGWVYIPFGDHVLPYLSHYNISHDHKKVDFLRLRDQLVYFVVTGQVVGFAT EMIVPYIMQKAMPKAQELKEKVTKGNTESSFPEDQLGTPEMSGPEAAFMKRVYKQVGL EEYNIYTDYVEMVIQFGYVSMFSTVWPLTALCCMINNWVELRSDALKICKYTRRPIPL RAEGAGPWIGNMETLVWLSSITMASFAYLFHPSTNIHSPYTPILTLLAIMVSEHLYVL LRILIQTAIEIIPSWSDVVVKKEDYKLKKVWLDRLASTSQSSVKQDANSSWNYEESHD ELTKHVWSDRTSQDAQNQEGIRLVEAVFKTK PHYBLDRAFT_155389 MDSIRTQIKAACEQAEHLIDQVKRPLKPHLPAVSRFLVVVTFFE DSFRIIFQWSDQKSYLEETRSFPSFIATLFLVANVVAMLGFSSTLIAKKHVSVAIAGL SVVMVSQALVYGLVFDLLFFLRNMSITGGLLLCLSESLLRQRKKSVFGSLPQLSEIER HMYFQLAGRVLLVLLFIGFVIHGQWSLTWAIVSLVGLAACVMVIVGFRAKWSATLLVS LLSVMNVLVNNWWSIQHSSPHRDFRKYDFFQTLSIVGGLMLLISIGPGNLSYDEKKEY PHYBLDRAFT_38715 MSSGPEFYSKASEAFFDDDYEEAIDLFSKAISLEPTNAEYLLKR GVTYQKTKEFEKALADAKQALSVVQDTKGSNNLLAKAHLQNGIALHQLGHYTEAQKHF ETSKELNPNERTLVTWLKKNSDKVPAVITPPAAPPVATATPPTAAAPAPASKLTAANL PEPIAGATVPVPVTPQAVRVRHEWFQSDKFVTVEVFIKNIKAGTVNLEFHDNSISLTI RLPSGSDYNLELDPLAHEIDPSQSSYKILSTKLEIKLKKSLEGIMWGTLEGEDPNTSA MAVASQPTKKGKDWGKLAKEIEKEQEKPEGEKALNAMFQQIYSNADDDTKRAMMKSFV ESNGTCLSTNWSEVGAKAVETKPPEGMIAKKYGE PHYBLDRAFT_112357 MVAIHKILIANRGEIACRIIRTCNRMGILTVAVYSDSDENAPFV KMADEAYHIGDSIAAHSYLDSQKLIHAALASGSNAIHPGYGFLSENSDFTDQVTRAGL IFIGPRADSIRTIGDKSAAKEFITNYTSSMPLIPGYHDEDQNMERLESEANKIEFPIL LKASAGGGGKGMRVVYNTTKLREEIEAAKGESLRSFGSDKLLIEKYFESVRHVEIQIF GDQYGNVYDINERDCSIQRRHQKIIEETPSPAVDVTLRKAMATAAVELGRQLRYEGAG TVEFILDESTKKFYFLELNTRLQVEHPITEAISGLDLVELQILVAQGANLKTLGVLEN IKFNGHAIECRLCAEDPESDFGPRTGVIHKWSPANAARQLQGVRYDTGVEDGSIISIF YDSMIAKIIVHAPTRAEAIQKMATTLARTVILGVATNQKFLLSIMNNSCFQSGTFDTN FINKEKSVLFPPLSDTLNSSMVAALVYDWVRRKEEKIILRNIPPRWRNVHVNNPCMMF MIGHQQEAKIEYVYQGVKDKRHRFQCYINIAKDSTESQMLDVVLFETDMEKEVPGPNG IQGIKGLIRLAISKKEKSL PHYBLDRAFT_133670 MSFRIAPSARPELTTKSTFDTSHSEFGSHDTLRYGTRSIKTEVT PGHPLEHRLSQWGETQWELKLNMARQTYGMHAPIRMMMERSLVGKHGRMPVLPSSNLH MDILMGKDETIDYEDFLNDPSLSTDMLDIHAAMEKKLNL PHYBLDRAFT_158852 MRVYSTVPGVSQRSLTQREKDALEPMIRVDQAGEVGAYYIYKGQ LAVLGHDKKLGPILHEMWEQEKQHLERFNHLIGEHRVRPSLLRPVWEVAGFALGAATA LMGKEAAMACTEAVETVIGNHYDDQLRELWGFKDHNQQLVDLSKTVAQFRDEELEHHD IAVQHDARQAPFHSVLKAGIMQGCKTAIWVAERV PHYBLDRAFT_124900 MSDIKTAGFKSSEVFASLKEAFDGQTADAKAKLIKQVNGIFEFV IKNSEGKTETFTIDLKKAGSVQKGKGAAKPDATLSLNDADFLDLASGKLNGQKAFMSG KLKIKGQMMLATKLDTVFKQLGGAKSKL PHYBLDRAFT_64956 MCPISWSSIGAFALLFQSAFGFELKADAVQRMTAQYERKEHCLV FEDQFNQFDLSKWQHETTLAGGGNWEFQWYTNNRSNSYVRDGVLYLHPTFTSEFMGEE AMKDNGKKGQGIVSRAAQTIPIMVSCERMSGAVSGGNYINPIRSARIRTLESVAIRYG KVEVRARLPRGDWLWPAIWMMPKYASYGTWPASGEIDIMESRGNQYYSHGNISNIGST LHWGPSYDLNKASMTHAEAILSEDETFADRFHTYGLEWSAEGLRTYVDDKTILQVDFD EPFFERGNFPRWSMNPWDSGDIGAPFDQEFYLILNLAVGGVNGFFPDAPHKPWSNRDP HAVNAFWNNRNQWLPTWGKGNRRAFAIDSVKIWSAEEEC PHYBLDRAFT_158854 MVMAQQTTHLYTPDTSTLRQRGKTTLTDHDDDFEEAPFESTADI SKLVPTSLRSRSDSMGSSDSSDFLHVDIHPDDPLRQAIETLRTEELPRYESGEINKDR FIDLAQAALEEATVEEDKKGVIQEVVETLLAQTGAPLVAEAISEQLRSQEVIEQLAES HEEERQRQNSSPVHAPEILKEPETDELSSKKMNDEARKTVVAAPERMVSEYLWRLFRV LLLASIVGLVYHFMRDL PHYBLDRAFT_145473 MTSHYLSHYALAALIALLILCTILPVSCDDNITAMASETIESQR SAIISFVFGAFSMIYSLIRSMFSITYSVVTIPFRYSFLLASALWHTMIMRPLNYFFQG ASLLFPIILYAVGAVVCGLFIGLCGGFLSEALASAVVAATWGSDNSQSKKDQTVYYPD EAQPQAQSQPDQKQDYNNSQASGSGIVPEMFDTEDEANPQSTALSSSTSLSTNASFSA SSRDGRYAYPANTVDSFPVIPEEILPKQNHFGTGEREECRKMHGRRGKRSSFSSDSWR HIPYALSPRRLPRTMTARSSFSETSQQQDSMGSNDDDWEEWTDEEETRKKTLRRRKRH G PHYBLDRAFT_168423 MSVPLTITKVNESKNFSFGSSCNIEDWNSVANGTIYESNNMNCG NIGWHTEKTLVKKGVFRHRITADNRGFKIPKFCLLNKIAPGKISSRCIIDIHIIPELE DDLIESTKNLLVPAEHKLKNYIGSNKLHDIVIHVTESKDSKKSFESDLKKDFYGHKVI LAAESLFFEDMFTCNIGPKTKKEITIHEMNPDIFEQILGYIYSHKLTIADIDNAKELV LRGDYLQIPAIIEQAKEYIYDVLSVNILWDTWKWSEINHCNEINEVCKMYFSNNIEAS FLDPAWENAYYPTTLKALSVKNIQGTFSEASFYHAAVKWRKEISTADMDEAETKYLNE HFSLMLQNIRFGQLDFVFLADTVQNEPLVMSSNIIDKLLLEAYRYKASKSESKTLWSR CHSRAQP PHYBLDRAFT_64960 MSLEDHLYLALAPFFILFLSPSQYHHELKPLFRHENWFAAMISI HDMDGIKKFLLPLNIVIDILAIIDNLLIKPSTGSKQKLILRYYLFQVVNTFTKALSAL FTKLQPVQNKKSNFLDILSASCTKESRGAKWDTICGLEKTNIASYGQKHYLVRKDLLR IAVFCKNSLDNSDLCAF PHYBLDRAFT_124904 MTAVSSVADVDAWISQLSDCKQLPESDIKKLCDKAREILLEESN VQPVRCPVTVCGDIHGQFHDLQELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVA LKVRYKDRVTILRGNHESRQITQVYGFYDECLRKYGNANVWKMFTDLFDYLPLTALIE DQIFCLHGGLSPSIDTLEQIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGY TFGQDISETFNHNNGLTLVARAHQLVMEGYNWTHDRNAVTIFSAPNYCYRCGNQAAIM EIDEHLKYTFLQFDPAPRRGEPHVTRRTPDYFL PHYBLDRAFT_145477 MPRSFTGRDVLQRRVRFTKDVQMPDSLHDLSKLVMDISNLLLVP DTFDRACIHSADSPPPRTTSPDYHQLHLGPVDFIIPKS PHYBLDRAFT_145478 MLDNMDIEYLSLNEQGDSDYLTREVTVSLVSMMRAKYEYGLEAM EIDKVLLEEFSQEEKDEVEEKAEERENSNKDFEKISTSSRIEVFSNALTVKNNVKKES TYGSYDSDQISRFLSLVIEQVPVKDVAADAGITKSTAYRF PHYBLDRAFT_145479 MPAKSIVSTSRDTYITILGAISAQGVIDISLRKPTTVPATVSSC IEKRGYRCIYLAPYSPFLNPIEEFWSKVKFGVKREAFDNGDKLTPKIVKSCSEVALKD CLGWIRHSVSFFERCLALEEKR PHYBLDRAFT_64964 MSPSSLPSPPLSPTLKRPSHYPRHRHPDRRRECYEHLQAIIRAN KEREHEEEEAMVREAPAIFCRSQPRPTTSILIKPSLINPPTKRPSVRFTSEPPKVFHY QSPQSTAV PHYBLDRAFT_181435 MDTPNDTNTMIPTTTTTTAAAAAAVAGGTTDVTEPTTTNAATTT DAEAITSTESAIDTAITTTTFSDTEATLTTDPSAETTSTTTSSTDTASTGVNNTLPPP LPIQQQQQQQQRPPFSSEGPVPINHQPLGTHHRRPQPNLHQNPHQHPHSHPPPPPPLP FPYPHPGAFMNFLPPGWTEHTGPGGQLYWYNAMTGQSSWQRPVMPPPPQGGFMGHPGM VPMGLQQRPPPPPPPPHHNQHQHYHHQHHHQQQQQQQQPLNFTPPVVPKPPVKKTKKK IPGTHWLLVRTTDGMEFYFDKEKKQSVWEMPKELEEPIAQMKKEEKETEDALKAAREA EIGEKRKLEQEAENEAKRQRAEQQAQKGVSVGEEEGGAEEEPTEMTEEDIMWQLQNMD PEEIEDLGLRNEEPPEETSTMVTPNAQAVKMQLLQQQQQQQQQAPPQIVESMDLSLTE EERVELFTQMLTEKDISPYSTWEKELPKLIGDRRYSLVQQHSKRRNLFNNYCRLLAQE IKLKKANQKTPEESYSELLEEEANERMYWEDFRRKVKDDERFKAVRETKLREIMFKDY VKMLRKDRTASSKRKEEEYMELLRSTKEIRVGMRWRDCKRILEHDKRYQAIDSKDTRE DLFRDYLETL PHYBLDRAFT_64966 MSEHTQPIQPTQFDQFDQPTQSTQSTQPTQFDQFTQPTHTVQPV HTVQPVQPVQPVQPAHTVQLVQPVEPTHTVQHDQKNTVKMVEDDHQVQAGSHSQSLNP NVIINSALDGFAELSSKINPFAQKIGKSLGQAKQYAQEKLGTADDVTELPQEYKDLEN RVDILRNVQANLVKITRTYGNPSHDYPVQLQESLLGLTSSVTREIQNMTLSTTERSNA TTTPPATAAAAAADTATATATATATATATATDNSSSNSSSHSTHSAGSIADQKLHPKT LSHALARVAGQGAEQVGLEDHYGTALFKVATITEKVGNAQLAMDDAITTKFTQPMQAN LATVIEQALKARRNVQSSRLALDTVKARYKATTRLDKEEAIRLEVEEAENQFVAMVEE ATSLMKAALDNPEPYRNLTDLVAAQDAYHKEAHELLSELTPELDALRVAQESHFHHH PHYBLDRAFT_64967 MEKSMPNIARFFSTLKNSLLEPNGARSAYAQMDQESENEDEYTS HSLFYSLQQDQMGIDSMPLTESNAYSDTSQLIFDQEELMGEPSALNLSTLSASRLNKS DYEESPIPPGITTIKTEKKYKDPLFGLAYCLLIIVYVLSGILLVCTTDSHALEKAAKG TTFKAISDSAGILTLMVFTTLLVGICWFHVLRKFTKAIVWGTVTCVPIIFVGLFIWAL VESFQSYYYYGNQPSAQDSGLTIMSFIPLIISLFYMKLVFSGRHRINKTVAVIELACE ILKSNPGILLVSLILLVVFISFSALWLLFFSRLWLLGHIEKSGSKSEAIWVVNDHVNM LVVFYLFIYMWTAAVLINMQRFVLSAVTAQWYFHRHEPATTNSEKAWKSALIRGSTTS LGTLAFGGLVLTLVQSMHLLAQYMKKYVKKSRPFVWIVSILLAYLEAIVSQINHYTIS LAGITGESFCSSARSGTKMFRRNLLSGLLGDLLTKLILYIGALVISLVSGLGTYVFAT HSLHSPHGYIIGMLAAIVPMYISQFFSYTMMSIVDASFLCYAIDLDTGMVHLSSAHNV FSGFD PHYBLDRAFT_145485 MSTWESTGIKYLKYVSICARALRNGLKDDLRANAQRLNENGPKF TKWEAGRLKEQKYITTPKLQ PHYBLDRAFT_145487 MSETHEPTNVASTEPQVDEQDLAANEESLTEGTVVEETYQITIK LPGQAGDIKTTASPREAMHDIKQSIMESPETCAHSCFYLAFKGKRVNDFNELGEIEGI TPECELELVEDSYTERDVRLHINRLRDLLGGPYKPNPAAIGIDPAISFLTAVTGEIDE ELIVEGERKMEDLFSDAPIPEHAFTNTDLHARSQLSRFVPSSFQRLAPQCLKSLTLSG WNPVPHPQRLKGDLLYIAVTTLENETIHITANTRGFFVSNSSNNKFDPTPREGPSHKT MAAHSLITLLQHVSPQFATQFVKLQDFITQHHMLEVLPVNTFFPSHPWAVQTPKQIYD PSRTAEPYLNFGIDAVESLRDWNDELQSHRELPKTNLQERVLRERLITKVQSEFTEAA VKGAMAVVNGAVMALNPLESEDSHMYVYNNIFFSKGNDGRGTFESLGADEAAHVATGK DLEGVKILNSIDSEGLYTLGSVIVDYKGVRMVAQSIVPGIFRRQDESSIVYGSVDNGV QIASDKSFHEAINKDIAKSLHLAEHTLLDDKNNEVNLFTSLETKGLLGADGRRYLLDL YRINPVDIGFQETMCVEKPSDGLPIYPHKMTLLRPELMSLFWEHKFRAWVKVKTDEVK KERALEAKTEDKKDEQKEKKEDEKEKKEGEEVEVEEDVKIDVNEFQLNFNPDVFTDAK QASTGTNDLKQQEEIVREASKFLNEEIISSLVLDFASYAISPLDGDALTKAMHRRGIN MRYLGKVASLVAVSEDKRIEHILRLAIQEMVIRCSKRLLRTFLFGCTLEESSACVSHY LNCLFGYEFNPKPIPTLAAGQSKDDVAWAQLTPASLKALLKEQIAIRFRYSLQDDFLS VIQPLPTLREICLRTGLQVEARDYRFQAYTEEEVAAKAAETAAAESANAARQKQNNKY KKAPKKQTVVKEVSKERTTTFVPDDILNVMPTVKQASARSVFAEETFEAGKMSLAQGH RQLGLELLLESLTLHEQTYGFLHPETSKCYATLAMIYHHSEDREAALDLQRKAVIAAE RTNGVDHPETVHHYLNLGLFEHAAGRTKLALRYLKHALYYWDLLFGPGHPDSATADNN AGVMLQSLRDYPTSAIFFERACATQENVLGKEHVVTATGYHVLAKAYTLQGDFAKALE AEKVAHAVFEAKLGPEDPRTKESDTWLRELTSNALLTAQRALDQIKVAETSVAQPTPT AAPAPQVPRGELPIDQVLQYINAPSTSRKGKKKASKHT PHYBLDRAFT_64971 MSYFRKFAKVVLNKLDFFGGIVSIFDLKETFNSYDFSSKYYKIV EALCVCVDLRRRGGRLQYMDENSTVRRSRYYSFTLKRSNVTSHGIPRCTNKNYSKDMA SLQCEYRLSDKDLAVDLKLQHIIH PHYBLDRAFT_145488 MRHASTSSSHNSAWHSHPMTHSESSAIPPYLPPNKAPPTPAVEH LGLFGPCGGLHYPVKDEKTLVLPIEINHVKPDTPPEEHATDTIPLYSNITQEKVFDCS MPSTHKRTSIVQGKVILSEPWARRSEEKQQRDTIRLRDPSITKMPSFNLKSRPSQLSK FSKYNRASYSLTNHPNAIELYRDMAEKTNDPQVQINYAKYLLEVAALYETYQDEPDND NRSKSSTHAEANRRKKKLLEQEGVRWVKKLTKKNLGEAAYIQAVWIEKGEFGFKKNNS KAMQLYRVAAKDKIPEALYAVANVSEKEGRWAEALTGYQGAAKKGLVEAVYRMAKAHL LGELNQNPDIPKALELLFNCSHKATEACPEPPYLIALLLTNDHKIRVPEETILAYGGP GIAVEYFEQAASLGHLESSIKLGKIYEYGYHMAPVDYARCFGYYESAARRNSPEAMLG LSCLANQGNRGPGDNDLHGRLGRDTSGWLATTPPNEDTAFYWCQLAAKKQYPEAMFLL GWYYEAGIGVLRDYDLAQAYYQKAAKKGHEGARIRLLKTNSVTRQQHQEVTRVGRTVG EKERKGRKFGCFFM PHYBLDRAFT_181437 MSNSNSEISLSTEDNHAIKNEYKTWYKHDGGKDGLTSMERLQQF MLMNGGENLNMYLGGDKEGRTFKSSKVTILNKCNQYFQEQGVYRTTAQIKSRLNNLLT KQYGEAYRVWKNSIKNNSNDEGSTSEKEGLESELNQICPAFFQMEKVMGNRKTGSPAV CDTTKPMEWIHDEDGEQSNGDDDNTDEESAENSHSSNNEEYDSQNDNMSVLCSPPPKS SSSRKRRRVDVEYKELGEEVTRQLNESSVSLEAKVERLYREKLEVLKDDLHIKREREF IHRKFENMMKVVAELAKVQNWSYEKAQEQTDNVYNKTYGS PHYBLDRAFT_112527 MNDARVFEESTMGSDPNNFFSGDQYVLADASYIPKTYVVPVIKK PKNKELCDADKAFNSYIAMMRIKIEHAFGILKARFCSLKRLPIKIRSRKDMNMVNSWI RVCVILHNFLIDQTDDMMTMTMKISWEKREKEEIERISREGVTGTVDDYPAMSLSDEN AKLKRIRIKEEILIKNGDGNLLKKSKK PHYBLDRAFT_20300 MSVRGKKVSFKEKPFYGECRDVEDFQKLNRVGEGTYGVVYRVID TKSGQVVALKKIRMERETDGMPISSLREISILKRMQHPNIVNVTDVAVGPKLESIFLV MEYCEQDLGTLLDMVPTPYSASEVKCLMHQLLKGLEYCHDHSIIHRDLKMSNLLLTST GLLKIADFGMARTLSLPSKPMTPNVVTLWYRSPELLFGETNYTTAVDLWSAGCIMGEL LQHKPILPGNTEQAELDMIVKLLGTPNESIWPGFSKLPLAKSLVLPKQDYNNIKIVFP RHSDHTIHLIAGLLTYNPRSRLTVRQALNHPYFFESPRAQDPLLLPTYPEIRNHLSDK EQ PHYBLDRAFT_64976 MASPSLKIKLRLSRVNPESPGSNSIQSPDVPSPSLSAIAGQKHP KEDEEVPASKRPRPRAKKPVRKNDSNDIVSLRFLIADLMMIQLWGMTGDKQLISRSGA SRKDILKFKDFKPRRWVNSNLVFRTLDGSSITLSGWQTEEQMTMNDKLTEPTTVAEID QLFSSNGGEKDFRPFLCTQPGCSKSFTTYDQLQTHETNMHGTKKLICGIDNCHKSFVT TGQLTKHRKMVHFRAARKAKLAAAAAAEADNAREEGSDGGSHAPNTPNDTILHVNEED EKTQDEDSVDIGISEDNA PHYBLDRAFT_145493 MYENTRIHTAYIVNIDIRFGQHLRREMNVLLDIKKDRESLPNTF DVQKGLSTRQLEDAFTEEEHRNTFMAISPIFVVYPEIYGFQKNYIYYDSNESPHRHFL TFSHIDPVSENFEKSLETITQQTRAVRKSGGLGQEGFPWLTKRRDEVQRNNRDRCRPK TPPKDQIPEGLPQLTLKAFPTSITCLQNNVKESVDVASLLISEE PHYBLDRAFT_77904 MTKNNLSPSWKKLLKAQLTANIKEQGPSAAYLSLSTVRPDNNPA NRVVVFRGFAGESYKEETGWESDLLTITCDKRSPKVQEIAANPNVEICWFMGSTKEQF RIRGRMHAFGDGLNPSESLNSHIGRKHHGMPSLDEEKEEDHETDFSDRLSQASKSFLK RIRSLKHHIKPNAPFDWQAERLRQWYGLTDQLRATFTWPAPGTPKEPVEEEEATFRIE TLTIEESDDNGWFSHQDADKRALLERGYENFVVLFLEVTEVDHSYLETSVRTIYRKED DTWNAVEVNP PHYBLDRAFT_158858 METHADYPMDVIRKRRIDFSAPVRACVNCHQMSLPMHPNGKPSD PTSFASWYQSIGNRCLCGGLFT PHYBLDRAFT_77906 MVEITITKRRRVEPTQACSNFAPDSSAHYPLGCLYSSLAYKQTP ECLSSDSQGAFVITSPQPNPASIDVITGDVFLTESTKTTVYKTPMRIVEQYHQKHIIT HVKWHQNGTFLATADEIGKIAVWNLEGSVDRWSLTYEIDLHQPLAALIWLRSERSHTG SEEIRKDRVLGPRNPYGQLGFVAVTVYGEISVHYQRNGEIFSTFSTMLPKSGRREIGR PDMGCFGMALSGLDAWQRISHASMVFDKDGTICLATYYSSVQPKYVQLYKISVNFPIK GCQEAIICQTITKLRLSLPLLENLAKEISDPDYAVTQILLSHKNNNLQLSVGLGKKTV DTFDAYFGRWQLRRVGQAHNITSSKISHTDRQELSCIQGFAIKGRFITSISSTNNGAI ALGLSDGSTHLEYRTKGDFSLLKITPDTKENDKSCGPGFWEVTNPQPFKEKCSDTILT IKFSPHETHMLYLFSSGKLGIARVTEEYTTVESMKNAICVIEQMLKLSLLNNTDKLDL TTELVRLGKVPEHKDTPERVVLETLVAYETYCNQGDIRVFSFERKKEILEIPVKRSEE WCPTQMGNAFGLAMSTFRIMPEKRIQYKNLSKAIQLPVILECFVASCTSERSVVSDIL GEN PHYBLDRAFT_168444 MFSTEKRCASCKLMSHSRKSSVLCPLYKKRNTLYIPQKRTNENI SIEEDFPAESSGYTVVDPTIILAEEPTSEHEEEIMTINESTATRHCPSCLGTNHLRIT NLMCPDNVQIPVQNQNPQNQNVANISRLQNISEPEIDSRGNMDVACRFCGALMRLKEK DTDSPIRRSKFNMCCGKGKYVLPSLEPTPPGISELINYRTSDGKHFLSKIRGHNSTLS FTSLGAKIDNSVANNQGGAYCFRIHGTVYHRIGSIRPSRAQDIDHPQLAQVYIYDPAS QAQHHYHHAPYLKADILEKIRLILIETNPVVSLFGSMDQVLHENGHVKDVAICLVAEG PQDQRYNAPTADEIAILIMNNERGSSRDIYCTHKPTSYKK PHYBLDRAFT_145497 MNYGILGVGLNCEKTTELKLFNNLIFIIDKIVGANLGNNIRQLL DRNLTSLSEKPAPDVVALCFPQWTLRDRSQ PHYBLDRAFT_168445 MMGYTLVPKIISHRYSKKAALKDAVRSEMGMAFKTHLMNVYADF KSYLFEYKKTTLLLYSIFVAIVIHEYGSAEVHARQSDLPILDISPMSFDNVSVDSEVD ENFNEIDVEHESNETTEDIDDIKVEERYNGLLKNISTNGKDGFEAAFMRCFVEDIYKS DFVNSALTCPTQAPFLPVFSKLVCLSTPVLTLSSASSTIVQPPLILIIIIGNEPLPST SFPLSVSKPSSMGDIDYPYLLQYYKLAYLTPDLVHYQSSAASLFFANDQIIKLKSINN IGQVY PHYBLDRAFT_158859 MTATPLNIDEGTFSISNRPIASMVQSYIHMQPEVEYVLSSVVEE KARRHLSYKIHRAKSMSEKLAGRNRFSRCRTRKIRVRVSFFYLEFLIVDIITQIKEYF SKLKGRRNDQKE PHYBLDRAFT_158860 MFQQVVNSLWYNVIGTKTSPPTEYFSFCGVGSISLGENVLVNIC IQKMKNISLLGQEFFSEQKKYRSSIVRVMFFFG PHYBLDRAFT_168447 MYFGVLSLPTLNSCFNNDYRVFPYCETIKLYLVLSFKCFIWFKQ GGSIILKLFQYFLVTYEKDTDPHVTVDVSKIMSGFDLMTKTVFKYTICVKRDVIYLPE YHQSNCSSEKHIPPHLSMTVILCMPVQQLRSQSLSWYFLTAY PHYBLDRAFT_158861 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYPLYTLGIIHGDYNRSKNKTQYS PHYBLDRAFT_145501 MSTWLPSSVMQRMSPDLASFLGNMQAQFMSLQQRTNKLESLAAT NARLTAQLVNAEKLIADFRSELASQGNCQITTNASTSSAPTTPKEPGTEASTWATTAA AAHNSVVVPTALSVRKTPRPPSVHRVAASTRMFAIPTGPKGYQYVYIPRSRRLTHREV RNSLKTLGVDTGRILDINFPAKDVVDILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCLKALKYLRPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGIHDFQSPSRRTSPRAIYDVLQHCHSLHMLFITETWLLPPSRLPTSWSQ IHLYGSPVAGNYRGSMNVSALISLSCPYPVTQIPILSNYALAIKIASLRIVCLYLPPS MSTHNALAVLTSISLTNDTIICGDFNSRLGSLTGDYATNTRGLACCE PHYBLDRAFT_168449 MITKISGGVVAPDKNKEPGLEVSTWATKASVSLPVTAPKMSTVL SARRIAASVCMFALLSGPSGYEYVYIPRSRRLKHKEVRSSLCTLGVDSSRLLDINFPA RGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDNVADPKYASLSTHELANTAAM LHRDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPTRTTLTNATAGTYRGSMGVSV LISPHCPYAVTQIPMPSKYALAVKIGSLRIVCLYLPPNMPTHDVLHVLSSISLTHDTI LCGDFNARLGSVTGDYASNSRGLALCSWIEERSLSVVNADLAPCIPTYISFCNNYEIS SIIDLFITNMPLINPSLHIATDLSLGSDHRLLSLSFTYDLQHSTNMPPPLRKTWNLSR LNEPDVHALYAHIFTQNSTSILSTLQEIVLNPPLTKPDIDAITDKFNSLIYNSLNSSI GHRPSHPNHWKSFWNVALQTAADHRNQCYKKWRLAIGIDKVVWWTKHKHAQAEFQSQV QQAKRQSWHVFCQSMERDFSKATSKIKQLKHCRQPQHTFQHDDGPAVAAATMCDHLAT VYSGHILPATRPPAPTTTYNSVPFASDDSPFNSSIVEEFMQFMPNRKAPEPDHIRAEM LKPIKSLISPVLALRSGTLATMATLNSVGACLSGFSQLLSSQLYKTFVRSKFEYGLAI STLLKQDIKVLESIQDKCLRRIVGGHATSSTIVLKHICNLPSMKFCADVLIAKFCIRS RFLPAQCLLSLLHRHHTIYSSLVSLGKTNLLSHLPPTLKLQSPSAVKNHFESIREAGF TTFLQSNTQVLIQACHPVLGVDPILFLPASRVERGRLIRWRMGWLPGKPKECPCGSDH TSRRHLLNCPLVPATLFEQLPQPDHDQIHRIDFAITSLPLPSQEPRPVYWIPLMTILW HMDVICNPDGDYSHETEHGALWI PHYBLDRAFT_145505 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFACSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPKQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIIDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIGR TFKLPHLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLLSDHLRFLMANPKK SKLISSMPNRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIR FLVESFHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERYHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGN RSKQYNPFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKDF VKDLSYFCECHERRTREHYVLANSLPGRDTEIPNAPKIGMNTPANEISFRDRSTGRLL ELQSFDPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDIATIARLSEFLTDYTRSTG LSRKFTRNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLV FVRQVTSDFDNYIIRVDNAVKRLIRALFDYDKGTKNELHKAYCTKPKVHYLTHLKEDI IRFGPALNYETEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWIN SSGNREKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFV FKDDPISRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTDEHSDVANMNLVCKLD LHIFRNPFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_145506 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSAPEKNFSEISFSNPAGAAVAHQYIRTTRNTSSI TVCTYACKLRDWFAANYYA PHYBLDRAFT_74111 MPGPKEAKIDQMNNFLEPLVDELVELYGGITMKTPEFPNGTSIR AALMCVACDIPAARKTAGFTGFASTNACHICKRHFTVVAGTKENATEAEMWFCAESDA ERAVLEKQHGTCFSELHRLHYFDPVRCTIVDPMHNLFLGTAKRMISVWKDLRYLPTAV LVRMQRLADGILVPPGYAVLSTKIESGFPYMKADEWRSWCLIYSLVILKDALPEDDYK NWTLFVKACRKLTGPSVTYSEIDSAHQLLGEFGKECETLYGESSITPNMHLHMHLRES MLNFGPVYAF PHYBLDRAFT_145508 MSSNTQQSKKTKKTTTKKSVQQTTGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYR LPTVLRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEVKSVSSAVVE EKTRRHILYMLQRAKALPEKIARQNRISRRRSRKRNILADYKAIHLADKANLESKFGE TVVDLLDYDMLSDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRLANKGPDVIGNSV YLIILRNTELSNEKKAHVAAWIHTRQQ PHYBLDRAFT_168454 MSCLNWDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSRSSFFDYTPTLILYRQTNNISIFRMLHLLLQCHCILCLSTLLFSELSDIHLFSCLT LFKQTGQEGVPQQAMEYSRGRLHQGWTNGCSKGIT PHYBLDRAFT_168456 MSQQSAVNSTIVSGEETARVNSGVITPPSAAGSADHDGDTVMTP LCSEDSAFDSEEGSSGSDAGRSGYEGANSPRIYLGNSGVRVNNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEQILKDLKASTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRDVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLDHYDTPFHKFLNMGRVWCMK QGKGESARFFGAKFQKFRRQASLDDGVQLVLCFWWNLRPEVREACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSNKGKKRSFS RERGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEREKYLTKVSRMAVRSSAGRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFPILA NNSIRIISLLDCGATFSSVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFIRLADSN TQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSY DDADSSEERREADRRFNNKSDLLESIERENERKENNPAVGPKQFENAMDYIRPFIKDN QDIPKGSFCTIPESVVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPEGIK DINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_145511 MSTLLPSSVMQGMSPDLASFLGNMQAQFMSLQQRTNELESLAAT NARLTAQLVNAEKLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTEASTWATTAA AAHNSVVVPTALSVCKTPRPPSVRQVAASARMFAIPTGLKGYQYVYIPRSRRLTHREV RNSLKTLGVDTGRILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCMKALKYLRPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGIHDFQSPSRRTSPRAIYDVLQHCHSLHMLFITETWLLPPSRLPTSWSQ IHLYGSPVAGNYRGSMGVSVLISPSCPYPVTQIPMSSNYALAIKIGSLRIVCLYLPPS MSTHDALAVLSSIPLTNDTIICGDFNSRLGSLTGDYVVFQCRCYNYDIKS PHYBLDRAFT_168458 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVC LYLPPNMPTHDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNCRGLALCSWIEER SLSVVNADLAPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLL SLSFTYDLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQDIVQNP PLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRRNQCYKKA DRRNQCYKKWRLAIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKA TSKIKQLKRRRQPQHTFQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSV PFASDDSPFTSPIVEEFMQFMPNRKAPGPDHIRAEMLKPSAGNGHMFQSIATHRRSGT LATMATLNSVGACRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQDIKVLESIQDKC LRMIVGGHATSSTIVLKHICNLPSMKFRADALMAKFCIRSRFLPAQCLLSLLHHHHTV YSSLVSLGKTHLLSNLPPTLKLRSPSAVKNHFESIREAGFAIFLQSNTQVLIQACRPV LGVDPILFLPASRVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLLDCPLVPMALFE QLPQPDQDQIHRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICNPDGDYSHETEH GALWI PHYBLDRAFT_145514 MTIQRRQLALRPIEITNEQLIEILSTLKTNMTAMGNNMAADMSI VQEQIGTVEDKLLNMNSRINVLATSSIETITDIDSLSRAPLVSPTNTMANVSQPAFNA PYEFSKKASNDVYAHIRNLMWDPKLKTINQVDILANESKPRWNTNVFFYKSPNKELVV RLLENLKRKCIHKGFREANFRARLHKNFISQNHTRRLLVYTDNKEAIDLQMKRDCDFT MQMAAMSNGESADEDFENRTKSIVKIVRPGWRSDEFNTLIKLVDKYVIEAMGSSAFQM KERVFTSVSNTAVPDDITSKLPQWALRDGY PHYBLDRAFT_64992 MPNNQVEGSCSVCSPNGRYSVMVSSQTRHRHFADHVERNFQRQS LLPAEAVDMVNDNTNNMEIDAETNISEDLEFVHNLPSSNDENLSNPYEFNNKSENIED PHYBLDRAFT_112449 MLTLNIDWFQSFDGVTYSCGAIYLSVNNLPREERYKKGNIVLVR LMSGPSGAKTSQINHYLRPLVTKLNQLYSGVAIPTNECPSGTLVHAAILLVACDIPAA RKTCGFTSHASTNTCHVCNHWFSHHSDGRGMDHSGFIFSNWIFNTDEENKRNAERWRQ AGSNAERARLEKENGVCWSELHRLQYFNAVECTIIDPMHNLFLGTAK PHYBLDRAFT_64994 MNNFISNSPYENNIVYAAIACNIMPNNSTGLALVIYTPTMRPAG SHVDGNVANPVLARLATLHAQMKSLTDQIASMATGITKSNDTTTRLQETVANIVSGQT VVQNTASRYNVTSGVEAVTGLSSLMEDDYVPGKRHPAILVRFDLRIMAKTSNTFLIEI DQWVSEKSGWVFTSYFTGGYNHGLALALTAYLRSQPQSAGILTSDLACMVKNHFCNQV CESCRMPSSANRKRTASRRHQRGLLLFCQRSMAYLENKEAIDMVTKRDNCAHVLQKAV MSDDETDDEATRSASSKRLKVHRPSWRSDELQMLLESPDDYAVTKGQQRSLKLIPCTR VTCDADVLNDLKVPLAQWTIKD PHYBLDRAFT_102239 NLPHDKRFKPENIILVGLMPGPKELKTDEINGYLKPLIDDLKQL YVGMRIPTHEFPNGVCVRAALLMVACDIPVARKTSGFTAHNSTCACYRCSWQFTGLES SNQIDFCGFDYSRWNICSGAESRLHAEEWKDASTLSERHQLEIENGAQCLQLQRLGYF DLVRGTIIDPIHNLFLG PHYBLDRAFT_64996 MLKLLFNKHSAFSIIENMLLLYLIATEDPKQQAFNKPNAKTHYH IENTFGLLVQKCCFLLRHLYFLETFPKTLHDFENKIQNFDAYSDGNDDNDVKIEVEDF DLFINKIPVNLENASLTANCSVRQIQSAHDLSQERGRQRRERMTERKSQLAIITLQKL LGLPYVKEGRILI PHYBLDRAFT_168465 MNNIIKKNTPRTKSRYLKLIVTHIIFTVARSLLMKMAPRTPRNT RRRSTSSGESTSLSQVIAGYVGRHAIVSQQVPVSSSDEHLNQMSLDLQGFIMQLAWMN CKVGQLMDGQRRNIGAAARQESMPASLPALAPSSPLSVPAMPEQEMGSLILVLINRKI WKRNLKFRDSSVIAENEARRRWNVTECINHPENAALVDYLCQYILAQPCARDFWSSMV LKKFLRGRIQDLKLGTKIRENLCSPDEVLTSKVNVFMSYNYAYNNPLMYPILW PHYBLDRAFT_145520 MQQSIIEQNALERVAESMKRSQFTEYPDQLGKRAIGTACCKEIP GEQSLPQLVLLSDSDLTMKQLQLKTLSHSIKHDLIDKDFSAFLKEWKDILSKHWEHYM MQLEILAKNNNLAIYKCKIIWCEKSLLRGSFKSDNQKQKRRMVEKNNTQQDANNSLSS SNNMFETDGGESPIMVSSTEMSVEPAQKRSRR PHYBLDRAFT_145521 MDQFMLHNVEIQHDGNNYAVNTMKINEAISYKYGCSFEDSEGEA RIYNSSRINSNIFTKAKLMSIHLSQIILQHRISIAAYRDINLGLRSATKTVDSLLKSK SSVKGHEYDVCLSGCLLYVINDN PHYBLDRAFT_168467 MIPIVNIKVNCVPQQNTLLLNMPRSKTNRSNKSNNSTSTNGYES AEQISPSNVGELLDLMEQRTRVPSSAPMAPSPKRARTNNENDPSESTHTIEDVYQKID QVSTFLLSSAQNVASNTVATIASEVVENVLAPGRIPTVDNYMFNESLYSQYNGSETCC SDENRQIIQSLWPILPTRSQRRISPKNRRPLTTNLCGTIKETSKRQLFAFASKKEYFV KTFGEGGNILLQADYTSGLDSDNDNDSGSSGCFWRFWPTWRSDEILYKEIK PHYBLDRAFT_145523 MQELADEAVVPSGYARITKKIGDGFSFMKADEWKSWCIIYSPFV LKCVIPAVHLANWMLFIKACRLLTKPSITVKETAEAHKYLQELCTKCQTFYKKPAITP NMYLHLHLSKCINDFDPKGGFESTMMKRFLEKAYIGSYIRTFSTSLNEFIITFLHSIS NSQSHLPLQSDSSAFNLPQFVEFSSNPRKLSSE PHYBLDRAFT_73765 MFLFPSDASSSQWPSGLVKDISPKIFSTAKHDHQHDEQSSPKHA MDQKLLSHAAVIDMYYLYLQINPFDLGIQRNQNSVALLVIFYCLVFVLDNSNKIFHLK YNKPTEQEVARDIE PHYBLDRAFT_73567 MKADLHLSDYKNINNNTMTIQRRQLALRPVEITNEQLMKILSTL KTNMTAMGTNMAADMLIVQEQIGTVEDTLLNMNSRIGVLATSSTETITAIDSLSRAPL ISPTNTMANVSQSAFNAPSEFSKKASNNVYAHIRNLMWDPKLKTRNQADILANESKPR WNTNVFFYKSPNKELVVRLLENLKRKFTHEGFREADLRTRLHKNFTSRVSKARKTEEE IKATNTRSRRAGRARDNHTRRLLAYTDNKEVIDLQMKRYCDFTMQMAAMFDGESADED FENRTKSIFNTLIKLVEEYVIEAMGSSASQMKERVFTSVSNTAVPDDITPKFSQWALR DGY PHYBLDRAFT_168470 MFSSTQTHTLDCHCIKCHNSHQKSSYAAKHTETHCNKRARVEAA MRNMDVDTEIILTSHSDSVEAMDGQANSSFLDAASIFDNDRDNNNFDDNVEDEVNEIK IEDFNSEDPFAASDMPENEVHQFIAIFMVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVMCQDCHTVYQDIVSAPPRCVSSKLGARSACH CNLTKFISSGALVAKREYVYQSIKNTLSVFFCRPSFEAKIYEKTIKPEEFALMKKIAE TIILPRDYTTLTTKIGKGFSYMKADEWKSWVLVYSPVLLHGILPPIQFKNWMYFVNAC QYYIKLSIMFDEITTAHSLLETFCNACNVDYTATILTCNMHLHLHLHECIRDFGLVMN FKTNGKDGFEATYMKNFVQNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPATTVTT LSSHPFRLQAFVQGYTDSYNPLKGNEPLPPSTFPLKYKKPSVMDDSDYLHLLEYYQVA YNLPDLASYQDTSYNRPALDNQIIKLKSIDILGQHYRGTNNSTISHGSLVQAKFVGSN GNIILGFAGQIQLREDDGVEFCLPMFSPDSYHSIIPVHRILLEVATATIATSRNVRKM LVIPLPKKLYA PHYBLDRAFT_168471 MLKLLFNKHSAFSIIENMLLLYPIATEDPKQQAFNKPNAKTPYH IENTFGLLVQKCCFLLRHLYFLETFPKTLHDFENKIQNFDAYSDGNDDNDVKIEVEDL DLFINKIPVNLENASLTANCSVRQIQSAHDLSQERGRQRRERMTERKSQLAIITLQKL LGLPYVKEGRILI PHYBLDRAFT_168472 MNNIIKNCKKSVDGKRKDISHLIFYNNPFLTLAPLLSYFKMAPR TPRNTRRISTSSEESISLSQVIAGHVGRHAIVSQPVPVSSSDERLNQMSLDLQGIIMQ LVLINRKIWKRNLKFRDSSVIAENEARRRWNVTECINHPENAALVDYLCQYILAQPCA RDFWSSMVCFIKNILYLPYIFLILAHHTYTYLTNWRLIDNEMGHEVGFLSEISFLYLL QKDVMSHSESDMKDMNVETLNHFVEIVDFVAVQTCSDKSMLKSRARMPRLQLGEKNDV VSRHLISSLSPWAIKQ PHYBLDRAFT_145529 MIATTLSQYEYVLICDKSNIRVSGSSHQVVYNDRRISSCKNDMT SSAFVNNQIKVIKSIEILGQVYKGCNDNGRDFYIQALFKENRMNAYYGYVGEIQYIFV YSFTPTNSPTTLYNHNHQHTFAFVKWYKTTSDILHRILLPVAIVDYKTTRNVNKKMAI PLPQKIYA PHYBLDRAFT_168474 MTKQKVLDQFGYVYSELEDSLDQERHWIGLSFTIINHYTVVNAQ EDITAHKKVLQLIIFRFYGFIKIMSNSETSIVFDLGCSSNAIIQPKLVKPTGNRELR PHYBLDRAFT_145530 MNILNTGTTIYRNKFRTRLYLQLGPLRCYSTQSMERVIGVFSKL IKSKCKGGRNASFLVEQFILHNYVNTTISIQDKIDLIQPKSYGRESYMNLPNDSSGAQ LWEPFHRFAHLNNDLVEGLEVLLGSLCFLDFVEVMKEYDATAHDSSVPIVKQQSQNSS TGCQTQSTYAVISVNDIHHQVGLIQYPPNANQFYIANDIIILLKTAEKLLAFNHKNDS YVPISDTVATPIAIVRQHSKEQEHCI PHYBLDRAFT_102316 NLPHDKRFKPENIILVGLMPGPKELKTDEINGYLEPLIDDLKQL YVGMRIPTHEFPNGVCVHAALLMVACDIPVARKTSGFTAHNSTCACYRCSWQFTGLGS SNQVDFRGFDYSRWNICSGAESRLHAEEWKDASTLSERHQLEIENGAQCLQLQRLGYF DLVRGTIIDPIHNLFLG PHYBLDRAFT_168477 MKADLHLSDYKNINNNTMTIQRRQLALRPVEITNEQLMKILSTL KTNMTAMGTNMAADMLIVQEQIGTVEDTLLNMNSRIGVLATSSTETITAIDSLSRAPL ISPTNTMANVSQSAFNAPSEFSKKASNNVYAHIRNLMWDPKLKTRNQADILANESKPR WNTNVFFYKSPNKELVVRLLENLKRKFTHEGFREADLRTRLHKNFTSRVSKARKTEEE IKATNTRSRRAGRARDNHTRRLLAYTDNKEVIDLQMKRYCDFTMQMAAMFDGESADED FENRTKSIFNTLIKLVEEYVIEAMGSSASQMKERVFTSVSNTAVPDDITPKFSQWALR DGY PHYBLDRAFT_187006 MFLFPSDASSSQWPSGLVKDISPKIFSTAKHDHQHDEQSSPKHA MDQKLLSHAAVIDMYYLYLQINPFDLGIQRNQNSVALLVIFYCLVFVLDNSNKIFHLK YNKPTEQEVARDIE PHYBLDRAFT_168479 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSQLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRYLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKRQRSSSVTMSE PHYBLDRAFT_168480 MPTYDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSHGLA LCSWIEERSLSVVNADLAPCIPTYISFCNNYEISSIIDLFITNMPLINPSLHIATDLS LGSDHHLLSLSFTYDLQHSTNMLPPLRKTWNLLRLNEPDVHALYAHTFNQNSTSLLST LQDIVQNPPLTRPNIDAITDEFNSLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADR RNQCYKKWRLAIGIDKVVWWTKHKHAQAEFQSQVQQAKRQSWHVFCQSMERDFSKATS KIKQLKRCRQPQHTFQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPF ASDDSPFTSPIVEEFMQFMPNRKAPGPDHIRAEMLKPFAGNGHMFQSIATHRRSGTLA TMATLNSVGACRSGFSLLLSSRLYKTFVHPKFEYGLAISTLLKQDIKVLESIQDKCLR MIVGGHVTSSTIVLKHICNLPSMKFRADALMAKFCIRSRFLPAQCLLSLLHHHHTVYS SLVSLGKTHLLSNLPPTLKLRSPSA PHYBLDRAFT_145536 MPSTAVYVISKALSSYQWTCYLSPEPFIPLAGLLLPVASINNQF ASVAEQNGSIPATRLLAISCLLGTSSKADTAHQKPNKGRKRKGQTGQQHRPKMRARSE ANPAHQKPNKGRQRKGQTGQQHRPKMLARSKANPAHQKPNKGRQRKGQTGQQHRPKMR ARSKANPAHQKPNKGRQRKGQTGQQHSPKMWSRSGTNQVKIHFYVHVQVHVQVQFLSK WSNNVHVLLEY PHYBLDRAFT_112838 MVNLSDLFARRNQCLIVESVLHSIVVPTSHLQLKSNQLNSNQIK SNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSKLKEHCIGTWLWIEATLRIGPR LSITISCKILFTYILAKRMKYFLKKNGLDWAGLDYHGLDYLIFYLI PHYBLDRAFT_168482 MLVVFYQGVKDVTAKMVVDDTDAQLFLQFSKQIPASLDSVDDFW IFCSVLKYPNLIGIKFERPYEKSSRTSLAWEIGEYDIEYAQTLCKGFMNNYISAYSTV NKKYSQGIMSY PHYBLDRAFT_145537 MGFSELPFEILLKIANNLWRRELLACSLTCKGWRHPFLMVLWKD IGIHFPKEMKAFINSTKASKNISNSPSHLIHTLNIYLNFYELKSLDMDISVIFKYMDN LKCLYLGDIDYKSIYTETTKSEKVWTSLESLKIRYPRAPETQSAKNVLEFICACNKLQ KLYIFEEGQSYNTEFNVDDFDNMHQNLQNLSSVNFQIYLNPDFSTTMNKISSTKPAFG VTSLYICSREYRKYINGSTYVCHNNWNPLWLCYFGHKYPNLRSITLNVADAQDNPINS DQKQAAISLFRSNPNAFRHLEVFKFATDTYFESSDFVLWDLLCALRLPLKHLKLDATR SGKVDPSYPMDVNRILQYVSEKIEKLSLTGFIYNRDHENTTLELSYYCPFLTKLCIRG KNVSLNLDNVLEKCAALKKLKFAGEKLFVNTNMISEEPKQQHGLQKLMLYDCTIKAEL FHYISFRCRSLDDMTLRNLFIVESICEETGRLLVDMSYTFLKSLQIGKIKYGKPYQQI DQDGLGMTLLSQISKPRLFYERSETQENEIDSTCHIIESYDLEWLYTYVYILVNYIPV TDTTEISHEDVPFVLEYFKNFQFNKVYSPLNDHDLYYEEEPENCWKYELYKGYGEFRF GKVEYSPLILAATEDEF PHYBLDRAFT_145538 MWKSLEILGIEYGVHEEGQRPKNLVEFINACSMLQRLYLDNNKD GFRPEFGVGNFEKMYQNLQSLLSIKATLYLTPDFSATLDEIPNTKPAFALNATNIDSD PINSDQRQTVISLFRFNPNAFRHLKSFSLATDKHFEIFDFVLWKLLCPLGVSLKRLKL NANQDNGIYISGDNVDLLIDDILDSCPALKRLGFRGRAFLIKPNPTTEDLKLQQYGLQ TLSLYRCTEFAKVLNYISLRCKRLRDMTLNNLWVLGFRCKNPGDLLIDMSYTLLNTLE IGIVEYSTSSKQTGRDDAVSLILLSQPNDPRLSDENKERKKTEIDPKSPLLASHHIYW LYTYEYEQITCRTGKKTIVLSEEKVDIILKYYQNVRLNTTSPNFKDDSSYDGYE PHYBLDRAFT_21325 MWGKLFHFSADAVLISAVLAGIRRNTGLQPSLSTIENEDVRTYA QKYLNIGEWVLDSGIVFMNNSSYFERNNNQDGGSSKR PHYBLDRAFT_65730 MIPDSSLEPVTVTCVPVVPDKPSQKIIPIQHSSTKGLTSQSLKR KGRPTFIAIPPLFNTAHETQDDLQYSPWSSPDLGSSHSLWEDQGPVSPGHPISPWSSS SNDQSPHSYPPHPSFI PHYBLDRAFT_65732 MFCVTDMHVVAVVNGLNSSKRLIDWRGFYLLQEVVVKLVEIGEV VDIREAMWGKRDLAAKGGKGGSTFPSFLPSPRKCRSLSTRTQPCENDKGFEYRLYRGD KMVSTMLPTHMKIPSIGLNGIGGDR PHYBLDRAFT_168488 MASTMKFAKNILEFNSPQWILRDQIELFHASRKLTICGSVESKC RTSKISVKAVHAVLPGCPYLQLHHMFQDHNISIKSGEAVSDATSRAIAANNCLTSDFS LRIDLLVHNPCYNIHNEHCCIKFKRQDARTGLLTSQQSKSIRINGAILNDLIVKTNAD DIYLAYIDFWGSDGYIAGMKLFENLALCVFKEKRKYETVDISRLSTPICLSPERVPEM EPLNMYYSPKFAELHSAFYQLFP PHYBLDRAFT_65734 MESLEKDEDLPHRKKVSSVGSLSKLYNEYKEFLNGMVHEKPGIV LEEMTEQLNTQFMDLEIEKSALHEFLTDKCHFFLRRRNSPAKIEYRYNWVKQGQETDM CYAMDKHKEFKGHYLIIDNALSTKSKDIQLYIEGRGYRCAYLRLYSLELNPIEEFWPV VKSKLKRVALLDEEALSNRIYDALRKVIIIDL PHYBLDRAFT_65735 MKKWYEPKKAHPVAISSQRDGPDLKASLFDRGESDLKSNKERQR NSWEDMLVDSRNREGEARAKSDQEGEEEAEVLTETWRERLGAHCHATCYDNKLHDGDI SIISLP PHYBLDRAFT_65737 MLTSELPVEITLLFTRYLNPKDKIQCCLVCKNWLRAFQETVFET IIIESIVDFNHLTAQTNTGSNVLQIHGHDTRTLEIGKNVFLNSQELYALQQHLPNLQN FKWDNSQFREFLQPPDTYGWNLWAESLVDLDVSLESHTRSEQTKIFDLIRSNLCRLKR LKLSSSGHMAILTFDDCELLNDQLPELTYMSLRARFKEMSYDKLSKVKEVKPRPCFKT LKMDIRNSTHEWMYYIAAKYPNISTIQSFYFQRSKNVDPPTSQALSLLAELPRPLQQL ETIFMSVEATCTYIYRSFVSQLYAFNIPVKKIELGVNSGWTLKNPPREHRLVTTELWA NTLEKVSIAYYTGCSHFFCFCDDSVYYPRLVYLNISVCGASVLLNIVLTNCPSLMTLK VAGNTLENKSNPSTPSIKHGLRDLSLMNEEVSANTLNYISTNCNDLKKMLLDCVTIIG SQRISNYENCIDMSSTHFSKLYIRHVQYTPYSKGHAIEVFVFIPSPEKEEEIRSPIRF CTENACVRFSAGVGANGIRLGLGTQKMREKYFSTFSDDPRSQYRNDQCLKVLKRMSLD RREDTIYHLYVTFKYGSVQDFVFDD PHYBLDRAFT_168491 MLLSELPPEILTQIAELLTATDRRSCGLVCKGWKYPFQRVLWRD TRIGSPEYISEIIEHVKYPQYLFIPCLFVHSLRLYKYYYASEISDVKFSEIFRYMPNL KRLEISIMPSIMPNTKITRTEKIWTSLESLKIQYGSKCEQKSENLLNLVNACNMLQEL EIIRKGRPFGVIFSMDDFDSMHQNLQSLSSISIEMYLNSEFSAKLDTIPNTTPAFAKE LIPVDEFGNDYKTIWDPLWLYYFGYKYPNLRSLKLYATDIRRNATNWDERQAIISRFQ FNPKAFRCLETFSFTTDSYFSFSDFVLWELFYALRVPLKHLVLDATKSEEVDDSHPID VNRILQSFSKTLKSLSVTGFIYNARDQDPTFRLSSYYPLLTSLCISGSNVFLNLDNLL DKFATLKQLKFRGEKLVITPITTTEKSKQKQQKHRLEILTLERCAADVKVFNYISFRC RSLRHMTLNTLHATGSISEKTGCLLLDMPHTFLKTLNIGQVRYSTSYEELGTKDDICL TLLSQLNNAPLSDEKNETEQNKMDSVYPISAIHNIDWLYTYDSNEGQGIDSLETKKLS KEETNIALEYYKNFQSNKINQISEEDNSYNGEDPKAGWEYELYKGYGELRLGKIEVGS FICAPDGYIFKK PHYBLDRAFT_96484 KTMARLLPPSEFEDSSWRILNARPVFGCLGLINIQKECFVAIVT DCVSVGRIRAGEEIYRIQSVSFYSLASSKYDDLDFSSRENWETEEFDQPNASPTTLQH PCLQLQKLFSIGSFYFTPDFDLTKTVQARTSAASMGVHAFDDHFLWNRFLVSGLLDFR AKLDRKKQMDLDRGGFLVFAIRGYVGTEHVYADDEKLELSVISKLSCKRAGTRFNSRG IDDNGHVANFVEAILYSDQACYSFTQVRGSVPVFWEQQGMQLVNHKIQIARGPSATQP AVNRHFKELVDRYEAVSNVNLLSERENTGESLLGNAYNTAVQQLHMPENVVHMVNFDL HAECKGGNYENMSFLMQTIRKDLDSYGYFLMDPDDNQIVCTQKGVFRTNCMDCLDRTN LVQNEISRLSLTNFLTNRGLNQRRYFDGIMTRHAHLWAENGDGLSKIYAGTGALKSAF TRTGKVTFMNVLSDATRSVNRFYINNFQDKARQEVIDQLLLLGLFFLLFFLLGSSEEP DIYAVAFQEIVELSPQQVMVTDADKRKVWEQEIERTLNSRKGGEAKYVHLRSNQLVGA ALMIYVKSSIVENIRNVETAIKKTGIMGIAGNKGAVGIRMEYGDTSFCFIAAHFASGH SNYEDRNNDFHTINQGLTFLRGRSISSHDNIMWMSDLNYRVALSNEEVSTCVYGGNLD ALLRADQLQNEMRLQRVFSGYEEGPITFMPTYKYDNGTNVYDTSEKQRVPGWTDRIIF KGDHLKQIQYARAELYTSDHRP PHYBLDRAFT_145548 MAASPNNISLENRLEGYVGNLSAAQTTALKQLWIKLFALFKQEG QPYVVPTKPAQPETKATGGFFGFGAKVEPVQKDVFLGATTDEKWLTLPEEKAIELIPG SMLHKTFWNLVATDNPDASLCRFLRARKWDCEAAYKMLINTLRWRLVMRVDEIASLGE SGLRDLLNKSLDGMGDSFIKQVHSGKATLGGPDKAGRVVCFINVQLHHKEEQSLEVVK LLTLYIMETSRLACGYPMNNAGLVFNLENFTLSNMDFEFVKFLLGCLEAYYPETLGSC YIHKAPWVFSTVWAMITPLLDPEVASKIVFTKDLEELTKYIDVSNLPVIITGNPNRKT KDESTKADPPAPGTLNPPQTPAVVAFLGALEKYEIVTKAWAETQTVENDTEDAIRRLE NGRAYRVARIKAEKDLRGPTIYHAKGLVKVTDEGRLILDFGVDSSGQIDVTECV PHYBLDRAFT_145549 MSLFAKNNTETINTFDTENLQDKSSDAPKIFNTEVPFDHIISRK VKSSRHIILNQPEILNAIGFLEVQYLKHFIKAAENSPSVNTVIVKGTGRAFSSGGDVL SLYKSIYIPNNIHGYKKAWDIWNIIASMKKPYVAIMDGFSIGTGAGLAAHGSFRVATE KTVFSMPEAAFGFVSDAGSSFFLNQLDNMIGTYIALTAQYLKGADVFFSGIATHYVPS HRLGAMEYRISQLDVVDHDEVDSIIEEFSADPGTQYRHTLKGNVVKTIKNCFKYNTVE EILEALDKDGSDFALKTKTEMLKLSPTSLKANLELIRRASKSSFASCMESESRAAMNK LLCPDFKEGVDARFVKHTQPRWNPSTLNAVDIDYVRSEILDKQNVYTAKIFHENAFHA YPYWKYGLPSDKDVQKIIQNPETAFYNYYQVVSYFLQKSNYKFGVLEKVIDILDRNTR SGDKSLAGKIEWIE PHYBLDRAFT_78171 MYRSTRVIRPASNAFALCTRVSTQGSLLPSPAIRQLTVHTRSLN SGSSFAFVANKQVSNTGSRSLSSSPLVGASKILALAVSSSMTGSVFFKKPVSCQGFAT QNVGIGSAADALDALQQEAGITTKSNKKLIHKGEIAFGTVLGVCVGYLVKQAGKLVAL AIGTGFVFLQYLSYNGFITVHWDRLEGGYKKGFDVDKDGRVTVKDLSSKWNKFIGFLT HNLQFKSTFLVGFYAGIRYG PHYBLDRAFT_145551 MTIFNSIKDTFKSGGSVPLTGVSKGTKVAALSEETPNSESCKPV CIPIDPGYLSEIQANPSSRTIMDNPEEQSSFELSSDKMYVATYKKSQETITVHRIGTH ARSSVEFEYFDFFIQLPAPVSFPYYCFYSVSSRGHYVAVSWLQARPESSWSPEISHCY IYKDSFSPIDRDHNGRVITKPYHKIHFQGNCRFLPDNKLVLTDVHHLRIYSDDFDFLY LIDLFQISQMTHQPLAHSWNHRLMWFSAANRPDASDDLNRSLKSSTYTVVDPRINLDA MASDLKFMTKSIHQGVLLDYSSEDNLVRIWSVQDGHLIIPLKTSCDSNTIFSVSKDGS LIAKLSTSTDDTVIKIFDIETGLLVNSLKIRDTRISGTSVHIEFIEEDRYLACISHAK PLNGPRTRQTLNPNDAVYGLEIWDVVSGTSVVYQETDYRIASAGSAVVIGHPSYRGSA LIVENTMPEILCGHKLRLFPVSKKRSRYSTHEDVDEFPWGQLTPIIPSIDLQSKQDVF FEAEFHDKHALSPGIASYFLDTSKTLVLRIGRYSVQVWRTKRLEKQGHHQHPENMENI DPKTLGLHKLVYMYCIPIEYTSSRYDIRTLSRDNLEIQTPSIRADRIRWYSECSQYLS NECFTDGLSQSSTPDVDFSQGLYRLRITYESLQDGLNYTNGPSNQEEIYIPFRIQAAP FIVLKYTFNSLPSILQLNQYFEGKNWKTHHFSSIVKQMQSTVNYAVYCRSPFFGTIAG NQALSSLLCSPGDDDLLSSILDGTINIKTCFHDISRKQSPLTVAIQNGSPQRVKILVE YIISASKKFGPGYMAIFVRVLPSLCKHFPDLVPDWIRSLSYVPATSAYPLVSRDSPVL PGKSLLTHKQELWGFSALEQLPVYRGLYKKAIYTVKTQLDVFKFGQYKKGRNVQEIKR QQDLKEKEKRIPLSHDRKPHLATLCVVPLPSYTAYTENFRTLFNHIMGEPPGLASAYS PHSLFTVQASTGNSEVFRNGEPVMEALLIYKWRAFARNRFFLIYLIYISYYTLYSITV AFSKEVFNYDAQTRVISPAHRSCLIIMIAISIVFIIQETRQIMVSRTNYFRLFYNYIS LTAVCLPAIATIVLLSGHTYPVEASAISVLILWLHAIVRLRVMKEFGIMVEIVVQISK RVLPMFALLVFIVFAFSHTFIVLLRNESSGEFAETFDGIIGGTQSFYITSRDSGSENK FRHVGTALKAVWLFVNGDWEAISDKPVEDQTLVTVLAVILSFSTTFILLNILIALMTD VVEEVKRKGKRVWISYLAESLAEIELYWCFPWERRNRRSHPDYIYYVAYARAIEDYKK SESEDD PHYBLDRAFT_145552 MRYSDLPFEILEHVSDYLSTNDKRSCSLTCKGWRHPFQKALWRY IRLNSHHTIQQLIDIIKKNQNVSTSYRLWVYSLQIYVSYPGTETSDIEFSKLLQCLPN LRCLDLRPISYKSIYTDTTSSEKIWTSLETLKIQSEGYSDIQQRLDIFEFINTCSMLR ELEIQAMLRDQQFEFSMNDFDNMHLNLKKLSSITVYTCLKLNFSTILDTIPNMVPAFA MTSLNISWCPYADSVTWGKNERMISLFRSNPNAFQHLETFDLTYDRHSILSSFILLEV LRELRVPLRHLILDPTQLRYISESPSINVNTVLEFFSETLQSFKVKRFKYISANQDPT FKLSSYYRLLTDLYISSNYVTLDLGDLLDKCVALKQLEFCAGTLVIDPNTTNEESKKE QQQHGLQILTLRKCTVNSEILNYLSSRCRSLKHITLDTLLIKGSICKRTGCLLLDMPH TSLKTLNIGQLRYSPPYEETNVYDHSRLTLLSKLNDASLSDEKIEREKNQIDSEHPIL TSHPIDWVHTYDESAHYKYKRVKTTKLSKSGADIAFKYFQEFGSKTVLQNSKHQCLYD EEIGYMDRECELHKGYGQFRFGKIECVHVI PHYBLDRAFT_145553 MPLDLPIEIISIIATYLSTKDKITCSYICKQWSKPFQVASWNII NVNENVIRSIKEKQNKESIYSKNGRHGKQIILSRQQYIGDLCWDAIRREFPNTSSITV AYKNLNQWSLVKDADWGDLNFLKTINIQLSDYTISPPSRSFEIFSSLPYLTKLHIDVP FPRTHFINHNILEAIKTNLPLLKSISVKGSISDVSPYAMFVMERARPANNITSIEIRV LDFDKQWVCYLARKYPNIRRLVLGAHTSHLRKELHDITLNRISSIPNSFANLEELSVY NMNDTRDSQTFFWKMLSCFNMPIKRLSYKLWLPKSRQNCFTKVVFQACIDIAPKTIEE LHIFYEVDHDGPPFIPLYFSVCPNLVNLFLSGRFSVNLDTLFDNCRSLRNATFYRVGV KVTQKGLKKITTHDMSAISLHRVETSPSILEYISQRCRNLKTLCIFYTAIIGKISLET GILPIDMSHLHLEYFHYTGNEIFSSNSNDGRPFYMHIIAFRKPAIQPKLTLEAHHNTT TTTTNNNNNNNNDTDVLIPDGYKPKEDDEFTWFHDYLEFQGLDKAENKKRVLDEDESR YARDYYRNFQERRDAETPSQSESVRYFFTRQYAKQPLPHYPIPEVNFRRRTLEDEWKK DLHRGYAELRFKGNSKEVKRLISDKRLKIEAHDRDSLQVMFPNIHRIPITYPHIYGCE RLSSWDLLKAVAIAMEHCNPFLFKLLLGSLSSLSFLRHLEIVIPFKSSNMNSMDTGKT KNLTILKLSCRNFRGCWVHYFSQKYPNIRTLILDLNSNSEDIKDFMCMQKSLFYTSLK FYDLERASITDYHVMHSPEDRILASYSICNIPIYNVIYKQVIYNTFKKDQYKVSIYAL NYISIKFGNIQSLGLCNSSITGPISEGTGCLTIDMSYSHLNHLHLSNCQTLSTNDPFD TKSLVQIIEIRSPVIPPLANQDSCDNTSENSEAVILEYEQPTDNENLIWASLSCRTGR IRKKDYSQSSI PHYBLDRAFT_168500 MDSFRACLSLNLKHVLYLEQDRYCGIYSMWNEPTINQSVDFLSL SYTSLVIFCQSKNKTKNLQRVITDSFRKLWKSDFANRELSKHGPHSLLHDIARSKTSK LKTPNFQKKTVYLNKAK PHYBLDRAFT_145554 MAANYWASSQQNHWLLDRWNLAKSSEEDRKYLSEKDYVKIKIWF NHLIQKLAKRLQLRQQVVATAFVYFKRFYTKNSLRSTDPTLVLVTCVYLATKIEECPI HIKMVTQEAKHIFQADFGGFPYDSAKVAEFEFYLLEELEFYLIVWHPYRSLTLICNDL GMRESGLQYAWFLVNDSYRTDVCLLYPPHMIALAAIYLTVVLNHADFAPGSLGDRTDM RQWFADLNVDIEAVIEISQEILSITEVWSDWKEEKMPMLWKELKLPRQ PHYBLDRAFT_181443 MSTATKTYCNVPELPWVVQKFGGTSIGKFLDTIATQVVKEYVKQ NRLVVVCSARSGESKQTGTTNRLIRAANDALRPGSQEYLTIIKEILDDHLKVADDMIT SPDIRRNLKSDIEHDCSKLKSFLEAAQIINEISPRSRDIIIGVGEKLACRIVAAVLQD RGVESMFVSLENIIDKEFEVLDQTFYDYLAKRMAEAVHKCGNKVPVVTGFFGIVPGSL LNTIGRGYTDLTAALTAVGLSAEELQIWKEVDGIFTADPRKVKSARLLPIITPEEASE LTYYGSEVIHPFTMEQVIRSHIPIRIKNVENPRGQGTIIFPTDTINKDGTSTPPHSPK VLAENGYSLDLSRKYPTAITIKDNVIVLNVHSNRKSVSHGFFAQIFSTLDRYGIVVDL IATSEVHVSMALGTDSEDKDLERVIADLRRVGQVDILKNMAILSLVGKQMKNMVGISG MMFTTLASAGVNIEMISQGASEINISCVIAESSAITALNVIHDQLLSKRSKIILPSLR SAF PHYBLDRAFT_124915 MRSLGVIKSYPNNPRVAKALITAAFTGTTFDVQHINLQTERDAE FYAKHPMGKVPVFESPEVNLFESSAIAYYAASQEHSRLLGFTAVDKALIIQYALFAEN EIHGPASEWLLPLRGLRPYLKPNVDAAQEKVKRTLGALDKILLTKTYLVGEEITYADI CVACALVPLFTLLLDKPLRDEYKNVTRYFRTLTGQKNFKTHLGDIALCETPLKYTPPA KKDAKKDAKPEKKEAKPEKKKAEKKPTEAAADDDDDTPKPAPKVKSALDLLPPSKFVM DEWKRMYSNNKTDVAMKWFWEHHDPQGYSLWRVDYKYNDELTLVFMSCNLIGGFFARL ERARKYAFGSLIVCGKNNDNTISGYFLIRGQTIPEEVYDAADFESYTFTKIEPSQYDQ KKDEIYKYMAWEVEGFQDGKIFK PHYBLDRAFT_95456 NASNANNNNNPNPKVPRRTSIPLNLKSHVCPVPQCQRQFKRLEH LKRHMRIHTHERPFACSLCTKAFSRSDNLSQHMKTHQR PHYBLDRAFT_133692 MSAKPIREYDGKLLLAYHLLRAPLAANQSQTSLFQPAPTKLAHI NVDTSLTGAAFDIALKQQLDTLEQTHPWILTDKLVAKPDQLIKRRGKHGLLTLNKTWK EARPWIEERAGKEVKIEKTSGILKTFLVEPFCPHPANTEYYICINSDRDGDYILFTHE GGIDVGDVDAKALKLLVKVDEGYPSPQAIITSLLKDVPSQKHAVLVEFISRLYAVYVD LHFTYLEINPLVVTDGENGAPPQVMYLDLAAKLDQTAEFEAGPKWAIARAPQNGGLIG SGEHGPSMAFPAPFGRELTKEEAYIQELDSKTGASLKLTVLNRDGRIWTMVAGGGASV VYSDAIAALGHADQLANYGEYSGAPTETQTYEYAKTILDLMTRGSVNPQGKLLFIGGG IANFTNVATTFKGIIRALTEFKQALISHKVRIFIRRGGPNYQEGLRAMRQLGETLGVE IQVFGPETHITEIVPLALTGKVSSIPAAKQSGSGNLFQDQIFGNNTASTTPSGASSPK LKLSDDQDSPEDSKDRISYFDAPAQESHEWYRPFTKSTRSFVYGMQPRAVQGMLDFDF MCKRQSPSVAAMVYPFGGSHVQKFYWGTKETLIPVFASLKEAVEKYPEVDVVVNFASC RSVFDSTRDIFAYSKQIKTIAIIAEGVPERRARQLLHEAERLKVLVIGPATVGGIKPG CFKIGNTGGMMDNIVASKLYRAGSVGYVSKSGGMSNELNNIVSRTTDGVYEGVAIGGD RYPGSTFIDHLLRYEEDPKCKMLVLLGEVGGVEEYRVIEAVKNKTIKKPVVAWCIGTC ASMFTTDVQFGHAGAMANSDLETANAKNRAMRAAGIVVPETFEAMPLALADTYAKLVQ AGTIVPRAEPEVPKIPIDYSWAQELGLVRKPASFVSTIVDDRGQELLYAGMRITDVFK EEIGIGGVLSLLWFKRRLPDYACKFIEMVLMLTADHGPAVSGAMNTIITTRAGKDLIS SLVAGLLTIGERFGGALDGAASNFAKAYDSGQSPREFVSSMRKANKLIPGIGHKIKSR TNPDMRVELVKSYVLKHFPSTPILNYALQVEEITTSKKDNLILNVDGCIAVSFVDLLR ESGAFTREEAEEYMKIGTLNGLFVLGRSLGFIGHHLDQKRLKQGLYRHPWDDISYLLP SLDPQSLDPRRVTARVNVQKK PHYBLDRAFT_124920 MTLWKNKHTEFPTQEQLENLRLYKYAAEDKSYVTKYILRPYWDW AITLFPTWIAPNLITLIGLFFMLINVVFILIWVPDLGTEGPSWIYFSFAAGLWLYSTF DNVDGRQARRTGTSSPLGELFDHGCDALNCVYVVLLQSASLGLGHTGQVGILLVVTVV GFYLSTVEEYYTGVLYLGYVNGPTEGIIMSCLAFIVSGVYGAGAWQVELLDSTWFKWA GALLPQGTTFANMFVWGMVFFFIVTHCPMCLYAIHKACVEKNISSFRTFNTVLYPIIS YSLVIYFWLSSPYSSLLQDQHVILFSLYFNVLFGLIASSIILAHLTKSEFPLFTGLLT MPWLMVVLVNSPAIFGTRVLSARSEYILLAFFFIISTIYYLFWVSTVIRAFCQFLGIR CLVIRRTKQSDSRILTSHQDESDSASLLDSQEEGITAAAHSLPSSESSVPKHHQSYNT FAQSS PHYBLDRAFT_65754 MLASELPVEITLSIAEFLELEDKIQCCLVCKDWLPAFQESLFKQ VFVKNRCGVRTLVDTNSSANRLLQRYGHRTGTLDIRNNNLDERQLYSLQQHLPYIRCF NWAYTSVCQLQSINFCGWTLWAECLTSLKITSRSDDLIEIRHTLNLICSNLHQLKRLY FHTSNTDSFTYTFDDLELLNDQLPELTYISLSAHLDDMSPDELLQIKNVKSRPCFKTL EIDIEKYTCEWMYYIAAKYPNISTIKTIYFQRPTGVDPPTSQALTLLAELPRPLQQLE SISMSVKATCTYMYRDFVNKLRVFDIPVKNIELCVSFGWALNNSPTDCAPLTADPWAN TLEKISITYFRGCSNLFNFCNGVDYYPRLVDLDIDVFGVSVLLNIVFASCPSLKTIKF TGGTLLHKSNSSTTLISHELRDISLLNASVFTKTLTYISMLCNNLKNMLLDRVNIIES ERGINEKSCIDMSSTHFTKFHIRSVLYDICDEHCKVEIFLFPSSVEKIEKTICRPQIP TEDVWACFWAEPGPDCRLVYLCSQKIGEEAEKIDRYFRTFSYKPHQQYSKDQCQELVK QLSLNSWEDVLYCGYVTFKYGSVKNLVLGA PHYBLDRAFT_65756 MLASELLFEITLIIANFLQPSDKAQCCLVCKAWLPAFQESLFET LLIQTEASVNKLVDPTSSASKLLQRYGHRTQTLDITKNILLSHRRFFTLQKHIPNLKH FKWNDASVRILGPTYFDVWNLWAESLTNLEITMQFCPYDIPPTSFNSIRSNLRRLTRL KFIIPFKTLFTCTFDDFELLNDQLPELTYISLSARFDEMSPDELLKVKKVKPRPCLKT LEMDIKNTTYNWLHYLAIKYPNINTLSRLDFSQSGANLHTSQALKLFAELPCPFQNLK NISVHANTPSEKIYLDFISQICLFNVHIKTIDLNIHNSSGDTGSSNDMLQLTKTLANT LEKIKIVYFQEDIRHFGMFDKLEYYPRLVDLDINVKGATVMLDTVLEKCPALKSFAIT DGVLNSRPEFSLLPMEYGLSSLSLISITVASTTLNQLSISCKNLNTMHLETVDITISR QKNSVDSCIDMSSTHFTKLYIKSTQFINILNEDRIALFSVSSSLTPAENIWVYSSYND SIKNRKFHSITLKLEEEESKGCSAYFRSYPGTVRAEYTEEQLYEIFKGFSISRWAKIL FKGYVSFKYGSAKKLCFK PHYBLDRAFT_65757 MKRRSFSVIPLFAAGICLAVLVLVAPSEAISHPKYKLSGNDIVP NRYVVEFDEGDSKSANSFVHSVQSKFKKAKLHIAQEFEHNLFNGISFGLNGLDEKDHT EALKSILDKDNVRAVYPVRAIPRPEVNFEKASTKDKTPTLLPHAMTQVDRVHSELKKF GKGIKVGVIDTGIDYLHPALGGGFGKGFKVQYGYDLAGNAYTGYNNPVQDSDPLDSCT AESGASGHGTHVSGIIAGYDEKTNFTGVAPQATLGMWRVFGCKGSVGSDVLIKAFLMA YDAGMDIISVSIGEKNAWSSGPDTIVAQRIAEKGIPFIISAGNAGSEGAFTVGMPSTA KDVWSIASVDNDFQLMKKFKASSIAEKLGYSTSSTKLVDGEIVIGDKNIGSGTDACNP SNVPDLTGKLALIQRGGCTFDVKAASIAGAGGIGVIIYNTNNGDAFVPSNPSATIPVV GISTKDGLAILEGIKAGVETIKFDNEEALYPVTTGNLVSDFSSVGASYQLDLKPNIAG IGGRVFSTLPRFLGSWGIMSGTSMAAPYVSGSVALFIEAKGYKKNSQIISQQFKNHAL KLVHAKGNSAIQSPLLQGAGLVQVYDAIAGKVRVSPTQISFNDTSSFAKYKTQTLTII NTGKARTTFKVVNEPSIAVAPYNRTAQGYATLAPTGFSNAKAKLTFSKTSVTLNAGQK TTVRVTVIPPKVNPKDHIMYGGYIRFKAGNQKTALDVTVPYFGVVGKQRDLPLFDKDY PYLSDPTGTPVFLKNQTYTYDRSNTKTTPTIVYRLLTPTAKFDVDVLNAKTKKSIGKT LTDSTYIPRNTFATDNFMSGVSWDATYVPSSISDIQDKVPVPSGTYILNLRALKLLGN PKDPKDWETYQTGPIVVKN PHYBLDRAFT_65758 MLLRNPDPKNSLFDSTHIIRYYFSRYIIKSNIVTGSNSGKIMFV PKIKLNPAEHTISIEVKYYQFTVHFIFGITINMSQGQTLDKIDIRMSLFVLYFVWNIK IKHPTNWKIRTPEIIMCLNYWIYSIINRQSYLAILIALIAVYVY PHYBLDRAFT_145564 MWRPRSDISRLQFRDAHLSVQREELQGVALYIRQPKEAQQKTSK LGLLHEDKAELCAVREFHLFMLRTQQKRQSLPEDHTLFLTYLDKSSEQGTPTSIRPST LASWVKSHMVKAGVSESISPHSLRLAASTKAVLLGNSPNKVKTHAGWSLTSNSFKNCY RPTRHHHASTHIHNSIFLLRRTVLLCNPKRKQQVLY PHYBLDRAFT_145565 MERARRLAVFGFGTARQREIEAREHTTKALRLPHSLKHLETPES GEKNTLLIKNSSNNIMKNPASKGSLEIPAEQIITTNLTPPEAEVVEGKPMDIEDGVLF YPTKGEDKATGHCREDTSPAISKSPLPDQPQTTAATTVINRQTSDSTSTPNTETITLL NSTRRDIVWRKAPTLQETLERHDNAYVTNISSGDRLHIAIYQNSVTMVSQTLEDGLQR TNRDFLSTFFTIQEATKCRPILNFTALNQFLQIQHFKMESIPALRDIIEKEDYICKID IKDAYVVVPIHKDFQPYLTFENNNIVRHLRIGEVKGTDATLHTDPNNTSGEVRLFDKQ SKECTRAEDDSGIPRLLIQYKDDDYFTAHYKDDGRDPGDKRNPPSYTTFAKIPGQELT VKPQKMGQAMSIVDTSARRISVVEDLPSTKEWSVYSQDTVPGTPTENLHGCLRRRIGS SLRHGANHRVLDFRRKRRIHQRERVEDDILRHPHTLEKAKTHHTQDLQRRHGSVEIPS VTNIIRTSLGGPQCESTSIEPAEETSIRINDSSGIFSPITAHMGIHTRNKCVCSSTPP STSEILELPGGSVYECGGRLQPKLETEGFVYVPAMEINFESTLKDEARQGEGSHSGDT FMEEKTDGMNVDMINHLNKATRSSTNKIYGTAWRKYAEWRTIHKRNQEQYSPPQVLQF LLAFNNIYYSTLDGYRTAIAPVLNVLYPNHTPIADVPEVVQFFKAKIRSEVAIPKDSQ LESGILIS PHYBLDRAFT_145566 MGDQENNKNVEQIVFTKAQVDEIVAAVPRRVRESQSGEAEQRSK GFDIPTEIIEELDGYSSFELVKALQKFKRSVPRYNKEDWNTPKSTNLNFVTQLKLWKI DSLPIVSTIYKLTEITRVQARAAAEIYESLRYII PHYBLDRAFT_145567 MRESGHLEKANGIVWSDKDGWSTTLAKGEAQEEIECDPGMPLEI GLLQSQIGVYIRFGGLQRMACNLRSKGQLAVAIQNGHLEANY PHYBLDRAFT_65762 METIIISGSIVVSYLIIKRYKTQWLDYMWMRIHARHPSELELEK TLEQKTLSSWMNSYSQTLTVRGHQLHHVYVENPGSSLLIIFIHGLGGQVSQWESQLEH FSSYASVLAVDLLGCGLSEVSSKWSDYSADSLTKDVLALLTDHYSAERLVLVAHSYGC SVATRLSLDHTLKKRIQATVLICPKKGMSEKEIKGQRIIGWIPDWLMDGFRMGDRKKC LYSKSVERFLGPNATDIQREKQFEWNIKSKTTVYRRFAYNASFPVQEEYATISSPVLL VSCEQDKVVSPQDAEYIHRLIDNSKLRVIEDVGHNPMLVRPQAVNTIISEFAESVGIE ELGCF PHYBLDRAFT_187012 MASYSTDSEQPDSRRASTLSNLLIPRTRHRQSSSVSSLAGSYQS HRYSRSIDLSTSAPSVTLQNDMSDETEGLSIMFDGGNHVIVRPNRVVRGKVILHTSDR LYATRIRIKFRAEEVAAVRLDETKEKTYKIHKCATTFFEVDWKLWGTETSVIVQSGWD EIEPGHYEFPFALKFPNVNFPPSMDEPNGFRIRFVWTAQLDGPALQSGLKSREYNTPY RPILVSPPDKEWTYKTTLMRDKKQVMCEVQAKIARQAFCPDEPFSMNMHITTLHSDAK ITALHYKFRKHHHGKLMVASGTAFQQSTKNIVQGSIPLTSSNQASITENVTFSVPTRL VSPSFVSRHTRVHYDILITVTVDYGGLFKVVHHSEFAIPISIANLPNDQLLRINELMA VKSYNDSRDSPIFFDPELEEPPVYNRTNNSGPLSPYMLGTPHSEEPPNYFSIPNLHQI DAPVERKERTVYTTRPVNGTSDPDNLPEATFIPGVFDDEW PHYBLDRAFT_168514 MTGNECLNIERGNTHYSTLYGFYVEVEKYIQRKIVGLFIKCSDL LKRRLGKYLAVNLAVIRIFFLLLTVLSRKLVSCHLTRQTLQKRDILSWSQSIADLRNT AKLPRNRAIISQLGWKGVSEVQGSTYSTAISFLTIVLHVNMDPSKRLVNIPKPSGPFL SAQIDSVSLTLLMMYQKWSSLMPITSEIC PHYBLDRAFT_65765 MNKYPDSDTRDSIQKIKVSISIIKSVIQPINKGVSYEPEYRGES SNGRVYFEGTTLQNLQNYITDDQLALSVSLTIGHKNKNPVDEPRSYPKPRPLKFYDQD LKNFQDVTIHVLKNNKDEPKEIESNLNNNSTASITISKNKKDESTQEFVSDKKTTLHG HKFILAAASPWFRDIFLSGIKESTKNEVKICGVDPIISQLIFDFSYGKDIYIKDSTHC INILKVADRLQFKNIKVYAFSYLRNQVKKSNIFGIWEASDLYDCDETRALCEKYRKSG YPDIFMSPGWLSANDKCAISVIKIDGLQGIIDETRFYKAVLARREFAIQTVINLRKAK EKESEEKIAKAPHVTPTPSNVNIYEEIQKEAKDIKEDDKDNSCNSDTQTKTKTERLKR LENIRWENILKRNWKLYKSILKL PHYBLDRAFT_168516 MSKPVHSKNEILRSNTGLTINPSKAYSRSETRDKLTGLTIIQGG LFRQSQAIKNRFPSRATGTPRLDEHMLIVFCMLLVSSVQVKHQGSDQSLRLSVSIPSR SSIKDPIRVHGYQYPFHPGQTSRSRSRSTVFSIQSIQEKHQCSDLPAMVIVSAIQVKC QGPDFPAMGIAIDVRRQGCDLPAKCSVIQVNQQDSDLPSMVIGITKRYGPILTSYNLV LVSSHLGQASSSSLQPSRSSVKVPISRFMVIGITKIDEQSHSALFSSSVESIKIMLHG SGFSPWL PHYBLDRAFT_145573 MAKNDDQIYIHYGRPNSKDQNSNLGYSVFTIRLHNEKFVFLPVR QSKPAIMDPISVQCYSVLAVKGNEQEPVKFNAQGSDFHQVLQAEELGLDIHPDLQIYI FRWAVDYWLFFIRLLFLLSRATIKDPISIQYDSVFTAQVEEQGFLLHPRLQPFLLRSK RSMLSSTLKVSVHAENQGCTSKNRAKLFEVFLQSNSTVKIQITIKNHCLLAEKANNQD ATLLLGCWLGGLFTIEANTQNSIVHAGLQSRLLIEILLFIWVHTDGQVSAIRRVHTKD QYFSLHPGSQTFKSRDKLPTLNHEPQYSKSESNDQASAFRQGLKISNPLIKSSVIIKF PLNIIKVLVNDQDPAINSTS PHYBLDRAFT_168518 MYGETLIVGFDYVKITKNNNQKYLKSFVYLRIFMESETLIAGLC RKKYGNIQLKENVGILIVGLYYENTRKIHLIVKTVTVDMDCEYSGHIKQAIYACSPGW KSEA PHYBLDRAFT_181448 MNKPEELCIGTWTWIEAKLRTGTRLWIKTRWNCYMIKDKFNIKN KLEVAARYGYPFREYSLGGDEDDEDSPRLSGISFVAHISMRRILRGYIQSSAIYNTVD NLVAELNTPETSKYAKVYRVANVVNWDR PHYBLDRAFT_145575 MIATSPCVRTQMMHTHACPDIRSYFEMANPKFICVKGYASRTDQ LGISDFSPSHPHSRRSLIDMVWFIYQTKQGELEIVTKKGRLQLATGNAYSQETKTRVE GHLRTLSVVKEKQGYFRRTYPIQANPESRHLAGLAKKHMKSLDWDMVTTRISSSSSFK TIGSGLQEVVNDRAQGRSNVNHKASNKAIFQGLFLSLDFFGRPVTGLAIIQPFREYQR ELVSVGLYQKGSWCGKPRSGSSSAIQWSNPFVVDPIAASWHIVSTGSLVVYCGWFRIP PAGGQGPFRPVIEEHFAVPSPSLQMMIHIPRSIPLLTKQILSIKSYSALSTPIMWKIP KPESCASMTAARTKIPEMVKPRFAYMSKSNSKSCPSPSPNVQTLSNRGAFLYKSKIWV VSPSLKAKSISKKGSNAKFQQQVPKEKPKTKSEAYTKHILSPPLQKDQKKSELEAYTK SSTPKGSNPKTEA PHYBLDRAFT_145576 MNTVPHSIHSPWLKHKTQATKTQNPGTEQARDPANRNLQKNTGN LANQTRPANRTTVAQKRPRIKDSGSPTHLRGSQQEPYEQKVQANPTAVQAPPSNVYKR QIPEANRIENQSTKTQNQANRRSMVSIPEGNDNPVL PHYBLDRAFT_145577 MNSKVYNALCAAFALAYLLAPALLFIPVMLLEASPLPSAFCMAK PARRRPSMAGVGATPSLDVRPNGENRVEAHDTVMRQTILGLVSNWVGSLWSTGSRTTG EPSGSLLSERHGGIPGHMSVDDSSSEEEDVVCVGESSVFFGVGLREYIPHLLPLDELE RGIDTMVFPVDGVAGTCGETHADLDEGVSSELVDICDEAEVSVRLRFICERFFSEGFA NPRLPTRFLDAMLEEELNDSENKGHCDSLTEVAEPECGLVPKPVEETFVFEQESVQTP SGLNNAGLKDPKPKFGTVEGLDEAFALSSWQSANGFFGECLSTGLPTPMEIDDDLAWS EVVEVENPMEIDDDVVEVVVPDAICGEKLVAHTCNPTVDDLQHVSQSAKKDCNANKIP TCVPQQVVDDKVEHNMTRLDAPIAVTALKPQSRGTKKSGGLKKGGESLPASVSGLLAD GAEKVGECAPAVLGPKGHSKTAKPASQSGKKKAKDTEVATTTEKASGSREKQPKETKA ETKVSMAAKLKALASGAHMEQPKDPKVESSTSVGKPKRSLVKGKKSAKSFDAPTNNGL SAAEFQAKVPSVDFGPNCERMLVKKQNFALAWFLKPGTASSSRKVVETRIWLPGNPQT DNSRMTMAVVTPFDTQRGTSKVRHSVIQVMNRFKGLHIKMRSVRFTKRTSCRSVGPGA KPMRSEPKVDPEASGKLYGDTGIPRPFTVLFLGTICVVIHVGSLG PHYBLDRAFT_65771 MSKVHENVGLFNECNHKWTIPEWSKIPLKAILNSQGFNVGNHEW TVQHIGIFVMSMGKYSTSDKGDSTEKIKVSLSIIKSGMKSIDKEGGSSYGREYQKVTT LQNLQKYLTNDRLTLSIGLAIEQKNKNSLDGPRPYPKPQPLKFYDQDFKDFQDVTIHV FKDEIDESEEIESTEGLTSDEKATIHSHKFILAAESPWFRDIFLSGMKESTENEVKIR GVDPIIFQLIFDFSYGRNIYINDSTHCINILKVADRFQSKRIKDYAFSCLRAQINKSN IFDIWEASDLYDCDETRALCEENMKSSYPDIFMSPGWLSANDKCAISAIKIDGLQGII DETRFYKAVLARREFAIQAVINLRKAKEKESEEKIAKAPHVTPTPSNVNIYEEIQKEA KDIKEDDKDNSCNSDTQTKTETERLERLENIRWEKYIKEELEAIQKHFEIMIRNIRFH QMETEFLANTVRKERAVMQIPGIKDIIFEAYRDKTFLGKKELSKKYKSRSIESK PHYBLDRAFT_65772 MSESREQKDLVKEHREPGTGPGRRKTVRKGMFAKDLKLLMYGFG DVQNPAADSIAVMDDLVIDYITEMCLKASKVAENRGKVRVEDFKHILRKDAKKLARVE ELIYMSEDIRRAKQLFDEKEMDPAEET PHYBLDRAFT_100540 LKLDLRGTRVQLDRDVLVNLPESLLIAMFPNGLVLGRQDDGDDD FESQSRDSVGMDDQINYVDFNPACLDYVLRFYQHAHSVYQSNQTGDAEQQQKLLAATS ATSPVYYPLLTKQPIIVLREELEYYCLSPDNYSSSTLKISGGTYLKEQDQIFDALQRN ISRENNVAEQHLIDMLCDAGFKRDDRWGHRELEPLRTCIISMGLVLLNTTGPDNHMAT AQKLLLFWRKPARKCWWDGNTVEIEGQSVRLWARRTWTLELALV PHYBLDRAFT_158874 MKLNIANPATGCQKLIEIDDERRLRGFYDKRMSQEVSGDALGDE FKGYVFRISGGNDKQGFPMKQGVLLPYRVRLLLSKGHSCYRPRRTGERKRKSVRGCIV GSDLAVLSLVVVKQGEQDIPGLTDVTVPKRLGPKRASKIRKFFNLSKEDDVRKYVIRR EVQPKNAEKKTYTKAPKIQRLVTPLTLQRKRHRTAIKRRRAESSREAEAEYKQLLAKR VKETKDRKIARRRTSSIQKSASA PHYBLDRAFT_65775 MKTVKLQTNNTRNKSKDITLKKLRGDLTIEPKKWISRSVFLSGL LSMFQLPLSFNYILFIYCKTKRRMSQGAGHRVRRRPSSSRRAQISNIKNSEQSKKIFK QLIKLPRILQIIPAKVALSRFIYQDILI PHYBLDRAFT_187015 MRAFKNRLVYRVGLLKDVACLESNNQTYVLLLVFFIGKAVALVV RLFKLDMQVKKRNAVITYKSITLTEMERFYKIESGKHRAIFHKGKIPGISNVPKPTIW ESLRKKQSFKGFGFFLSDEYTKWTE PHYBLDRAFT_78179 MSVEYEGAIGIDLGTTYSCVGVWESERVEIIANEQGNRTTPSYV AFSDSERLVGDAAKNQAAMNPKNTVFDAKRLIGRRFDDADVKADMKNWPFTVVDQNEN PFIQVQFEGETKTYSPQEISSMVLIKMKEIAEAKLGKKVSKAVVTVPAYFNDSQRQAT KDAGVIAGLEVLRIINEPTAAAIAYGLDANDKKERNVLIFDLGGGTFDVSLLTISGGV FAVKATAGNTHLGGEDFDNTLVNHFVQEIKRKHKKDISDDARALRRLRSASERAKRTL SSLTQTTVEVDSLFEGVDFQSNITRAKFEEINSASFNGTVEPVERVLKDAKIDKKNVD EIVLVGGSTRIPKIQSLLQDLFNGKELNKSINPDEAVAYGAAVQAAVLTNQAGNEKTQ DLLLLDVVPLSLGVEMQGGIMAVVVPRNSPIPCQKSKIFTTASDNQTTVTFPVYEGER LLTKENNLLGEFSLTGLIPAPRGTPELLCTFDLDANGILKVTAQDKTTGRKADVTISN STRLSAADIERMVNDAEKNAETDKAREAVVQAKQDLESYVYQVENQVSDPNVNMKIRR GDREAIETALAEAMEMMDISAEDGSADEFKGAQSKLKRAATRAFAHVYSQRR PHYBLDRAFT_168530 MLQNIDYFLSSLRLYIYTICGPRTTTTDDFTAQGNHENCHNAVT NCRSDSTQGTHPTSCYNSVLPCLCGNSHRICELALEALSDNPANIDLYHPSDMLEFLD LELQKQRKQCIYHLFGNYAVHTESPSGNPLLEYFHVVPGSFEMVVPGLDQQKSYKTHP PPQSEPTSRIIPAGGASTQHSPQQVAADQIVHCECCRETQGPRYLNSNHTNDLSLAAT LVQTPPMLNAELPTNGQEIVREVALVVPIENSLLTPQLTPLFSGFDIPNELQDISLFQ RSERLYTCTMCGYRTRNSSNYTRHVQKYSKDRKIYTCPTCDKIFPTKFNMDRHCKSFG H PHYBLDRAFT_65779 MLEEYCPIMDYCHPLFNLILDRFFVLRGAKKITAPARAYESPQT SQEKSDSHISILLKQGTRPDNSIRKFIRAISMDNYQSIATACSKNALVKEEQGRLGIL SKLENSSKIKVPYIVYVSDSQSTFSVADIQVISRPVKDLLPKYLQNKTSSSLITREVL TENNSHLFVVVSVVVISSGLISRKQLVPRFPVLRLNNPQC PHYBLDRAFT_12225 LEPYVNQPISASTLRQYIFFGRQMNNKRLAKSANWVRNELLVRL AHRIRDFQQLPFFVGTNPHIKYIYGLYWAAFEKLRKSPQIKTMEDNQGFCTLLRQLLE EGRRVIPQMALGVSECASYYADDQQDLDRFVNRMFRSRISRRVLTEQHLALTEACEND WDESMGFGDGYIGIIFTRCSARAIVGKARKLLDIQAATKFYSHYHGKLPPPSEWKPPA VEIELQPAAGSSGNSNEIIFAYIPEQLEQILYEILSNSVRFTMANHPPHAYPPVRVTV SVNETDVFFRVSDQGGGIPKTRFDRLWSYQARAPDGEFLHFEDVQKMPSNISERLEQN TTDGPMHLGMGLPLSRVYAEYWGGELQIMTMDGYGTDAYVRIPRLG PHYBLDRAFT_158877 MAATGISDAGISINFFLMHTLTSTHALYHLLFSLSPSESAILVH AQLVTILVHYVATGRLAINTNLLLAYQSPNSDINSSNPWLGVVDLAVKTEEPHVVKAV RAAALGQILYGHENNAEDDLWIKAAQLTVDQKGNWGR PHYBLDRAFT_124931 MSGFLPTPPFKHDADSFVMPGISTKTTTLTENLLIENNKNHSLF FNDRGFHNHTAHQLLSVYALGANVNRLEEVYAAQAAMQRPYQSSSGSVTRENFRSKLG DIAHDKGFIDFFLNEIKASGPTATIRRWLFSGDLMARTLGGAFHPLIHIGYGIEFNLP GMVAEGLAMAACTGNSLLEVIP PHYBLDRAFT_21180 MSRSPQVLLLTPPEQFGIVEPGIYRSDMLQPSHFPFIKQFNFKT MVMLSPEMPNRVTSNYLEENDTRLVHLGMATWKPTQPSTWRPVSEELIKEGLELILSV ETHPVLIMCTSGIHETGTLVGCLRKLEGWNFSSIVTEYRAYAGTKARYVNEQFIELFD LDLVTLPLNIPSWLIDQQNMLVSIGNMLD PHYBLDRAFT_21209 MDDETRLEELWKTFLLIASPNSNKSNSSIKSSASLPPDLQTPAN RKLITRGRRFRRRRASTSGLPDTKGVQSVSQPRRFRRRRKDHIAKFHPDVVGVTFLEI SHANNLPPERNMTRTGFDMDPFVVVSFGTSTFRTSSIRHNLNPVWQEKLFFHVRHNES AYHLKFAVYDKEKFTGNDLVGSAVMPIMDIIRQSAEKKKSMSTTEMPDNSIHQDMDLH TLTLHMENEDKWKDRNATLTIRAKFVPYDEMRKMFWIALAKTYDVEGSGTMSRLEVQS MLETIGSTITEATLDSFWRKHGRDPTNEADELPLEDLVECFESHMLAANEHPSTAAEL DPSSTEKESSEDNKETDDCFLSSYSDEGGSEDDDDDEDDEDDIDEDVEVIGQCEKVIR LSECPICHRSNLSKRAQMDIVAHVATCAANDWTTVDRFLMGNFLTEAYAQRRWFIKLV SKVGYGKYSLGTNNANIIVQDRRTGQLIEERMSVYIRLGMRLVYKGTNTGIQSKTAQR ILTNMTLRQGRRFDAPISVREIPAFIKFHKIDMSEVLQPLSSFNSFNEFFYRVLKPNS RPCDSPDNPDVAVSPADCRMMAFGTVDDATRLWIKGIEFSLSKLLDDPNAQITFGGGS LAVFRLAPQDYHRFHSPVDGTITSIRYVAGQYYTVNPIAVRTTLDVFGENARAVVSID SERFGKVSVVCVGAMMVGSIIITAKVGMKLSRTDELGYFAFGGSTLVVIWQKDVIELD KDLINNSKNTMETLVRVGNHIGTRRPSATSQICQ PHYBLDRAFT_181452 MSENQDAHVIATASSPSADAVRNLPVASTPSDCRDPSIPSLLTP PSMQHVENQELDDFILELLKKPQERIFLLKLENDLEAFINNYQMYRLDLPQMNSYQRL MVHKVAPYFKLSHFYDPIRKSVYLCKNQFTELPAARFQDLVKLEEEESSEEMPKFKIM RRAPLSQNQRQNSDGSKGPNSGKDRKNMTYEERRVAYEEARARIFQGSEQPKEDGSRE NPL PHYBLDRAFT_65785 MIILSEENAADHDLLTEINPNHRAKESTVIHSTHPQKPNLPLGK AMHLLLNVKPQVFQLAVQKLNKNPSETSTESPVASALLSLEDHISIGLQRFSGVAEPA LSPFLKRSTITAKNESDSAMRLARFLLLESRHRLVFCSLLENELKSTDRRSQLATVLL LDSVVQTWPDIDTVSDINPGLIYSIQVVADMSSNLTRVASASGSQPTLLACTAWDLVW TCSRYVAATLTSSLDQTKSSGSTQLPKIVLIESTFMIDKKKKDTMDTLWKYNESLVST IRMWHTTMYFTQHLAWHQVLLFLSKAHTLILREDPDSVLVQMAKTWVLMTSALNTKNI LSVKPLSQKKKAAILSLKKSGVEAHIEDYAKMVSLVAVQKGLWKNKLGIQTNPVPFSD EEKEEEKQIKAMVTNVYPSKINHIPLNEPFDGLGNEIAACLFLVMPHLANHTKLTAGA LRILLSLEWDLEGLINMVDYESIIHHLKCIVYQQPEEALLYLTKGIDDSQALKSRFIK SVIESVIDGATQTNISEISQPLMNTLLMFTATTHVACELLIKCMAFLYLPELIARLMK MAYTLDPRQNQVSKGLIAKALLIDKWAGESILLYVDMIRQGENTRDFKYRKSFSTIFP GSHFTWRSTPADIEPTQAHILQENSANKLEDSDTDKYVMEFISVLGLWGEKAAPWALE AGLKQMVHKTCGSPLDQTNVLVWKTLSFAILGHRSLVWVVMQECSGVMKLQRRWIDDL QNDATTDDLFEKKLYAILTPMIILQALHPTVYECVNLNRQTAEEMKTHLVRCGNNLDN FKVSDDDDSKHSQLCAELLDALSIRLKLTPGLSAIENLSKMLTTKIFGKVSSIP PHYBLDRAFT_65786 MLSVSQLTVYQRTLHDVSPTLLPEHIARLITAVSLAARLSLRCS SLLIEVLLESAKYSTSFSFGISRQALITALSSAKRLHALATSEAKDDDTNESGGFLQV LDKYTNLGIYIVHHTFTLAELFAMSGLQFTSQTVKSGFMAAEESVHMIDSIFGSNETS RAIASIITLVHRELMNDPDFELAKVGKIAILSGLTKAMTAFAVLQSVTHRRTMQQVKI SVLWKGVVEEEEENEQALIQFKSSSENLPDEHTDIIDELETILTNQPPINDTDDSDAF EITTTTRRTTTRTTKISPVGHTHDPNRTKYITVKSDEEEQEAFVAFVDRNSGSANVQP QIEPVETLKHTICDTPQVEDLDNGKDKSSKDLCIPSNLESQPTVPIRALRPAKSFRIL LSAVSKKLTRKKVERQEQTEYEALQKSLLAKQENGSWKQHDSVDTTLSSGPITTMTST STSTTLFTAAAEYNTESGTTISEINNATSDDETVEKESEHRLKRRSSWSRLKLRGEAH RKSISQMIQKGADILGQKPMHAAPPPLPTTSRSLASGPDLNIYSSPSSSTSSYGGSNS GSILPNVLAKTSHEPGSRLSVSSRPGLTRTSSIASITSLSSMSHTMTTTTTTYTNSST PSSPRSICAPCPSRSQINSRNQNNNQNHAPAQPDLTVEINNNFPRNHIVHNIAHFMRY ASAAYGESFMRILGIGDIPQVLPTSHHHHPNHHAFAHHTGLSVQDILLSSYTDMTFMS VKHPQLHALVHYVTVDHSAKAVVLTCRGTLGLSDVLTDLTCDYANFTLPADQNDPPRK YIAHGGMLEAAQLLAKKKGRVFQKVCEGLLMYPEYGLVICGHSLGGGVASLLSVLWSQ EQAQPSRLAALSSSRPTFVTSSLFGLPPGRPIHCYAYGPPCTMSQELSEYCGQGLVTS VVHGFDIVSSLSLGLLRDFKNVAVSLHAESGVADDILGRVMGRYNSPREHKNDDEDED DQWFWALIKTMRADMRAEKLYPPSTVYLIESVPQLLQNTEQQSTTSNSKSQKWKTKRA HNVVLSRCDDIQARFSEIVFSRSMFMDHSPFMYEKAILQLRRGYFET PHYBLDRAFT_187019 MRGYAKPANHQQGPFDNDSNIDKLASDTNLMSSSVSSELISEVH PFRYSKNYMLSLYNSSLPVDLDADSTLIFSQSQPPLLFEKPSKVELELLKGSVHWEES LPRTVLQKEIHHHKESSPGGDTARLLFGSGKLAKTFTETPEKDILLSKNIYPDTKTTG DLYETEAEEPLWKEDFVTSSKIIGATEMPPVAPSAQGETSL PHYBLDRAFT_145592 MSGHPRRSYFLCSHKSILSDLYFSKLPSRNSAYPCPNALQHLET LKVITGKIPVPSDIVIWELLSALRVPLKNLTLDTLSVTRYKYGDHVPNSTLELFPYCP FLTNLCISGSYVIFNLDDLLDSCVALKQLKLLGGKLLINSNTTNKRPKKHQKHYGLHN LTLEYCSLTAEMYKATAYDFEETGCLLVDMPYTFLKSLHINQVKFHKKYEGMEYDIEN YISLILLSQLNDVLLSDENNERKDEIDSKYPVVEHHNIAWIYSYDNILTYTIYNVKEN LNLKEEEVDIILEYYRKFQLNKSNKPTEDHEVIEEGYEGTGWIG PHYBLDRAFT_168542 MTLNLPAEILSKIAYYLSLDEKIICSGVCRQWKGVFQLASWDRI TINDRNICSFTKWDNTDFPQYKENGEHVKNIIMTNTGAFEEDGWKLIQFTFPYIRRIY FSYINLCNVLIDEPDFCLHWVFLKTVVLNMGFYYFSGRNKFFEILSGLPCLRRIKLVA NQKDSSSLRMGTFESMQKHLPLLEYISLNARLSRANQTALLTAKDIILGNSVTEFKLT CPNININWLCYFTRKYLNVRKLKLKTYLVTPAHTTQDTTTPLSAVIPQKFAHLENAYI SVRHNENNEQDLLWKSISPSSIPVRRLAYRQGAHDSPCDKPSTDSLQLWVDNCSKTIE YLDISSFWKLDGISHIPFNFQYCPHLVYLNLSARVSIKLDCLLDNCKALKKIKLKLSK IICDQNTSGSSLSHDVSTISLYFLDIGTEMLNYISCRCRKLRNLGFHTVNITGNLSEE TGSLLIDLSYSHLKSLSFKNTLICLYSNPNEKYILHRTAICCPLYSHSNNQKLCDNIS DKAAIATDDKEQFKENQKSMWTDRYITESGPESVRNMSRVIESENATITRPYHFNVQE GRNAKTSSEFKSAAGHIDDQTKKTDVCSLDDAEIICLRQVFKEDQKEDLCHGYVEFRL GSLRKFQYSITNVSNNLQV PHYBLDRAFT_78183 MTSESDTLLSRTQKSNRSASPVPNSYLNNSHLANSSPHLTLPHS RRSSLSSTGSADPIDLPIPTLRTQRSQPQLSRPTLQTKDSKGRLRRQKSGEDTTSWTK QKPALDTVTAKRNAEFHALFRSVPEKDSLIEDYGCALQKEILLQGRIYFSENHICFNA NIFGWVTNLVIAFADVIDIEKRATAIFIPNAILISTSTAKYFFASFLSREQAYDQMVD LWHMKQSNLEINDYSAITTEDISDTDESSGSYESEDEQRPRMVSAAKSSPSILLKYQE KQSSVASLPVQKYSKLVDQDTVRSRAFSEAGPRPNIQSLAKKQSAQEKLAASVETEEE GLPTLPTPQPVNNNTSPQLETECECGKKGEHYPTTVLDQIYPGSIESIYNLLYNSTFL KQFLTDVEKSTDVTIGAWAKGEGAGKCVRSISYVKYLGASIGPKTTKCNLKEEIQHLD LESYVTQLTITQTPDVPAGSSFFVNTRTCISSAGQGKVRVLVTVLIDFVKSSWLRSTI EKACIEGQTNYYRSLDTFIRQQIGTTKSDKKKKRSHRPHHHTEHESLKSISTTSTDNG GIINWITNNVGTPNASQLTVLCMVIMVCSNLYIANKIAVVNKKLNTTEHPSVAAISSA SQGNLREWRQDHANEARELWQWLGGLDPEEKERERFERWQPDNTPVVEDLGVVESHMG AKEQLDRHMVALERMVQKAGQSMEEATKIVEEQRQRLHLEWSE PHYBLDRAFT_155409 MNLFQAVNDAMSIALTTDSTAVVFGEDVSFGGVFRATSGLAEQF GKDRVFNTPLTEQGIAGFAVGMASVGHTAIAEIQFADYIFPAFDQIVNEAAKYRYRSG NLFNVGGMTVRSPCSAVGHGGLYHSQNPEGFFAHCPGIKVVTPRSPIQAKGLLLASIR DRNPVIFFEPKVLYRAAVEEVPIGDYELPLGKAEVLKRGTDVTIVGYGSQIYALENAI QLAEKRLPGISCELIDLRTILPMDIETVVASVKKTGRLVIAHEAPKTAGVAAELSASV MEHCFLNLEAPIQRVCGWDTPFPLAFEHFYLPNMVRCYDAIKTAVEY PHYBLDRAFT_31355 MRPILLQGHTRSLTQIKYNREGDLIFSVSKDKVVNVWYSHNGER LGTYSGHQGSVWTVDVNSTSTMIVTGSADNSAKLWDVRTGRCLKTWEFKTAVKRVDFN EDDTMVLCVTEERMGFSGTVTVLAVNPNVEASQSDEPIATIVNTGGPKAVVAGWGNLN KYIISGHVDGSIIQWDWKNNEKNLSIKPHSDLITDIQFSPDRTYFITSSKDKTAQIFE SESLAHKKTYTTDTPLNSASFTPKYQEFVIVGGGQDAMSVTTTSARAGKFESRFYHKI LEEEVGRVRGHFGPINTIAVHPDGKSYSSGGEDGYVRVHHFDPDYFKFKIES PHYBLDRAFT_158881 MADQEQTNLRKRTFRKFTYRGIDLEQLLDLSSEQFMALVHSRAR RRFQRGLKRKHMGLIKKLRNAKKEATPGEKPATVKTHLRDMIIVPEMIGSVVGVYNGK TFNQVEIKPEMTAHYLAEFSISYKPVKHGRPGIGATHSSRFVPLK PHYBLDRAFT_168548 MMTISNANPIEFKKLQKQYLIVYLLVMGADWLQGPYLYKLYRSY GLDLSQIACLFLTGFFSGAIAGTAMGSAADTIGRRKMCLGFCTMSICGLFLRQIDSYS VLFLSHILSGLCTALLYSVFEAWYVSEHTSRGFPNEWRARTFATGTFLNGLVAILAGV SANGLVSWWGYGAPYYGAIVLLATAAVVIQSIWTENYGEGDGKTDTQLIHTLKEGCRT LWNDTNIIILGTAQTFFECSMYVFVLLYTPAVENAVGARELPLGMLFSTLMFAVMMGS LTFRVIERQVKIIAFLDYSHILALALGLASFSFGVMSYFEPTSIVLLVSSYHIFEFTT GLYYPAISSLKADVIPEETRAGVMTLLRIPMNLGVGFLMWHVEDLSTATMFAICGLMT LAGCVLVTLTYRSKITPVIPSP PHYBLDRAFT_65796 MSSTLPLEVLSIIADSLNTEEKLACSCVCKHWTKIFQIAAWSSI EIDSFVIQSIKEQSSGEKSIYQKNGKYVKKINLDEYVEVQTSEWQVLQREFPNIRYIS VPHNVLEKFSFKGLSNWDAWTALQTAVFYLDQEGPTVSENFISDLSILPSLKRLEIIP IDNDGMELRIDVFEAIQERAPLLEYLKIKGNFVPFNFSDMAAMAFAPPADNVKEITLD CTHMDPHWLFYFGIKYPNVRKILVSTESSLIPRGLFCDITSLSAYKDHAFSHLEVMAV NSSEDIGDSPFCFWNMLARCNIPLKRLAYKLDSPKAASSRNSAKAVQLAIDIFSKTIK EMHISCFLGWEDTSFKPIRFGTCSNLVLLHIAGQFEIELDMLLDNCEALRNISFVDSK ITEHLAGTSPEKLHNLTEISFNSVDLYDKTLSYISSRCRKLQTLCIYNSNITGMISPE TGAFLIDMHHTHLKYLHWCGNDICSMNSYPNVVASIHTTAIQQRAHLPVLDEESDNNT KGEDKPSVYNDDEEEEEEEDIDITWIHDYIIVNGLRDTAHHRRVLDEDEIRIAKDYFR NFQARREAETPSGSDGVKEYFDSMLALFDKESSCHPDMMWNRRVIREDWKKDLYRGYA KLLLGSLDEYEVEESMVCDHRKYDYPTFLECEYTNE PHYBLDRAFT_145600 MSLDLSHKILSGIADFLSFDEKINGKKVKRLISDKRLKIEAHDR DSLQVMFPNIHRIPITYPHIYGCERLSSWDLLKAVAIAMEHCNPFSFKLLLRPLSSLS FLRHLEIVIPFKSSNMNSMDNGKTKNLTTLKLSCRNFRGCWVHYFSQKYPNIRTLILD LNSNSEDIKDFMCMQKSLFYTSLKFYDLERASITITMLCTVQKTVFWHHTLFVISQST IHLNHLHLSSCQTLSTNDPFDTKSLVQIVEIPSPVNPPLANQDSCDNTSKKSEIVILE YEKPTDNENSIRAS PHYBLDRAFT_187025 MTSQTLPKKKKLTVERLFRSKTPGSSIETDYEGPAKERLLPDLL DGQYTTYDNVHALATDYKVVSHSEALAYLPEETEPIDVTVGISTKANIKIKTRESVCL DSYMDYKKGFVLNTGCSIWSLDFCPKTPSKDSHPDIHYLAVAGYKSPYPELHSLDLHQ PTGSYLNCIQIWRFDLSVKGAQRVPVLDMCLLHDYGVVIDAKWCPYGAYEETVESTDP NVLPKLGILAAAFGDSMPRALVIPHPEAIRALHPKVKDSQTVYMQIREARCVFHAPAI KPTVLSWAGDSRISCGTYSGQIIIWDAKTALKKGLKKDDKAAQSDSIILNTIVHDSAI SGLVWRGMVDPVEYISTSLDGYMKICNSKDPFINMTFARSRAIMYKAVWMPQSDNICH NDADNMLHATHTGNTDYFKTGTRFFDSVGKLWDMSTCEPHTFLAASSADGMVRILNVH QLRRKGMQQTQRMVYALLYDKDTNKYTYVDGVRAMECDFNKFLPTRNRFVEAYYLISR VAWNPNRFASPWLASGAVSGLCRVDFTGVGHEWLMD PHYBLDRAFT_112997 MASVGARYATTAQIDDYISRLLEAGYTSKVPKQLCLKNAEVNAI CRTSMEIFLSQPSLLELSPPVKVVGDTHGQYTDLIRLFEMGGFPPSSNYLFLGDYVDR GKQSLETMLLLLCYKIKYPENFFLLRGNHECANVTKVYGFYDECKRRLSPKMWRTFID VFNTLPIAGLVAGKIFCVHGGLSPSLHNMDEIRSIQRPTDVPDYGLLNDLLWADPSEV TVDWEDNERGVSYCFGKKVINEFLAKFDLDLVCRAHMVVEDGYEFFNDRTLVTVFSAP NYCGEFDNFGAIMSVSEELLCSFELLTPADHPLAKERLKNKNKR PHYBLDRAFT_168554 MDVNTVSSQCPGCSGTDHRRVNSLRYPSNRKVQTWSQIKNKCSK HLQHYATVFCSYEYQPVCIFVQINLSEIFHSHGLVGDLISCLVAGYPTFTSKQRTCEA CIFLLFLFFKGGEITGKAINVDEDQRPSLSL PHYBLDRAFT_113015 MNLTRTSSSPHDAPGLLYAGFNQDFGCFAIGLDKGFRVYNCDPL IEQARNVETGDGGVALVEMLYRTNYLALVGGGRNPRYPPNKVVIWDSIKGKPVVELEY KSEVKNAKLRRERLTVVLANKVFVYHFSIHPQLLHTFETADNEKGLAAVSASQNHAVL VIPGQQRGHLKIIDLDSLDFSRPLSSTDDPYRSNLAHSGKLSCIALNHDGSKCATASD KGTLIRVFNTATATLLNELRRGMDRAEIFSIAFNQESTRLCVSSDKGTIHVFNLDPSV VTMCDHRPRGPTYGEVVINPSQSPSHFGLTHSGNRGSSLSFMKDLLPKYFSSEWSFAH AKIVTESRCIVAFGDQKNTILAICADGSCYKFYFDPRKGGECTRDTYERFLKAE PHYBLDRAFT_97540 VQEVFHKGLMDPQNRDRLQKACQESEPYKHCAIERLVNDELLRK VYEEIGASLRFTLKETDIYKVNQTGDLVNMDGLPLEEKNRLRHLFELRNAIYSDEFRS FVSQVTGCGPLSPSKFDMSINTYNSGCHLLNHDDVIGTRRVSFILYMPSPDEEWDPSW GGALELYPVVSKGTPAIEPTVSLPPKWNQFAMFTVLPGYSFHSVEEVVGQNKSRLSIQ GWFHVPQLGEKGYETKTTAEKSSLEMLETEEEEEPFVLYKDPLEEQDCALGQEDISVL SEWMNPIYLETKCLGQISERFMDTSAVQLKDILRPDLAESLKKHCLDQDQQDGFTTSN MPPHGSGIHKDWIANGPPVRQRFLRSTSTSNLNGLQSKLQSGAFRRWLATVSQLLPIG CRGMTRRFRPGHDYTLATAHSKGSVLDITLCLATCPTESAAEQWESGEYGGYECYMAP HEGQDDPAIYKASSEDDGALLTMPAGWNEMAIVLRDEGVLRFVKYISARAPGARWDIA MEYEIDQEEEEEEE PHYBLDRAFT_145607 MADNDSLILKGLQSLSKAQDTRLELYKEFDDAYKDYLVDACPPE QYHSICKIVTEGFQEVSLDVQAIERAMLDAFNRKDIATMIRRLQEAEKEKLRETATLQ ILSIEARKGDKDYDDTIQEKTSLLKQIQANIQDIWDEIRQETAELSFQLADNSK PHYBLDRAFT_145608 MSQQAQLTESDQRELAQFIQVEKARAEIQETVHTLADTCWDKCI FKVNNKMDRSEETCLANCVERFLDTSLFIVNRLESMRGSGV PHYBLDRAFT_187028 MHILPEIAEKYKALAEIANAVIQSKGEDICWDNTINENLTVIGV DTNRVVWEFKVQRSHCNLLGSLHGGCVATIIDVCSSFSLLTFDGKEKWKYVGVSTDLA ISYMRGISAGGLARIECNIQRVGKNMANIHTSIYDEKNNLCYTGSHTKFCIDSKM PHYBLDRAFT_187029 MPKYSTKQQAIDALKKSHKIREFIVKKKTLVESLSASADELIKD ILEVIKKEVNIKLEQMAAAKELKQTLKANKYIHKVDNVLPDVEYRERALDFFESLDAY RFKEEIRMCKDYSINAIKIYEFYLVSFTRMPFLLIPISHFYYSDRHFRSTCTDSELIN RIQYNLYLYIHKCTISISGYPEDHKELLSLECGAILLNILLFIGLLTRIVSFSFFHLL IFPESDNTSLEQIELFHAGKKITICGPCNGEVYIAASPNRSRVHMNAASSDKHKTSNI CHSDTALRTAINLVKRNSISKKCSRDSVTRQQKQTNCFRRMGRIRIQLARGRSVAPVV PKGTKRKLDELEELAELAMEYRRVYKRTAVNKPFKKSPLGCEEDGDLGQAPKKPAQVL SVGAVTTGTKRGCPEDFDEDERVPKRVLRQILADYEEPVQKGKTGLPLSKALYRRVLS QETQAAAVLAITGCLHPRKCEAVKPEEDAAAVTAVFQQKQKQNPKPGSVLGSVLGSVL GSVSGSVSGSVSRSVLGSVSRSRSMPGSWIRI PHYBLDRAFT_65808 MTYQKKANVFIFDVGYSWKDVIPGHSKSMLEEARQVIRKKLEDK ISIGRKTDMTGLIAIGTPETDNSLASQFPGEYKNISTIEEIKQPTVKTVQKLFNIELS ESKETTADALDALILAIDMIVCYCRKLKYDKHIYLMTDTRVPISWMENHEIISMLETH SISLTVVCAGSNMPYTNQNMNENEKKWIEIISRLGPPSSFCSFEKEYEFADTINKKIV RASPCFRGNIILGDPANSPDNGLYVSAHMFIRSDLISRKSGTKCYVPSYPVDAEDGKN IHPVKAETNYRTITLEELEKKFNAQNDFDPEEEQQGEDEEGLTSSDSDNQQKDTVNSK DIMKAYILGKTLVPVSKEQQDMFKVVTSPGLYVIKFIDSEKFPETYKLSKVYTVTAGS VDAKEAATVITALAKAMEETKSVAVVRFTNKPESPPKLGVLFPLVEEKVATLYFVEAP FSNDLKPIPEAIEEALKPKDNEESKNASNIAKLEIMEKLVESMSLMEVRKDKDGNPCE HVVPENIFNPNIWRVSETIRRRAIDGKATIPDLHHSIKEQITVLPEFVEKTKELGKQI KEIFNIEKVHLKVETPDDTKERHYGALREEKIKTRKEDEDHISPTDTGDIEQNQHITK KMKMDNGSAFDTKNYEVLRKDVKEVSIEDPVDDFKAMVANPDQDLVEEAFKQISDIVI HLVSTSFGDQYYKKVISCLDCMRNVAIQDFEGESFNRCLHKIKSICDLSNPASKRLDF WEMLKKTDITPLTKDETGSSNVTEAEAKKFMEEIDTTDMEPNIQTKKSYIATDDLLDL F PHYBLDRAFT_145613 MELTNGLVNTLLANTTCGSFALIYIAGFYLFRDASANLSRDHPK TIASRIKSVILASIVIPIIVWSELYMSGTFGNMSIKNQISSMSIRLGLYDPTNSWHII HIISPLLLTMILFLGPLTLLWFEEELPFQQNFNFQKDAVEHLRSLEGQRNYIAAPFTE EFVFRACEIALLYQAGHSKKYLIFISPIWFGTAHLHHVWEKYRQYGSNKKALKRALLS SSFQFAYTTVFGWYASFVFVRTGSVWPPFLCHSFCNMMGFPNVEGISYQKKWEQIAQV IVYLHVHMVDLVIWANYIVGVILFYNLIYYLTPSASQSGSIYW PHYBLDRAFT_145614 MKNNSEKKPQEHSTADSSNDPNNDNNNNPDVSSLPPPPPITPPP TEQESNDILSPWWSAEVPAGQPTKPGGDKIMLTFNRKAVREELGPDGKKTGNQSDEWT EFCIFLAPNEYFKRSKGKGEIGTPIDKDEKKLNQSCSMLCFLKESEERRKSKLEELKI PNSWNPFYGRWIIASTRLDMSMEHLAEKLPVKKVIPGYRSIELGEYVDQLGQGVKKMV LGSFTPVQNFTKRYMDSWKDGTQKAFFNRFWESSQRGDAFILVKDNTKKMIDSALYNI KKSSDESDNDNKKDPNK PHYBLDRAFT_181465 MFSRSFGLVRPFVSARTYATKSKTTNLKMTSRLPIQECQLADGS LFVTRQPPVSPAVQSTAPVLKKAATETKVLSESEKEEMRQLRAQDPARWTRKRLAEKF GCSEIFVGINAPTTVKRAVAAEQEPTKEYGYRRQLIKKNRERRKELW PHYBLDRAFT_145616 MPLLPRLSQMARLSVYHNPQCTKSRKSVVILEENETKDGKKMYD LEIVLYKKSPPSKEVLETLRNSLEFPEKYASNPWDYLLRPEAQGKSSSWEEVYKIIEG DSSLLERPFVIDWDRKKSALGRPDLTLVEELVKERVALSSS PHYBLDRAFT_133726 MVTGVLIGYYVPNVQPAFATVQFGSVSVPIAVGLLVMMYPVLCK VQYERLPALLTDKNVWIQIGISVFINWIIGPIVMTAVAWATLPDLPGFRTGVIMVGLA RCIAMVLIWNQLAGGDADYCAILVAINSVLQIALYSPYAVLFINIIPGWFGAPVDTTI DASIWPVAQSVLIYLGIPLAAGFLTRLVLCYLKGKQWYNAKFVPHVGPFALLGLIYTI IVMFANQGHHIIDNIGSVFRVAVPLVLYFAIMFFLPFFILKRLRVPYPLVVTQSFTAG SNNFELAIAVAVGTYGIESEQALAATMGPLIEVPVLLALVYLSLLFKKKLAWNQKPST CTSC PHYBLDRAFT_145618 MKFTSISILLFALCVSVKTVSGNVACHAAEEARVQSACQDHCGS TGYTAGECGDRGIYTNNYVPLD PHYBLDRAFT_145619 MAEKIKMKTTRLIAQLLGRRTSNRQIGGSNPSVGHFFQFFTKTQ KFRPNSVSHSPQYHSILKHCLEAFSVIWEAHFQPIFKEVLIVNNQSFKLKGEKLLIAV AAAATAAATEKKLNTTRLIAQLLGRRTSNRQIGGSNPSVGHFCQFSPKPRNSGLTVVQ IAGFRPPVSSKDKPGLQGLFRSPSLFSVVSHSPQYHNILKHCSEALSVIWEAHFQNIF KEVPIVNNRVAAIVILNIIRLS PHYBLDRAFT_7388 RISDSLSKGLGPEFLSKRPGPSGSFTYVEGWMLIDLANKVFGFD GWNSEIKSIAIDYMDELDGNRYNVSASAHVRVTLKDGTFHEDVGCGSVENMRMKSAAL EKAAVTDGLKRALRLFGRVLGNCLYDQAFLR PHYBLDRAFT_187032 MTTTVLPVKGMTCKSCVHAITITLSGMPGLISLDVSLEQEQATI VHRLSLPQQNLIDAIEDCGFDVPMPITTRLPVEGMTCQSCVRAIHNALDPLPGLVSLD VSLDPGQITAAHDLRLNISTLMEAIEDCGFDLPTHASPLPLSPATPTDTDNCSLEQEP LLAQNFIEPAKINITSTCTGTDVDADIATSTSTSTATVQLEVHGMTCASCVHAIEKSV GQQPGVVSVTVALLAERATVEYDAELCGPADIVKWVQDAGFDCQIVQVLASDEIQLQV FGMTCASCVHAIEQGLSKVPGILSASVNLMTETAKVRYDPAEIGIRTIVEAIEGLGFN ALVADTTKNAQLESLSKVRDIMAWRRAFFQSLVFAFPVFILGMVMPQFEWGQKAAHFT IIKGLYCTDVIQMILTIPVQFVIGGRFLVSAYRSMCHLAPTMDLLVSISTLAAFVFSV VSMIRAFVTGAEQPPTVFFETSSMLITFIVLGRYLENLAKGQSSTALSKLMSLTPSTA LLLTFDPSTQTIVSEKRIPSELIQKNDLVKIVPGDKIPTDGTVYSGSSTVDESMVTGE VDAVNKSTGDAVIGGTVNGLGTFILQATRVGSDTALSQIVKLVEEAQVNKAPIQGFTD LIAGYFVPTVIFLGVSTLVLWSVLVNVLGVEHMPKMLQMAIEQEANNDWFFVCLKLCI SVIIVACPCALGLATPTAVMVGTGLGAEHGVLFKGGAVLENGQKVNRVVFDKTGTLTI GKLDVVATVSWDPSVDGKDVLVLAGLAESSSEHPLGRAVVNHTKAILGVSVLEPIGKV TDFRSTTGYGIECNIELVNKTRPTQQHVVIGNQAWLEEYHGILLDEAQLAQFKVEVGK GNTCILIGADGLALGFISLSDVIKPEARAVIATLHSMSIETAMITGDNELTARYIAKQ LGIDEIYAGVSPNGKTQIVQRMQSQPGPVSGRFCWQSRPPTSVVAMVGDGINDSPALV QSDFGIALCSGTDIAMEAADVVLMRNDLSDVVAALDLSRTIFRRIKINLAWACIYNVI GIPLAMGVLMPWGYYLHPMMAGLAMAASSTSVVVSSLMLKWFWRKPTLVNTSHPSQSG PGFLRRFNRFFGLRRAEYDAVPPSYDLESIPSPI PHYBLDRAFT_177572 MAGYKFLAIENPLLDIQCNATQALLDKYQLKANNAILADESHQP LYNEIVENFDVVYVAGGAAQNTARGAQYLLPANSTVYIGCVSDDKFAATMKAAAEADG IATRYMVTKDAPTGTCAVLITGHDRSLVANLAAAEKFQLEFLKQAENWKLVEDAEYYY AGSFFLTHDGGFASATAISEHAAKNNKTFALNLSAPFLSQFFKERLDSVIKNTDVLFG NEDEATTYAAQAGWNTTDIKEIAKKLSELPKSNSRPRVVVITQGSEETVVAVGQTVTA FPVTKATDAEIVDTNGAGDGFCGGFMGLLAQGVTDTARCVQAGHYMAGLVIRRVGPTY PPVAERTNTPKF PHYBLDRAFT_181469 MSLGRNTVLLLSLVLVAKIVSYSWQNSHVGLHSSLSKRDTTELP IARECDQIQLQEDQCAYAIEHCIGITPGLINYIPLYYCASAAVKPIVFISLCAWLLFL FGFVGIAASDFFCPNLQTIASVMNLSESLTGVTFLALGNGSPDLFSTFSAMKSNMGSL ALGELIGAASFIVSVIAGSMCAIKPFRAKRLSFLRDVSFFTIAIILVMSIVADGLIHL YEAVILIVFYVVYVLVVVGGNYYMKRRSTYMNLIQRARLEYEETGAEVDNLLRGNTWD EPEASEDEMELYDEGFETEGFHSDYNGHRNATHPKLRIRTSLFSAIESANARYYNIHG RNRHSRQVSAQTAENASNSVPLSTRSQAPDSNHPNDAESDTISVNTTSAQSILQSLPS RFKKIRLLMPEKVLGVDFHDVGFHLFPSLQNFEQKSIFNKASSIVSAPVIFLLAITLP VVRESLVKQSGTGSGSGGGGVVLDEDAMTMLEDIGEEDQDPMEMNDLHESTSKWSQWL TATQLICAPMFVAIVFAVNKVVHPAIILPIGAGIGVGTSILFRLTTNSVRQPRLYWMM CFIGFGIAVVWIFVIANEVVSVLQTIGMAVGVSDAILGLTVFALGNSLGDFVANITMA KLGYPMMAMSACFGGPMLNIMLGVGIGATYVTSKHGVPYAIEVSSTILVSAIGLLVVL ISSLILVPLNGYRMSRIFGYSWIGIYLICTIINLILEIRG PHYBLDRAFT_145624 MPGTMASGILNIKSSHSISLDSITIGFQECFYYKTGVFDWDEDK IMLLQQPILRGGSESIKCLSGDNLFPFSLHIPAYSSSSSSVRGRDFKFSFEIVVSCRV SLCKFPFKTTIEVDYDPAVATHPSFYPEVANSEPWVPIKSDEERYGRDPQGTRDSPGV ASNGGNNENSGSSSNTSRNEYPSSNVNMNAPIGNTSEHSPLRNLDTISPEDPSNIQAG EVEMQIINAPTGNTTEPSPLPNVSTISPEDPLTSSAEDVEIQNINAPIENTREPSPLR NFSSVSPEGLLASLAEYTEIQSINEPIENTREHSPLRNVDTISPEDPLISPAEDSEMQ SINAPIGNAREHSLLRNFSSVSPEDLLASIAENSEMQIINTFIENIGEHSPPRNVSTI SPEDPLTSSAEDVEVQIISTFIENIGEHSPPRNVSTISPEDPLTSSAEDVEVQIISTF IENIGEHSPPRNVSTISPEDPLTSSAEDVEVQIINAPIENTREPSPLLNVSAISPEGI LVSLAEYTDTNTPIRNSGESSPLHNSSPHLSDIPLTSQAEGIEFKNKSRVSLGNTFLR VISLLRNILPVYPRPSRNNQASERNHSYEPQIPSSSSPQPPPPPPPHPSDTDRANENS GRFPRTYPMVQMARYFGSDMPPSFEESRQIATYEKIVDRGTAVVSLKRTYYNIYDPRR ILVTVTFHSAFYENVWLNGPPKVHAVLRRLVKVTHHVHNRTTCKKVSENSDYMSPTQV FKIVGEEAYTLEAEDPALYARLCLSVPVKERFVIGIESPLCKVEYTLTVYMKEFLWDI RSSNWGFHKSKLVEVPIFFSTLKDGEICHPSSLLSHSPPLVPGVRVLNIRDQGAELIS DTETVPSYASE PHYBLDRAFT_168573 MARLISVSKAIAFSRPSFKYQEVYRKPKVGLTLHSAPRITSRGE QYYQPGDTVNGALDVLVLTGRYCTGFKVKLSIKEKIDLSKLGIPNCTKEKCLLSHTLS LYHGPNDKELNKGPFLFMEPKPGKLSLSFSFVLPNVNYPPSFSIGVISCSMVIEGWFE EFDEPKIYGPPIELNFLPATQMMLSDRTPTVTDLVLPDRSTLKMAFLKVYDHTKLPHS IIVRLADTTDGFYNYLTQQKHEFHISLKRVALIKWRKKAYRIETVVCKQNCSLSRVLH DGPSWEEVKAVEVSLDIPPNRGMMALNYSKLYTIKYELCLKISVPFGYFFVFERDLIS IPVEFGTLKAEPSDQTGLLSYADKRVTLGNQIMEPDEIKQMCLFAESSKKGEPENLLG LQYSVLR PHYBLDRAFT_145626 MKKFISLAKPSHVAAAISESYKSSFPPASLEIHLNPDPINPDID PHACSPGSTISGTVFLKVYRDFNAQSIKILFVGAESINFLLLGWNGDDDNGRIFTSRS PVWTAPPPSPPSSPTTDTNASNTSNNTRPNLEVGEMMFPFAFEMPMVNYPPLAELQRI TSTMTIVACLEQQGGRITYSPPCVLNFQPIIETPVNNNSSGPKVIESKEIGKGDIINF SVEKLFYNINESPVISAKVWMDSCSTYNKQQTENNAPEVRVYLNRCLTVRHGKKESKE YTAVSEVTAFLAPKNADGSGTRRSSNSSNVNKGRRSRDSTNSTTVPTTATATATSTST TAATALVVSPSAATGPLELDVNIQITPDMIPSLTYSKRCSIHYELCVSVRDTTSFFSF GKKDVFSVGLFFGTLSCGTRSPVDLLAFTDEVVTPDNPVTKPRRVDRRPISAPPPAYD DFSPPVYTEEALSTPG PHYBLDRAFT_65824 MSDSYLPIKNASGKHINLLNDQPPAGSSENKKFKVFSNQSPEDQ ASVTRRRYHCPEPMCDKSFTTSGHLARHNRIHTGEKNFQCLHPGCLSRFSRQDNMMQH YRTHISPKSRRHSHQRQHYHLMSHPYHRPTSPLEYYHHNTNSNPNPSRSPNYTRLYSD NRSVYLSESPYSQPLYHSLPPTTTSSAPKVVMTKVEPGLTNLSDQYNSHLPVHPVHVH RHQVYSHSAPPHSTSHGYLSPSRSIDSSPHGRLPKPQPIEPFMGPGAGVETGVIIPGP CPGSGLSSGLGSGSSVGHRNSHPRSVSSSPSSSSSPFLFSPLQFHASPPLQMAPYQPP DSAYNYPSYQSRPRYSVYSPPQHKLMSYDSYHSLPCTISSFA PHYBLDRAFT_112799 MYIHVLIHLYILDPSLYKNLDSNIKKNTAFIKKCKTALTADSSQ QLLNDIKKLSLEKYISEIVGSVLEGMAKCKNSTDISACAEVVSALHQRFPDTFTLLLT FGLFKILQLPTKQHLSTLTPEQREKEETSRILRQRTYLRIAVELWLVGVLRNVEDGIP SLASANLEGVEAPRDGVAGMIGSSKEKKRDDKEIEKDSKAGGFVYRVLKDLLGTDMVQ HTNIQLAASFLKNFGQEILGIVPRKQRAAEQHNDEEKGAEEGSDAVTAGGLVVKETGN SAVTPENRALIKDLLDQYYRSVAKHLLKEHTYIKRMDSRNHETLFSRGELSEETKKKY EKATKAYEKLLANTQTLAESLDVEMPDLPEDDNEAKVSIVSSGNNNAFADGKENNGNG AFEDEDARKFYEDLPDLRVLVPGVFLESQPPKKNDDEKTTEETEEAEKAEVEIESKDD EKDSSTEDQDTSLEGVKPTHLAVVDALLARLPTLANRDLIDGAAVEFCYVNSKTARKR LVKTLLGVPRQRVDLLPYYARLIAVLNNYYPDIGETVVAALEHEFKGLQRKKTQDLLE SRVKNIRFISELTKFKVAPAHTIFHMFKVALDDFSNQNVDVVCNLLETCGRFLLKSPE TNQRMATMLETVMRKKTVQHLDNRQSMMVENAYYQASPPDRDATIKKERPPMELYIRK LAYIDLNKKSLDKVLKQMRKLHWEDPNIRHILYKIFQKIWKVKYGNIHLIAILASGLS RYHSDFGMQLVDSVIEEIRVGLEQNIFKHNQRRIAVAKYLGELYNYRMVDSPIIFDTL YTIVTLGHEYGRPARDRFCPMDAPNDFFRIRLCCTLLDTCGMCFDRGSSKTKLDNFLA FFQMYILSKLKPPMDVDFMVTDTLEMLRPQLQIFTSYEEANEAVDRMLLDQLKSVQGS DGKVQDDGFEESELSESSSDEGEDDDRMASRDLEDDREDVYDEVIGNDGDEDVVVLKH KEEPISTEDDDEFEREFSKMMSESIESRKFEKKSLILDVPIPMNLRGAQGKRKKREIY ANARANEDDSHMSFTLLTKKGNRQQVKVMEVPSDSVLAVSTRSKQEAEREEQQQLKQL VLNYEEREEAAARQGKKKSKRNILE PHYBLDRAFT_124964 MISAFFIYNQKGEVLISRLYRHDLRRSVADIFRIQVISNTDVRS PIITLGSTSFFHVRHENLYIVAVTKWNANAALVFELCYRVINIGRSYFGKFDEEAVKN NFVLIYELLDEILDFGYPQNSETDTLKMYITTEGVKSERAVEDSSRITIQATGAISWR RNDIKYRKNEAFIDVIESMNLLMSNTGTVLRADVAGQILMRAYLSGTPECKFGLNDKL LVDNDTVNRTTQVSRYIYFARRANAVEIDDCQFHQCVKLGSFDNDRTISFTPPDGEFE LMKYRTTENVNLPFKVHPVVNEIGKTRVEYKINVKANFSPKLSANNVILRIPTPLNSA KVEVKVSSGGKAKYAPSENCIIWKIPRFQGQSEHTLSGEAELTSMTHKRVWSRPPISM DFQVLMFTSSGLLVRFLKVFEKSGYQSVKWVRYMTKAGSYQIRF PHYBLDRAFT_65828 MVTKVPKSFNNLLLFTSGSWLYQTSLYGAFYQIYASFKLILCAI QNDKHPQNSGFKAFKLYILLSIILCILLLAFIPKCYAPPIQQDDSPFISKLPVVSILR IVFVSYIAHIVTIRPTTGISTFSTLERRIMGFLFPSSEIGIILGSMYKAYYGDEILKI TKYKPFLERYDKEIEGEIFKVKNKNDQSLQASTITALPDSTTSASLDRTRTASMDGIK STLLDGVKRVSFDSRSTSSDSFSLDGQSATESKNDEDYDTKYNETNNKFSSVVNLRNR LVKDTREENNLNHPQNAAYLAAFLHIMGPKRAKKIKHCILANSLILGSENNTGGAEDS NYIEGLTINGPGAACNYQYKINLNDTHFMTDDMINQLETAHYIDNIPYIEIFITIVQL FFTAIECMEIDGDRWVKLIMIIYTIMSVLQTVSLIALHKQTATFSVIKYDRFVIIKYD HYHNNVPPHKSFYDKLDVNVFCFLAGIISFLLLGIWADYNNHSVTEWLVISWIISPLA LYFMFFLSTMDILGIIGMGILLITCFGLLISATIIGYLPKISLSTFSVLSHFITLPQA NFTLL PHYBLDRAFT_168581 MSFIVCNTQVFANILSHLSYVLVGYLTHVVTVRTDSGFHDFFTF RLRLTALVYPFSGIGSAVTSIYVSFNGDRILGIDGYKLLLRHYEKEKDQEAQDDDDTQ TKLHKRHSNVARLRDQLVKDVKKEGFTIDDEDNTPYLAAFLHIIGHKKAKKIKHCILT NSVYIGSEKVIDEEKEYFFKSDIMVVVGPGSCCKYQKRLLYDKANTMTETMIDQLEMV HRLDDSSYLETFATIGQLFFTTIECMEIDGDRWVKVIIIIYTIMSVLQTVSLIALHKQ TSAFSVFKDANTKTSAKNDDPISTKKEYKSELSEDVSSISTKNEDNTESSKDNLPVLI ENEDSGILPKTDASIISQCLDKLKRKKARTAKLRADIIEILTAFAGIIVLLFMGIWAD YNSHSVTEWLVISWFLCPLLICTISTCVFCIERNAEKTTEILLVPSLIIPFGLVTSAT VIGYLPNKYRGRNQGANNASAVWALIWFQNCVVRLLLSHTQEGVVSMNEIQIVSLNND SASSLSATKSKSINFTELFYSINTLFFIYSPFELLA PHYBLDRAFT_145632 MEEAIGDRKATPPLVSDTTKPMKLEELKDFEGKSDSKESLDKES VDTSTNRDNEENSSQDYDLSVLCSPPSPRPLLAKSYKKRRKREIDYADLTKKVKKELR ESSRALEAKIEDLYKKKLVVLEHDLRIKRENEYKHKVHENITKTTMQIAQLYNWPEEK VKKALEENFNKVYGG PHYBLDRAFT_145633 MSSRNKKNKMPHLSAPACKPHEYNLNSNPSHYQAPENYFEQLTQ RKSRVELEQSYLVCSENQGPREKRIYKIMQKVLKDCNLQVCEILDPESSLLLL PHYBLDRAFT_145634 MPKVLKDIFVHITNSSPSLVCELTVVGFLIMEAKLILLVMDSPT SYVCRVNRLTPLKVPELVEEFGRRMLPIVEVIDKAKLLMEKLYILLVNDETPLDLEFD DGSRNGSIPLCFHIQRNIGLKISIFF PHYBLDRAFT_168584 MSCRNVTKDTSAVDSIIIKESAPWMKSVSRLEKHFRRCEGEHQV RDLMTKVNSFIENTKQYFDHPEMIFPVTSEVKAPGRLKQVKRKKISPKELCLAQAHKS SWSEKAEVVFERCVPISLFITISKRPVARLRTDIALLPPDGRSPALLMVTVSIPIQIN YSSALIVLPTCHSAQGLERKP PHYBLDRAFT_65833 MLRTQQKRESLPEDHILFLTYLDKSYEQGTPTSIRPFTLVSWVK AHMVKESVSESFLPHSLRSAASTKAVFLGNSSNKVKTHVGWSLTSNSFEDYYYKPTRH HHASTHIQNSIFLLRRTVPLCNPKWKQQCSVSVQFIEKKSATAANFHKITEFQKIS PHYBLDRAFT_168585 MPLEIGLLQSLIGVYIRFGGFQRMACNLRSKGQLAVAIQNGHWE ANRVPWIQIMGDQENNKNVEQIVFTKAQVDEIVAAVTRRVRESQSKRSVPRYNNEDWN TPRSTNPNFVTQLKLWNIDSLQLISAIYKLTENKRVQARAAAEIYESPQYIIERGVHK KDEGFYHDNMERARRIAVFGFGTARQQDIEAREHTAKALRLPHSLKHLETPEMPTEQT ITINSTPLEAEVVEGEPVNIEEGVLFYPTEGEDKATGHCREDTSPAISKSPLPDQPQT TAATSN PHYBLDRAFT_145637 MSVYSPQLCSDHHCHSKLSHLLFRGVHSLSHFLYQCPQKWTVWE NAWIKYFGLSPLTFDIHRTLFSLKVPGHSLPVFHVQLCQIISSSILALWGTHWSFIFN NRPFQTSSINSKIDTLVSHFSSELDLT PHYBLDRAFT_145638 MSNPQLAKWAKETFGLLCNADETDKWSNVLMIRRARRPNCFIED KDSEDVNQAMVQQSLAEKVLVKGLQGSLDKIAGSDPLYENEEIAEVESAVSFKRTYSA SEKFECVCTLLDILEDEDIDRDFVTPSISPIPTFYNGRQRTLIDFIFRYPALTTTSPE IIFLNPAWTDHSLLTVLLTLQHPSGSGVIKNFTITYAKQFARQCKTVERSLCSERHPI FRNPSLPDLAKTEARLARLQEFKTANLAQRASVQWTESDEQSNAYFYRVIRSRTIARS ITSLRDPESGENLLTLVRSPLLVRFCLSHPPRHCLVLAQRHLCVRDKSLYANPLLLNT IWHVLYVMPGPPSFFTAICKSIRIYPSLGFGSPLWDLLCQPQRKGGLGLLDPESQQKV LQLRCTLPILRDSHARSQYIFPYLTFFFQLHFPSPSLKDFLPSTIPLSARFSPATALA LPLHAIWTFLPPFSITRWNKGIYVQHAFMIDSRGGLCRIPPHDIRHARNRIIAFFRHL DGAKIVLWPWFISLLSPSSPDSPRPVDGLFSLVSLIQIDGRPLHKALTGSLRRHLLTS TSSIPHADISWKLFWRWSMTQNTRSLCPKCALCLSAVDSLQHFVFSCSRKFSVWTLVL RQMCQLWSISDVPWLLTRTRLSPFVSSSLQIANASIVVHTIWHAHWTFVFDNSLFLPS VVAAKAVTAIHRSHDLNST PHYBLDRAFT_65838 MYSIKTENEDARNNIISLPQLAKCLETKYFWGLYLMFDFDCYQH IYVLSVYRSICIPFLNMFFRVITFGIGIALGSIYKSYYGDEILNIDQYKPFLERYDRE RKEEEEIDKAKTKSNEIVQDTTNAVSPGSTETDFSEYSSTALPDSIKTASPGPISTTL PDIIKINLPDYIEIDSPDSIKTASMSSLSLRLADLIALENNFKDTEVDNGFSKVAHLR DRLEQDAGVEYGKKLSNNSLEEKSNAAYLAAFLHTMGPRRAKKIKHCILNGSITLGSN REPRCKNNAVYTSTAYLTVSGPGAACKFQYKFDLNDAEFMTDDMINSLETAHNIDDTP YIEIFITIVQLFLRRLNA PHYBLDRAFT_145640 MVGWLCGANVQLGHFYSYVTTIKVGTVTLESNSNNVVLSTKNEY LVSDFSSIGATNELALKLNLAGIGGGIFSALPRFLGGAGLVRLYDAITGKVQVSPIQI SFNDTPSFAKYKTQTLTIHNTGKIRTTFKAINVPSVAISSYRCLKYGYRTIGPVDFVN TKTKKAIGNVVTDLT PHYBLDRAFT_65841 MRFSELPFEILTQIADHLAATDYLSCALVCKGWRYSFQKALWRD IQIYTYHGMQKLIDSINSSKDVPTVHGSWIHSLRTNTCDNNPDITEMQFSELFRHLPN LKSLDLRDRSYKDIYTPITRSYEIWKSLEVLKTQSKGTKEIQSAKNLLELINTCSMLQ ELEILKEGSGYRTEFCIDDFDSIHQNLQNLSSISAGIYLSSEFSTALDAIPNTTPAFT MTSLDINSKIYKRLRRMGADNRSNWNPLWLYYFGYKYPNLRSLKLHVTDTRHKTIYSD QRKALVSLFQSNPNAFQHVESFELTNDKYFELFDFVLWELFYVLKVPLKHLSLNARSR GFPDNSCPMSLDRILQSFSETLKSLSLSGFILESVGRAKGLELSSYYPLLTNLYINSR VGHLDLDDLLDKLVALKELKLCCATLLNNPKTTADKSKHQHQQHHELEFLTLDNCSVP AEVFTPLSFRCRSLKHMTLHDMWVTGSICEKTGCLLLDMPHTFLKTLNVCYVQFGERY TSISEDEVINMMLLSQLNDAPLTDKNTDREQKEMNSKHPTVASHNIDWLFTFSSSEEY EEYITVTTKLSKERANIVFEYYQNFQSRKTDPILKDNIPYNRRNPETAWEYELYKGYG ELRVGNIESSPVICVEKYVEY PHYBLDRAFT_65842 MTIKKVIKGDLALNFYFILLACPASLSVRFPARNIIADDTLKSN ENDSELTCYRKVAPLLDILLKDLNLNLLNGETTSKASKSIAKDHENIYGNNIPLNRGF GRRIDLLLMNKAILSRLLELPLSENDSKNVYTLGMDWVGPRGYMFAVKKINDIYIAKH TNNLSIPEYLHQLPSFIATLKSLYIWKNHHTKLQDTILKGVIAKGDDDFF PHYBLDRAFT_145645 MELSELPFEILLQIADSLSTRDRLSCALTCMGWRYLFQSALWTS IRTNDFCNIKILIDIIKGSKNTFVSYGLWVYSLRIGHYYVESRASETQFSELVKCLPN LKHLDLDERSHIYFDTDTARSNKKLNSLKSLKIGYTTNKYIQPTKNVLKTINVCSMLQ RLEIHRFRRGFRMDFSVDDFDNMHQKLQNLSSFGAEIYFNPDFPATLDKIPSTAPAFA ITSVDINSKLYDSVIGQETTNDNNWNPLWLYYFGYKYPNLRSLKLHATDMRENIKYSN KRQTMISIFQSNPNAFRHLEAFSLTTDCFFEFTDLILWELFCASKVSLKHLAIDIAYG SYNYYSYPIDLNRIFQTFSETLESFSFTGWLKQQERNLCQHGLQSLTLQRCSVPAEIF NYLSFKCKSLDHMTLNTISTLEIGHLQYNISNQTINTTTCSVLTLLSQLYDAPSLNEK NKKQKTGMDSKYPIVARHNLKWFYKYWPLECYVDYNMGALKLSKEGTDTALEYYQKFH LNKASKVLKDNRFYYGHVPLVKRKCELNRGYAELRFGKIETPPVICPTKYYFSRE PHYBLDRAFT_65845 MVPSQYSGLSNRGIWRRCFKKSYGLVARLLPTQKNLMSEKVFTS PHPLYVSFELVIILKAIDLKWIYLPQNENLICQQLSQSIHLYNQLALYMVLVEQDCVY KKEPRSPYIDLMLDPCMVRL PHYBLDRAFT_145646 MGIKLSPAGRRLTTVIVAAPIMVATTWILYKRLVLGEERRVSDG RAIRPMGVRERDAKDQLN PHYBLDRAFT_168596 MQILWRSARLPFGISLKRQVLVPHIGHLYSSVLADTITRYYALK GAQTNLCTGTDEHGLKIQQAAEKNKTDPLAFCDRVSESFKSLCDEANIDYTTFIRTTE KRHAEAVKELWGVLLKNGYIYKGKHEGWYAVSDEAFYASNQVHEVEDSKTGEKTMVAI ESGQRVEWTTEENYKFKLSEFQDKLLTWIDENPTAIVPNNRKNEVVSWIKAGLADLSV SRLRSRLDWGIQVPNDPEHTIYVWLDALTNYLTATGYPWPKDSKTKDFWPADVHVVGK DIVRFHAIYWPAFLMAANLPLPKQILAHAHWTMGKQKMSKSKGNVADPFEAMKIYGVD SVRYYLMRDGGLADDGDYSEDMIKTRYKKDLAGQLGNLLSRSTGKILMPLGVIPSQPS GEIDPKDSLLHTELESLSATFEKAFDEREFNKGFNAVFDALTEANRHFTQNAPWELVK DEANKARLDATLFYATEACRITGILLQPVMPTKMDSLLTRLGVPKNERTLAHAKISAT PKLRTLNELPDVLFAKLK PHYBLDRAFT_168597 MEKSVFSFHTLPSKVREMTDRQVLVYIRRIYDLVTKVKKDHIKH IYLNFETIERDKDICIKDLLNFQKKIKFKQGRIIHIRITLEIGHLQYNISNQTINTTT CSVLTLLSQLYDAPSLNEKNKKQKTGMDSKYPIVARHNLKWFYKYWPLECYVDYNMGA LKLSKEGTDTALEYYQKFHLNKASKVLKDNRFYYGHVPLVKRKCELNRGYAELRFGKI ETSPVICPTKY PHYBLDRAFT_145649 MELSELPFEILLQIADSLSTRDRLSCALTCMGWRYLFQSALWTS IRTNDFCNIKILIDIIKGSKNTFVSYGLWVYSLRIGHYYVESRASETQFSELFKCLPN LKHLDLDERSHIYFDTDTARSNKKLNSLKSLKIGYTTNKYIQPTKNVLKTINVCSMLQ RLEIHRFRRGFRMDFSVDDFDNMHQKLQNLSSFGAEIYFNPDFPATLDKIPSTAPAFA ITSVDINSKLYDSVIGQETTNDNNWNPLWLYYFGYKYPNLRSLKLHATDMRENIKYSN KRQTMISIFQSNPNAFRHLEAFSLTTDCFFEFTDLILWELFCASKVSLKHLAIDIAYG SYNYYSYPIDLNRIFQTFSETLESFSFTGWLKQQERNLCQHGLQSLTLQRCSVPAEIF NYLSFKCKSLDHMTLNTISTLEIGHLQYNISNQTINTTTCSVLTLLSQLYDAPSLNEK NKKQKTGMDSKYPIVARHNLKWFYKYWPLECYVDYNMGALKLSKEGTDTALEYYQKFH LNKASKVLKDNRFYYGHVPLVKRKCELNRGYAELRFGKIETPPVICPTKYYFSRE PHYBLDRAFT_168599 MGISKLPYEILAQIGDNLSTTDRHSCTLTCKRWRYPFQNALWRN MWIFTYEGMQRLTNNIKNSQNIPATYPFLVHSLHIRLRRYWPEISDEKFSTLFRCFPN LKRLDIEDIVYTDIYTEITRSDNIWKSLKSLRIQYRQTGETDQAQILLELIATCSLLH ELEILKKGPSCRIEFDVYDLDRIHQNLQSLSSIKAKIYLSPDLSAALDTIPDTTPAFA VTYLDINSKKFENKSDYLNGNWNEWNPLWLYYFGYKYPNLRSLKLNSTGISGNLIDAD ERQRIISLLHSNPNAFQHLETFDFTNDKYFELSDFVLWELFYALKVPLKHLTLDATQD GKVDDSYPIDVNTILQSFSETLKSLSVTGFTYNYNDQDTAIELSYYYPLLTDICISGS NVSLNLDNILDKCVALIQLKFGGGKLFINPNTTTKESEQKQQHHGLMVLTLLKFSAAA EVFKNISFRCRSLRHMTLNGLCATGSICEETGRLLFDMSHTFLKTLNISQVQYCTSYE EMNASNAGGLTLLSQLNDSQLSDTKNGREKENMDSECPVVAIHNIDWIYTYWNYGYNY AHILDTRKLSKEEADIALEYYQNFQASKISETLEDFDFYDKKIKYMDDKLELDKGYSE LRFGNIETVLISTSNKIITTLSWSGCLNFLGYSNICSCTREQGCLRLVVIHFYFP PHYBLDRAFT_168600 MIQIIMGFSTIPAEILLKIADIVLPEDRRSCSLTCKGWRYMFQE ILWKNIYIHDCDSLQNLIDMVKVSQSTSIFRGPWVYSLHINYYCSAPEILAIQFSELF RYLPNLKCLHLNGEIYKYIYTDTTRSDNVWNSLEILIVKYSAYTERQSTKELPEFINT CNMLQQLQIIKYGDGFCLEFGVEDFNNMHQNLQSLSFIDAEMYLSSDFLTTLNTIPYT TPALSVTSLDINSKKYENHDGEFSRNWNEWNPLWLYYFGYKYPNLRYLKLEATDMCSD AISSDERQTMISLFRSNPNAFQHLETFDLTTDRYFESSDLILWELLCALRVPLKHLSL DATNNYAVDDSNPVDFSRIIQSFSETLETLSLTGFLYREVDQNSVMEIPSYCPFLTNL CISGSDVLLYIDSILDRCVALKQLEICGGRLLIKSRTTTEETDQHQHSLRSLTLEKCS IAPEVLTCISFRCRNLKRMDLRSLWIGESVCEKTGCLLIDMSHTFLKTLKIGQVQFHG SDRIHSYGDWASLVLVSELYDNPLSNERDTIKRTEIDSNYPRTECNNITWLYTYNDME YTDCFQFADIYIQFRACLLLFVLLQKKDIVLQKPN PHYBLDRAFT_168602 MHKFILLLLLLISFEMTIALPNLPFLEARGVDLATTPACNGCLD RCKKSSDPYCSADCCWVCIPGRGGYQTDYIILVRRAPYSHYFVFFQDFIKTADKSASI LTVLFNRQKTNPSSIHVRTFVISQKIIYYKPQNINTKRTVLKSHNTTES PHYBLDRAFT_168603 MSHLPGVLFFWKDSERPIDMILLQSDQSESFGKEEHLCYWQIRL TGIAEDIILSFSLTLRFSSNQYSFTITEILIWLKLKKFLRGRIEDLRLGTKICENLCR FCLFRKDFVSKFDKVPTLNYYCYLYYFGSEKLYDWGQSQ PHYBLDRAFT_133744 MSYVAKNSTEKAGLEEQAQPKIHRIRITLTSRNVKNLEKVSADL IQRAKDKQLKVKGPVRLPTKVLRITTRKSPCGNGSETFDRFEMRIHKRLIDLHSPSEI VKQITSISIEPGVEVEVTIA PHYBLDRAFT_65852 MIHLLWSLPGFIHDNRSFYVSSLSFKEKENQSLQTPGFLDSFCS SKIHDSGFGLLFYVEHPKAALKSLTDTSTLNKSMKIIWKKSCTASTKILIGPESSGHN SLIHCKDVLDPCLPTIPRKSSLLPKHICTFPVAVKSSSTTGSVSTTSAMILSRRSNNA SEDWCFLHYVSEVNGNQRNQCHTPAAIQKRTPWSMLHVHVRETRANPDELRMLAAELS MIRQEKLTRPLKSRRYRDERGDDFVWGRKSSLRNVV PHYBLDRAFT_145656 MSSYDAQSSSSMQPDSPDDASPPTSKYSSTPVIELVDVHGRITL DNVYRNGLHSLRQLKIRNLSECPVLVKMRSNLRNQIAFQLKNENIADFSESDLQGIAT NTVDWSRAKLSDACHFNQLFNYVKHIDQLELGPFSSHTFILAFLPEANPSHTGAREEE ADSSGHDTGYGHEAAEDEDSYEQFNVTGSLFFFGYRLPDYNSTDITARIDTQQDNLIT TAMADYQLSIKFRASVCQSVLWTDVAETGINFEDCMLGETYYKDIVIQNKSEIELYWL LNTVDLSNLNQEGWLYFSDADTNQPLVNCPIQSYSNKRIRLAFMPKEVGEFNYDLQLE NANDSRNVVQTKIHATVRSVMHKESLTVSSGTLLDFGDCISGQWAVQQIVLNNVSESL VEVRFLPEGAEIMFDIKSTLDPSEDNQNNLASSATETSDMKMKRLGRNYDRDSSTRSI YTTPTATVASASEISGPNSEVSSRSSSPTPSMQGMYANDREGSSSPVSRDLAPNSRSH TPPMRSSTIDTVTSTGDESDVDMGLQLMGSHNMFTNTNYDLPAPALSSAESYTRIEDL LLKPGHERVIQVSYRPQKDSSINDFNAGQLIRRNFRIALEYGTYRSSEPKERKAIQCK ARTCTSFVEVIPKEINFGDTDVGTLKSLPINIFNRSDIMARVELQFTSKVLNCLRGEI TIPPRNYVELKLDLYPRKVNPEYRKQITLVNYLNSDNDQIIEVQSTNIDKNRVTFHSL FYRILTATGANFLDFGSIALNTPSIRTFTIENIRDKLLTLEISSSMPDDIMVYTKRKR IGNSLVKKPITKPLINTVLKSNTKQQHAVKPGASAVVGTRHTADTKKDTLLMRRVRPS HQIRADHALAATAYLDLATSPLLHTLHARRKWVVHSHVEKAKISQETHGMRHKAYRIS RISSESEGGTNKPLQGGYTGQNTTDTANLKNKPSPIKHVDSFETIHEKTKKPMGITAA RYKSRKNLDWSDIAGKSRVPFEDLISVLEHGSKATPPLFPKQSAEEQFVRHQLAWRRE LDRLIEKGDLVPAMLVQVEPQGEEEVVIVFTPKGNTKPHVHTAPKKQDGRIFLRLIDF DRNMEQTEFENLMDLDNSLIPVREVIVRAQLCRSVMDLGQKNINFGIVERNERHAKSI VLHNRSETPLLYAIRKSGSIASGDIELGVGRYGVVRAFGKREIEFVFEPTLPGTFLER LVVENICDRTNNQVLSLKAMVRKPSTFFIKSMELAFGPCLADQGCQRIETIVLTNTNK QSRLFEVRVDPNEVIFGPYFGEFDFVVEDDDANTISKEAEEEIENLEQKLKIARRKKQ VDKVKKYIKKLAKLKNIDVLDTDETASEDTTDVTDFPEPKSAIEKPETEEASTSVATK ESAIIFKKTSESVVFPLDSHATKTISVRFKAVTRPFQPEYTLLEEEETAKLPIRGRIL AHEYKNTDVCKSIVYTATVCADQASYTDALAADTMSNTTTVPTTAQEDSSTAFNEDKH GVTTNNSTKDTLSRDTSKPETLILERNFFDGGKIEVDQRSTFYVKVSNTSDEPLEYKL VIGNKEKKFFKTETPTPLAPHETRKVMFEILPTTVGKRLYKFSLRNRQTGSIQYFTLQ CLVHHRRYLSFPSLLEDTQDVLDLGYSYVDPGSKYSQVTPLLVKNISDQDLYITCQSN LSHQVLIFMDETGERGLVEQMPFKRDSMTTIWVAVQPNLLTGYLGSTANECRELVGGI KFSIFTQDNNAPEENDESELLLMLNQTVKFTSIIGQSHLEISDRIINLGYSDLLNEEF YGAFTIKNKSGQLPLDYEVECASGNVVLDRRGGTLMGWKGSKTRPSDTGYLDEKQRRT SYADDTTIGSLAQITFRIYTHCYGLLNEKLIVTNKHNSQEVFEIDIRLFVDNKKLDAW SPNFGKLLQHTSVDELVDHSDHREAHPLPVVKWESIYICPSPHRSMEHGVSVPLLQVM DLPVEEGKRFYMREIEVANASGYPMQLVVLSDVDITAGWVADEDKIREVYAPAQGVFL QRSGQLTLQPGQRMRVRLQCPSAERLDEDGRSLALQGRSGSLKGMLLIYDLRQQLEML AMEIEALFCVSLAELEVDRIDLGKIGHTTSWKPTKFKFTIRNVADVPVIYDLEAPEFI DFIPLDKNGMSLSEKKLYIPSRKSQTIEGTVDPRRMPDQTSGQNRFDVRIANQRNNSN TMVLRLKTFMTVFELEFERLTHGELVLPTLHHPFSVQNVPCDNWFTIRNKTDDDIRFE IGADMSPDLESYVKVDVVSRYTNSPLKGSIALSPQGTIEVRVRASPNETSRLPRDRPD LTDPAGIILAKLWVTTRPTQEDDEMDEDSKVREVIPLRAVLVETPTFSLSERRLDFKL DTYYREKEGDGERQGSKDELKIVEVKEKSKDDPHLPPLPHAEYQCVPSSCPLTLVNHA SKTPLRFKLTLEGPAEFFANDIVKITPLAEDGTGIVEPGSTLTLSVSIVNPNSIPGQF KLHVDDLDALSESRQTASIFVTEIVWDL PHYBLDRAFT_21238 MGHGPPGHQNFGPPVGSLNPSVPVVAQLQASVPGIPVNKQPLNK VPSRTVYVSNLNEDVKIDVLKNTLRTLFKQYGEVLDVVAHGNIRMRGQAFVAFPDEES ATKSIKELQHFTLYGKPLVVQYARNRSDVHAKADGDYPDHYKRRLERKVYLVFIEEVR NLPLPGSHKPTFAPAGSIPPPSNNTFSSGQHIPDEYLPPNSILFLQNLPEDIEHNQLV ELFQRFPGFIEVRMIPTKKGIAFVEYTNEMQASIVKTELANHTFDPEHVMKVTFARK PHYBLDRAFT_155422 MIFNIDDLVVHFPYDKIYPEQYQYMCDLKKSLDAQGHCLLEMPS GTGKTVSLLSLIVAYQMQYPENHRKLVYCSRTVPEIEKALAELKHLMDYREKEGLVDD FFGLGLTSRKNLCLHPVVSKEKKGKAVDAKCRNMTASWVRAKAGKLGKDQGPSNAMEV DTDVELCSFYEQLESTKVPKPIPNGVYTLEDLKEYCAKMNFCPYYLARRAIPFANVVI YSYHYMLDPKVAELVSRELSKDCVVVFDEAHNIDNVCIESLSLDLTRPMLDAGARSIG ILSDRIDEIKRTDAQKLKNEYSKLVEGLINASAARDEDTFMANPILPDDLLKEAIPGN IRRAEHFVAFLRRFIEYLKTRLRVMHVIAETPVSFLQHLKDVTYIERKPLQFCAERLT SLVRTLELTDLDHFSSLQKIAAFATLVATYDKGFLLILEPFETETATVPNPVLHFTCL DASIAIKPVFDRFSTVVITSGTLSPLDMYPKMLNFEAVVQESYTMTLSRNCFLPMVIT RGSDQVAVSSKFEVRNDPAVVRNFGQIMVEFSKIVPDGIVCFFPSYLYMEQIVSMWND MGILNEAWKHKLIFVETPDSAETSLALANYRKACDNGRGAILLSVARGKVSEGIDFDH HYGRAVLMFGIPYQYTESRILKARLEYLRDNHHIRENDFLTFDAMRHAAQCVGRVLRG KTDYGLMVFADKRFARADKRAKLPKWINQYVTDASTNLSTDMALVIAKKFLRTMAQPF ETTQSGVSLWTLKDIEARQKKIASNPN PHYBLDRAFT_168609 MMPANRITPSEYYDQENGGKVPIFRPTMEQFKDFRKFILDIERY GREAGMVKVIPPKEWKDEFDASNQSLDHLKVEQPPIQSFIGTNEICKQELLSNEKDYT LGEWLSLCQEKEHRPPNILPNEDREKNPSNQFPSTFGSNIVPKGHYNTEYYREMEINY WHRLKDTTPYCGKSPSKSLFNAHAKGWNLNDLDGILRDKKVSIPPLTEPHLAFGMWKS TFAWHLEHLNLYSINYLHIGASKQWYAIPPGRFMSFEIIMGKTFPEMKDKCKSFLRHR AAVMSPRRLADHSIPVNKCVQHEGEFLITFPYSYHYGYNLDFNCSESVNFAINPWINV GCLPMLCGCTKEKYRVHYDTIKSFAIRYPVPPEMRSMCKPGTDELDPDSRDYRRYARY SLHAGIASHLCSASYQYCVCPEHMRFALEGLRTLTRSRPDNPLIVPRNSGSNSPHCSH CSSHCSSRRSSHRSSRRSSRRSSHGICNRCPRPSSGQTSGQTSHPALQRPFDQPLPRV YDQSSLRAYPMIFYQSSDQVFSQIPRQPYRQYIPQTYAQALEQIDGQAYNQPFHQVPN GIPRGVYNERYRQFAPPSPGRRSV PHYBLDRAFT_65858 MSCSATNNNTINGSGCTTKNPSTNGLLPFSLTSSSLSYLSITPS PPPLGNIYSTNHPPTIEECREWITEHCHQLVEALSPTTQPLARSQQEMTTLLHSVRIL LDAMDQTNPVPKQELQKIQSRFDTLKTTTTEKLDSETMREMIMAIQDICQTPYQPTSF VTIRGDTLLSFTLEPPHIIANPLTAHDYTTLWPDQIDPKATWFRQHFVGKAYITLIGP MSSISGDQSLSLLQQQQPQQQQQQRKKSLNNSSNVPDETYGIISIVREMAKEFAGLGN KSASILGAQFRIILRGGKTFTTSQHIVHEKLAKDTQTHLESIGAGHTLDSINTEQKKS RSLRNLSSSPNTNSNTSSSSSSSSSSSSSSGSFGNLNSTIQANRLMRAAILSVCPDLD LRLFKELSAESTSSMKLEDDLLRFDEIGIPKRYKFGVLNVCDGQTTEEEWFSNTGLSE PLEEFLDLLGTRVALLEYDGYTAGLDIKTGESGDTSYVSRWREHDIMFHVAPLMPLRK NDKQQVHRKRYIGNDVACVVFVEGNQAFDPTSIRSQFLHIFVLVHPEIAFGKPCWRVQ VIRKHNVVEFGPMLPSPPLFFDKEELAGFITLKLINGENAALKSEKFSVPNTKARTGI LKTLVDSGISTPTHVPPQSSNNNNNNNNFHHHLSRTPKDDSVFLAKYVQRNSHEAYER PKPPHIERSSTMVPSDSSNLWKLQMVERTFSGRVSPTPPQPSPSRSSLFQELKSLGKR RNHNNNTNNNNIINNNNNNTGSSKETTTTTTTTTTESSPVTKKEGQPKSVPRHSKSSL TEGVDKKVNSKETASHELRPRQASRRTTATATTTIASQSTPPKSVLQASQPNIISTIL SRTPPNHNQSAHVKSHHEVSSVANVIEHPPGRQSRPISLTESLASTPLYTASRKLFNM FFFVYVKNI PHYBLDRAFT_21117 MTSDFLLPDNTSDSPQYTEHAGSFVHSHGTKVFIKSRLDGVLDA VAQARFNEKRWVWIPDPEMGYARAHVLNELSKQDTEVELESGQVKIVQTKDVFAMNPP QFDMAEDMAELTQLNEPCVVHNLTVRFRANQIYSGLFLVAVNPYRQLPIYSDECMQSY KGRRRGELSPHIYAVADQAYHDMLHDKENQSILITGESGAGKTENTKVAIQYLTTMAS KRILNKNGTVLVNQLEQQILQANPILESFGNAQTIRNNNSSRFGKFIRIEFNMQAHIC GANIEWYLLEKSRVHSQSSKERNYHIFYQLLNADKAMKEELLLNDDHASQYGFLKDSQ PTIEDVDDAKEYENLINAMHIMGLKKDEIRDFLRMVAAVLHLGNIALTADYSGERADV RDFSALERTCHLLGIPAQEFKKSLLTPRIKAGRDWVNQAKSPAQVMASVDALAKTIYE RNFGGLVDRINRAIDCQKTTSKMGFIGVLDIAGFEIFEVNSFEQLCINYTNEKLQQFF NHNMFVLEQEEYKKERIEWSFIDFGLDLQPTIDLIEKTNPVGILSCLEEECVAPRGTD KRFLEKLNSTWVSDDPEGKYKSIRFKESFTVKHYAGQVEYSTAGWINKNKDPLNEDIT RLLARSTNRHVATLFEDYLTETEHQIPNESGLPTSSSTFSLMKLRKGGGSFRTVGQRH KQQLLSLMNTLYLTHPHFVRCILPNGRKHAGEIQALLVLDQLRCNGVLEGIRICRKGF PNRLVFADFRKRYEILCPRQASTNCFVDGRSACQTLLDSLALDSEKYRIGNTKVFFKA TVLAELEEIRDRKLSEYITRFQAGCRRYIARYHLTRVSRQAEAIKIMQRNARIYVTLR EWSWWKIYAKLKPLNVAYRVESQIKEKDQRIADLENSIAVHQQSYEDLQDQHSALVAN YKTYKELLANEQRLTAELEETKIGLSEKLRDSKGKIDQMLAEIKQIKDQVDERQQKID ELETKASSESELLAETQKVAEDHSLERDILAAEYEALEEKHRLLESQLEAVETKSQNA LMEVNQSFDSQIQERDTKIKQLKSDLEARQRNESLLQQTIETNKNQYQELISQKEVKK IYIYLERFLD PHYBLDRAFT_124978 MGAVCCNEQLIDLDGEVDLSHFVLLRSVGKGAFGKVRVVQHRGT KELFALKYINKAKCIQMKAVDNIISERRLLEQITSNVVVNLRYAFQDDKNMFMVLDLM LGGDLRFHLERFGTMPEERVRFYAAEISLGLNYLHSKNIVHRDIKPDNILLDEQGHAY LTDFNIAVQYTEGKQLTSIAGSMAYMAPEVLQKRGYYASVDWWSLGVMCYELLFGKRP FRGKSNDMLHRAILYEQLVILEQKDVSPEAVDFVKGLLTRDIDSRLGVKEKGFNALLA HPWFTGLDWEALKSKTAIPPFIPDSKRANFDPTHELEEILMEDYPLKVRRRNPKRTIS PEEQVMEEKFLTYDYTR PHYBLDRAFT_145663 MVEKMKTTRQIAQLLGRRTSNRQIGGSNPPSGIFSNFSQKPRNS SLAVVQIAGFRPPVSSKDKPGLQGPFRSPSLFSVVSHSPQYYSILKHCSEALSVIWEA HFQNIVKEVPIVNNQSFKLKSEILLITVAAAAENKLNIKPRVARHWVRKCDEDPKSIF EYSNKS PHYBLDRAFT_145664 MLVTELPLEITILIASYLKLKDRFQCCLVCKNWAWAFQKSLFEK IVLNNKVYADKLISPASQAIHRLRLYRHTTRKLVIGGNVLLDNQTLYVLQKIFPNLHS FFWNNSRHRLSSQKTDFYGWNLWSKTLTSLEVTLAAHNEDIYTKMLDTIRSKLCRLKR LYFSHKSSKKFEFTFDDFELLNDQLPELTHMTLKVEFKEMSFNELSKVKEVKPRPCLK TLQIHITKSTYEWMYYIAVKYPNVSTIGRLYFGRTLSPNLPTYKTPTRLAELPRPLQQ LERIHMVVYSHAVYIYHVFVKQLRGLNIQVKNIWIDVNCSLNFDNTSGIYVQLAAQPW ANTLEEISILYNTHYSGHLRSFYFFHYDDYYPRLVHLDIEAFGAIIIINHVLENRPSL KTIKVTCNLLSYEPDLSTPSIKHGLRHLALLSTDVYTNTLTYISTYCNDLKNMTLDRV KILESQNIINSPHCIDMSSTHFKRLRIKHIEFIKQNKGNISVFLFPSSEEMKEKTRYR RKIPTENVWACFQGLDSYRKGLSFEVQKKGEEAEKIEEYFRAFSYMPRRSDTLYEPLE LVHRLLLGSWASFLPDGYVIFKYSSVEDLVIGP PHYBLDRAFT_145665 MVEKMKTTRQIAQLLGRRTSNRQIGGSNPPSGIFSNFSQKPRNS SLAVVQIAGFRPPVSSKDKPGLQGPFRSPSLFSVVSHSPQYHSILKHCSEALSVIWEA HFQNIVKEVPIVNNQYLLNYKKSVRKGESTCQKSPYTGRYIIKSFKLKGEKLLIAVAA AATAAATEKKLNSKPIVARY PHYBLDRAFT_168616 MPSIKNINFFLIQLTIKEELASHSRLNFWSVYTNSSTFKRSVFF KSANTSVLIFHLFLLLDLVSFTRMQFLLILIRDTWWMMSTYYETNECPEVKKTLILGG SKLVLMVSNFVKSVTWLRTLSFILFLIFVNIGLCKPGYKNALLRKEYFPIIFFPLFSL SFTYSFIFDNSLREKDRAIASIMLASELPVEIMLCIAESLELRDKARCCLVCKGWLPG FQKSLFEIIVLNKIGINKLLDPTSPASNLLLEHGHNTRTLRIRKDSLLHNRKLYAFQK HFPNLECFRWELSRLIEPFVPNDIYGWNMWTESLTKLELHLSYHVNNDQDKIFNLVRL NLCRLKQLKLCVSGSDMHPFTFDDFELLNDQLPELMCMSITARFEEMNYDELSKVKEV KPRPCFKSLQIHIGKSTYEWIYYIAIKYPNISTVGLLYFGQALSLNLLAYQTLTRLAE LPRPLQQLERIDMTVHVLAVHIYQIFLNQLHGLNIPIKHVWLAVASRHCLDNASKDYA RLTAKPWANTLKELSITYYSSYSGSFHFFNHDDYYPCLVDLNITVYGAVIFINVVLAN RPSLKTIKVTCGLVSYGLGSSTPSIKHGLRDLSLLKTKISTKTLTYISIYCDNLKNMT LDRVKILESQNIINSPHCIDMSSTHFKKLRIKHIEFIKQTKSNISVFLFPSSEEKREK IKYRRQNPTENVWACFHDWESYDKGLIFDVQKKGEEAEKIEEYFRAFSYMSRRLDTMH QSLELVDRLSLGIWASFLPDGYVTLKYGSVEDLVIGP PHYBLDRAFT_133752 MTGSLGKLNVLMVGTGEYTTGFVNGNASASDKKVGVIALTMFDL RRREKVSSIGMVGVNGKKFPAVREHLSKNIGEAYKMDTQVTTYPEDDQIDPDSYKKAI DDLSPGDAITIFTPDPTHFPIALYAIQHGVHVLVTKPAVKLLAQHQELMKEAKKHNVI VMVEHHKRFDATYADARVKAEKLGEFNYFYSYMSQPKYQLDTFRAWAGKESDISYYLN SHHIDFHCWALNGRAVPYRVTASGSKGVATSAPFNLVDGTEDTISLLVNWRSIRHPGC EGIAVYTSSWTAPKGEVHSQQRFHYLAAKGEIQIDQAHRGYTSTTDEAGHSHVNPFFM NYAPDEEGHFGGQTSYGYLSIEKFVDSCRQFNANKVSLATLEDRSLPTLENTVLVTAI LEAGRRSLDEKRPVDITQKNGEWVLL PHYBLDRAFT_168618 MVHYQGLTAQIQKEAFSTQLIQLWKGLLACKEPVTFKRQKDILI LTKFVLYRATSTKFHKQIDIIYVSGQYEHCQRNLLINRVIDSRVEVGALKTLNIESLL RTHVHSFDKVQSLSHVIKSIYSGII PHYBLDRAFT_145668 MSSLVTSQIFSVFVKSHTLGKSTGNPSASSKEVSNDYLTFKLKE INVVLVEEDMIIAEAALIEILMIKEIFRSKEAAAKAETVVYEAALYEERCSAFAEAES ASRSKYVALAFERAAEMAEEAKTQQKIEVITEQAVHAGWRAEDCAREKLPHAEAPACR SRITCSHRKEIGRRSPGQCISGSNPPAAPIKTNHWVTYAGTVLPYWKLLVETFSGNFQ WKLSVETFSGNFQWKLSVETFSGNFQ PHYBLDRAFT_145669 MSSLVTSQIFSVFVKSHTLGQSTGNPSASSKEVSNDYLTFKLKE INVFLVEEYMIIAEAALIEILIIKEIFRPKEAAAKEEIVVYEAALYEERCSAFAEAES ASRSKYVALAFEQQKTAQEKNCRMQKLQLAEAALLAATAKKLAEEAQGNASVAAIPRL L PHYBLDRAFT_168622 MNNTDNNSISLLHTMYNEILSLKAGQEKAKLEMKAQIEELKLKM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKPVSIFCEITLKHIFK MISEDLDIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HTNIMKDSGIDFTRCLGNWASTAGVAHLWRDRHKKLQSHFFML PHYBLDRAFT_145673 MSSLVTSQIFSVFVKSHTLGQSTGNPSASSKEVSNDYLTFKLKE INVFLVEEYMIIAEAALIEILIIKEIFRPKEAAAKEEIVVYEAALYEERCSAFAEAES ASRSKYVALAFEQQKTAQEKNCRMQKLQLAEAALLAATAKKLAEEAQGNASVAAIPRL L PHYBLDRAFT_65872 MPRLSANLISSSNRTSNSHRYRYRNRNHITNRITNRNPNRGLVR RRRSSRRADAFVPLFPREVKRTVQDMYAADMSLGQEEREAPPRKKRAVNIVFTDCRSS LAGVRGLIETPSEVAERELAIKNAAAKALEAELAERVLAERELALEAAAADVFLVEEA AFVASRAEEIAEIAVELSEKAAQVAADNAELGVRLAVQAISRAEDAYVAARTRAAQSA AASDDAFHTALCAEQDTLAYIGASAPYSGSGAAYAIEMAQEAATRQKVAAITANAARV AFVATITVRERVSVAEAKASEAAQVAAAAKKMAEKADTKHAEVAEKAAIVAEIAAAAT AAIPKAKNQEPRAKSQEPRAESREKRIRIKGRGRGRDGFNK PHYBLDRAFT_168626 MVLYNNNKNIVELKDQGGLNLFLNFSLRRSNHHKPYEIEFFDCR FEYYSPQTSFDQKMDIKVVFASFVICHFGHVYKRTLALLKTVLSSLGVILSKEDRKIG RGLTNWSGLNSGSRFYRVWIVMYGLVVSRDQDGW PHYBLDRAFT_78206 MWCTAHLKRGRHLYHPRKGGWAWRLSFQRKSTTRAIRRTCTWFW LACSEYRSGKEYGHKFDWIKDTEWTDQTTLKTKEKEEEGNFDTMSRGLSVVKEYERNN MGEGVKYSLEKCCTENRRHITNSQAKAINLVFDNYHLARPAFKRVPFQ PHYBLDRAFT_78207 MGFSGLPFEILLKIADNLKKNELLACSLTCKGWRHPFLIVLWKD IHVFNPKGMKAFINSIKASKNVSSSHSLLAHTLRILLDSRAIRNFDMDISEIFKYMQN LKWLDLGDVDYKSIYTEITKSEKVWTSLERLTMRCPIASEIQPAKNVLEFIYACNMLQ RLQVFYQAQSYNTEFSVDDFDNMHQNLQNLSSFDLNIYLSPDFSTTMNKIRSTKPAFG MTSLYISSREYRKYVDESTYVCQNNWNPLWLCYFGYKYPNLRSITLDVADAGDIPITS DQKQAAISLFRSNPNAFRHLEEFKLATDTYFESSDFVLWDLLCALRLPLKHLKLNATR FGKVDPSYPMDVNRILQYVSETIETLSLRGFIYNRNYQNTTLELSYYCPLLTKLCIRG KNVSLNLDNILEKCVALKKLKFAGERLFVNTITTNEEPKQQHGLQKLMLYDCTIKAEV FHYISSRCRRLKRMTLRNLHIEGSICEETGRLLLDMPYTFLEALYIGKIKYGDEYRQS DLGSFGMTLLSQISKPLLSNGNNKNQEKEIDSKYPIVTSHDIKWLYTYVYAWVNDVPV TDTMEPSHKDVDIVLDYFKNFQINKANSPLNDHNLYYEEEPESCWKYELYKGYGEFRF GKVKGFTFILEVTEDEL PHYBLDRAFT_65876 MGVSELPLKILMKIADNLSILGKHFCSLTCKRWRHPFQKCLLRN IVIGPHEGAQVLVSLFKASGKNAPTLYGLNLWIHSLQIHHYFDVRKISDMHFSDLFGY LQNLKHLDLWILSSDDIYGEVTRTGAGWKSLESLKIQYSMPEKKWSARDMFECINTCS MLQKLKIHKHGEGYYMDFSLDDFEKMHQSLQELSSIEGDMYLNTDFSSTLDALRNMTP EFAMTSLDIRSKKYEMNDDATDVSDNHTNLDKGPKIRSLFRSNPNAFKHLETFDFTTD NYYEFSDLILWDLLCPLKVPLKHLALNALREGKVDDSNPIDINRILQSFSETLERLSV QGFAYSDNVKNQTLEISYYCPFLRYLFIGGSEVYLNVADLLDKCVGLKELKIRGGKLF INPTTPTEESNQHHGLEILKLQKCSATAEAFSYISFRCRGLKHMTLHTFWVPGSICEE TGCLLVDMPYTFLKTLNIGQGQYGISRNVSFGTSIGLKKKEMDLECPIMTSPNIDWLY TFDYYVYPGTYVLETRKLSREYADVILEYYQNFQSNSIDQITKDNSSYDVANPETGWE YDLYRGYGELRFGNIESISVISEENDDTF PHYBLDRAFT_65877 MGVSDLPFEILTQVAYNLPARDKRSCACTCKRWKYPFQMALWRN IKARDYSDIQKIINSIKASQSIYPLHSLWVHSLHMHHSYGESNLQDINLSDLFRNLPN LKRLELEVGNCKDIYTEIPRSDEIWKSLESLKIKYSAIISREPPQNVLEFINACTMLQ KLEIHKHGEGYRMEFNVDDFNSMHQNLRILSSIKAKLCLRANFPATLDTIPNTTPAFG MISLDIDSRISEKTFGYIDSFRSNWNPLWLYYFGYKYPNLRSLKLNATDAWEKSMDLD KRQRIISLFQSNTNAFKHLETLVFTTNEHFQFSDFILWELLWALKVPLKHLTVDTTYN GEIDGPYPMTIGRILQFVSETLKSLSIIGPIYSDNDKKPTLELSHYFPFLTNLCISGS NVSLNLDDVLGRCVTLKQLKICGGRLFINPSMTTEESKQQQQQQQHHHPQQQYGLQTL KLYDCTISAKVFNHLSFRCRSLKHMNLNTLCILGSVCEKTGCLLLDMSHTFLKTLYIG QIRYSRSYEEMKANDYINMTLLSQLNDAPLSDEESERKKNEMDLEYSTVDFGSMNWLH TYEFDSDTGAKDYVTVRLSAEEVDSVLEYFKNFQSSRISSTFDDSSSCDGQETQIYWN HDLHKGYGELRLGNIEAVQVICAQKDNSFRKYAV PHYBLDRAFT_168630 MSKYRTKQQTTDALKKSRKISEFISLSASRDELIKDILGVIERE VNVNLERIAAVKELEQTLKANKCIHKVGNVLSDLEPKEKTLGFFENLRAYRFKEEIRM CKSLLYKCYKNYEFRTNFVLLSFGVLKYKLRSLAKIHTRI PHYBLDRAFT_187044 MGFSELPFEILLKIADNLRKNELLACSLTCKGWRHPFLIVLWKD IHVFNPKRMKAFIKSTKASKNVSSSPSHFIHTLNIYLNFYELKSLDMDISVIFKYMDN LKCLYLGDIDYKSIYIESTKSEKVWKSLESLTIRCCGAKEIQPANNILEFICACNMLQ KLQVYEQGSGYHIEFSMDDFDKMHQSLQNLSSFDLQIYLCPDFSTTLNTIPSTTPAFG VTSLYISSREDRKYINSETDLCQNNWNPLWLCYFGYKYPNLRSIALDVADARDNPINS DQKQAAISLFRSNPNAFRHLEVFKFATDTYFESSDFILWDLLCALRLPLKHLKLDATR SGKVDPSYPMDVNRILQYVSETIEKLSLTGFIYNGDHQNTTLGLSYYCPVLTELCIKG ENVSLDLDKILEKCVALKKLKFAGERLFVDTNTISEDPKQQHGLQELMLYDCAVKAEV FHYISFKYRSLKKMLLKKVFIEGSICYATGCLLLDMPYTFLEYLYISEIQFGESYEDM DLELDIALTRVSQISEPQMFNERNKKEETEIDSIQPIVESYDLEWFYTHVYNLADGTS PAYSVEISKENVKIIHEYFKNFPLNSRNLSLYEDEMHLEEEPENWWKFELYKGYGEFR FGKIKHTFVWGGSEDEF PHYBLDRAFT_168632 MTLVMTTKVKFEISIVANSLLLSSVWHMLYVTPAPLSFFAAIRT HIRSFLRLGFGSPGWPLLCLPRKSGGLGLIDPDHQQRALQLRWILPILHSHSFSSTSF ILPYLKFIHPLNFRAPSASIALLFPCSSATCPTSLLPLHRACTSLLPASLPSNLQLPP TVVQHFPLTSIWMNVPPFVDSSLNLKTILVSEAFSLETDDRLVRKVRGTFSRARNRIG HFFQQIDSGRMVLCPWFISCLEHRPSTDTPSPDLSSLLSLVRLDNTSLGKASTGSLRR HLRPQCKFWSVSIPHHCRTVWWKMLWDRTPTRKRLNLFIPRRFPSSSCIFCQDATEDQ YHFFFGCSIKCQVWNVILSRFCPAWNLAEICLLLTRGSFPPRSSHQGLWILLSAVTAK AIWSAHWKFVFDDQPFLSGVVAQKASTVIEKHIGTLA PHYBLDRAFT_187045 MKSNKKSTLHGHKFILAAASPWFRDTFLSGIKESTENEVKIRGV DPNIFKLIFDFSYGKDIYIKDSTHSVNILKVADRLQFEDIKVYTFSCLRGQLDESNIF DIWQASDLYSCGETRISCEEFLKDCYADIFEPPGWPAASNDYALKAITVDGLKGRIDE RIFYKAALARRDISVQKMINLLKTKEKKCKKKVAKALPGTSTLEGAKNTKEKGRKKNE YKAETATATETETETETETETETEPEPDTDTETEIEAEIEAEAGSLEGLEYIRWEEYV KKKLDDIHKHFEVMISTIRFHQMDIKYLADTVEKDDDVMQIPGIKDIIFEAYRHKAFL GKRQVSKKYQSRSIKSN PHYBLDRAFT_181482 MGFSELPFEILLKIADNLWNNELLACALTCKGWRHPFLMVLWKK IRIFNLKEMKAFIKSIKASKNVSSSPSHLIHTLELRINSCDLKSFDMDISEIFKYTQN LKHLYLGDIDYKSIYTESTKSEKVWKFLESLTMRCCGAKEIQPANNILEFICACNMLQ KLQVYEQGSGYHIEFSMDDFDKMHQSLQNLSSFDLQIYLCPDFSTTLNTIPNTTPAFG VTSLYIGSRENRKYVNSETSICQNNWNPLWLCYFEYKYPNLRSLTLDVAGSRDNPINS DQRQTIISLFRSNPNAFRHLEEFGLVTDTYFESSDFVLWDLLCTLKLPLKHLKLNATR SGKVDPSHPMDTNKILQYVSETIETLSLTGFIYNRDHQNMTLELSYYCPFLTKLCIRG KNVSLNLDNILEKCVALKKLKFAGERLFVNTITTNEEPKKQHGLQKLMLYDCAIKAEL FHYISFRCRSLKDMTLRNMFIEGSICEETGRLLLDMQYTFLTTLYIGKIKYGESYEEM DSAYDIALTLVSQITKPQMFNERNKKEETEIDSNQPIVESYDLEWFYTHEYNWRDGTS TTDSMEISKENIKIIHEYFKNFPLNSRNLSSYEDELDLEEEPENWWKFELYRGYGEFR FGKVEHISILGASDDEF PHYBLDRAFT_78211 MKVSKLPFEILEQIAYNLSSKESLSCALTCKGWRYPFQKALWKN IQVYTYQGVQKFIQSIKASQGVSTSYHLAVDSLHIRPLCYKPDISDIDFSVLFKYLQN VKSLDLGNISYDYIYTNIKRSDKIWKSLESLKIQYGAVSETGPVKNFVEFINTCSMLQ ELEILQQGPGFLIEFSVDDFDNMHQNLQNLSSIKAGIYLAFDLSAKLSAIPNTAPAFT VTSLDINSKQYKSQTRNWNNWNPLWLYYFGYKYPNLRSLKLEATDTWDNVRYLDQRQS IISLFQSNPKAFQHLEVFDFTTDRYFASSDLVLWELLCALRVPLKHLALDARQSGVFD MSYAMNVDKILQSFSETLESLSLTGFIYTDNEQNISLELSYHCPFLTNLCISGSMVIL NLDNLLNKYESLKQLKFCGGQLVTTSNTTTEDSKQQQKQHGLQILTLGVCSIAAEIFN YLSFRCRGMKHMTLNILWVQGFMCGENGCILLDMAHTFLKTLHIGQVRYGASNREYFA EHDIGLTLLSQLNSASLSDKTNEREEKIDPKYPIVARHSIDWLYTYGTFGNIGEYGQK TIKLSKKEADTALEYYQNFQSNTISSTLKEGSSYDEDTPEIGWKYELYKGHAEFIFGN VESIPVVCTPSDGVWRYPFQKALWRNIRIYTYRRLQKIVDNIKASQNISTSYPLLVRS LRTYHISYKPDIPEMQFSDLFKCLSNLKYLDLGDKSHNDIYTDITRSYKIWKSLESLK VQYSANTEIPPEKSLLEFINECSMLQKLEIRKQRSGSRMESSVDDFDNLHQNLRNLSS LKAGIYLSPDFSATLDTIPNTAPAFANKNEFGSLNRNNWNSLWLYYFGYKYPNLRSLK LDATDIDSDLIDSGQRQKIISLFQFNPNAFQHLKTFSITTNKYFESSDLILWELLCAF RVPLKHLTLDATDDGRIDDTHTMNVNRILKFFSETLESLSVTGFSYGENSHDSTIEFF SYCPFLTNLCISGCDMLLNIDNLLDKCVSLKQLEFCGGEMFVNSEMVAEDSNQQPSQQ HGLETLTLDDCFVSAEVFNYLFCSCRSLKHMTLNTMMIDGSICEKTGCLLLDMSHTFL KTLEISQVQYNLLNQVENDGTPCSLTLLSQLDDTSLSNGRKASEKTEKDSNYPIAAYH NIMWLYTFEVRLYTDKYGLNTTNLSKSEIDIALEYYKDFQSNKINLNLYIDISHDGED LRIGWKYELNKGYGKWIFGKIESTPVICEQKDLEFQETSCIIPLF PHYBLDRAFT_168637 MGLFEECNHKWTIPEWSRLPLKSVLKSQRFNVGNHEWIVELYKG SSKHPEHLGIFFEPIGTHLKSHKENYIKTFKVSLSIIKPGIQPIDKEGGASDGWGYYE ATALQNLQNYLTDDQLTLYFGLNIEQKTKNSLCGPYLHPKQPLRFSDQDLKYFQDVTI HVFKDENDESNENENDFNKDSIASISRNKVKKAKSNGGTTSNEKITIHGHKFILATAS PWFRDIFFSGMKESTQNEVTICGIDPRLFKWIFDFSYGKDIYIKDSTHGINIIKVADR LQFKYIKEYAFSCLRTQINMSNILDIWEASDLYDCTETRTSCEIYMKSNYTDIFISPE WLSVNDNCALNAIKIDGLKGIVDETVFYKAVLARREAATQKVIDLQKLKEEESGDEVT KALPGTPTPSNVNLYEKIQKEIKDIKGAKGAKDTKGESKDNDYTTDTQTETETEKLER LENMRWERYIKEELEAIQKHFEVMICHIRFPQMDIEFIADTVEKEDAVMQIPGIKDIL FESYRHKAFLGKRELSKKYQSRSIESK PHYBLDRAFT_168639 MEIIKDCSLRLVRLFLVFYKDIRYAKDNILVIPKSKYKDDVNPT FYFQYISASKNYNSQKSTNWYWIKGTIYSSTQDSRNKSTFFVTYTYEILNKKEPVFGR GVCSSPNCGMYITNAKFENSYLGLNWRRKTRKKYAYYKADNIESI PHYBLDRAFT_145686 MSDSSDDFSSSPLSDEEEFSSDEENENQLYRPQNDLILEVSTPI AQNKVNTELKNLGVGLVDQTVLEQNIIAQADKALTEQDDILDQKRLSKTYKEIEAAQK QLAILKLKLKDKTLTSLEKDGLVPQFNKQAARLRAAKSDEEDILNRLKEREEERIRSA SGETVNKNLRRHDETQKEYLIRTGKITPFAKEADFENDIQSSSSGPSAPSLPGSEGHP MAFKHTVEPSFISNTDESAISDDEYGASEEENENEDEDEPYINEENIEDEDAYNDLKQ RRKNKKAEDSIRDLFEDDGNEAHYQKRLKRWLQARKTTIYRVNTPGAENLPLEEFEEC IKSQPDLDPSTEIYQPNPAYEDAHFDNRMRVPGELWNVLFDYQKTCIRWLWELHCQEV GGIIGDEMGLGKTVQIIAFLSGLYYSKLLGPGKASIIVCPATVMKQWIQEFHQWWSPA RVAILHSSGSGLRLSDPNNIDFEVNEDDLYYEEMEDDYEPDRRGQKSKYQKSKGRSNL LFTKTGKNAAAMVDRFIKSGGILITTYSGVQTYREILLKHKWGYVVLDEGHQIKNPDS ETTLACKQFKTPHRIILSGTPIQNNLKELWSLFDFIFPGRLGESGYANATNVQVQTAY KCACMLRDLINPYLLRRMKVDVAQDLPKKSEQVLFCKLTKPQRQSYVQFIHSDDMDSI LEHRRQVLYGIDIVRKICNHPDIIDISKAKSNPSYGDPEKSGKMVVVRALLNLWKTEK HRVLLFSQTRQMLDIIELMVKSLGYNYRRMDGTTPVPHRMALVHEFNTNEDIYVFLLT TKVGGLGLNLTGADRVILYDPDWNPSTDIQARERAWRLGQKKDASNLKSLFTLGAEDD TSTETGRLFKGTEIHNKDDQPGSSSKNKKKRSKNDDDNRQLKSLSGVSGVENYNGEKK DKKKRNHEASEEDGVLQSLFDMTGIQSALKHDQIMDSTSHDDLFVEREATLIAEKAAD ALKESRKRRRMADIGTPTWTGRSGTVGAPRHIIEVSSSRSLPSSPAPQPQRFGSGFKG ISTGKGSLGSSSSSVLAQLRGKKALQEGRPSTAPPEIQSDTKQEGLINRIRDYLSENN GQAQSNAIMDALDIDIDREQVAVFRKMLQAIARFEKDAQGRGVWTLKEEYY PHYBLDRAFT_124985 MVDNHSAVLEEIKKNIHSLHEKFAALEKKVVEGLPKQQLRMVIM GPPGAGKGTQAPAIKEKFCACHLATGDMLRAAVASKSPLGIEAKKVMDAGGLVSDEIV VGMIEENLDQNAECKNGFILDGFPRTVVQAQKLDDMLEKRKKPLNSVIELVIDDSLLV SRITGRLIHTASGRSYHREFNPPKVDMVDDITGEPLIQRSDDNAETLIKRLDAFHKQT GPVAEYYKKKGIWYGVDAAQSPKAVWASLNAIFDRTL PHYBLDRAFT_145688 MTTTASTSASAAKSTSNTSTPVIPLTNQLWTEKYKPTKISEIVG NKILVGRIVEWLENWQKYADARAKGIKERYDKNNMSFFRSILISGPPGIGKTTTAHVV AESCGYEVLEFNASDVRSRKVLEDNISEMVDNRTMTEFFVARPKDNEVPKKTKKVVLI MDEVDGMTSGDRGGAAVLASLIRKTKARYIPVICVCNDVRSQKVQPLINVCFDARFTK TPANQLRSRIMTIAFREKLKISPLAIDQLVDSTQNDIRQILNILSTYQVADEVMDPNK AKSIGEKSFKDSQLQLFDIPSSLMNSESWDKKSINEKSDIYFQDYMMAPLMIAENYIK YEPDRANLSNNMGNPRITEAMKLKLMASASESIADGDIIDATIHGRTQDWSLLPIHSI MSCVRPASFIKGEIKGGSYGRLNFTSWMGQNSKTEKNKRQLHGVKTHMRFKAATTRSE IRRHYIPALNKRIFSSWKNASLKAFGKYKETIETMDAYYLSRESLDSLSEMEINKNQP ISEISTAQKTALTRAYNKMSHPSPFKKEETSTKKMSAAAAATAAIIKDADELGDDGDE MIEEW PHYBLDRAFT_158900 MTSQPIVDIEENKYKDCLACKITGATAFSALGAYALKESSVMYK IPSKSGQAVGLGVIGTLFISAGLYRLIL PHYBLDRAFT_56018 MSGFFSQSTQASKGGYDSHGGDDGTAKKPTADQTLRAVTIKQIN TATILPDSKFKIDDAEVANITFVATIRKVTEQASSTNYTVEDGTGAIDVVFWGNQTDT EEILAKRREMTVNTYVRVFGRPHSFGKHTNCSAFTVRPIVDFNEIAYHFIECVHSHLT FTKSSKSSDIQVVSSGNANTSGRALNDQIISYIESCGESSDGVHIEDIINKLRGLHTA TSVRTALENLTNEGHCYTTSDDYHFKSTANY PHYBLDRAFT_155427 MSTRLFRGISCQKYISQSLVHSRLYTAPAHSLKRTIDEKRKESI QGGGKTKRDAQHNKGKLTARERLDLLLDKGTFREYDAFVEHQCTDFGLDAKKIAGDGV VTGHGTIHGRRVFVYSQDFTVFGGSLSRTNSLKILKVMDQAVVVGAPIIGLQDSGGAR IQEGVDSLAGYSDIFQKNVLASGVVPQLSLVMGPCAGGAVYSPALTDFIFMVRDTSHM FVTGPDVVKTVTNEKVDQEVLGGAITHTRKSGVAHNAYANDIEALQRMRDFFDYLPLS NRDQVPVRVCEDPLDREDAALDTIVPTHSNVAYDMQEVISRILDKHSFFELAPEYAGN IIVGLGRLGGKTVGVVANQPLVSSGALDIDASVKAARFIRFCDAFNVPLVTLVDVPGF MPGTAQEHGGIIRHGAKLLYAYCEATVPKLTVITRKAYGGAYIVMSSKHLRGDYNVAW PSAEIAVMGASGAVEVIFRNHHDKPKMEAEYQEKFATPLSAARRGYLDDIIQPRTTRA RLIEQLDLLKTKSIQNPWKKHGTIPL PHYBLDRAFT_65894 MERLHSLQQWGSGLLPQIEIYSTWIRGFSSSSASASASASFIAE IQSKIFYHEKPNQKIQIQIHKIGTDTQISVFFLCLYQSASLYVFMIMVNKRHSIVLLF CQA PHYBLDRAFT_14219 GEVKCTNCETTNTPLWRRNPKGDPLCNACGLFLKLHGTVRPLSL KTDVIKKRNRIS PHYBLDRAFT_145692 MGTYPTSDKAYFTERIKASISMINPEWLPIVNEGKHSSRFGYDK FTILQNVQNYYLTDDQLTISISVAIEQTTKNLLNGPRSYPQLLALTFHDQDIKNLQDV TIHVFKNEKDEPDEKKSNLNHGSTGSTNENENKKVEDTEGTISNEEATLHGHNFILAA ASPWFRDIFLSGMKESTENEVKIHGVDPKIFKLIFDFSYGNDIYIKDSTHGISIIKVA DRLQFKRIKVYAFSCLRAQIKNSNMFDIWQASDLYDCDETRKLCEKYMRSNYADIFVS PEWLATSDVYALKAIKIDGLKGIVDETVFYKAVLARREAATQKVIDLQKLKEEESGDE VTKALPGTPTPSNVNIYEKIQKEIKDIKGAKGAKDTKGESKDNDYTTDTQTETETEKL ERLENIRWERYIKEELEAIQKHFEVMICHIRFPQMDIEFIADTVEKEDAVMQIPGIKD ILFESYRHKAFLGKRELSKKYQSRSIESS PHYBLDRAFT_168647 MSPRITRNAPETNGRTLRFRISTPSVLNPKKHKVYKKYGFWSTT KELVLLKEYARIFPPLCKKGQHIRAWEKVAESVNAVTPNETKLAQDSCRRKVTDLLNL YKDNYRLPATSAISDPISYKAELDKAVYIIQQKRKLYERKKAHRGKLDFDIEGRMLEI DDLIKEMETAPPNRLKELQEDLQRLCQSDANQRVGVGRPENYSHASSVVQSNAQADRG AEDVNDRNARLDFMDSQKKFQEKVLELMKTQTGFLSHIDKLLWECLEELKKK PHYBLDRAFT_31403 MYLTKEQLEQFESDGFLVIPDFFTPQQAGQLKDKADRLLKEFSL EGHPMTKFSTGMNSGNKHVGDDYFLDSGDKIRFFFEEGAFDDQGKLKQTKERSINKIG HGLHGLDPTFKEFSLNPKISDISRQLGFKSPKILQSMLIFKQPYIGGPVPSHQDSSFL YTEPTSAVGFWFALEDCTQHNGCLWFIPGSHKNTPITRRFVRDPSGNGTIFIGDEELI VDESKYVCKEVKAGTLVVLHGSVVHKSAPNFSDKSRYIYTFHLIEGQAKYPADNWLQP SESMPFTSL PHYBLDRAFT_6777 VGAGSSKTYTLSSNWQGRLWGRKDCFENCPMAGAASPASLAEFN FKAHAGMDFYDVSFVDGFNLPMSISPINGNKQQSGDTAYSCGSPLCSAVPSCPSDLQV IEDGEVVGCQSACSKYGTDEYCCAGAFNTPQTCSTNIYSKAVKETCPQVYSFAYDDNT STYVCQASGYTITFC PHYBLDRAFT_145695 MEQRVQQFHDDTKSIPTPPTAKTLKQMNTLKKFLFKNQACRFSD KEKNKLFACIEAYFTCFDRSATSNKNISAFPLIEDALKVPCFTTKQKMQLLKWYEEIL SKQEDQPLEKPKPVENQEDNFDDFDWDAFEKEQD PHYBLDRAFT_155430 MIGKGSFGAVYHAYNYDAEEWIAVKVVNLPESGNPGSSSLFVDT KRQEVIDEIFRETEVLINVQHPNIVEYLGSDIDMKSGKVYMFLEYVPGGSISACLRNN GKFDEPLVRNFTRQILEALEYLHSKDILHRDIKGANILIDNNGVCKIADFGLSKTVAK YEAYNENAQNSYMRGTLNWMAPEMIKSGVYNGKVDIWSLGCTVVEMLTGKLPWSGVNN NNTVIFKLGSGESPPMPTGISDLAKSFIEKCLTIEPKDRPSAEELLSHPFISKDPSFD MMTEVVKLGLERKVSRRVVAVR PHYBLDRAFT_65902 MIFSADGRFYNKDLHDVFSSMVIQLPFGTHRIMFQYYDNTFRSE DAIIVLTHLSNFCTLLTNPACYQTNKKHDPSLTMSREMAIEFCQQFLDARLFENIANP SSRTFRDRAVWSITPKGLCILQDYCLSAQEDTCRLRKQFCYMNAARIVCVERSRNDNR LLLLGPSIGFLFELMMANVSPKGDLILPALVPALLNSPKPSVPFFKPASWHVSSSSSF SFSLNKPLLEGSEKEGPVRQLDNRQLISAYTKKYLESKHPSFPQTHVPDRTCFSTQRC CDWLVSYSTLSCSKEAEQVIANYLHRGWLFQGSKAETATATALATATASAKAKAAHSS PSLLSSSSGYVRVSNAVFLSLTEKGHSLATDWKADRSKHQLRSITYDPVPTALLRLPT SFSEPELTIAAHRRPTTTTTTTTSTSTTTSTTTTTNANETPVRLQQVSGGVPKLLQIL ETPSLQHAFGIFLKEHLCEENLEFWLALHALRSQSCGPKVKLSSACTLWITYVSPNSP RELNVAYDLRQDVDKEVLDMQAEINNTDNSICSEFLDLMFMWLDRVEKEVYCLLAHDA VPNFIKTPLYKNCLV PHYBLDRAFT_112639 MTTTNNTFSWESNLTPQEAPYYAKLFQMTSKSNPGIVTGSEAVQ FFATSGVPNQILSNIWEATDHENNGYLTPETFSIALKLIACAQNGKQGIPLSTSPVMT RASPAPASASTPAQAPAQAQTPVSTQALPPSEIITPTEREKYAAIFRAHHPNNGVLDA ETAKSIFLKSQLPAETLGQIWQLADVQQNGQLTQPEFAIAMHYIAKLMDSTITTLPAQ LPQSVYASATGTPSIADLAINQSPMVRSNTMSPPQRSQTIDSLGSVAFSTASVGQEPG VWDVTAQEKAQYDAFFDKIDTAHTGHVQGKETVEFFKNSQLPEQDLAVIWDLADAGQR GQLSRDEFAVAMHLIHSRLRGAPLPSSLPRSLVPPSPHIPANLGTYTHKGNIYIYIWL FVSIVRI PHYBLDRAFT_65904 MQTSIHQHLFFDIFELVATHLSVTDRRVCLSVSHQWHDIFMPAL YCNITTTGHRQFKSLLETMLACHYSTTGLDSVGYFVRSLAIQDTTISPEELRQLTIVC PLLRVIRIDRLVVPKTHPIDAVDLARHLGSWKYLRQITERFDTTLSNTVLSTVNQLTH LSICFPDHAGLHPIRTFLTVIPNARHLRSLSITHMTLRFDLLEWIHTSCPQLETLCLV DITLQASNLELSQITSRYTPSTILRTFRLQGCWNLYEHYEWIYYIAHKYPTVQFLELN ESYCEDWESNNMASRLNDPPETIKARYNAMVYLAQHCRHIIDLHLHHIALDGSFFEML ERTETRLRSLSLGDMTWRTKSMVKSLASTRQPRLRTLTLWDWCSSVEQLTGPYYRQIT SLTLSARCTGYDILGIDAVLTQCQGLRELTLEHGPLGLTSFCAPTNHPLTTLVLRQVS FKDEIFGYLSMVCRHLTHLDLISCRWSEAFSSPIRLDLQTHRLISLNISHPQVVWGKG DYEPVWHYRVVSSAFTNDEQAKHTKHTKHTKILRVDGNGNGNGNGNGNSSGLSSRGVG QNEPNKAMKIVEFEENCLEQLPFMNVYCHSIHNLCLNHLDYKLLKYTFLFSLFQFRVM DRVWEKFVTKTMTNAVFML PHYBLDRAFT_112851 MNRTTKYPSDERSYHEETRLFISNIPNYTYDYLVGIFPIATWIH RYNTRWFLKDLVAGITVGIVVVPQGMGYAKIACLPPQYGLYTAFVGLCVYCLFATSKD ISIGPTAVMSLLVGQTITRVVSENPTITGPDVAAALSLFTGMATLAIGLLRLGILVDL VPGPAIAGFMTASALTITIGQIPKLLGIPNINTQEPTFRLLVHVFQKCWDLRLDAVFG LVGLVWLYGLRSMCLGLAKKYPRYSETFFFISIMRNGLLVIFGTLGAFVINIGKTVSP ISILKDIPSGFNSIAAPHIDSTLVSSIAGTLPSAIVILVLEHIAIGKAFGRINDYSIN PNQEIIAIGFTNIWASFLGAYPSTGSFSRTAIKARSGVQTPFAGLFSGIVVLLSLYIL TPAFYYIPDAILSAIVIHAVVDLVSKPRYVKQLSAVSVWELVIFLSSVIVTFFTSVEN GIYASVFLSVMILLFRIARPRVWVLGGVPSLEPQTAVFGHSLSLAKEQAVYQSDALPP GILLCRIEESITYLNSSYVVDRVVDYCKEHTRRRDYNDESSAGRAWNDSDTGTSDLDL SLSFRPRLHALILDFGSVNHLDSSGLQALVDIQTTLNRYSGHTIEFHFIRLTHMPIRH TLLVAGFGKSDTELPSLPKTGDTSSSQPIISVQQNSANYGTIQPVGKTLLKDKPYPFF HDTAYEAIRASLSTLSPDSNNNNNNDINQSVVV PHYBLDRAFT_78216 MDDAAFLNWLLTNQVEENILAEHLAPPFNTPEHIATASTPTTTT TTDVSTAELFQYYLGDEIEQYCSNTLSSASPNTVIQQTPSPSPPQSQPIAHFQENKPP IIFEHTVVADTSNTHHPPSFPSQKSVSGSPKQGPFIGRMNFRSSSDDEAEVNKATNPK ERRQLRNKISARKFRDRRKDYIASLEKEIEQYKQETRSLKLDVNWANSTMAKLQKEND ELRMKLMLYEKGIMPIPAIESTNNSLLDSSIFLPLQPSNPLLGSFSSSSSSSSSSQSP PLIWQSDVDTMLSHALFPTWDMSRVIADKQAASGNQPSQAGSILQRYPLLGPALMSIV IDHSLNMNTEDILAMPQGTAEYPPSLKWTKASSPKEIESLWNMLQPPRSGADKEEDSK TAVDDENESLLSETETETGAETAPDNVAIKKEAIKSESKDKEDEDEDEIEEDLERANL FGYTPYYYCPIAWFQRNITWLICKRLHEKFQRCSAETRFRCNSNYKSCFRKQD PHYBLDRAFT_168658 MVERYKNVGVFNKCNYEWTVSEWSKIPLNTISESLFFNLKNNEC NVQSLGIFVLVGKKVTESDKEDSTEKIKVSLSIIKPDNLEVRTPNGRVYYGTTTLKKV QTYVTGDKLTFSIGVSLEG PHYBLDRAFT_65909 MAELPYEILSQIAVNLSTTDKCSCALTCKAWRYPFQEALWRNIQ IDTCQRAKELIEMIKTSQNVSTLHCLWVHSLRTPNFSYPLEVPEIHFFGLFKHLPNLK RLDLGSNDYRNVYTEITRSDAVWKSLESLKIHLRATKDKQSAKDLFEFINTCSMLQKL EIHRVEQGFHTEFSVVDFDKMHQNLQKLSSIDAEIYLNIDFSSILDTIPNTTPAFAVT TLDIYSIAYECHSIYYNRDWNKWNPLWLYYFGYKYPNLRSLKLNATQVRTDNMNSGQK LAMISLFQSNPNAFRHLETFNFTIDEYFVFGDFVLWELFYALKVPLKHLELDGTHCDE VDRSYPIDIDRILRSFSETLESLSLTGFIYSDNDENSILELSSYYPVLTNICINSDGS SLNLGNLLDRCVALKQLKFGAGYLSIDPETTTEESAQQKQEQHYQHGLQILILHECAV TTELLNYFSFRCRSLEYMTLDNVFIEGSICEKTGCMLLDMTHTSLKTLNIGLLQYGTS DEVTGPNHTIDLTLVSQLSDEKNETEKKEMDSKWPIIGINHIGWFHTYSIWNYCGRAY IYTMKLTEKGSNTPLEYYQNLRSNRISQTVKDASLCNENISKMERYCRLDYGYAELRF KKIKTALVKYEKEYFDCGNNVDLLINDLLDNCPAPKRVGFSGRTLRIKPSPTTEDLKL QQHGLQILSLYRCTTFAKVLNYISLRCERLRDMTLDNLCVLGFRCKNPGDLLIGMPYT FLNTLGIGIVEYSTSSKQTGRDYAVSLILLPQLNDPRLSDEKNERKKTEIDLRSPLLA IHHIYWLYTYEYEPVVWRAGQETIVLSEEKVDVILKYDQSFQSNTASLTFKDDSSYSG YERENNWTHGLYNGYGELRFGNIKAVPVIFLPEDIE PHYBLDRAFT_168660 MATFLRPSDFSKLRLFSATVNFTSGALTFDVLAPKETASLCPVR TFCALRDNPKAQFAHKRHFSSKSTNQAEQYRLLQFLLELEIRYPVGISDENGDEFSDV NEPTEN PHYBLDRAFT_145705 MSFSSFSLPTTPNSDEERHLFRQEIAELMYKRVIENEPKKSPGF TSPMFVIPKQNVGSSAGFSDISESLGRLPLYTGSRTITELPPVQAGGFDIPFQGISFR LICSPLVIYKDYLTNSRGSLQTGDQNPVAVKEPDLKIRCIQDLGHKYEEIGLSEEALN LLIEGSLTNTPTNRVYQRGQHLFITWALQHDVFPTEFFAQDLHQLFPPRVHLSPPLSQ KSAFLLAMATFLRPSDFSKLRLFSATVNFTSGALTFDKHAAASLCPVRTFCALRDNPK AQFAHKRHFSSKSTNQAEQYRLLQFLLELEIRYPVPVGISDENGDEFSDVNEPTEN PHYBLDRAFT_145706 MSALRVSLKHLILDATYSNGLDDSDPIDSSSIIQSFSETLERLS ATGFLYNNKNEGVPLELSSYYLFLTNLSICGSNVSHNLNNLLDRCAALEKLKFHGGTL FTNRYMTNEDSKQKKSVNNMSLDTVFIEGSICEKTGYLLVDMSHTFLKTLNISKLRYG TSNQGMYQDVVISLTLLPQLNDNSLSDENNERKEKEMYSECPMSLELTRKGANAALEY YQNFESIKIS PHYBLDRAFT_145707 MKASELPFEILTQIAHKVRAKDRRSCALTCKGWRYPFQKALWKN IYIHDCDSLENLIDMVKVSQSTSIFRGPWVYSLHVNYYCSAPEILAIQFSELFRYLPN LKRLHLNGEIYKYIYTDTTRSDNVWNSLEILIVNYSAYTERQSTKELPEFINTCNMLQ QLQIIKYGDGFRLEFGVEDFNNMHQNLQSLSFIDAEMYLSSDFLTTLNTIPYTTPALS VTSLDINSKKYENHDGEFSRNWNEWNPLWLYYFGYKYPNLRYLKLEATDMCSDAISSD ERQTMISLFRSNPNAFQHLETFDLTTDRYFESSDLILWELLCALRVPLKHLSLDATNN YAVDDSNPVDFSRIIQSFSETLETLSLTGFLYREVDQNSVMEIPSYCPFLTNLCISGS DVSLYIDDILDRCVALKQLEICGGRLLIKSRTTTEETDQHQHSLRSLTLEKCSIAPEV LTCISFRCRNLKRMDLRSLWIGESVCEKTGCLLIDMSHTFLKTLKIGQVQFHGSDRVH SYDDWASLVLVSELHDNPLSNERDTIKRTEIDSNYPRTECNNITWLYTYNDMEYTGEE TLSTTEISKDEANIAIEYYKNFQSNQIDAISIDDSSYNGDDLTDAWKYELYKGYAELR FGKIQYRPYICESDFVER PHYBLDRAFT_65913 MSRPASSFLQRFSEVYKTVRFPWKKHALVGKDLEGNEYWEMPNP LDLTMRIGGRVKRWVQMKDHDDMTLLEQSHLPVQWHAWLRHTRPTPPSIQELVMEERR KAIVQGRAKKLDDAWAERKLQIEREEAAEPAKMIERGSPPEDASVEDASSTKKTSRPT GQGDTFVPGEWQPNAPRRR PHYBLDRAFT_65914 MHCYGPKAPYIQYSDLFRYLPNLKRLDLESITYNDIQAAISASD KIWKSLESLNITYGDTDEIQPEKNVLQFINTCSSLKELEIRYRDRGYRIELTVNDFDK MHRNLQNLSSLKAEIYLSFDFPNKLNTVPNTIPALSVTSLDINSKMHDDGREEEEEEN EDDDYDDEIESENENVNEWNPWWLYYFGYKYPNLRSLKLEAKGIRGDTITSDATTDRE IDCSHPMDVSRILESFSGTLESLSLTGFTYSQRNQYTIQEMSSYCPFLTNLYISGSSF CLNLDNLLDKCVALKQLEFSGARLFLSQGTTAEEPEQQQQHGLRILTLNKCSVAAEVF NHVSLRCRSLEQITLYTLRIIGSICEKTGCLLFDMSHISLKTLNIGQVQYATSYEEIR DDKNIGLTLLSWLKDIPLSDKKNEKEKKDVDTKSLVNSSHGTGWFYTYWRIGYNTLPR VDTLILPKKEADIALEYYQDFQSSKARSTLSHDIFYNLDYPGLDWKHKLYKGYVEWRF GKIKDRPVVCEADDDPKTKSETYFFNFCRDLEVDLNTGILGKRLCEQLPTGRSELGQL PQKNRNKHYYSEIKIGLISSNDKEALYNQYQFLLGFGLTVELKGAAWRQSKGFLRKLV SIKKIRVNNKAFFFLEPNSLIYCHIVFA PHYBLDRAFT_181489 MGVPELPFEILINIADNLWKTDIMSCALIYNCSQLPKLIDSIKN SQNISTSHHLMVQSLCLSMHCHWSKIPNIQYSDLFRYLPNLKRLDLEGIKYDDIQADI TVSDKIWKSLEILNMTYGGSDEIQPERDLMVEDFDDIHQNLQNLSSITAEIYIYSEFP DALDTVPNTIPAYSVTSLDINSKIYDDGHEEGEEKDYESENININHWNSWWLYYFGYK YPNLRSLKLNVTDMLDDALYPEERKIVLSLFHSNQNAFRHLETFDLKTTRYFDFSDLI LWELLCKLRVPLKHLSLDTTYRGKIDYSYPMDVGRILESFSGTLKSLSLTGFTYNQKN EYTIQEMSSCCPFLTNLYISDSSFCLNLDDLLDKCSALEQMEFSGAKLFLSQSRIAEE SEQQQQQQHGLRILTLNKCSVAAGVFNYVSLRCRSLEQMTLYTLRIIGSICEKTGCLL FDMSHIFLKTLNIGQSLVEASHNTCWFYTYWDFGYNGLSRTDTAILTKKGVDIALEYY QNFQSSKARSTLSHDTFYNVNYPGSGWKYRLYKGYVEWRFELPYEVLTQIGDNLSTTD RLSCALTCKGWRYPFQDALWRNMPIYTYRRLKKIIETIIASQDVSTPCSLFVKSLRTC TSSYRSEFPDIEFSELFKYLPNLKRLDLGNRNYREIYTEITRSDKVWKTLESLKVKYS ADTEMLPEKTIFEFINACSMLQKLEIHERGLGFYMELSVDDFDNMHLNLQNLSSIKAE IYLSCDFLYTLDTIPNTTPAFAVTALDINSKQYENHDGEFSRNWNEWNPLWLYYFGYK YPNLRSLRLEATSICGDEIDLDQRQTIISLFQSNPNAFQHLKTFSFTCDSYFRIADFV LWELFCALKVPLKSLTLDATYNNEVDDSNPMDINRILESFSETLKSLSLTGFTYNEKD RYSILELSSYYPLLTNLCISSEELSLNLGNLFDRCVALKQLKFCGGDLVLNQKTTIEE SAQQKQEQYYQHGLQILILHKCSLAAEVFNYLSFRCQSLKHMTLDTVLVKGSLCEKTG CLLLDMSHTFLKTLKIGQLQYSVSNQEMYADYICLTLLSQLNDTPLSKGKSGKDKARI GSKYPTVEHHDIMWLFTYSYRTAFQKSALETKELLEDEVDIALEYYQDFQLNKIISTL MDKNSRVREQQLKYRTYELHKGYGKWNFGNIESGPFICGPDDI PHYBLDRAFT_133761 MIPIQNKKPFTLVLTLDEPHRKILLGMKKRGFGMNKYNGFGGKL EPTETVEEAAHRELWEESTISARNMKKVGLNLFSFENNPVAMEVHVYVVTEYDGTPTE TEEMRPEWFSYDDIPYDDMWTDDRQWIPIMLKNKKFIGEFYFAEDQKRIISQVLEEVD VVPAEFDLSQRKL PHYBLDRAFT_65920 MSIYVQSLLQNYSLSVDIKNPFSQAHLTMAFLSRHFHFTLALFQ RSTVLTTNKRLHAMSTEQTSQSLKRQHTTNNYGYSKTGVPMKIVLDNREQKICELLQN VSHYLAKERPDLPLIESRIAGGWVRDKLLGKECHDIDVAVNSMMGFEFAQYVNKYLEA NNCPTRSIAKIDSNPAKSKHLETATTKLFDLEIDFCNLRTEIYTEDSRIPAKTFGTPL EDAYRRDTTINSLFYNVNTSSVEDFTEKGLSDLTHGLIRTPLSPFETFRDDPLRVLRC IRFASRFDFDMVSELCEAAKHHDIREALLSKISRERIGSELEKMITGPSPLHSIQLIQ NLGLYNVVFSSPPTIVSGSPEDPKIAVKAVGAVEWLSKGNTHKEQLLSKSSDELRTLY LGASVLPYIKVKGEYKRKIIPAVQIVLRDSLKTTNLDITTISNIFEIIPTLREASNKN IDGGIKRSELGVIIRNLGHLWTTAIKLALIKELLDTFEEVPWENPSSIQDNIGEELCS KYNALISRAYEYGIETCYTWKHRMDGKQVAKLLGIKPGPGMSNLLFNEMVWQLDYPNG TDQECEDAIRKYWATVSKA PHYBLDRAFT_145713 MTTLNYGVCVYLFRFTYDEIERITTALGLDHVCHFSSIQVRKNL GFAMLVNRYRVERLLIDKIKWGLQFNANQFRPENLERFVSAIYEKGAKLPNVVGFIDG TVRERENPSLDYELKESYYNGWKHFPRLDYQSIVTPDGITSSVLGRKVGSGYSQYLHL MAKLEERIETYLKFSSDTDGSFALFGISSYLISQCLHRPFTYEHLSELDKIFNKSLER VQRAMKWEAGEMKK PHYBLDRAFT_65922 MSIPTNSTNVPPIIPIVSQQEGQIAVNASTFEKNIEELTGVDTS IPSKNSFVSRMTGSQYAPKVTGNEAFVLNKESKRISRQKAEKLLSKYKRESYCLLSAL YDANILKDRHCTEQMERIHSILKVTENISPINTTGNNISVCDIPLFQIIGYSVPDQNS KVYSNVEHYLSAFEKLMTIGCEHIDSAWSRWLPIAMTHEYDTWYLENLSNKNLTWAKA RAIIKKYYNTEEYQCIMATEALAMYMGPNETIQDYGQRFQRACREGNIGQDGLISIRF LSSLTPALSHATFSAWFTHNNKEPDSV PHYBLDRAFT_168673 MDFGSLFSVKDKVVLVTGGSRGIGEMIATGYISAGAKVYISSRS ADVCDKVAQELTAMGPGKCFSIPADLQKLEDVERLVTELSKRETHLDVLVNNAGANWA ESINTFPDRAFEKVISLNLKRIFTLTQACLPLLTAKANSSNPSSVINIGSIDGLRVPP QESYAYAASKAGLHHLSRHMASNLGSRGVLVNTIAPGAFQSKMMKATLDKMGDKIVAS IPVGRIGSPQDIAGTCIYLSSRAGHYTTGATITVDGGAFVGSKI PHYBLDRAFT_133770 MGNTCSCCTDCFGKRNRTGLYEPLLQENEREAIAELLQYLENRN QTNFFEGEPLHALSTLAYSDNVDLQRSAALAFAEITEKDVRQVGRETLDPILFLLQSH DVEVQRAASASLGNLAVNAENKLLIVKLNGLEQLIRQMGSPNVEVQCNAVGCITNLAT HDDNKAKIAKSDALRLLVDLARSKDQRVQRNSTGALLNMTHTQENRQQLVNAGAIQVL IGLLNSPDADVQYYCTTALSNIAVDAGNRKKLAQTDSRLVQYLIALMDTKSLKVQCQA ALALRNLASDEKYQLEIVRCKGLPPLLRLLKSAFLPLILSSVACIRNISIHPANESPI IDEGFVNPLIDLLSYDENEEIQCHAISTLRNLAASSERNKRAIVEAGAVERIKQLIGV VPPTVQTEMTAAIAVLALSDDLKQRLLASGVLEVLVPLTSSDNMEVKGNSAAAIGNLS SKVKDYTPFVKVWDSPTAGSLHSFLVHFLDEEQDIAFQHIGVWTVEQFLEGNDKELVT KIAQSPSIVQSIRRIANAGPEERPKKMTEHTNEDTMDEMDGDIFGLAKRVAGMLQEVQ STKGDTEKWFIGKRQQ PHYBLDRAFT_181494 MDTDNVEEKIANGRGYDQFITLQDVQNYLTDDQLTLSINLTINQ THKNSLRGPRSYPKPQPLKFYAEDLENFQDVIIHVFKDENNESDKNESNLNHGSTDSI NKNENKKVEDTEGTISNEKTTLHGHKFILATASPWFRDIFLSGMKESTDNEVKIHGVD PKIFKLIFDFSYGNDIYIKDSIHGISILKVADRLQFKRIKKYAFSCLRAQIKNSNIFD IWEASDLYDCDKTRKLCEKYMRSNYADIFVSPEWLATSDVYALKAINIDGLKGRMDET VFYKAVLSRREAATQEVINLRKAKEKELEDNMLKAQLGNPTPSGDNESEVVKGLDEGA KDPREGAKDSEADDKNSEDASREDTGEKGKEDSKNNGNEKMDTNVKATDNGWEDLPEN VKPVVETEEEKLERLENIRWEEYIKEELEAIQKHFETMIRYIRFPQMDIEFLADIVEK EDAVMQLPGIKDILIESYRHKAFLGKREVSKKYQSRTIESS PHYBLDRAFT_65927 MEGVAQLGDTVRQRQLPIKTEIEYMYKVVHTNFLLLNILALLLL RDSVPISIKTPFYLNKLNTKIKQVTLQVKNRLEYARSFRAIISNNLKAIIGNQKNCFQ HSVKFQLTKRSDCLMSNTIQKNHERKVDPTEECRSPVNNFYSHLIQFFCKLRYCSLNT YSQTNLQKRNVNVNELLFDNL PHYBLDRAFT_145718 MTIAMPNLPFLDGRGVDPATSGQCNSCLENLLAVAGSVYQEEEE FAIVVN PHYBLDRAFT_65928 MGSPDGEVGTIDERERYKVYTMYKLIQVVNVSRQKYPPDQNLFL RYTHSKLPTSATRQRELESYVVSICLLPITEDDTVFQCIVESVAYVRFFSYIFSEHNA RSEEPILYLASSISHISYFTP PHYBLDRAFT_65929 MLLIVMIKYLFLLHLHLLSDSEPTSQANLEFDTALSVTQIYNGI NLRKGTRDRPEHLGVCVVPSPHTLVVDKGDSIEKIKVSISLIKAGMQPIVREFSRDFE FKGVLSGGRGYNEFITLQHLQNYLTDDQLTLSIVLAIEQKIKNLLGGPSLHPKSQPFN FSNQDLKNFQDVTIHVFNEKNDGSDEMETNFNHDSTASIRSNKRKRVESTEDIKSNKK ITLHSHKFILASASPWFRDTFLSGMKESTENEVKIRGVDPNIFKWIFDFSYGKDIKIK NSTHGINIIKVADRLQFKIIKDYTFLCLQNHINKFNIFDIWETSDLCDCVETRRFCMQ YMRSNYVDIFLSPGWLSANDEYALKAIKIDGLKGVMDETIFYRTVMTRRRAAVMELAK LRITKEKEWKEELLKAPSGSSTPSGEDESEIVKEVKEEAKVSEGDVKVSEGDAKVSDD NSREDTGGKVKEDSKDNGKEKMDTNVKVTDSGGEDSLGNVKAVVETEAEKLKRLEKIR WEEYIKKELESTHKHFETMILHIRFPQMGIEFLANTVEKDDCVMQIPGIKDVHMFSDN LYGVCWFNNNLYAICFLTGISIDYRNLFALRLMSKFSRFGYVARLLPH PHYBLDRAFT_168680 MINTKQTILMWSKIDQTHLKYGSGNAIFLLGTIAVAVAVAFGSV LELGIISIWISGQFNFFSAYLISIRNIYQVETKYCETIGCEDTPIITLLIGSIGAQNL LCEQNSQYEAST PHYBLDRAFT_74883 MRFFGISSIASVLLLAVSTTQAATVCTVKTSSSGDDSVSINAAF AACKNGGTVVFPAGATFNMKSVVSATGLSNVKVEFGSTVNLPAYNTAFESAIAYFYLA GDKINFSGKGTFYGNGQAWYNAVNRNAPVVFKPKATNSYFGGFTIKQAPRAHFSINGC SNTIFENLTINTVSSKASYPAKNTDAFDVSGSTGITIRNSNIVNGDDCIAVNGGVSGL TVSGLTCTGSHGFSVGSLGKDGKTDVVSNLNFLNNKCINCQNGVRVKTWPGGKGSVTG VKFDNISLQNVDNPIIITTHYCDNEKSSSCNSASTSLSISGVTLNNIYGTVSSTAKEP IVNINCSTGSPCKSVTLTNINVTPASNTPKNICTNLSGSSSISYCK PHYBLDRAFT_155435 MDPEAAETPAPYASEFNAGDIAWILTCTALVWLMIPGIGYFYSG MARSKNALSLIMCCVLSLVVVTCQWFIWGFSLTFSKGASVFIGNLDNAFLRNVLGDPS IGSSSVPDLVFCIYQCMFAALTPALAIGSAAERGRLLPMIIFIFIWSTIVYDPIACWT WNPNGWSAKLGALDFAGGTPVHISSGFASLAYALVLGRRQGQGGTNQVNEFKPHNMSN VILGTTFLWFGWFGFNGGSGLAGNLRACMAFVVTNLAAAVGAITWMCIDYRVERKFSA LGFCSGAIAGLVAITPAAGFVGPGPAVAIGFIGAVACNMAVYLKHWLKYDDALDVFAL HGVGGYVGSILTGIFAESYIAGLDGATEIAGGWMNHHWVQIGYQFADATAGAAWSFCI TYLILFIMDHIPGLSLRVDPETEILGLDAAEIGEVAYYHVDKIVTVDPMTGAQQIVKE EQIHQQKK PHYBLDRAFT_145722 MNPPTPQYIFRGHQAAINTLCYFGDDQFIASGDGDGIIIVWKMK TRRPVLKWKAHEESCLCVKVYKDRLVSQGRDDKIQVWQLPDLEEIAVVVSVSDAQNLK PPTLVFHIPYDSLNFCKFSLCIIPDSVLLAFPSNGDTPLVDIYDLTLRKWAIRTIGLG TTAGLSKKGLCMAVELVEKEEKIWLLVGYEDGSVSMWFCDVIQRVASLVWDVQKHKQP VLSMAVDRSNTWVVSTSADNQIIKYSIQSGDVLNQIALKKSGIAAVAVRPDNKIFATA GHDGKIRVFSCSSLRPLAILTYHRESAYCVAFGTQSDCNWLISGGKDARISLWAIY PHYBLDRAFT_65935 MPDAFFSSSRKRKTTSTNNTTSTTKRGGKSAPPKRQRSQPSSNN NNTTGSGKKLLVNKNKNKKDSDDEDDNEPSNIDDMNLMASDEDSSEEEIEETAAEKRV RLAKAYLNKIQDSLEDDLDGFDAADLDRDLIADRLKKDADEIAGKLHRRVADLYDFEA IDDSSIKTCRGHQLAVTAVALSENGSIIYTGSKDGSIIKWDVKTMKKLHTFPGGRKGV KDFVGHTDHVLSLALSSDNQYLASGGKDKILNIWSVKDNQRISSFTHHKDAVSGLVFR KGSNQLYSASHDRTIKLWNIDERTYIETLFGHQDQITAIDTLGRERCMTTGGRDKTAR LWKIVEESQLVYRGGVTTKITDGEEVRSFTEGSIDCLAQLDEDMFVTGGDSGTLSLWD INRKKPTFSFGAAHGLKVVKSESEGDIKTPYWITALASLRYSDLLVSGSWDGFVRIWK LSKDNKNLSQIGQIPVEGVVNSIQIKTVFPSNRTLLVIGVGQELRSGRWVRLKGVKNC TKVIELPTFKSKSKTETETETAL PHYBLDRAFT_168686 MNQNLLFALLEELKESNRVLISSQSELLSEINDIRKENAAISEK LDKLQEDLSQWDKLDFSLKSPYDVPASLKEVGVFSDMLYPQRCHSDPRDEDGQRRQFG WGLYKQLAWDVLGPSAKRLSIEDLGICIDNVRRSHMINSVIDRVNKEHDIDENTTWGS LSLRAQNSAALYLEELAAPHLPLRACVGNWGAKMMLRKFWNNGLQDSKQTSNDNTNSS KSDHAVLDHTADFLQSIPRSQFNTFAPQNMPLDHLMGSETYRHIDGSVNSMLAIDSFR QPFTESPYLTATSRHVNPLIPGCDNEGPSKKDKKSYRKSRKVLSGKSGVKKSRGISLN NTIVLNKDTNKTVSTRKQRSDKGKKRTAHNPQIY PHYBLDRAFT_155437 MNKKSGEEWEIAEISIREIRSIIPAHCFERNTFRSFAYLFKDLF YVGALVYCASSIGNISFAPLRLVLWPVYWFLQSAVCTGLWMICHECGHQAFSSSKVLC DGVGMVVHSFMLVPYYSWAITHGKHHNTIGHVTRDKANICHKDQYHNHIPKLRSSTGL PPLAEDPEIDGPHSILEHAPFVELLRLSFFLFVAWPYYMLFHTPGDTTRERWVSHFNP NCFIFDKSQYWQVVQSIIGVLIMLGVLIGLGSIYGLINIIKFYIIPHILTHAWMITIT YIQHTAPELPHYDSEVWSYQRGVALTVDRSYGAFLDHVFHHIGDTHFLHHVVHTIPHY HTVEATEHLKKALGKHYRRDDTPIYKVLFNSWTKCKFVENEGAVRFYKN PHYBLDRAFT_145726 MHFHILGTGAVGCHIACLLRLNNHKVTLILRSQKALHDFKTRNQ SISLTLKGKPLLVGGFNSMVVHSISSQGPLIECLIVATKAQSVIEAVSPLKDRLTRNS TVLLLQNGMGVVEELMDTVWYGQSHPCILVGVNRHATQRISAFKVINHFGWVHPEGLV VSEWRQTGESKQGFPVLKSLSNIKDLQLKIVSWENLQNRMAKKLVINASVNPVATILN LSNGEMLDGSPYTLNLIRELCYEAYSVLENFLPGETAEILFEGVVDSLRQSKSHYCSM LQDIQSERPTEVDYINGYICRIGKDQDKDFKFNQAMVDFIHAKESGKKAVENSK PHYBLDRAFT_168689 MLSSLKNTPKNIKFYRFNSINKKNWIDTKVTSISAKCNGSNFAN NSKERVVLAEPIKFWAEEMNLKGNQRPLVAFDKFESKHDFVMMDSYTEKTLPFKSSPS FLEKTITHNGKISIGYLLQISDEIAYVVGTKHLDIHSCLHRIPSHVLTASVEHAHFIL PDTIQDIRLSGHIVNVGTSSIEVLVKAKIIPCADKPLGARMELKDSTIDYITAPTSNT VFLAKFNMVAVHIETGKAVKTNSLVTTNVGERKMQELANVMKNKSYISNKDIKTSTDG KPETSKGSNNIVADAFDKKKNSMGEYKLIRDTTLQSAFIVAPQPTKLREIRLILDNCL YLQDRSVLGYLFGGKTLNYVHNIAYITARMFAGSNVRMCSLDEATFHSKVDIGSTVKL TAQVIYSSEDDNMFQVKVVSSLYDFNGALDDKEAITANFTFLSLDKKIKNLVPMTLKE NGLYIEERGRILHSMSGKRFLDLTMLLLGSNTLPIRK PHYBLDRAFT_145728 MQLLITWHRCCMLPAKVDASSRPILRHSRCITTFKYSTSPKILG GVEIRVLNGSAAKALDLMTIFPPARIRLRLPKAQKQLQIVTLPFPHLVPPMMFSSLTL SPTFLIALAPLSLPSTTNPTIFFKEALKPRKRDHPDPPLGSDNIVSSTLLTMHLMVDR LMPTTKEIWIAP PHYBLDRAFT_65941 MQLAIAKMQEYALANNFALVIKDGKPTRVYLKCSKGGHYKNTRK IDDNDRKKIPSSGMIGCPYLLKVSYKKAAKGYFLLKATHEIEAFHNHPLDDECMESIL KGRLSRVTTEDSIMITKLIETNTKTREIQKAINDEAYPGHKLYVSKRALLVLDQELRL PEDDEKCYCMNKVQYGLPCHHTQPVERDLQISDLPDRWIIDARKEDKAWNQPNMQVPV IEKPKEWMSEIIKLEALFRSCEGSQQVADLLKKVKKAVNDFDGKNGHSPIKLQAPENV KYPGRRKGSSRPKYLPKDFGRPMWRKTSILAGTVTKMVEKSLSGLKVTRKQNKNIKKI KKEPLDSAFLVNATKNKTKQIEKEPLDPVDATKNKTKQVKQEPLDLVDAPQKNGFKRP ATALEDYQYDNRTSVGKRVKFQPGFPVSHEIIDDVKGGFSPTADGWCGFRVLAHLIYK DQNKFPLVKRDMLAALPKYKTLYANTFGTDTSQLEKIIQHGSQLDYSNTSNTNTNTNT NTNFIPVCSDASMWFNTPDCAQLAADTYTRPVCVYSDSPNTPSTTFLSFALPNNKTKQ RQPLIFNHVNSNHWTTVDLSRNISRKWPTVPELFFLGCSRNKIDDNFDTYWNKFKEFN KHDRRNAMLSLHSDLDQPIDLTPK PHYBLDRAFT_181498 MLTKTILSFVALGTIAQAVQTSDQFVFSDINAQQEVLEMTVHSW LTGTKAPMSCSSCISAMQVVKRMSFVSDAMLISSLVNVCKRSKKLDPEVCQGVIEEQA PVVRKVLKTMDVSGRDGHLLCAAVLNSCPYPAVIPWTVQFPKSKPKNPIVKRSKGQLI SVVQLSDWHIDPDYQVGTEVRCSKPICCRAAYTDFQNITKPATFWGDYSCDTPVSLVS SMLKYIPTVEPKLAFGLITGDLPPHEVWSTLPITKTQWVEDQSFGLLHTHFDAPLLNT MLYPAVGNHESAPTNVFPLRDSKIAIEDDKEYLTMNWLYSSLAKSWEGWLPEEALVDV EKNSASYVARPIDGLKLISLNTNFCYSLNWWLYENPISQASSHLFILFYQQKMDPNGI LSWLIAQLQESENTGERVWIMGHIAPGDTTCLHDYSNYYHQIVERYSHVIAGQFFGHT HKDEFQVFYRDGDKNAQSAISMAYIAPSITPYLNVNPGFRVYKVDTDTFEVVDSITYY AKLDEAEMWGEQGPIWQKEYSAREAYLTPNTIISPKAPLSPAWWHNVTEAMEDDPDMF QKYWHFRGKSSPINRKCDDEEDCRETIICNIRAGKSEQRCDYEPDIPEGVPPEYSRKI RNKERHLCGLELLDIRHDQ PHYBLDRAFT_65943 MAVKRNSKLHAYLAEQYAEFSSDSPQPRLSSLFSDFSKLAMLNK YGYDANIGYWRSVILDCNRQGYLRSTDHAIAIEACSLSETFQRPGMGRPLALGHVVSS MEADGDLVALSNFDKVHAGSASWLSWIMGSVIPQRRPGSSDPATHGLYIVMPTLKEIA KRIVQDHYQRPLKSALDHLVTFEDIRARYQKCDSLELTDNDLILVLRYLHYSMGLAMA DDVKGYEKSYLVVKFPESNTGSTQAEITQHDKAIISIKTACQALNVQVDDLQRKIETL ERTAKDFYVKKQKSRALYCLKRKKQYEEILERRLTSLETMETMLMKIEASHNDLQIVE AFNIGADALRGVIGSSELTLESVDEAMVKMQDALDAQKEVENAIATGTEETNAMQLSG VDDAELEKELAALEHLEVEESKEKDLHNHKVPKEQEPKEQEKVRQEPLENSQKKEKVP AAKTPASKAPVQNEASTSPTDSELARLGQVLASLDKPRSPTKEKQMSYEYA PHYBLDRAFT_187064 MAVEAKYYEILEVEVDAEDHEIKKAYRKLAMKYHPDKNPEEGDR FKEISHAYEILSDPEKRAQYDRYGEDGPGGGAGGFGEGGLDDLFANLFGGGGGGGGAH FDFGGMGGMGGGYGMPPRSRKGESMKYPLQVTLEDLYNGKRTKLALEKNVICSNCSGK GGKTGAVKKCGGCQGRGFQVAMRQIGMGMIQQMQVPCGDCNATGEIAKDRCKKCKGKK VTVEKKYLEVFVEKGMSDGQKIVMKGEGDQEPGVEPGDVILVVNLKQHPIFERKSNDI ICNLTITLTEALCGFEKVITHLDGRGIRVFHPAGSVIKPGMVKRIPHEGMPIYKRPDD RGDLYVKFDVEFPKDKFTTPENLKLLESILPARPAPAVSKHEIVDDCSLLMADIDSFG STGNSKNAYEEDNDSDEEETTGTQCAQQ PHYBLDRAFT_65945 MPLKIIGAGFGRTGTNSLRLALEILGYRTHHMIKALSDITQDPL VWERAFNDPENHPDEWESVYGNYDAAIDWPTVTHYKELMKKYPDAKIILTTRSAESWY KSMNNTIFMKMHSNYVEELPEHPRLFLKSQQKIMLDGYLKDDLSKSHNKEELCRMFEE HNEEVKRLVPPEKLLVLELGEGWDRLCEFLGVSVPGTPYPNTNSSGEGFEKNAEIVLN ELEKQKLDLQKVKAA PHYBLDRAFT_65946 MPLKIIGAGYGRTGTNSLRLALETLGYRTHHMSQLMGDSVQDPF VWQRAYDNPGSHPDEWESVYGKYDAAIDWPTVTVYEQLLKKYPDAKVILTVRSAESWY KSMCNTIFKFFEDDTKQHPEHITNVITFQRSTMFDGYIKGDLSKIKNKEELCWIFDEH IKRVKALVPPENLLVLELGEGWSRLCEFLGCPVPEIPYPNTNSSGESFDLYIKSVFDA LEKQNLCPTIGKTA PHYBLDRAFT_168696 MNKPRTTLNVNTHLAHFVRRPLKITGILKDICKDAITETVADDM SIVQETEYQDIQTSNSPKNPLTPSESVEEESDVDNEYYNSILNYDECEESDDGSRVDN SDFDVEENTEPNAGIPLFNHILNNMSAFADNNESSIDEEDEFQSEVFNSTAWNRFTPN THPYKDIQTMILLALVDGDNDMISRRMLKKILFTINLLLKIHEEAIRKDISFKLPRLD ALWNYQTRKGSNIPIFKSKSLDVTLSDSTKVTAFLNLPSEHIKLLAADPIKSKSIFSL PDRTPNQSVCLQQGEKWRTNTYFQQPMFTYNNVDFWSGDIILLKDCSPNIRFLVESFH TMDISNVFSRGYIVRTPKDGCSIGIEINHADINIESFLSVDTTPLNTSLCCSISPDTI ISLIPTHHKLLEEEHFLKRLICDGTDQENNRRKYYKVKIAPVILFSDDTSGNTSKQFN TYESWSMKSIPKKKGANAASLLPEIVDDLKKLENGVVMFSAKDNAYILVVPPLLWIEA DTFCHSELCGLGAPNSTYPCRKCYIKLQSRIPKHSTRTKDHYIQAASTPDRDTVIPDI PYFDDKNTAEELSFKNKSTDKLLELKAYDQSKDTSAEILHYILLGIAKYLITDLVKVV LNKNKKELEELFYYIKDYKNSRGISRAFTRSLTHACSFLGRDFKVLIQILPVILAIKF ADTEVLQEITPLFVRLGRLCSLVFVRFIDSQYETYISEVDSAVRSLIEALHKYDTNCK HKKHAFYTSKPKVHLLTHLLEDLRRFGPALNYETEKGEQFNKHIREHLFHTNRMNTSK DICLKFGKNGLRETHGKAIAEYMQQNSDGKFHETLLGGSREFADNNGTGLTPGRILKD NTFALFRQSNGHIIIGMVLFSKVYHLYIEYLSAHAVNNNYRLALKYADDIYMPLDELK VVCLLDMHLKVGCKYVVNLNKFGSYWSFLYSFY PHYBLDRAFT_57371 MSIGVPIKLLHEAQGHIISLELKTGQLYRGQLLEAEDNMNIQLK DITVTGRDGRVSQLDQVYIRGSHVRFFIVPDMLKNAPMFKRVGPGALKGRGIGLGRGR ATVARAQGNELREID PHYBLDRAFT_65949 MFPEYISAATAGVVFGGMYLDSITSFTRDWHQIKIILTSLIKHH IWASQDKINIYNRFRDIAKDYPERYFVIFEGKPYTFRDIERGSNQLARWLLTQDVKIK DTVCMMHQNHPTFYIILFAILKIGAIPAMINTSLTNTSLTHVIKIAQTKVFVFDPCYI ESVNAVEGELRNLGISLFAYGEATENSNIDPLPFAPAVTPQFLTEFTDRNLSDHFTKG IISSDPALLVYTSGTTGLPKAAIMEHARMNFAMDFYGTVAEITIGSRLYCVLPLYHGS GILFSTFATMVRGGTVVLGRKFSAKRFWDDCVENEVTAFSYIGEFCRYLLSQPVHPME NKHKIKAIVGNGMRPEIWDRFRERFGITTILELYSASEAPTSLMNVNKNDFGAGAVGH RGSLFRTLRGDIKIIEVDPSTEEPVRTKDGLCKICKPGQYGELVVFVNKNAIMQFRGY YKNEEATTKKVMHDVLKKGDSYFRSGDLLKMDRYGFFYFADRLGDTFRWKGENVATTE VTHVISQFADIEESNVYGVEVPSHDGRAGMVAIVTKEGHSIDFTQLHNYLTKNLPKYA IPIFIRILPTMQMTETFKQLKTVYRSQGIDPSLIPKNEPVYWLKNGTYVPFTDKDWHI LNNGNAKL PHYBLDRAFT_14210 HLTNDHVGRKSTGNLCLTCCWENCDVSVIKRDHITSHIRVHIPL KPHRCTFCERTFKRPQDLKKHERTH PHYBLDRAFT_177585 MPINSRLPPIKVPKTGLVQYLFEGRKFNTPQNKILSIDVATKKT LTLHQIKRDVLRFAAGLQDDCDFKKDDVILIYAPNQYDYAIPLLGAIAAGGAASPANP NYNTGELVYQLEMTKAKILVAHSENIKTALEAAKVVGLPANRIFVFGDDTINGVLPYS ALLGDRLAIPVDYTEKEAAESVAILCFSSGTSGKSKGVMTTHTNITSNMEQYYASERE EINPSIDRALGILPFFHIFGLTVVLFSCFSLGIPLFVMPRFDLIAFCETVQNENITMA CLVPPIILLLAKHQIIDQYDLSTLRLIISGAAPLSAELSQAATARLKTTVVKQGYGLT ETSPVSILERTSKAIAGSTGKIVSNMVAKIVDEDGKEVGPTERGELWLKGPNVMKGYL NNPEATADCIDSEGYFHTGDVVEMDSEGNVYIVDRIKELIKYKGFQVPPAELEAYLLT SPIVMDCAVIGVYDDEQATEIPRAYVVLKEGTAPSVETENIIMKHVADKVVAYKQLRS IVFIAEIPKSASGKILRRVLRDLANEEKKTFIKAKL PHYBLDRAFT_145740 MSNTIFNLSNVQNALVNSPTEGIKMLPLDVVVKWNKCRKLRARE LMYGETKKCHRAGFYISDRNVRLAQKDTKLCYCEATIFIKQYVNNPEVVLICMTNDHT NHVPGDASEIRTLPLPSEAIKIIEDQLKGGSTCRNTRISVLKQIEEWGVGIRKPNYED IYNRMRKMKNLLYKFHPDENKSLDIWMREKLPSQNYCIFTGDLSAYSNNAQHFAFGFQ SPSQMMLMRISQSFCLDATHNISARNIEILYSLVIRHPDTGKGSPVAYMITNDHSVGP INQWLVHLREKSCFTPLYITIDCSIAEVNAITAALPQTIIHFCEFHVLRAWQHNLDSK VKLDASYTSEQLGKYKYELKADLKNILIESDEDEFLRKIQEFRLRVQSQQQFLAYFER KWIGTEELLRRWGRPYVANDHQRYLTNNYIESWHNQLKTIYFGRTRIRRLDRLVFILT NDVEFFYDEEVERIHIQNGRMGPIENELARHSFSANTIQDDMLPFMIINPLNEIGNSM EDSNGKWTVKSFTGEAQWYQVNVSNNLIQNCTCPNFASRQIPCKHAHLLKRFIGLDFA YTVQRENNHLQLQRSLASEHEVAVVNEEVENETNTVVVSGRNNSVWLQRIMAQNTTLH HQREDLEQLMDVPGIDEAELQVISGLLGEAMNRIDTLRNVNFSRFRNLNTQR PHYBLDRAFT_133789 MSTEPQVPTHTDRPLSVALSDTATETDVSPASNNVDKLDPVEKV DEISIVETLDRKLAKQIKIQRILIFLGLQLALFLAALDGTIVSTALPRIGSDFNQMAI VSWVATAYILTFDAFQPLFSKFSDIFGRKWIMIFGIVVFLIGSVLCGAAQSMIMLIVS RAIAGIGGAGIFSMVFVIITDLVPLEQRGSYQGLINAVFALSSVFGPLIGGSFTDYVT WRWNFYINLPIGALALAVLMYYLKSDIPKGSMSDKLKRIDYVGTVIVLAFATLFLLAM NFGGQTFPWKSAAVIVPLVLTAILVGLLAVVESKFSKEPLMPPRLFKNRTVLSILITN WFFGITFYSMVYYLPIYFQVVRADSAMWSGIRLIPMQMVVCVGATMAGAFISKTNIYK PLIIVGMALLTLSVGLLSLFDENTGFSKIYGFTVIGGGGLGLLFSSTIIGLQASVEAR DIAVVTGLGNFSRILGGALGVAISSAVLNSSLTQDLPGKIPQEYVGLIIASSEYVRHG LPAEHLAATLGVYVKALRLIWYVTTPMCGVGFIASNFIRSRKVGETKVFEKTMSQENA SAIQEDGIITGASAEDVKTSQDTVMIEVADAAASSAIESTTCRR PHYBLDRAFT_145743 MAHSQSNPVSRLAGHGNSSLYAASEYSASEIDPKEETWEDWEND DEFNEEAKCLFCTKVFEIPEEAFGHCKEHGFDFLAVKRALDLDFYKCIRMLNYIRKQV KENPELANTKEYKLTGKETFWEDDTLLQPVLEDDALLHAFEELEIIEEEEEKAKGNPV RKFNPESVDLSFIVPTTELEHSLLQLVKHSQDKAKAVEEQFNEYKAMIRRTFFEDQGE DERNARNMADPNVLLATATGNVPWNIL PHYBLDRAFT_187069 MTSYGTLPTTNGPLVTDEPATIERISWRVRLGHILESETLHWTI LGLTLIDTVCVLIQILYTFFHECKVDIELRLFESANHNWILAFELAEYITATITCMFV VECLLHLIAFGPHYYLPGWTHWKLHVFDIAVVGSTFLLEVILRGKEREVAGLLIIFRL WRIVKVIDAVIKGVNYSNEERIDSLLEELDHSRTAYTALQQELSEKQAFYEELQARLE KTTSGQE PHYBLDRAFT_168705 MTLVIPPSKLRDIRREASRILHRSTTTLRQLSSFIGKAQTTTLA VLLARLQTGHLITARNLALRRDTVGKGVRHLNHSQLAKCIMVPTPSPLHLSPPTFYPT PVCATGPRKFQRRSSTKPPLAHNSMGYRPA PHYBLDRAFT_145746 MNLKGNERPQITFDKFETKHDVVMMDSYTEKILPFKYSPSFLEK TIAHNGEISIGYLLQISDEIAYVTGTKHLDVPSCLHRVSSHILTASVENFHLILPDTV QDIRISGHAVNIGTSSIEVLVNAEIISCADNTLGIRAKLKDLTGNCITAPTSNTVFIA KFNMVAVNIETGKPAKTNSLISTNSKERTAQELANFLKNTSYISNKDTTVSTNGRPET SKSASNLEADAFDKRKNSMGGYKLVKDTTLQSAFIVTPQDRSVLGYLFGGKTLRYVYE IAYITARMFVGSNVRMFSLDEAKFHSKVNVGSTIKLTARVIYSSEDDNMFQVKVISNL SDCNGTFGSAEAMTANFTFLSLDKKIRNVVPRTLKENELYIEGLVFGETKKCHRAGQY ITNRQLRLAQKDTKACSCTAALKIIQHLDNPNVVTFCQTKAHVNHVPGDWDEVRTFSL PSEAIKIIEDQLKVAAAVEMTTLLYMFASDENASISIWLNVKLAEQNYCIFEINLSVY NDGKKQFAFGFQSPMQVSIMRISQSFCLDATHSISSRSDEVLYTLVTRHPQTGKGFPV AYMVTNNQTAIPIKLWLDHLRIKSSFVPMNITIDRSIMEVNAIKEALPVSA PHYBLDRAFT_145747 MIMNRWIPQWPARPSTILRVFYALDLPPSPPHINSYHVLDGVLA AVWKAYWRTIFDNVPFVPINVVVSTGRTILVKTMGERASPKGSTWNCYVYPFQQNWRL RLEMVSK PHYBLDRAFT_21165 MIFKSPLPPIQVPKTGLIQYLFNSRKFTTPENKVLFIDVETKKT LTFRQTKENILRFAAGLQDKCGFKKDDILAIYAPNQYDYIIPMLGTIVAGGTISLLNP NYNKTELECYLKMTKPKVLIAFQSNIKVALEAGEKAGILPQDIFVFGEASIEGVQPYT KYLLTDRMATLIEYTEEEAKDSVALLCLSSGTTGKTLNLKYTFYLIHTNLTTSFEQHF ETYKYCLYPENHRSLGILPFYHILGLYAVLNETFYHGIPLYVLQKFDFSLFCETIQKE KITRLYLAPPIILRLSNHTALDNYNMSSLEIVHYGAAPVSKELDQKVKDRLKKPVLRQ LYGLSEVGLITYVGMVANDKGIVGKLVSNMTAKIVNEEGKEVGYNESGEIWLKGPNVM KGYLNNLAATAECIDSDGYFHTGDIAVIDNDDNVYIVDRIKELIKYNGFQVPPAELEA HLLESPLVADCAVIGVYDEKKVTELPRAYVVLAPDVEASIDTEIMIMKFVADRVVAYK RLHSVFFLKEIPKTTSGKILRKKLLNILAA PHYBLDRAFT_181505 MMRSATRTIGLAARALPKRSFTQSAISRNSSGIPTSLQCFTEEE LMLKESVARFAQDVVKPKVAIMDETEKMDPEIIKGLFEQGLMGIETESEFGGSECSFT SAIIAIEELAKIDPSVSVFCDVQNTLVGTLVRKYGTQSQKENYLTRLSTDTIGCFGLS EAGSGTDAFALQTRAEDKGDHYILNGSKMWITNSGEANIFLIFANVDPSKGYKGITCF IVEKEWGVKIAKKESKLGIRASSTCVLNFDDIRIPKENVLGEVGKGYKYAIEILNEGR IGIAAQMIGLAQGAYDIALPYLFQRKQFNTYIGDFQSMQHQYAQIAVEIEAARLLTYN AARLKEEGKPFIKEAAMAKLYSSQIAETAASKAIEWCGGVGFTRELGVEKFFRDSKIG AIYEGTSNIQLQTIAKLISSEYK PHYBLDRAFT_155444 MSEVLPIKFQEHAQLQSLGVNLANIGFNTLTMESDRFICIREQV NSTNQVVIVDLYNENEVMRRPITADSVIMHPTVKVMALKAQRQLQVFNLEMKSKLKSY IMPEDIVFWKWIDIKTLALVTENAVYHWPIEGTSAPVKMFDRHTSLSGCQIINYHTSS DEKWLVLIGISAQQGRVIGAMQLYSKERGVSQPIEGHAAAFAELKLDDGIEPTKLFTF AVRSASGSAKLQIIEVDHKEGNYIFQKKAVDIFFPPEAASDFPVAMQISHKYGIIYLV TKMGYIHLYDLETGTCIYMNRISSETIFVTAEHKATYGIIGVNKKGQVLSVTLDENTA VPYIINNLNNTELAIKLASRGGLPGADNLYEKRFEQLFNAGAYGEAAKVAAASPRGIL RTKQTIDRFRQIPATPNQLSPILQYFGILLEKERLNKYESLELVKPILLQNKKHLLEK WLKDDKLECSEELGDFVKQYDSVLALSVYLRAEVPSKVVICFAENRQYDKILSYSKSV NYTPDYGSLLYNIARVDPDKASDFATALVNTDNGPLVEPEKVVDVFMSQNMIQQATAF LLEYLKDDKPEYAALETRVLEMNLIHAPQVADAILSSNLLHHYDRVVVGNLCEKAGLY QKALEHFTDVHDIKRIIPYTHLINSEWLVSYFGTLSVENTLECLKEMMSNNLRQNLQI VVQVAIKYSDQLEPHKIIDLFETFKSNEGLYYYLGSIVNVSQDPLVHFKYIQAACITG NVREAERICRESNYYDPEKVKNFLKEARLSDQIPLIIVCDRHGFVHDLVLYLYHNNLQ NFIEVYVQKVNPSRTPEVIGALLDVGCDEDTIKNLLLSVKGDVPVGKLCEEVEQRNRL KLLLPWLNMKATEGSTDPQVYNALAKIYIDTNNNPEPFLKENEYYDPHVIGKYCEKRD PYLAYICYEKGQCDYELLKVTSDNAMFKHQARYLVNRRDESLWAYALQESNDNRKELI NQIVSTALPECTEPDDVSDTVKAFMTANLPNELIELLEKIMLETSPFNDNKTLQNLLI FTAVKADPSRVMDYISRLDNFDASEVAEVCIGEEMYEEAFAIYKKYKVNGNAISVLIE NIKDLDRAYEFAEACNDPEVWSKLAKAQLDSMQIKNAIDSYIRANDTSNYIQVTQSAS LDNKYEDLVRYLQVARENSREPFIETELVFAYAKTDRLDDLKDFVASPNIAQIQQVGD KCYQEHMFEAAKVLYSSISNHACLAQTLVQLKDYQGAVDCARKANSTKVWKELSVECI LHHEFRLAQICGLHIIVNAEELDALVKIYENNGFFEELIQLLETGLGLERAHMGMFTD LAILYSKYAPEKMMEHLKLFVSRINIPKVIRACIDAHLWRELIFLYVNYDEFDNSANA MMEHASQSWEHSAFKDIVVKVPNVETYYKALRFYLDEHPLLLNDLLAVMVPRIRHSRV VQIFEKSDNIPLIKPYLVSVQEVNDKIVNKALNDLFIEEEDYESLRYSLDNYDNFDAL DIAQSLEKHELLEFRRISAHIYKKNRRWRQSINLSKQDRLFKDAMTTAAESKDREVAL DLIQYFIDIGKRECFAAMLYSCYDILRPDIVLELSWRHGLNDFSMPYMINNLKEQFDK IELLEKEVYQLKQASSKKDVEDTTMPNSFQNTRLLM PHYBLDRAFT_168712 MSDLQYARSTALFANGIFAGLSICIHLSSVPSIKNAVNPTCVFR AVYKGGSEIGISSILISTGAHLYMYYRTRDSRSLYLGLLSFVSFPYTLLVMRPVNNKL FALDSTLSTEHYKADSPHKKEVLDLLNQWNKRQMFRTATSAWNLVSSLNLTSGL PHYBLDRAFT_168713 MHGVQMWNKKRGLEEYKETNHPSTTYIHLHLNNYIKTSWAALAK KPILAPVPPTRDASARAPASVLTVRNPRLTRKDVPAKAPKKVATVELLASAKSMSSPF R PHYBLDRAFT_65967 MTFQLPSCLINSKCIIHIDLDCFYCQVEERRVNLTPGTPAAVQQ WGGLIAVNYAARRAGVQRHNTVEEARKLCPEIMLLHVATYAENDKEPHYYPNPDRATH KVSLDPYRNASKQIFKIFSKYCSSLQKIGLDEAFMDITQTVNERLKSKYIDQVPELYE KLDDLVCEIPVDWGNYGITIRSEEENSGDASTEKKGDLDWSLTTWCDLQLAVGAEVAA EIRQAIFDELGLTCSAGIAHYKVVAKLCSSKNKPNKQTILRNGALSNFMKDIPFTKIR NLGGKLGSEVESDLKIDKASDLWQYNIEFLQAKYGQSTVNATKAPKSLMAAKSMRQPV TTAKEMERWLSTLCAELHTRVTTNLEDYGSWPKTLSLHYRTARGAVQSRSKSCPMLYK SDFKDSVHLSKIQMNPVIYIAYVLDRFLKRVIELFGTIEDPYPCVGISLQANGCAQDE SSSSHDISKFLVQRSQKQPPQTNILENKQESQEAQIVRGQPSDRKHGFFAAFHTETQN TPSKTSPRDPEDALSFNLETTSDSLWTCDKCHERIPHTQVEEHTDYHFALEIQVSDRV PTQVNTSSVSIAKPKGKGKRKSKAEISKAEKKHKISTFFQKSS PHYBLDRAFT_181506 MDRTSLAFQAYAPSTQPWGNSTSCTLSQLACDFFYSGSKFIIYV WLIEKVWIVSGNSIERWRSPTYIFHMCLLLPYVAIFTLMVYFKIASLDETGACLIGLQ IIASVPLLIYDFILSTFMGFLFIKPLFIAGKNAHDDWRNSRLHGVAVRSFVATLVGLL VSFANILALVLFPYGVRDVICFTSCTIDVTINVITIHWVTAYSGKPPTPKKPDEAPVC NGPGDTHGTTLTSELIRTQNSTTLHGLSELGVTSHGDEEYALSHIPVGIVADSNEQQL RASYIPKKSTLPRNQMDNASFDSYNYSTHETINSFTSLKDHSTVTKLHQTQVEQTTFD IEIT PHYBLDRAFT_168716 MNPTSQSISAQSSSGEQKSRRRTKQKNERIPMTLRKRIFNLTLP LALTVSLDIKQVWNAKPFHLKYSFIVSRRNSDSVLKLPELERKRKPLQKKQYMVKINL RRQSTGMAYNLRLVLI PHYBLDRAFT_168717 MEAEGLEIPFDFIKRGEGWKDRLSRLETYYLGKLPYPFARGMSG FWGKPFLLARNGASPPVELKKIIFSWIKDYFGVGDAEWVAVSKRGFSRLLIRCRRIIL QDAAFYLYLNKENKHINTRNLPFSSNSFKMFQEDNVAAITNPSVGRLEEYESLVPNIV DTNKEVASRVTEVNHRIIRLQQQQDSRFEKNENSFNNFIEQSNQQNLLLMNTTQQLAK DLKILMI PHYBLDRAFT_145759 MSNPHKPIFRIVDTHIQVIHPFFASLCLPPSHPSASHDGSYGTV DPMHLSISFASFIRQLKLSRRSFRLLCPPPDPNPRQSVSRLSPRQWNFFWSLTLSTST RNIWFRLLHNSLSSASILHAIIPSFVASPECRLCGHSNQTPEHFLVECPLVWQVWTMT MHRLIPHWRAQPSTILRAFYALALPPSPPHIDSYHVLDGVLAAVWKAYWRTIFDDVPF VPANVVVSVNKSLQFFFQSSHLLD PHYBLDRAFT_177587 MSTPRRRIETDVMKLLMSDYEVTLVNDNMQEFYVRFHGPTDTPF TGGVWKVHVELPDQYPYKSPSIGFINRIFHPNIDEVSGSVCLDVINQTWSPMFDMINI FEVFLPQLLRYPNPTDPLNGEAAALLMREPAKYEIKVKEHVQLYATKEAADAVSDGSS DDDDMSSVSYASEDEAAGMEL PHYBLDRAFT_85839 MRRDVRILLVGDEGVGKSTLITSLIKETFIPNVQHVVPEVTIPP EVTPENVTMHIMDSSARPEDREQLEAEIRKAHVICIVYAIDDPNTFNRLSLYWLPYIR SLGVNVPSVLVGNKIDLRGDDVTNQSLEDEVIPIMNEFKEVETCVECSSRQLLNVSEV FYFAQKAVLHPTAPLYDSREHILKPQCIDALKRIFKLCDTDKDNILNDKELNEFQRKC FNAPLQQQELEGVKEVVREHEPAGVNKEGLTETGFVFLHSLFIQRGRLETTWTVLRKF GYGDDLSLREDFLLPTLDVPQECSVELSPHGYQFFAELFQSFDKDKDGALNYQELIGL FSTSPGNPWANTAFPQTTITTETGSVTLQGWLAQWSMTTLIDHKTTLKYMAYLGFEGD TRTALKVTKPKKTDRKKGKIQRNVFLCYIFGAPRSGKTSLMRAFVNKPFSEKYHPTKE PFHVVNSVEMKGVEKYLVMQEIGADQEADILSSRKRLDACDLLCFVYNTGDVNSFAYL AALREKYKVENVPIVFVATQSEVDLITQRYEVQPDVYCRNIGLAVPRSVSVKNNQMAE LYHILTSVAMNPSIAIPGSQKDKTKDMWPPKSYVVASVITGVVILTGFAGYKLLKQHS KTIGSSAQAAAVAAIKKKKY PHYBLDRAFT_112902 MISVTTTLTGFSFIYFLYSRIPYAMLCKTFERCEATTKRLAITE YLVQLFLQAIKLTPSGLLELLYMCINKLCPDYEGLEMGVGESFLIKAIVSSTGRNIKN IKAEYRSTGDLGTVAMNSKGSQGTLSMPKPLTVHQVFQTLKDLSQITGNQSQKKKIDK INSLLVQCRGSEAKYLIRQLEGKLRIGLAEQTVLSALAQSIVLSKPETSKLSQEKIEQ ALADAAAIVKSVYNQLPCYDMIVPALLEYSIKDFPDHCNMRPGIPLKPMLAHPTKSLT EILDRFEDRKFTCEFKYDGERAQIHLLPNGKSMVYSRNSENMSDRYPDIMSKLANWVM PTTSSFILDCEAVAWDKENKQILPFQVLSTRKRKDVKEEDIKVQVVVFAFDCLYLNGE SLLRLPLEERREKLRSAFKETENEFYFARQMDSNNIDDIQVFLDVSIENNCEGLMVKL FDGEEASYEPSKRSRNWLKVKKDYLSGIGDSMDLVVIGAFYGRGKRTSVYGAFLLACY DPETEEYQTICKIGTGFSEEDLLNHYNALKGHVIEAPKRFYCLGENPVKPDVWFEPVK VWEVLCADLSISPRYMAAVGKVDASKGISLRFPRFIRVRDDKGPEDSTSSEQVMTFYY NQANSSKGKGDDMDY PHYBLDRAFT_155448 MVHILKLTKVHQPIELAYDGEGLRIDGDLNPRKKLKAERTCCCV PMPPPAQEDPTLLPIPNLNILNAFYNAKTNMVQIHALIPREQDKEDTPLDLYKFMYTV HDNKEDEAIEFCESMMAEVYKGLKREKSLKVLINPFGGQGRAKEIYNSYVFPIFESAK CKVDVQYTEFQGHAIKIAKDLDIEAFDAIVSVSGDGIPHEIINGYMQRPDATEAMNKV PLGVIPGGTGNALSTNMALDLCSVSFSDHRYFSFLSQNYGITAYADLGTEDLR PHYBLDRAFT_21078 MNLFFGKAKAKTTAKDAIYKLRETLDMLEKRQTFLESKADNELK IAKANATKNRRVALMALKKKKVFDGNIEKINGARMTIETQMMAIENANVNLETMGAMR SGAEAMKNIHGSMDINKVDATMDDIRDQMDIADEISVAISRPVGIGEDLDEDELLNEL EELEQEELDAKMLETPSPAVYTPNVPRHEPGNGKIVGYITDEYAN PHYBLDRAFT_145763 MVVTSRFADIAQKSMVLFLAGTTVYYMVNVGVLVNRRIHLKKEG KLEEELARMSGNFWKAGGDSQELHAETQTTPTPVPSAEQPGDLANIDS PHYBLDRAFT_78240 MEQELIELLLAAKKALSTGQNVCAQANTRSQESGKHVEVIEKTW PKILFLHNHIVSQFSTLERIRDFILIKTDEARNCIKDHETTLANVSMELTTIFEMLKD YSVDEAILKENHKRANQFQSGMEASGVSPISQNTTLFNYIDDQAVLELQRQTDDEIGE IENVCSSLIAMTNTLSAAMGELEILQESALSISLDEGASNFANEKTQIQEDEISKMAD ILTSLTNHYDQLGEATRLCQSEPEACKQLDISVLQDDHDHVPDILEDLRESLEIVESV SEETRVRMKVYLSVQKELIRVLTELELFGSPRGVVDTVYEKMLSAETEMNESKHNLDA LLKQLMDLAERYRLYLESYNHLVLEVERRRRVVEQQERQQKELIRAAEESYNNELEER RAWFNQHGQYLPDALCPFIFDMPTILTVNLEPNTMRLPEVSKSSIQKAISDIHRNKPS S PHYBLDRAFT_145765 MRNRENQRPEQCLDVDQSYERSIYASDLRSPPLSPTTITSSRST PLPTGNGRLRKRPREYLGHLPDRSTSYGPGFSTPTSPTSPTSPTSPSYHAFQPAVSSP PLKPINHKEHVFSANKKPVLIPRFNSIDEPRMHQTFRYNAQPGNKLKSHNVGYADYGS SYSDYPVFVIGGHGCTRLVGVMFAELAQRYGIRMIWPERPGYGLSDECNLQHISALAW ADVIIQLADYLGIKQFGIIGQSVGSVFALAIAHKYPSRVFGPVFLISPWVSTQAANTF KWARRLPAAIVTRTASLAMDVMWMFNKGLSVSRDTTPRSTNTTSANTSSNNSFDEGFC TGTEDSPRTSETDKRGYGSKKGIESPPQKTHQQKLTALEEDELLASLDECLEFTTDFP PHRPLRHVVRPKHVSLYLTMNKQRTAEPYNQGQLADVLVALEKYNQFGFNYSDIKTSV SAVWGDKDGLIPQRGIDVLANSLRDLHLKILEGEGHDLVWKEGVMEWAIRGISERQ PHYBLDRAFT_145766 MKINFISWKSKAPSSLSEPLMLSSIQEDSNASLPISVQMPLTLD YLPDELIINVLLQTSIDLETLYSVAGVSQRLYLLAMCVLRLYKLPHVQLQSVIDQEGH GKSTTFFKFKSLDEDSQMATFVMAKIAPKRYYRDKSREPPVLRRVSMTDGNEDIIKSS GSLRGKMVLRQQSWMTVNTFISQSSDGSNMSDKNSLFQPDIWQNDPIDIIKPSRTRQL NVRKSIVRVIQSRQASPAEKHLHTIPSWRLAYYVGDSDQHEAIEALCTSVHKPDAFYR WVGLQQSTNAPKRQQKKSEKQDECYVSPAVLTVHLSLICRSKRDKKDTKWVQAVDWIK EKCNGLKNVNTKLV PHYBLDRAFT_158920 MPLATFGSGCFTTRSHVNPCCITVFPPHFPPLIVRCVERKLTLK TIFSTHAHSSFLSGTHSGSRISAFPPNPLTFTMRYTSFPSLPPLIPLHILPQSLAL PHYBLDRAFT_145768 MASSAIQPDPPTGEHLINGHTNNSPTITTPTPGLPLTYLTALTN TAPTFRHAIVLGSNDPLTKPRTWRESTSQFSVYYTTPPETSPEFIVFFDALLQSFLPG EIFGLNPSNKAGTLFELHLSSKDVCARACRVGFRYNNETVLASPAIASSSKLFKLTLS KLPRFPPQEYATLDTKLRNALTKYGYVHDISINTLFGFMDGSGHAYVERPPYEEGALL PLRFKMDFDDNTTFLATWLNMGAHCALCQTMGHDRDNCPTRPKETRSCYGCHQVGHLR SKCPRAAEVDNSYKRDRKVPEPHGPHRTTATRNTTNRPTVTHSGSHMKKSLPPSFTTA NPYALLDPSLSSAGSQHNPANTTKAVPADSRTKTPKTPPLPFEANLVDRGTLPADDPT LTDDDLAEVEAYFEKNCEDDPMKGIEETIPQ PHYBLDRAFT_158921 MQMSYPSALIPEKRSRSLFPRCGLHARYVILIEQSRIWLKCSTI FSWARREIPNSWINCMNARFFLSSLLGTGRGWLKSKTSEGVVPSISSMTFSHSSPKSY YTYPKAKLGTIADVNWL PHYBLDRAFT_168730 MNNTDNTVIQLLQGIQAALISLKSGQEALLGRQEALEKRQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTGIIPRPVSKINDITLKHIYKMITDDLRIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGILASTIHE PHYBLDRAFT_103899 RTKISSPYNLVHVTHVGFNPQTGEFTGLPREWQILLKESGITKR EQKENPQAVLDVIGFYKESREQSQDIVWEKFGN PHYBLDRAFT_112724 MDTVSTEVRLKRREQKRQKEMAKDAEVIADLKAICTDADPTKLY RNMIKIGQGASGGVCIAQSVDTNMSVAIKQMCLDKQPKKELIINEILVMRDARHKNIV NFIDSFLVHGELWVVMEYMEGGSLTDVVTTNMMTESQIATVCKETLEGICHLHNLDII HRDIKSDNVLLGLNGQVKLTDFGFCARLSDSELRTTLVGTPYWMAPEVVTRKEYGPKI DVWSLGIMAIEMIEGEPPYLHENALRALYLIATNGTPHLQHPDALSDNLTDFLRLSLT VDSQNRPSASELLEHPFLRKAEHVRSLIPLIKASRDLRKAHEP PHYBLDRAFT_145772 MEDIARLPTNFMPAPVVSNGPTPKRTVVIAYDHTNQSDALLAKA IRLGMIAPSDDIRILHIICQDEFKKLFQNTGMTESYASHYIEREDSGHDSAMVVAADM IVNDIIDVLDRNGFKNVKSEVLRGDPKKSVIDYCIQCRPTYIITGTRGLGVLKRTLLG SVSDYIAKHCPNPVLILKLTPEELSSREEQDDQKKTRFTQLQNTLKSKGRAA PHYBLDRAFT_21212 FGCEDCKRAFTRRHDLERHKRVHTGVQPYECPHCLRKFSRTDAR QRHWKLEKECAESVKVNSLLANRYRKILK PHYBLDRAFT_168734 MTIYLFLVPVGLFENVLLHKDYLLKIWVMLAIIPKKKRWRQWRS MGPLDHNTYLDICDLKKNKKKWNLNLYNFFMFIPTSTRFGFGFLNAIWYIISYIKTMY LLYRILCLVYQPSG PHYBLDRAFT_168735 MPRTKNKSKSSRPRAEQIVSGDDNGQDQFENTPKTSSMSRVDGT EESDQQSISRSRQQSVSDISSIEGISEDNREIREGSLQAETELGLSATDRATSDRVTK WVKSNYEYEHEHNVPRSGMYDHYKNQCDSQGIEPVNSATFGKLIRTVFPGIKTRRLGT RGQSKYHYCNIRLRTDRHTASDFTEGPSNLTEGPSNLTEGPSDSLGQEDAGNPEDNGS QLVPVAETPDFESFDEPMSNITLPSMDEASLLDNIPESSQNVRKSSTLPSMDEASLLD NIPESSQNVRKSSTLPSTSTQTAGTRSTIPSKRTYKGKTRHQIFYQLSTSNLSSISTD FSVDLPHFSVPDLQHSQQQEGQDQPASFTKLYEDHCRELLGIVLSGKSLQIDACIVLF YQNMADDHFQMVKKVPEIWDAIWRWDSILYDTIIVQAFPTIDAPLSQKVRRSLLRFSR NVVDELDVYLKGFPQKLYQKKYEVAYIFAAKLQRHLSINEMAQTATAVLKDAGHIRQM KIDWQDMDIRSVTDQGLWICDCKSTFIEQILQSDIPQLLISGAKLDAWMKWIDKLMEM YMSRFSVRQAIDCEHYIIQAKQLVMKWTFYTSLVLRDLSLRNARSLAIFRIICVFFDD FVLYLLEERIAQMNLALVQSGRAIIEANARVNSLQGFTDPTEIFYNHQQDYNPQARGS QPPSTHNGKKQEKKTLFLYQVLVKDHRNSLFDILLFFESYDLVMNNQEEVP PHYBLDRAFT_88695 HIKMAEYSKELGPIYQMSFGGRDYIVLNSPEVIKGVLETNGSKN SDRVPSTVVLLMSDNGLMWAWGADNSYLRKSRRLFHNGFINKTNWEKNFPELYNNQVG RILRKIRDHGTADEGYSLMDVCYLYTINVSMSVLFNRDYESCDDPDFLEMNAVAHDFS DLGVALQIECPKILEFAISPFMNNAKRLQNKFRSVFSKYIKELREKRAKGIIVKCLIS DILDVQEKEQLTEPELDNFAAASMVGATDTTALSLANLLVTLANYPEVQLKVQKELDT VVGIERHPTEQDLINLPYTKAVITECLRFCPPVWISLPHMSRERQVYNGYEIPANTGI LQNIYATNMSPELFDKPEEFNPDRYYKEELLKPNQRDHWSFGNGRRTCPGNIFAEKSL QYFTARILWAYTLTTP PHYBLDRAFT_125035 MFRFFRSSIRSRTPKPVVTSPKLAMSFCASSVTRSPSTGASAEA LAFIDFLNASPSPFHAVHESVQRLENAGFQRLSERQDWDLKKQGKYFFTRNGSSVVAF VIGGKYETGNGFSIVGAHTDSPCLKVKPVSKKEQVGYVEVGVELYGGGIWHTWFDRDL GIAGRVMVQNQDGTFKHTLVRINRPILRVPTLAIHLDSSANTAFSFNKESQFIPVLAT TAKAALNAMPKVEEAQETKHHPALIGVLAEEMGIAPHQINDFDLCLYDTQPATLGGVY DEFIFSARLDNLGMSYCSLMALINTSDNIADETNIRLVSLFDNEEVGSTTAHGANSNL LPSTLERLVATEVGKASKNTTSSNNNKISFEQALQKSMLVSADMAHAVHPNYSEKYEE NHRPAMHKGTVIKINANQRYATTAPTSLILREVARRRKVPVQEFVVRNDSSCGSTIGP MLSAKLGLRTIDVGNPQLSMHSIREIGGADDVKNGIDLLGAFFELFPIVEAGVFVD PHYBLDRAFT_112883 MKTCYLTGFGPCPHLRVLHAENNKIYSCKPFQHIRSLTSLNLRS NVIKRLRFGETDLIELESLDLSYNRIESLDSIEGLPSLRLLNLDHNDIESVFIETPMD RLKILRLSFNRLKSFNGSLFPDLRTLYLDTNQIKRIVGLSCIPRLHSFSVRNQGGNVV DLNLYHLRGCRKVYLSGNPMRRLTDMADFFTLEYLELCSAQLEELPNTFARQMPNLAV VYLSSNFLTNIRPLRELRYLRKLVLLDNRISNLGDTVDDISVFHHLYYLDLRENPISQ KFYPAVTATTKLKSQPKLIQYLAPEYDTTWGSRDDEFREKLPVHWRVRRDGYRASLIK YCKSLRTLDNMVIKDEERDNADAAIDNIREFSKDIKKALEENE PHYBLDRAFT_181514 MRLITHNLLQCHVKNCNSNNFPLKIEDAQVELIEADYNPEFLVN MLPKIDWPVLVNTAKQLGLPEIPAQVPEDAENNEAFLQGLHSVLLETHIQQGRMICPG CGHVFNIKEGIPNMLLAEHEI PHYBLDRAFT_112767 MAAISKETLPPFGHAISGATGAMFALALVYPLDIIKTRIQVQAK HDNLDDSEQEHYKSAMDGILQIVKKEGIFGLYAGLGGSLIGTATTNFTYFYFYSLLRD IYNKRRTGTAAPSTAFELLLGAAAGALTTLVTTPVSVITTRQQTLPSSERQGMIDTCK SILAEEGIAGLWRGIQPSLILCVNPAITYGSFEKIKEIVLNALKTSLTPALAFWVGAM SKTLATVITYPYIMAKVRLQWKPSKEMEDRVVAYKGSLDVLNRVLKTEGFFGWYKGMS TQITKAVLSQALLFMMKDIFTNYTVLLYAIIRSSRAKIA PHYBLDRAFT_65994 MQGRGQDTASSYSASQTHGRPHTNSSAFSSIPTPITSSIDNPDL NPEQSKQHQQHGPESTTTTAAAATESQNPANTVQDNNPPDQPRTQQVNLQVMHKTAVK NYQVFPGNIKFFCGGRFITSKAYWAFGVSIGVLLIPAILCPWYWTHLSPAIPIIFAYT FILTFSSMMRTAWVDPGIIPRNLNKMPPIASSINENSGILPDPLQFMTPPIKNVMIKG KQVELNYCETCLIYRPPRSSHCRDCDNCVELYDHHCIWLNNCIGKRNYRTFFVFIVTG TFLSVFVFAFSLAHLMLLYFNDPEQREFKTIANNAPVSLLLTVFSFLFIFPIGYLTAF HCYIVCAGVTTHEKLKIDGRPFPNDKPMFDFGSSFCNFIHSVCQPRSKSYIARRKFVT HPLPLQTIIMTTDSAGNRYSTIQRNTLMSQTPLNT PHYBLDRAFT_65995 MNSLVLSQLWHVLRVVSFPSAFLDRVRSIVRGFFRVKSFPPIAF DTLCLPRLQGGLGILDPGIQQCALQLRWLKPLIRNPLLPHGLVPQWFSTLLRSDVPTV DPLLPLLFPDCRPRNHRTLDSPLHLVLKAMDTLPRNFDRVVLNLSSCLILPLSSMISS MPSHPPYRPAWRDLRVHHLYQIESNLDILTPITPSRPLPRSVTLNRILNRIRDHTMVL HSILFRACIPSFVLAFQQPNLPIRDGSFIDLQPLLSAQLPGQTWSRLTTRSYRSTCSH QLSDARPIHPPLIPRQLRSFWSFALPHRARNVWFRGLHNKLSCRALLYRIMPSTVSSP LCTICQVSIETQEHFLLACPLKSAVWTGIWLEFFGTVPLRCSTLRASQFLVSALN PHYBLDRAFT_177591 MTIPVEDIEGQEPECHKKFHFYDIAQQIVNKMRSEAHSEAHQTL RSYPGNTNKATKAKNAAKIYGIEHPGSTGVIYVMDRAMQNGFTYGDPEWANFGQGAPE VGHIDGCADKPTHIELPIESYEYAPTAGITELRSAVANLYNEIYRQDKESKYTSANVC IVPGGRAGLTRVASAIGDINVGYFLPEYTAYEQMLSVFKRFVPIPTTLEAESNYHIDP DTIRKEISGRGLGLIVASNPRNPTGQLIEGEELCELVKISKERHTTLVMDEFYSSYIY SHPEEENGRTVSISEYVDDVNADPVIIIDGLTKNFRLPGWRICWIVGPESVISSMQSC GSFLEGGANHPLQLAAIPMLDPEVFKNEAKHLQIHFRSKRDYVLERLEEIGIKVNVPP NATFYIWLDLNELPAPINVGLTFFEECLKEKVIVVPGIFFDVNPASRRELFESPCHHF VRLSFGPPMEELVKGLDAIERVINNFK PHYBLDRAFT_145784 MRFLYPITHNVRRFTTANTLKVEQKATRGWRKYVQQFRDKPASY VTTFAILHEVTAIIPFPFIYYALDASALSIPVSETAITEGNRFISKVRVHYGYEPLDP QSRVMINLATTYAAVKLLMPVRLAASAALTPFFAEKWVGPLVGLISRLGGKIRSPKPK M PHYBLDRAFT_181517 MVIGDELICIMFPITRPINRPANISSSSSSSSSSFSFSVSDSFT KSKGRLICKESNTIKDGLQATHIVDATKEQEDPELEHNSKASCSEYVATIQDIFYAKL KRIYWKSNPDYHASALKTTKTTRKMNFHRELCCSQSRGLQKLLVFLHLDDKQ PHYBLDRAFT_187083 MPSSGVMAYAQKKAGMTSSAFVSHMQQQQRHTSVASSSSSSYKC QQRRSSVATSRSTSPSTVSESNCGQSNQTPQPHLQQAFNTPIKGTGSQSKRYKCNYCS KEFTRPSSLITHTYSHTGEKPFKCPIEGCGRHFSVVSNLRRHAKIHSANTLSTSSSSS SCSS PHYBLDRAFT_168748 MEMMLLLLLLLLLLLLPMLPMLMLMLKNVGQAITITITIIVMAM AVSVSVAIALLALVLVLILILILNVINGSRRRRCCRHSSISSGIIAIAININITGVKD NVIIDININVGVGVGVGVGTGAGAGAGTGAGVHGLDRKSSAKPIVGSN PHYBLDRAFT_66001 MGLRTKLLWPLIACLTYLFFFTVRLKPVTDNSSELDPATIAAIE EEELRLLQQNEQDSSWQWQYIPHHQPDLEQLTANEPLETLVVPEVTYRPDEKFITFLT HSGFQNQLIQGKYRFENGILLAWYLNRTLLLPNAFLGEAFGWSNFDKLHLEHMLRDSS TTETCSEFAEGLVEWGIECPPRGRYAVLPFDEIFDLSWAKKHVRILPRQRSDFGWLEK EFDIQRDNVPNHVNGSYRDGDILFFKGETRYDWRIFDTPRKNHRLGKYADSLDIYQLR QRPERLLHFSSLFGTGKLPIRRPEHYEFLRNLQRSIIYRHPAVLTMAEKIVDRLGGHG DGWFIKALPENVNNIISQIQRAVESHQPGSLRAILRPTEQRLTLDQCRHLAATQNVTL VYMATDARSPQLNPAFDPVWNLFPCTFTLDDIFSPLSSDWDTLERVVDRNSGASLRKF LVPLVDATVASRGWFFIGSKGSTFSGYIYRLHDVFWSNEKRQQLGLEEV PHYBLDRAFT_125044 MSLAKQNFATTSEEAINQQINTELQASQVYLSMASWAQHSSVAL PGLEKYFREQAEEERKHAQHLIDYQNTRGGKVILRSLQAPETEWKSAKNAIESALQLE KDVNKSLLNLHKIGDSNGDPQMCDFVEATYLGEQVEAIKTLADMVTQLNRVGEGLGVY LWDQQLYREGTGAGSRAISRAD PHYBLDRAFT_112813 MAYDKIGGLGDQIKMIREMVELPLQNPQVFLRYGLKPPRGVLLY GPPGTGKTLIARAVAQEAGAHAIVINGPEIVSKFYGETEQKLRDIFAEAEEHAPTVIF IDEIDALCPKRDEAPSELEKRVVTTLLTLMDGASESGHQHSSKVVVIGATNRPNALDE ALRRPGRFDREVEIGIPNSVGRLSILSTLIKSTPHSLSQQDLEILAGKSHGYVGADLA AVCREAGLKCIKRCAQANKQGHLDVPLCVNMQDMQEAMGEIRPSAMREILLEVPKVYW SDVGGQADIKQRLKESVEWPLEHPEAFERLGIRPPKGILLYGPPGCSKTLMAKALATE AGSNFIAVKGPELFSKWVGESEKAVREVFRKARAASPSIIFFDEIDALTVKRGSEGGT SVADRVLSQLLNELDGVEALVNVTVVAATNRPDIIDDALLRPGRIDRILYVGPPDIDS RKEIFRIQMKKMSCDTDVDLEELALKSEGCSGAEAVSICQEAALFAMEEDLSIDKIKR RHFTKALESFTRRITPEMIQFYADFQARSGLQSV PHYBLDRAFT_98520 PLMSLTRDLNDTYQACNNGFQYSASHNPRRVLTKPSKPFGNEGF DNKDFDYILYVNDILGATEGHKYIILDVLGAGTFGQVVKCKNTKTQEIFAVKVVKNKP AYFRQSMMEVAILEALNKKHDPDDKHHILRLKDTFIHRKHLCLVFELLSVNLYELIKQ NQFRGLSTNLVRVFTAQILDTLTVLNEARIIHCDLKPENILLKNLESPALKVIDFGSA CNEMQTMYTYIQSRFYRSPEVLVGLPYTGAIDMWSLGCIAAELFLGLPLFPGSSEYNQ LSRIVETLGMPPTYMIEMSKNAHRYFERYTDDNGEKCYRLRSLEQYSREQGKEEQPSK RYFAAKTLPDLINGYPIMRKGSMTQKEIDKEKQNRLAFIDFLQGLLNQNHFERWTPQQ ARQHPFITGELFTGPFKPPY PHYBLDRAFT_66006 MKPSTKIPTKFTLASVAMLSTHKVLHAILGEICVMRAVNIEVKV DGSHKRKEYVSSSYSSEFNLIEDFRHLMKFHAKRSKFAGGEGLTTRVANVSNRITALA LLNMSQHSVNMFCKCL PHYBLDRAFT_168755 MLPRIATAGSTAPKEPNKAASFPSSRLARSFKGVRQLWKPRHAS TYDTKAQLQTSYAKAGMSAVAMTLTADLRTKADMHSITLLHPSMDFIPHGGHLSWPSS AANPRAFVHYEPNRDHVAMGSNPDTKYSNSLWLTVDQYAWLIQQLQEEQSVHDALKAD HGSALFAAPSPGVTSWGAFIRPFAASPAPMLGVLHAHICTRTLARRLARLVLRAKHRS MPDIYRHCLFMLPKALLLQAATFIPQTKQLPLLELDLSYQRALREPFESLSPEFHQRL LRYADRIALSAHEVAPVRMTERSSEDQHHYCALTCRKCQCDTNLHMALSAISPFSGMN TSRFSVSSDQHMSGTIPTVRVSTHHSSNNIIDSTEDSLGDPTFVVSFSQAMFGTDSLS SCQRVPQSLENISGQRTTPQTSLSVHYTESLVSDTVSMSSFPAHTTSFSNSTKPEKRG YVAVDHQNEEILVVFPGACSSDTLFENVSYTPVPWEEAEDNMGNTTDESVTHERKRSK SRNFKSSWSRASFLKHRPESMEVTDDQNAKSLEPDQDISPWVLDYALTAWRRCELKVA TLLMRVCHATPAHYKVVILGHSLGGAVAALCASSLVSTRLLVDRSVTLCTINSPRVGN RAFVNHLTAQNIKTIRITYPSDLMAHLPPRTSGLVHAGSTTVLMAPVDSTCDMQATML INAVNPSTTEDLLARTFPPTTYHTRRHHMAWDINLCPEDCNVRKKIMAS PHYBLDRAFT_187088 MLKPLTVLVLTVGLLASAELHCQDPSNCPFYPIHSCFATEKGLV CGSKSDGGFEMSINPIETFYYTPFNVENDTGMPCITIPLSDELYSYVDYRGPTVMDLD DLELIGNCSRMSYCDKRKRTCQPKRPVGSHCSHNMECYFGMDGFPGHCTNQSVCSIRE GLPAYYYTPSNEQWTVGDQWQSAVYALVITGALAICLIFGRQQASNLASGVRGLYDKW NNRSVQTRAVDASSIPPFQDEASWHHYRGRWWKKVPGLGWVYKRFKREPTNDDEAYLH LTRQERVDDPPPYRG PHYBLDRAFT_168757 MSQIKKELDNVSIEQIENAPESRLRRKLREIKESIYHKPDKLEN PLKLLININNTQRITFVAAFFGWTLDAFDFFCVSLTVTDIAKDFDVEPSAVTSAITTT LMLRPIGALIFGALADKFGRRWPLMIDIILFSIINMASGFAPNLKSFIALRALFGIAM GGEWGLGASLALEALPVEARGIFSGIYQQGYACGYLLATLVNYAIVQTNSSWRIQFWV GAAFALLAIVIRFWVPESESFEKTKEARKILGRSILKETWVVLKNHWLRLIYMVILMA FMNFLSHGSQDLYPTFLTTQLKYTASQKTSTSVIYNIGAICGGTIIGYYSTYFGRRLA IVVCAIMVGAFIPLWAYGPNIGSLQFGAFVLQFFVQGAWGVIPAHINELAPAAFRGLM PGLAYQLGNLISAASSQIEATIGESYPLKNEDGSLKLNAEGKAIANYGLTQSIFMGCV CACLIITVLVGKEERNRDFNVVELENEKSGGAVGPVDISELSMEQGIASTSEKPKSVS SKTDANRSDLEIENAK PHYBLDRAFT_181524 MTDTTSSQSTAPTSRSTSSTSSTTTATTGLESWLVRRAEWTRVP DQLPDRPTNLPPNISVEQRDAWLDVVSQSNQLGIYRALVVDRRKLSTPLPLGFAIKII VQGWKNDGTWPEGLEAPQDSDDGLL PHYBLDRAFT_187090 MSTNYSSAFPPLRTMPCHLSLKHKLQQRSQQPALYLSLAPPKYP SYLNHTLYADLALEQYDYLQNPQRNRTNSMWETLDLRLPSCWNDRDRSRNIKVGANGL DLIYTGPGLREIDAASVRANFPIRSRCGVYYYELKVISRGHDGFIGIGFCGLNNQLDR LPGWDPYSWGYHGDDGHSFAGSGTGKVFGPFFTTGDVIGCGVNFADQSVFYTKNGAMI GTAFHSLRLTLDIYPCVGLRTKGEIVTVNFGENAFAFDIVQYVKDQKKKLHKDITHTL SPGPPLHNTAESIKDIDTPTSSLLNHLVLSHLAHHGYGKTVNALLKNVSYTDSSPLSL STASPTDSTWGEKDVFERQSIRSAIMAGDIDLAIRLLDTHFPDLFVGNERGRTALFQL KCQKFIEMIGKYAEKECAQYRRKERCLDLTDSIFSDDDHMSLGSNGSISAEGENEAKY KQRTTDLISTSPINLTKVFPVNAPGRRLSYAAITASSYSVLPPTIPTHSRSTHHTLSS HNSLANIPPRRRSSSSCSAFSAYSSGIKNRDILLEEGESALISQPTVDTMKQIMTYGQ QLQEEYRSDERTSVKTRLVEIFSLLAYPDPTSSPVGYLLDNSGRSILATELNTAILLC QKRPGISPLEQVYRQALVTSKELAIHGHSKAVMVNVEESMCRPSPSIP PHYBLDRAFT_125051 MTISRSFVSTTKTDETVVVAPGGIELPKLTEKETFDEVFEAPPR IPATHGIPVCNLHLRGYLPQQLDFYADFARRAAFHLGMPCSGTVPLPTQTSRWTVIRS PFVHKKSQENFERKTHKRLLQIKDAHPEAVDRWLRYLTRNAPAGVGLRATTWEFESIG VGKKMSSKA PHYBLDRAFT_181527 MPQEVEAVDMAGHYPPKKNYPILQQWRAVLCGLAIGSLMCFSNM YFGLQTGWISMMSLQSSLLGYAIFKPFQHRLRTRFGPIENVVLQTTAVATATMPLAAG FVGVIPALELLTTADNPDGPIRLSGLQLILWSLGVAFFGVFFAVPLRKQTIIKEKLRF PSGTATAQMISLLHQKQDPTKPKDTRLRRRRRTSAENVGPSNPEQNPLLSPVGSSSYS SASSTTSLTEVETAVQREAFETSWNLKLRALVMSFGLSSLYTLLTYFFPIVSALPVFN WITFNFVDFAAWEWYFTPSLSYVGQGIIMGLPTTLSMLLGCIIGWGILSPLAYYAGWA PGPVNDWKTGSKGWILWVSLGVMIADSVVSLGIVFIRSLVKAIRQNASKRPNQAPKSA YNRVSQSETQQCLSAEEHIEEEWEEADLNKIEEEEDDAPEEQRVSTTLTIIGLTLSTF MCVLLVKVVFGPDILPISMTLLSVIVAMILSVLGVRALGETDLNPVSGIGKISQVIFA LIIPGGIAANLIAGGIAEAGAQQAGDLMQDLKTGHLLRASPKAQFYGQMIGSFFSAFV ATGAYLLYRTVYSIPGPEFPVPTAQVWLDMSRLVNGHPLPPHVSEFAFGFAICFTAFV LIKETTANRTWHKYIPQGIAFAIGIYNPPSFTLARVIGGCVSHLWNVYCDKLDNVKED NENLSWLDRHRRVAGKVLIVIVASGFVLGEGTFAIVNMTMRACNVPHL PHYBLDRAFT_168762 MVKSLYDICIQCLVDHSQFITDLEGVAYNPYVSDLMNSLFSSRG KRLRSNILDVIGASQGEGLRKDKKYGTIELTKHAFAFNQTNALHYIANWFPRFVCRLN LSNTDIGDKDILLIGGLINLSVLDLSWTCVGDQGVGHLCRMTDESRLDGNPRIKFLEM LSLTGNQAVSDASLLNLQNIKSLIGLDLSFTDVTDVATVVLSRIGYKLANPVSNPETP STEPIPMIVWESSLWWNNTSVANTYTDFPLHKDVIGRDSDVSAYLSRKLKSVNLNLGI REYTNFTSVKPKKLNGSRMVYVRSKENIAIQNISEPIKKPSTPPVNTTSVKTQWTSSS FFDMIQNDLTAPNILESPENNILS PHYBLDRAFT_168763 MEAVQTFGRKKTATAVAHCKRGRGLVRVNGSPLALLEPEILKFK VYEVILLLGEERFSNVDIRIRVNGGGHTSQIYAIRQALAKAIVAFYQKYVDEASKKEI KDILVQYDRTLLVADPRRCEPKKFGGPGARARFQKSYR PHYBLDRAFT_112669 QERENNVYMAKLAEQAERYDEMVQYTKDVSKMGVELTVEERNLL SVAYKNVIGARRASWRIVSSIEQKEESKGNSAQVEKIKAYRQKIEAELQDVCNDILNV LTDNLIPNAQGGESKVFYYKMKGDYHRYLAEFLTSDARKESATQAHEAYKSATDVAQT ELAPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSDLQEGAYIINN PHYBLDRAFT_168765 MSEASGSAGPVVLSGVKKVELYKKVWSRNDQIFIFVGLFLLSWA ITWETTITSTVTPKVTSILGANNLSSILSTILYILQTALLPMISKLSDFTGRAEAYSF AMTFYIVSFIIMASANNYPTLVGAKVIYAFGYSGTTILGPILIGDMTSIVNRGIYQGL YNAPVLINLFVASSVGSNFVESGRWRWSFGINCILLGVTSAPLLAGLWNVQFKVKRSG LLVKAEEEERNSGPRMTLWERIVWLAIEIDIVGSLLLIGGLCLVLLPLVLALPKWGGW SSGLTLGTLISGVVCWGLFALWELKFSPKPIIPLARWESRTPLYGVLVTSSITLISST NWQFLATYLQISRRVDSKTAIYLECGYNVMYIITEVSVGFLMARFKVWRPFIWTGIAI AIVGLGIMIPARLPTSSDAFVVVSQSIVGLGTGMCFIPVLVAVQSSVPHNDLAIVTAL FQVGGSIAASVGSTMAGAIWNALLPTKIAEYVPGEYDHAQIISSITYVQSLPIEQYDG AVKAYGEVQKLLNIIAVSLSVLTFVFAVPMQSFGLDQTEETRIASGAKNTNGNPNEVP SILSEDDISKSDIKPTVSPA PHYBLDRAFT_168766 MYQVELHQFLSETTTEERYFFNLKLNVTFSTWKQSIFASEYTIK YGKSRKYGLRTLDLNDIAAGASVSSYISLRCRDLENMELTKARIYGSTTNETMDLKID MQFTRFKLSRLDHLTGIKQSNAKQEHVNIKFVNDSDNNSADVKQLSEEKAFIITKYYN DFHLKSNNDVQGAGKSIKKQVHKEDWQKDLSRGYVELKFDHIIKYSISLL PHYBLDRAFT_66020 MNIKTNLKTTLGGLNNFMSSTLVGILECDSIIKEATSIYVSFRL QLSESLSCKGNSTSCRKFNFVEEWNKYQNLTVIVWLSNNPDLSSIGKLWSYLTRRVKT RV PHYBLDRAFT_145804 MSSLIAAQCFLVHVFSVFYAQRPFHCSHGEVLHVKFMYQFRFAF KHKYFLNPTQLSASTSTFQAFRSCLLVFKGMLMERCTENQLSIFTPFAWSLSTSYSIG HDISKGIYSLSSLKVPWPKIGPYFERFYLHLLQWKRNQKGFRLGLYCLRWRSSNAYQQ VSSLHSMEKVTGGTLDIGSPDFVLRGMSRLSQKAPGREVMQVDSSKIARLPKLDMRDE PEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_66022 MSSKKLTASDNIIHKPRLICYRCAEESQPSLLAASKSGSEPNKV RLVCSKSQISKERWVYRQYPGSQGLDYSEVGDTRPKNSPYSYLCSKTITDILNIIEVR ILSCFSQLLVRVSVISGVYPLLNIVKESLSHIFCTCLGSQHFILIQFLQKFPTQKHLR IIVLTFTNFGY PHYBLDRAFT_145805 MPYHEDTSSQYPLDMSRINAIASMDPDEFVRTFEIGSIVSIDDL AVPTEHNNTYVFNNVRIRSTINPTIQTTQTTEQLLPTQTIVPEVFETNQATSSGRSLR KRSNIQKHPYTIDSATYNSIVDKIIATAPSADAERRVLQSYEQNPKRQRTRESRYESD SSNEDFNCADDVDGMEEDEEIQSGPYDLCSEDDTIEDNMDLSIPEEPHRTSSKEIQKY LHQPSDDEFSLERSLEESQTLLLPNSRLKQPSQAPARALITYQGKKKKNKNKNSGIGS RKNRPSTFMQNSVVSVPKNTTQLFSTSSQSLSSSTKYSISANVPVIAHGDIIDISEDD ETIELSSQEDDEIYGSPGQLQLNRTRRMKNRYIALEDSDNELEEASVEDVFEFPEDYD QFTKRSYRRKALRDDEIDVFSQESRESSPNSLTDYSEKGLCGVDEENIAFTSRAFKTK PRRAERNKSPEDSFIVPDEQYMSAQRVKAPTIDDLQNKKKFTRGVLPLSFQKVYSNEI ENEKYRQKPQTYQKTIPKAKSSHKKPIPIQDSDEDMSSTEESVKSNILSGSNNGSNKF VLSSSKGNDLYLLSDSEDESPLLTTHSSNSGMSTFPTTSQMEKDIQSAYLFSKSVSQP QRVRRKKPGQKKKTIPYKKPAETIPTNNILPYRRRREPEPQYTRLSIEFNIEPLENHS RLDNTIFLKKRFFESIPVDDEMDVDSPYNSVCLPCIPTATFGKDTGGKQTIDDALSVI RTLFWDCFKCMSSPWDIDGVFNEDDIMPKCTEFFNYVTFCLTDWIPSLKTEEDRTKSA KFFRKNIEALSVRIMKLSGDDFYADDITIAQEILESGVWKQLVTLLLFTLDWLIYLET LDPQGSEDFNDPLELLDSLVDLTLQDDSKGSDIASKNKFKTILSQKNRLSIPTRERVM KKVDYRFCTDRMINHLLRLLMTLGYEYAKITTRGSLVCPSTPIVTEAWIYLINLLRTR LDSNTYIGPETTEKSTTYLCQVLIDHLKAESVDFDMSVLDKGEDIWNWLYTLQRIQVF NHEGQYDPVYIANFGHCTLVGNILNRVCELPDEHHPRSSRKRTICSNFTEYWETFLYR CQNFLGIDQG PHYBLDRAFT_158931 MTDLDRTLIDQVKIIDLNEITGTVVDAVTRRIPITIHHRDRLGM EEWEVEAVVEIEGILIQKTIVMETLTREVMRITRLVVLLLHGRLPILLGDHPMRTIHT VNRTHLELLTLILLDARRQ PHYBLDRAFT_39244 MTLDHKNKLTPNAGGEVPEVDAAHDPATAILRRKSAPNKLMVDD ASSDDNSVITLSNNTMERLGLFRGDTVLVKGKKRKDTVLIVLSDDDVEDNKAKINKVV RNNLSVRLGDVISVHPCNDIKYGKSILVLPIDDTVEGLTGNLFETYLKNYFLDNFRPV REGDLFVVRGNMRPVEFKVVKTDPEEFCIVSQDTVIHCDGEPIKREDEENNLNSVGYD DIGGCRKQMAQIRELVELPLRHPQLFKSIGIKPPRGILMYGPPGTGKTLIARAVANET GAFFFLINGPEVMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKTNGEV ERRVVSQLLTLMDGMKARANVVVIAATNRPNSIDPALRRFGRFDREVDIGIPDPTGRL EILRIHTKNMKLGDDVDLEQVASETHGYVGADMASLCSEAAMQQIREKMDLIDLEEDT IDAEVLESLAVTMENFRYALGVNNPSALRETVVEVPTVKWSDIGGLENVKLELQETVQ YPVEHPEKFLKFGMSPSKGVLFYGPPGTGKTLLAKAIANECQANFISIKGPELLTMWF GESEANVRDVFDKARAAAPCVMFFDELDSIAKSRGGSASDGGGAGDRVLNQILTEMDG MNAKKNVFVIGATNRPDQIDPALLRPGRLDQLIYIPLPDENSRLSILEAQLRKSPIAS DVDLRVIAKHTHGFSGADLGEICQRSAKLAIREDIEKDIRNEKERQARIESGGNNDDI MDEDDIEGVAEITRAHFEEAMRYARRSVSDGDIRRYEMFAQNLQQSRGFGTFKFPDSQ QSAADGVRAMDGVSNTNTGFGQEDGDDDLYA PHYBLDRAFT_66026 MSLPTNSSRSFLVMYLAQLAANPLRTKAITSSVLAGCQEAAAQK LSGQKHIDKRVLHMAAYGLILSGPLSHFLYEIMNRLFAGKTGNKVKVGQLLFSNLIIS PIMNTVYVSAMSVLAGSTSINQIKQAVKSNLLGMQKVSWVISPVSMIVAQNFLPQATW VPFFNLIIFFFGTYINTMIKRKRIAQEKAKRP PHYBLDRAFT_145809 MQDKAQSSKASYDKAALRERKKILGSDPEQSILILILKACTPTF SRPDFGKTLQSIKANFVQRDYEGIFTDAENLQVYSAAYVPGRALCYYEIFCRPQLSRV LAKNSLVYAVGSGSGSELVSIAAAMTRAPADRQKVHLAMQDIGEWADVLGLFEEKIRT DWKLTTDQLTCIYEQGDVLSTENEARRTELISSADLITFMFVMNELFVKKAPAMALIQ SLVSSMKKGALLLIVESAGSFSHLKVGDKTYMVYTLLDAVKDLECLISENSLWLSYLP DFNSSNENSSTGSND PHYBLDRAFT_66028 MPEIAEVENARRKCHLNCVGRKIVKVEVQPDPIVFAGKEPADIV KVLENKKVVDTKRWGKYFVLSKYKQYSWYIFSMLNEKQYICTPNGLINIVLDKGPHLV CHFGMTGTLKFKHNVDNLQLHNDKQAKDSTWPPRFYKILLTLKGPQDKSPMDMALTDS RRLGRIRLVGGDPLKSEPISKLGFDPILNLPNEDTFTALVQKRAMPIKALLLDQSFSA QFTYTKTLKFGVGNWVADEILYHSHIHPAQYANTLSTAQCHTLHQNMEYVCRTAVEAD ADSSLFPDNWIMNYRWKKGKGKGNGVLPNGQVMAFETVGGRTSCFVPEVQVLPESQKT KSEVKTKVKKRPIKEENDQITECIKKDGMSRAERYIKRAKTKANSLSV PHYBLDRAFT_133839 MNPITVVTGLAVLGTYLYTAKKNQRRLAAVPARKERVVILGCSS GIGRETALTYAARGASLVLFARRMAMLESLEQECKDRGATKVLVVQGDVGSQVDLEKI KTRSREELGGLDTAIYCAGMISVRPFFEACNIEFQKSSDQKSHYTAIETKSTSSYEAL KKITDINYFAAVEATRVLLPLMIETSDMPNWIVISSMAGKVGAPTRAMYAGSKHAVHG FFDSLRVEVEKYGVHVGLVCPGTVDTELRASAVDRGTGEIAGSTKGKLSPQSVASRIV AASDRREREVYIPVMFGYAAVWAKLVASELVDWAAKRKYSSA PHYBLDRAFT_78263 MLLANQYNLSPIDQSESREHPMDRRQSVDPNSRILPNIFRPTDY PGCPSSVSMTMSMQMPTPSNSRRGSSADYIFRPQLPSLGSPPHSPQHHLRPSALDHRP DLTGRFLSSLGTNSQSLSSVAPSSLSSLSMSSSPSLSSSPSASSSWRRDSLPSIAHLS VKDHYQPSTSPPPHPHPRSLSLHPSSPSSSLARPIDRRHSIAVSDRDCRNAPTTADLS TDQSSSSSSSSSTLLLLSSASASSSVSSSSALTLSSSSMPRMHSFTAGPHDRLSRRAS AVHAPYSRSPELRVSHKMAERKRRREMKDLFDELRDLLPIDQGLKTSKWEILSKAVDY IGDFRHKEERFAHEKEELQRELARLQDKRSFSIS PHYBLDRAFT_181537 MHCLLILIATTVVLGQQPTLTTTANTTLFSNPIPTPTTTTSSTI FTTTSTINSIVPLPLPTLVSVNLSILSFSASPTTSVLTHFPTPTRQASSSSALSSLAR PSQSAPLPDDTVGKYGRLHVSAASRTTQSSVICLIAVALFLCCNLI PHYBLDRAFT_66032 MILQPEVHLSTAKKALLGGRRLLVGYYPFLVESDVLIIPNHKFG FAVRNQITISGPKIVTQHVTSRHYIPTGNVVPFPYGTFRVGSSRFTLYNYKRKFLNLC NFHCHVNLAINW PHYBLDRAFT_168777 MENWTLQNTSSSVVDTVNGLVNNALWKFQSLESLGLSDDGLQST DPLGFDHDGNPTDEDDFQQEVDKLFRQSLGIETDCAHLTPLAFDSIHRPTTQPPHPPL LTPPPQPQPQQPQQPQQPQQPQQPQQPQLPLQLQQSENFVDTPPQPEVRVRMYVEEAA QPKRSRSPSSATERKVRLRINPPPEKESPSRPTPTASDTPDKPQLRFHILKQFSPNPA REENKDPMKPDLDEKNLRRLTVATLRTLGVHTTVDELPPVDIAETLPTDSQQVLPAAA MQVITAMILGTSGDKDVSQTVGSQISNGDLAALQRMIVAGLRARSIVIHTTPSAQPPS SADSSAQSDSSPFSASASAVHHGTLPENVHDLSGVKYEPPTNVTRLFLRLYRFIINML LSTPDCWPFIQPVPETAVVYHQEVQHPMDLFTIEQNVWQGKYRTFAKFEQDMQLVWKN AKVFHRNTGTIPKHADNLEQLKQSHSQGSQEFKFDTSNSLPEESDFSYPPLRQIYSKQ SKVYTISAISPFEAKAKKPKGLTNEKQLYLQLNGPFFQAVERMKLDPLANHSIVPRFY IAKNRTLLRQVKAQGVLAIFYNTKANRTRSKRFQIETDIILAHPVSDLFDIDQMNVCT DDFSPKGWVHLRPLKLLEHIVFEVNESIDRDYFRRMFATCKMMSVEEKNGQDTPETRQ LLEKIVCKILGIPEEEKAVATTATPATTATTTTTALSSAVQSAVKSAVKSASIATSVP SALASSALSPSKAEPSLSASSSPAPASSVSPSVGVVVTAADSKDVLTTSGGVKKEPTS GSIALDLLNINESKSGSNPSNCQLSISSAAVHTKTITNSLSPGLVKQDNNNVSTNSTK NVKDERTAAQISADATRLSTPEKEHTVWKKLLGVCQAKGIEICNIEKKYTNINWSSPN SEGFFKQVYFLESVVVQTFRQMTMYQRITEVACLMKLKNLPHMAQMKAIIYNNNGDIA GLSMERYQTTLKQYTHVHSHHRLSAYQKYEIIHQMLICMKTIHEAGLAHRDLSEVNIM INTIDGQLEDGSSKICMYLIDFGKAVFCESQDVRDWFVDVPRASWEYDGDVVPETEEE LATWCESLPWVKGKPDHGYRMYRSIQTLPKTRSDNQVLPWLIHPQAEDMYSIGVMMWK VFAETEPWRGILDTDLQGLRYVAEDDYRIQRALEREVHGELSRQLLLKCLKTRPQNRD TASDILTWIEQDTVKAGLLAEWKMYSSDTRSSRKAKSMYGFEDIEDEEKRRKRRKPLL TGSGNGSGSGGNGGGGGGGGGGSGGRGRGRPRTKQNLPESAETGDSTNHRQATIA PHYBLDRAFT_168778 MPPSRTKPGPAFELRFCDNNIVQVYDLTRRQKPAEKHLLPNEYP IDDVLKGRLRYSKLGNQRLSRLSGGSSKFQAFQLALSRQHSKETLADIETESNEDNDE QLKDRSNLPTNKSGGNIGIDGGGNKRRRRRERTRTTGSKSESESESEFKSKSKSNTKD SIYPSTSNSTKIPVVVKRQIEMDEKELAEIRKGQEAVRKAMERKAKIQNARKNAKRVI EKEGLVDTNDNEVCHTNTRPALNGVNDLPNNNNHFTCSKVPEQQTVLEHSSGKDASDK MLYEKVSEQESFDRAIQKSSFSDINTPIPAKISRIHSTNDSFESNGRTSGETVDTIIT SQRVPTKYFSLASPTPSLQLSHSRRSSNETHLPPLIETDSIHSNTHIKQAHQPELEPK RERERERELEHLEQPQHPEPTKFPHNHKQKYQYHEQQQEYHQNQRELFSTSTSAGYVG NAE PHYBLDRAFT_155463 MVDQLNVNYEYLENALCNTTGTTPLAERFRALFTLKNIADDRSI DIIAKALADDSALLKHELAYCLGQIGNPRANSVLTKVLADPIEHEMVRHEAAEAIGAI GSIEMLPVLEEYLKDPNQSVVETCELAIDKIRYDNDPKNKAEREANKSCYNSVDPAPP STSTKSIEELRSQLNNTDLSLFERYRAMFALREIGTPEAVLALATGLKDKSALFRHEV AYIFGQMQHPASVPALTETLRDSKEAHMVRHEAAEALGSIATPQVLEILESFRQDNEQ VVRESCVVALDMYEYETSGAFQYADGLEKQGEAQNMHSLKLA PHYBLDRAFT_168780 MAYSRYPPSQSQPYDDWGQNSETNSTSSRSMTNSRSHSLASSAS RATSTSGYDTTARTYYVELKKHLQSFLQKEAMEGPSPQRVSARHKLSKLNNSQFHELA MDVYDELVRRNIGDKLLPFLAVRPDFHPKRNQARQKLATLPMLRFTDLASDVYYELTR RYPQVTDQEDSHRPPMPPMPLLTKQLSNSTNPQPPSQATQIVPVKGMINVERGHYTDD DDDDDDDDDNEPHSPYSPKSPVGHHQEPDWPKGQGQAQGLLSGGLGPKPIANIIHKDK NDQDESLDMLMNDLGNMVRNSPSTPQSAFNESRSLNTKLRQEYEDQIANLTRRIRQLE NEKEAKSSSNLATEKELEQLKKADKENSIRIEALQEELEKWQDRYQHLEDARLEQQQV INRIKQETLPLLDELHRLSESNATLQIDKEQADTRVQEYAEELKQLETKYQNARLELR SYKASSLYTTELYQQDLSKSEFLKPTKDGIILVDNIMDYQDCIHKLLQVARSSHPSGI LSVMSRLVKICRAVTSDIESAEASGQLQSASLSLSSDKQAKLGQLKVGFSVALSDLLA ASRNHVNGKGISPVSLVDVAAGSSAPPTRAEPSPTKGYFSRQQQQQDYSSRVATATKS APTSNSTSTSTSTSTSTARTAQSPRIEHNNYAPQPNQGYISQIQNQSQGQSQNHNYHT NQAYNEVSTNYSPLSSPNPSRGERSLSPQELASYLKTETEHIVEAIQGLLSALRLPPH QSGQVKSIISSIVDIVTLVVVRSQSTIESAQGRPYRHRGEHVLANLTECNETLVRIRD RFFGQANQNHMSTSSSSSSPPPPTATAAAKRDLAKEAYEIAKYTKELISIFEQDD PHYBLDRAFT_168781 MLGNTRRFIKVLLGVVFTVCTTRQVIGYLFTKSTGWAVPLLFFS DSAHECSQGLAPFLFALLVVQSLNIEDKYILIYGDEDSHNKLTVHKVVLQFLMCLVNY TVKNILWWSLTGLLTGYMTTVLIQSWLAREKWYDHHYYRQQQIHQIQIQMQQQQQEGG GGEQEPEQEGEETKDMNEFIVQERYRRTPLWRILWFTMKKAAFVVGVTLSVLLICNSY HTREYLVEPATMNGMSNDRYMFTFVFMTAPRRSNPPYLTRTLESYLANWPVNPAPNSL YSRIQTVVYTHFTNHSQFDAARERFANDLKGQQYIRWIREEGDQLNQRLHVSKALDLV TDNMQTTYIALMEDDFPVCGAHEWREIENVIYKANQQVPNHCGIFVGTGGSGLFLKPK IAKLVSRLLLQYDTMPPDIIIQKCLLGELPECQECSQSLVTSKTLLMYHIGYNTSTSH DRTYKKNDFQCGWRHPFNGDPNVITL PHYBLDRAFT_66038 MTGRGHRQRSFCDLDFLPFNMCWYDCGCGCGWDWDAFVNCLGRW WRILYFEFFRAWVRTGEGTDIEIGDEGIVSTKLRVRVGTEIDKGKVEGKCELELEIEF KEEVEVEIAVAVDDDNDDDDDDVVVVVVEANVDDADFRLVTSLLLFFVLLLLLLLLFV VVVDAFVAVVVAVGSVIVEVGIVDDDVDDGWFVDPDTSWTI PHYBLDRAFT_158933 MSVSAYASTAASTAASVSTLVYVSTFASASTLVYVSTFASASTS TFAIAIACTSTFASASASASVLVGVAESVDINVVVAVVVGVGVGVGVVIVIVLHI PHYBLDRAFT_158935 DQRSLLQLAHLHGHKHIVRYLLRDTNKDLKPQIKHGNKDDINHD YLEDWPLSGYCLTNQESPVLAQSNQGCPDETFEPKPSVHPCQLIHDDACPLQELICWP EHISLDALERSLACQIIL PHYBLDRAFT_112684 MKEISANTNPSIPKEEFYSDLVDQINAITEDQTYWVTNLSNASS VIYHGLKSLEHFQKKPINWAGFYLVDPKNEKNLILGPFQGKVACTAIPFGKGVCGAAA ATQTTQLVKDVHEFPGHIACDAASNSEIVVPIVKDKRLLGVLDIDCEEVEGFDDVDRK GLEAVVKVIVANCEW PHYBLDRAFT_78266 MYLIALILYLLIPLSNGQQCLSLANSTACPAFTNYYVSIGQASF VTYPWLANVTSIADFDLGLLSYINSSAPWQPLGCNGHVYPRFAATVTCSKLVLDYSSS LPCNLENNVLPKLLCRSTCTSNVNSILSLIQTKAVTCIGDEDGYQQILQNQRTACEST GEQSTCLDGLVNEGGCGFQNDQKSMCSYCQTVNTTLDCCKGLQCKSAADISRTHRNKL IGIIVGVLGGLLLLGLTILIWWWCFWGKKKKSQKKKKPRQGYSQAESYDMVPPTEPLG DEPPIDTWSGVPGQPGVIPAAAVMAKNNQNNIIINNSNTASNPSNINSNRNSNSNNSN TDGEIEGVSKVQTYLEGFCQVIYSKEPQHEDEILITQGDIIRMYYYFDDGWALGDNLM TCERGLFPLLCVVTMDPTEINAIFAMAELQIPQDTIPCDDQDASSEGSYRLTTSGVQK LRRSATLTNTRTPQPPEEQNILLPQRSASMHSRFRAARSSLELQRCSGEHSSSWSEIA PTASNSRTFLNNY PHYBLDRAFT_125069 MENSEGKFVDLYVPRKCSATNRLITAKDHASIQLNVAEVNAEGR STKSFSTYALCGFVRKNAEADDSLNRLATQDGFLKNVWSYQQ PHYBLDRAFT_125074 MSDPYDCALDLMRRLPPQNVEENLAKLLDLVSPELADDLLSAID QPLKVKRCSKTGRDFLVCDYNRDGDSYRSPWSNEYEPELLDGTVPSRTLRKLEVAANE AFDTYREMYYEGGVSSVYTFDIDDKFAVVVLIKKVGDGLKRMKGAWDSIHVFEVQERG RNAHYKLTSTIMLYMLTSSQELGTMNLSGSMTRQSEQDYPVDDPAAHIVNIGRMVEEM ELKMRNSLQEVYFGKTKDIVNDLRSLGSLEETRRQAKIQQELVGRLMERNAR PHYBLDRAFT_21319 LDDELQSRWFDFGGDTIINTNRQIRLTSTKPSQSGYLWSRLPLT ATDFEIEFKFKVAGSSSHLFGDGFAMWLTKQRAVTGPVFGSVDFFNGLGIFFDSYDNE RAHRHSFPYVRSMLNDGSKSYDNSQDGRMTELAGCEANFRDREFPTRGKLTYHKNNYV QLELMWREEDVWEVCFKQHKVELPDQVYLGFTAHTGEVTDNHDIISVTTRTLPPKPIE SLPEVPVTKPKKNYGGVWSFLFKLVAICGAIGVLFVGYRFYENKNRMKRF PHYBLDRAFT_101235 PSLVIEPTEPTAIWGNMALSSCPGKKVRLSGPVRGRAAINRDLD LDFERMASFNITAIVCCLDDNELDFLGASWPDYIQAAKTHHLEVIRLPMAEGGCPSTL QEVHEAIQCINKNIQHGQNVLAHCRGGVGRAGLFACCWLLENRLCLTAERAILYVRTR RSAKAIETMRQAEFIIHYARFVNQRAYQLP PHYBLDRAFT_145826 MIATSPCVRTQMMHTHACPDIRSYFEMANPKFICVKGYASRSDQ LGISDFSPSHPHSRRSLIDMVWFIYQTKQGELEIVTKKGRLQLATGNAYSQETKTRVE GHLRTLSVVKEKQGYFRRTYPIQANPESRHLAGLAKKHMKCLDWDMVTTRISSSSSFK TIGSGLQEVVNDRAQGRSNVNHKASNKAIFQGLFLSLDFFGRPVTGLAIIQPFREYQR ELVSVGLYQKGSWCGKPRSGSSSAIRWSNPFVVDPIAASWHIVSTGSLVVYCGWFRIP PAGGQGPFRPVIEEHFAVPSPSLQMMIHIPRSIPLLTKQILSIKSYSALSTPIMWKIP KPESCASMTAARTNRGAFLYKSKIWVVSPSLKAKSISKKGSNAKFQQQIPKEKPKTKS EAYTKSSSPKGSKEIQIRGLY PHYBLDRAFT_145827 MNTVPHSIHSPWLKHKTQATKTQNPGTEQARDPANRNLQKNTGN LANQTRPANRTTVAQKRPRIKDSGSPTHLRGSQQEPYEQKVQANPTAVQAPPSNVYKR QIPEANRIENQSSTTIGYTHM PHYBLDRAFT_72250 MNSKVYNALCAAFALAYLLAPALLFIPVMLLEASPLPSAFCMAK PARRRPSMAEVGATPSLDVRPNGENRVEAHDTVMRQTILGLVSNWVGSLWSTSSRTTG EPSGSLLSERHGGIPGHMSVDNSSSEEEDVVCVGESSVFFGVGLREYIPHLLPLDELE RGIDTMVFPVDGVAGTCGETHADLDEGVSSELVDICDEAEVSVRLRFICERFFSEGFA NPRLPTRFLDAMLEEELNDSENKGHCDSLTEVAEPECGLVPKPVEETFVFEQESVQTP SGLNNAGLKDPKPKFGTVEGLDEAFALSSWQSVNGFFGEFLSTGLPTPMEIDDDLAWS EVVEVENPMEIDDDVVEVVVPDAICGEKLVAHTCNPTVDDLQHVSQSAKKDCNANKIP TCVPQQVVDDKVEHDMTRLDAPIAVTALKPQSRGTKKSGGLKKGGESLPASVSGLLAD GAEKVGECASAVLGPKGHSKTAKPASQSGKKKAKDTEVATTTEKASGSREKQPKETKA ETKVSMAAKLKALASGAHMEQPKDPKVESSTSVGKPKRSLVKGKKSAKSFDAPTNNGL SAAEFQAKGF PHYBLDRAFT_72251 MRDSGIPSKGNCLLVSIVYLNWEHSYIVDYMRGSNSWTCFKNIE RLNEDKEKGLSFIFKVNLIELLGGQTLAKSLNLIKVNCIARNLDVQYRQELLVYHGTL T PHYBLDRAFT_168793 MSTIENINTDLTHIENPIRQIPRLDKWEDIPFPSIYDKEFSSRW SLKDRQKFDESIRKRVIEYRKEPPTEKLMSHSYVEQYLPFKSSQEFNDSHTSEDGSIQ FGKILEAIDDVAGVISGRHIECMKVNDIFVNLTVGIEQIYLNMPDSIEDYKLSGCVAY TKGTLLVVVLSLEMVKHENITMDTRILRPIVVPGLGAQNQNTVAIFEATFMQFNTLIG KPCKVGQLKCTTLSEKLLFDRTEKKIAAQQNNNQIQQKLALCTQENIISNCILNTEQD QGSDVLGSVAISDTQVESHGITTPQDRNAHGTTFGGYLVHGGYKVACSAATHFLQSTA FKLVNMNDICFILPIRTGEHIKTSAQVIYSDSRSNKGFVVRVRIETLNIKTMKYKLAI LMNTVFAPIDQSTPLKTLQPKSNESDLWKQGQHIFNQTYNVDLSYPYKSNQLGPRSHK L PHYBLDRAFT_133851 MADVQLDEISPFKPTKWQNTINKLVKAIVSIRFSQVAAFDTEGP ETSEASGFIVDAKNGIILTNRHVACAGPFVGEAVCHDHEEVDVYPIYRDPVHDFGFLK FDPSKIKYMPVTQVELRPELAKVGLDIRVVGNDAGEKLSILAGSISRLDRNTPDYGDL TYNDFNTFYLQAASSTSGGSSGSPVIDIEGNAVALQAGGHTKAATDFFLPLDRIKRAL HYIRRGEPVPRGDIQVQFTYRPFDEARRLGLRPETEEKLRGIFKDEIGMLVVETVLPE GPAHKKMEEGDILLTINGEYITKFVPFEEMMDSNVGKTIRIGIERGGEPMELDICVGN LHAITPDRYVEIGGSKLNEVSYQLARTYCVPCRGVYVAEPSGMFRLDGPDNGWIIKSV DDKPTPDLNTFIEVMKSLPDRERVPVVYYSIADAHTILVAVVQVERHWSSFRLAVRND KTGLWDFTDMGEPISPRAIKPSTKRFIELDDSLGPAKDLIRCLVKVNFYMPCRLDGFP RSRKIGAGIIVDKERGFVIVSRSIVPFSMGDLTLTVADSTVIPAKVIYLHPTHNFTVV QYDPKHIGDTDVMSAPFSTETLLQGHKVTLVAHNHNQRPVCLTTVVTDVTCVTIPHNG TPRFRSINLDAITLDTPLAVQCSSGLLADSNGLVQGLWMSFLGERNPNGHDNEYHLGI HISTIKPVLDRLQKGVPVNLRSINAELTTVQMAQAGAMGLSNEWVRKIEEANPAKHQL FMIKRTEAGSESAQVLKELDLILAVNGKVVTRMYEMDVQYEAEELSLTILRQKKEITV KVKTDVMSGDGTSRVVFWAGAALHEPHKAVLQQSKTLPSRIYISARSKGSPSYMYGLV PTMWITHINSKQVETLDDFIMAVKDIADNTYVRVRCVSFDNVPIMLSVKMVNHYFPLV DMVKDPSVECGWSKKEVELA PHYBLDRAFT_112735 MTRNQLLDMENSHDSIYLNGHTYECSMYAIGSVIEACRAVIENR VKNAFAIVRPPGHHAETEHAMGFCVMNNVAIATRYCMTHLDTKKVMILDWTVNVPWPK EGMGDAEYIYAFEQVIMPIAREFAPSLVIVSAGFDAAHGDHIGQCEVTPAGYGQMTHM LMSLANGKVVMALEGGYNINSIAVSSVGCMAVLKGEAPEPIKPDSRPSEICKETIAIV KKLQATQWKSLA PHYBLDRAFT_181543 MLDMEEYLDTKLLHEKEPVTYRLLTRQFRIPVNTAKQVLQDYAN AHQQIHATYCLIGTEKASDSNQLVIRMAKSNELESVKQTFKHISGTQVYSLCPYDPKD LSVIIAADENLPKLTAEDRTKCGLLQNTRLVNKSSVKQESRPTHSISVPKETPAPTPT PVPAPKATPAKATPAKATPNTFGAFLKNKTSNASTSSKRKSSESEEPKSKNHHISKKI KDSSKPIIPAVASEEEESDEELDRRLARSNLNANVFTDEEDDIFDDDIPTETKTYTDS NETPGSDKSAKDEDVAMADNPIDVDSVKEIPEKTKENELETKDGSTTSSTPGKIRRKV QKKKTYVNERGFRVTENVWEWEEVDADTASDTPATVPIFSNLNNTAKKTIAQTENTPK PKAAPAAKKGAKKGAASAGQANLLSFFGKK PHYBLDRAFT_72256 MSDNPSSEHQAASQGDPIEPDWPLAQHDIFSQKPPGCGLNLTYL APSRCNSIANNYKFNANGSRSHNDLVPEAYSSRSSVVNSNRPSLECFDPHYSFRKLTN PIRNEHTEVTNNNAIRDQEFKLPATLSSELSVSAPPQVLQETDQNEERYKYLSTLYSV GYSDLQDTKKNNYGMDTTKKWFGSRRKDNPSAALKDVERADDMMPQKLSRAYFIIGIF FPPFWIIGSIYNPASDTKPSGNEQRVDTRWRRRSRICLFCFVILSILLALALTLHPTV IGWKEIEKVDVKRTIADSGPLYHSIITPVL PHYBLDRAFT_145834 MFDFNHHSHRRYNPLTRSWVLCSPHRTQRPWQGQQEGPDLEQRP SHDPKCYLCPNNQRANGENNPDYTSTYIFPNDFAAVKSDQPTLDNTNTDDLLQVEGVR GECHVMCFSPRHDLTMAEMTNDEIHSVVNAWTESYKAMAEKECVSHVQIFENKGAAMG CSNPHPHGQMWCTDRIPEEPQTELNSLKAYADSHDGACMLCNYASRELADKERVVMEN DSYVCVVPFWAVWPFETLVLPKVHVSSLPQMTDEQKTDLADILRRMTCRYDNLFECSF PYSMGIHQAPVRGEFDYSHLHIHFYPPLLRSATVRKFLVGFELLGEPQRDLTPEQAAA RLRDLSETHYKHKIEAEASL PHYBLDRAFT_145835 MLPFDANDRPRSQSSPQSSMYHGHDLTACNNLPTMPHVTNPMTR PQDSQQFVIQMLPTNATAQHVYDQLNSIPKERTRKDRACDLCRRKKISQLTPNKPCSS CDNYKKMCTFNEAGKKRGPPKGYVEKLESRLKRMEDLLMNMSDNGNESSDSIKALLKG KEVDISEDIEQAYSDESDTDIQTNESANTKRKNSEESENSEDQWRKRREITYSPAQNP QTTSNQSVSPSLHKSAAPSPRNCGAQNSPYSTDSENPGYNDSFMGSSSGVYLLGKLFE MTGRNMKDLTHDIAKPLDNHEDDLIISISKTGIFKEDLFNFPHGNDSVPWRLPPKEVI DHLVDIYFTQMNIFVPIVDEESFYDTYRNDFDSQSMVLLAAICRSSCRIMDENNPSAR KNYITRVQLYDSLTEQIKEKFDLDLIQPRIETIQILLLGACNATKWSADGVDWIAMSM AIKLAQELGLHRSRSTCGATKETIKFYKRLWWSAYVIDRWICGCLGRPLMISDADCDV DLPEIDSETEEPLPSNKYITFFYLVKLSEILSDVLRTLCSPRARIVNHNEEIYNQARV NIERMLKEWEQTLPSNLKITEEEFDMIRRKELSPELAQKINSGSGQLYLGYCAVNLQV KRPFLLPDSKLKKNEKILSGCMKYMERAIEILDVIDVQTFLQFGWSISSFVLAQVYTF VLFSHQNNDKTTAELANRQLFILSYMYKRLEAYITEPSMMPVLELMCKTVNESYTTSN NNKNTTMLPPSLG PHYBLDRAFT_168801 MVNRYVAEQVFSYFGLVLWSFQLAPQVWKSYRRKSTDGVSPWTM LIWAISGALLGNYNVGLNVAIPLQIQPQLFTFIAIICLAQELHYSFKWSVLRSWLAFV ITVLLAGGFEAGMVFAFRAAQDNGVDKAITFFGVIPVITILIGFAPQYYTIFRDLKVE GISHVFLAMDFFGSIFSFIALAFRDKMDVLSLVNYAGIAVFDAGILILYYVFKWYNGN EGGRRKKGDISDVETQCPEKETEDKKISPDDSTSTT PHYBLDRAFT_112725 MLSATPAYALARATFKRAQRGLFGGKHIQFGNNNPFSKTKTRRN WLPNVQNKKLFSETLGKFFELKVTTSVLRTIDKKGGLDKYLLETKDKNLYSEKAVSMK TVLLKYQSRITQKASQQKAPLA PHYBLDRAFT_158939 MSFQPDDIAESILRHKENNGVIEEYNIKWLGYDVDASTWESAHV IETDFPALAYKYKNKVIQEKKQLQSIENAIRNEEKKETEDFDSEDYMDFIENITDWET EVDHIASVERAQYAGLVVYIVWKNGKKTIHHSTEVYAKCPERMLDFYESKIIRAKVTQ KDLEKTKRNFRNNGSRRK PHYBLDRAFT_112609 QRVKPEEVEFTDDRLKDNSYVGKGGSDEMSYGWKAHVDLIKTRG DKFRAEKNKKKRGAYRGGAITFESHSIKFDA PHYBLDRAFT_181545 MRSAILCLAALAQLALSASAEPMKIPLYKRSNDFVKAGGKELSN GVLSGTVQIGTPPQEFTMAFDTTTGYSWVRGSRCKTENCLDRCTYYSRRSDTVTSLGK KFSVEYGDACVDTHVYLDTFNFAGLTVENMPFGGAYRMSGFVDGFDGYLGLGRDVNFT QTKIKSSAAYGLNKRDVAIPASAFVENAYQQGSGIESLQFGMYTTSTEDDGFSQSGVV TPEPTIVTNADGSVTTTNADGSTSTTATDGTITTTPATIVTNADGSVTTTNADGSTST TATDGTITTTPATVTTPVDTTTSATTDNTVSSGGFGFVKRHNQKVDGYLVLGGVDTSV IEGDVNYLPLSNNPDGGPKNWEVCIRDANFGSKLNLKQQIGAIAAISTSSEYISMPAH QADAFHKKFGGTYYATTKTYSIKCSKIKDLPPLKLQLETHIVEIPASYWTREIDNGRD CCATRISRGSSDRDWVLGSSFTNAFYTTFDTENDTLGLAIKKGTSASGLKIYKKNKKA PHYBLDRAFT_155470 MTENYYHSYSRTSNFPPSEGYGDYTEETREESEDGTTTYHYTKS SGDEVFLHVRHDLKNQPPPSFELSNCQGKKRALLIGINYTGTPNELKGCINDVHNVKN FLTTLYGFQEEDMVILTDDQEEPQLIPTRANILAAMEWLVHDANPNDSFFFHYSGHGG SVKDISGDEDDGYDETIYPVDFQEFDGESGQIIDDDMHDIMVRPLCAGCRLTAIFDSC HSATALDLPYIYSTHGVIKEMNLFKDAGKGLLTAGVAYLQGDKMGAFSSMKDTAMRLF NARDVGEQNRQQNSSPADVIMFSGCKDDQTSADSQEAGKATGAMSYAFTSTLRENPNQ SYHMLLNSVRDILRNKYEQRPQMSSSHPIDVNLMFVC PHYBLDRAFT_103448 EQRKRLWYSVYVTDRWCCAVMGRPLAIADSDCDIDLPRLYGSTD ERKNKMDYVLFVNFVKLSGILGEVLRRIYS PHYBLDRAFT_72267 MKFAKQVLEFNSPRTQVGIELRTVPARASPQLLDPLIGTPGRTA VFKVEDVWAPEVFEDRSPNVEGLKIITTAAVTIRHVSSKVVDIRHRFFHTVPSSDTYQ QPQSLLPINQAGGNINPKQNKYFSIGHLGSTFRLSMFVSELVLALVLILILTLALAIA LVLVFGLEFLPVSLLSFPIILLSLLYTLCVVLNAKLLSLMAIHESPLWYLSGMICIML LRLSCFFTGKVIKIVK PHYBLDRAFT_181547 MPITQLPPEVLSSIAEFLSKKDLLQGSLVCKKWRRLFYEKLWQD ISIFDEKASNAVYNISPENKYLIYGQHVRNIYISNEYPVSSKNILVLQQLFPNTRKLS ITLSYPEMIYTDTDTNCSVWRSMDNLSINFGDYINSTLIKPCSGILSQFAYVTTLDLS FSDAYTSGIGDFEGIHYYLPRLKHFSTKVKLARITEEDMNHINERVPAYDLTNISLSM YMEDLQWLYYFAVKYTNVRTLSLCPIFESGPLRDYNLGLTRSKLSMLPHVFSNLNKLV IRDVGYTSMLSLPLYECLYNGITLIKEWKYDYYIQTDEDQRLERTTAEIMRICSKTIE SIIFEYTDPIDSPAPHDISKSFTDCPRLVLINIFNCGSCLQFDIIADCCPSLKELRLF GGGVYIGSEAMKSFKPHGLRVLNLNASSIDPDTLKYISVRCESLSHVWLRRLSVFGTI SADTGTLLVDMSSAKLTELRIGCLFYRTPAEIGSWDPTTEIDFMKLIRAVRPHHQMIE SNERIDQSFPRNVVKYETETTWYNLFNRTDMPSSVNLDILKKPVVDYIEEYFENYRDN MISGKIQGIRISGKTAGICVPGEEELPQEYDLDLSRGYVKFMCGYVEHCYIHTMPKRC WADFYWDPIKNKVCSR PHYBLDRAFT_168810 MTSNTQVIYVKPPKEYPIIGETMKIAKSTIDLDCALSQGDIIIK NLVLSVDPYMRGRMRDPSIESYAPAFEFNSVMTGDTMSVVIKSNNPKFKQGDLVYGKT GLGYFEEYSHVKGAYADIGYVVRNDPKTTGLPLTYYVGVLGMPGLTAYVGLQKYGKPK KGETLYVSAASGAVGQIVGQIGKALGLHVVGSAGSDEKVAYLKELGFDGAFNYKTQSI DEKLKELCPKGIDIYYESVGGEMLEHVLNHANNFGRIVVCGMISQYNTSNPYPIRNII YVLTKRLTMSGFIVMDKENIAYEEEFMRTCTQWLLEGKIKYRETVAEGIENTPQALLD VLKGKNFGKQVVKIADL PHYBLDRAFT_168811 MLASNLPFEILSKIARLLVADDKLSCILTCKAWKIPFQESLWEN IKINFMDKLETICTSVKDTTNNYLPSNHITKGLRVDGKTILADWKQTSAFKTFPNLKH VDMGSLSFYNVNIKRIKYGPQWDTLNSLYFKVPPIDRKISTTAILEVLKKASNLKEIC IYSDHHYVEIEFNLNQYNAFHIALPRLVNIRVQLMLKDIHPDAVPSIPKTLSALDVTK LYLKLSGWSHLWLYYFCYKYLNLQTLSFVSICRLGGIVIKKTTKEKYHFSVQLREYFH AWKQCVSTVWNGQNGRMYCSGNSFAHRTYHTTVFPCTVFERLLQSFVTTLERLSINGQ VRFDIFLYSSLLVSIKIKYCGVHIALDNLLDNYPSLQKFGFSHGKLYISNPKSSGCPS QHGLKLLKLDLVVTSVSALEYASFRCKSLQSINMKSSRICGSISAEARSICIVISHLK LKTSIFDHVRFFSSEEDMSDDTSINLILLSHSTNPHMSTKAKENENENVNVNQKSSVE PLACKANVSEAEKSYYDKRDEEDWEEDLCRGYVELRCNYKTECDVPLWTCREYSFDQK TSYGPDKLKSYQAFSDIQSTKSLVNDDYGFNKYVNTELFVHSLYKDNLYN PHYBLDRAFT_72271 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGLRFLSVLD DHVKANVT PHYBLDRAFT_72272 MHAHNSHEHDEPESWVSQLGYAEDPETPLTADTFPSKSGGRPAW MNPEHVLSAEQVTCGNCDQPMVLLVQLYTPEDQPSEAFHRTVYVFCCKKGSCIKKDWT KSFKVFRSQLPRENPYWPPPGDESEDEDAMDTDFTPKQFKAPTLCVICGLAGTKQCGR CHSTHYCSRAHQLADWNECKHKMFCGKTSDESVDRLRKAQVFGEKEIVSEAEGREVEK LEEEKYRKKIQEAGTVEEEEDDVEYDDAPVDSKVSVDDTFLQFQLRLELNPDQVLRYD RVEYDMPDREPLWVQKDQKPSNIPACEKCGGVRTFEFQILSTILNFLAVSHTSEDSLD WGSLYVYTCKHNCALTDVFTPEYIWKQDFSADGMRLGGEAPPKKSSA PHYBLDRAFT_88026 SNPPLSFPCIDKLKSRTLELDSGPEPSYDKVVTGYETFEHNEPF LLDHGGILPRFTIAYETWGELNAKRDNAILIHTGLSASSHAKSHSKNTKPGWWEDFIG PGSPIDTNKFFVICTNVIGGCYGSTGPSSIDPADNERYATRFPILTVFDMIRAQFLLL DNLGIDRLHASVGSSMGGMQSIAAASLYPDRVKRLVSISACSRSHPYSIALRHTQRQV LMSDPNWNKGFYYKSVPPHVGMKLAREIATISYRSGPEWEQRFGRKRAHEDQSPALCP DFLIETYLDHQGERFCLQYDPNSLLYISKAMDMFDMSESSVNGLLDQRLRNTPKLEEL KKRRSGRGLSENNSSDDQDNAEACRTISGNTSALEMRKKNLDVANSSANTDRKESAKS KQPLTSLTSSDPATQDLINGMRNLTMPTLVLGVQSDILFPVWQQKEIAECLRAAGNKR VTYYELDAMFGHDTFLIDRVSVGGAVKGHL PHYBLDRAFT_145850 MDNQTPLKEELKQKKVEYDELCGTSGVTEEEASKITKEYIKLLH DYNDIKDSATVLLGIYAANQEVTIAEAYEKFDVPSDD PHYBLDRAFT_158943 MSEFAQESLTPTTAPAPAPIIASESQPTPIDQGTPAAAAVVPEL KSAQEVPVAAPRQEVPQSVKSVEEPITKKEVPVTATPAPSSAPTSTPSKGSNAANSFF APVYQSPDIKFDDDPTAYIKSRTASLIFWEKPKKSAVVLGSTLTALILTQYYSVLQLL AAFFTIVTGLNWVYVNTHKQGQKFINGRAPADVTNPHNARLAVKTTLVSRDRVVRSAE LAVDVIETLSRQVTKLVLIEDNSRSLVALAVSYSVWTLAKYVATKYIVGVFVVSAFLF PRLYLQHKDIIDSQVTQHSQHAYTLAQQYGGVASQTAKQYYGQALRMVNMSGAGNAQA KKAE PHYBLDRAFT_145853 MSFSNYGIYGDGNYGYGGNYDYSGNGGNGGNGGNGGNGGNGCGS YEDYG PHYBLDRAFT_145855 MLSIKKNHFPSGEKSNKYLGPPSFDDWHHHQELTKQLEQPTPKK PTPEKLKDTTQPVISYIRADNFASYIPVARSKLESKQMKNRLRWERARADMAVEDWRR VIWSDESRFMVKGNDSDASVIRGFGSLVALEGSVDQDVYVDCLSNDFNPGYQNLNGEQ DIVFTFQEDGASCHTGSYTTCPDLNPIEHVLKAIKDRITKQKAYINNTKDIKVCIAKE CEQMDPKFGAGFVARMSDRIKAVIDARGGNTRY PHYBLDRAFT_125084 MTGQLCIDAITQGIVKVGFRVILHVFFREIKTSGVDQVPANGPC IFIVGPHSNQFVDGMVFYSYTVRRTYSVMAAVSYKKPVIGHIGQVLRAIPVVRPQDIM KSGTGHVLYDPMDPYRVRGVGTMFTQELMPRDTVVFVGCKLQVIKVVSDTEMEIAYTL DTREDALAGCKSNVPCVFKIAPHVDQTPVYEEVHNYLDNNQCIVIFPEGGSHDRTEML PLKAGFAVMALGAVAENPSLDLKIIPVGLNYFHPDQFRSRAVVSYGQPISVSHEDAEM FKRGGRDKHEAVMRLLDQSDEAFKGVTISAPDDDTLCIIRAACRLRESDHHTPLSIEQ IVQHNRDFAKGWNQLRSDPQLQALSRRVKLYNETLSFFDIKDHQVEKLNIPQMTAARL LVQRLTKLLVVSGVCAPAYIANLPLMWITQYISKMKQREALAGSMVKVAAKDVLATWK VLVAAFAVPSLYLLYAGIYVFYFAKNRIFLSPKAKILKISNLFALQLILQYGFLRLGD AGRDLYKSTKPLFLALRNPKAGEILQSMRSNLAKDITTFVSQHTNIFPTFDENIENIN ESPITRETSPTLSIVTTTSADSQGLCDTPNPDKFDLDMYKEQKPESSFPIKNETEHSI PHYBLDRAFT_145857 MDANSKEQTDGEHNQEHANTNSSTSTSDDEEFQTEIKHRHRFST SELELLEELYRRHPRPSSSEKKAMAAKLDTTPGRVQVWLQNRRAKERKAQSSQEHGRS PKRDSGYPHVLPVRTTLATNDPDLLYSNTSTVPLSHQSPTVTVDSSKHTATATTATAT ATTVSATASGRITTSDSGSSMSMSDREAFFINSRSSHNNHNLHNLHNHHNYRNHQHHH HHHHHPSQNINPNLNPNTNPNPNQYSSETFPAYQHFMDSSGSHPPIFTSHRPDIGIVT SMHPSVFRQQGQMWPFPTLHPHEHNGPGLISSYPSSHQINESVQEARNTHSHDQASDK GKNSIC PHYBLDRAFT_133861 MPKVRTTRTKRPPQGFDEIEPTLDEFAKKMKEVENEPHEGKRIV ESSWPIFRIHHQRTRYIFDLYHKRKAISRELYDYLLKYKYADANLIAKWKKPGFEKLC CLRCVQPRDTNFGTTCVCRVPKEKLEEGKTIECVHCGCRGCASGD PHYBLDRAFT_26185 LASLYHGLFVTLLLSVPALSIYLSCYAAAKTWLDINGPEWISQG GIINPLVSGCFAEVVSGVFFTPMEVLKSCLQNDTSSSSTFELVFYIWKTKGLRGFYRG YWMSLLVFVPHTMTYFVVYEKLKAVAGEESFVIYMICSTFAGTVATVVSTPLDIIKTR WQVSAGAESNQEPGPQSDGPLAIAYQMWHKEGKWRAFTRGLFARIATMIPMTTISMTV FETLMDWHTRSIGQ PHYBLDRAFT_187113 MAQSTGNYGGPGFGAVGKRQKKHLSPSSNIEYNDEFNENDPEAN FITSEGGSIEIGSHITHRSLSRSSQKPPSTRSSNKAGSGSNHTRTNSQQHGHHILHGH HGHHNIFDQVTAPTHDPAGRPLRRHGTETFGDVLLHPLKELELHRKRVEEFDAEKKEF QTTHPELLDPKADTKPEIKPVIRIIVHFIHLVGDQDLLMSSHLIEQLREPLSLTDIEI TRLRKIDDTDGLIEFFHKTKRDYSLKIHKTVNIRTELREAAAEFKKNKRSSSDSDDSI PRRREIVGTDTQETREQVDPAIVLVSPPATESPKQEQSEKLQVPENNGVTTKHVEEPE KLSEKPRTETHPLSHLSGTVIRLNENVYLEIKSYKPKDEEEGRESGAGRRPIFVLPDA DLSDDTEEETKATWVELFGDVFYVGWLTTFTHANHITGQEQLGIYAAWFVVMWWTWCS SALYSSRYDSGDVMHHIYKVIELCGLVGMAASSNGFWQDSPKGFIIGYMVMKAVLLIE YGVVLIAAIISGSFARKTLAAYVAINAISIIMWGCSLLFPTNQTARYLLWYISIGAEF IVNVALKKNKQTSLAASHLAERFGLFTLIILGENCMGFITMVSDAEAHVPVVVSIMFG LIIIFNFFFMYFDDFNTEILNEIEVSQIWVWLHFPLHLCQVAFGIALIDVITIFRLGW DDGEAGAELMREACHEVEAELHLPAATTATSEAAGHSLITALRVASGAGGETEACEEI PDTRFVFQAFWISAGLILCINALIKLVNTRVDAKWSRIICASRILNAVLFFGLSAATY DNLTGISMLAIMMSCMLLQSAIDLTD PHYBLDRAFT_168823 MALNIMTERGIVKSLWLTLTISKFSGSSRNFNYKDNFLYFIFWH NLDVAGLKRLYEWAQPLLVKFIHDNHADRYCCIVMQDFLIWSKLICYSEELLDSDIFF KRQKLCRKCQYKKTVAHGHGESVSEKNCASSLFVVTFPTKFLTFEKVRCSNLIAGEEK Y PHYBLDRAFT_168824 MGRKNARSILTSKMARREGGKFCAKSPIITIDTEKEAGEKEPVE DQPVEEYDWELLDLDTDTMIAAYYNSFLTWRPDAEKNLRGLYRGDSRSSIMRNKRKMK EELEANKDKKVRTLADFGFSVPVAPVSPVTEALTVYKQSKDEELEEIREAYEKISEMI KPPVSSDSELGKFALFEVSKHIVVKEYFRRLLNNCKKIEASEKAAEIFWTTPSKYRGE AVRGWAKDFLQFGKISEHQQGKHAKRSSIVDDEDLKKKAIVWLRAQKAERRTVVDLKK QRGHKHSIAWKCMRAWGYVHRKNNQDVYYDGHERQDVVQYRHAWATRMMGYKQCMSDF TGEDEEIEVTPLLLENQKKLVMVTHDESTFYAHDGKVDMWLEEGESHIRKKGQGRSLM VSEFQCACHGTMRVKGWVSRRIFNVGAAYDGYWTSEDMLDQLKNHAIPLFESLHEGCT GVFIFDQSSNHKAYATDALVATRMVLKPKVVSENDKFIFKDTTFLRDGRIIPQSFYET VFEAGRKGKGPVEKRQFVGVQRILQERGLWMELDPSNLSRRWRMDCNGEEAENHCCCA RHLLASQPDFSGKKTAIQEVVEEAGHIFELYPKFHCECNWIERYWGAAKRVARLNCDY SFKSLEKNLPSFLDSASPVAGSPSMIRRFYKKTWRYIETYSKFLDAKDADAEVNFFTS RISKSHRSIGIHD PHYBLDRAFT_72204 MLGFPTRYVEKSPWEIKCFDQWPYQSPDLNPMESVYHALKLIRK TKSSSYSVEFFKRFSIKNLTRSSIQDYWKSRYLTVISLLSVAAEPLKNRILTRLVGLS VPEVRNAVVDLKVGTTRLIKAEADPRLQLQLVTFARNSSHRQEFSSLITISVVRKLLF PHYBLDRAFT_145863 MPLALFIKDSIKTQIVPLAVVLGSTLSGVLYMGINAGSETWDQK TSSLLKDFKDIDPSRTITLNQKYLDRWDRERW PHYBLDRAFT_58005 MSASTVHSNPVLEKNKDLVTGTEKKIEDLYKLIDGIKVCMMTTR CADTGRLFSRAMSPRIPDEHVPADLWFFTNNSSHKLDELVKDPNVNLGFYKPSTAEWI SVSGTATLVDDRTKIKELYTPDIKAWFGDLNDGINDGGPNDPRIGLIFVKADTVHYSL KDVSAPVQIWNIAKGMITGEPPKVSAERELSSSELQNARRFEGVNKPLV PHYBLDRAFT_155475 MRSLAGLVLLQRPVQSTFSITRLLARQGHATIGLRALSTRDVRP RRAMLYVPGSDERKIYKSISIGADCVVYDLEDSVPSSKKGTARHLVLDALEASEHGKA EKAVRINAIGSGLELDDLNVILHSKRLQAIVIPKVQSAKDIQFVSRMIDSVAIESQRE RIRLIALVESALGIMNIREIATADPRLDALIFASEDYCADVGITRTESRKELLFARQM LVTAATAYGLQAIDLVCVDYQDQKVLLEECNEGRTFGFAGKQAIHPGQVEIIQQTFLP DPAEVERATKIIEGNEIHNAKGLGAFNLDGKMIDMPVVKWAQKILTRAKAGGMDIPIA KISGDEKQNKKKKE PHYBLDRAFT_145866 MNSEHDLLSSTYTYKPSLRPEAADTLPEKQYFDVYSNHSKRWTD YACCICFPCLPLWARSISCFALLLIIGFVITAGALMSTFKIPRIDYNGSTTHPDNLPA FQRNGSSNTFTFNMGLKIGVMNPNVENLALDSVKAVAYYINQPDQTVGGGEMYNLHLK AHSITNVTFPFQVVYDPSKDKDHEMLRDIVDLCISPKQNNSVPTLTFHYDLMPVVKII SLTYQPIYHQVVEVTCPVQGEQLLLLIKDMIVSYLPGVLLKSHLAALLHALF PHYBLDRAFT_133863 MATHLTDNCLFCKIIRGEIPSHKVAETEKSFCFLDINPLSEGHA LVIPKYHAEFFHQLPEDHLADILPLAKKVAVASGLKEYNLLQNNGPMAHQVVPHVHFH IIPKNKPEDGLKIGWPQLQPSQDSLKATLARIKEKL PHYBLDRAFT_125092 MSTATQKKQEKVEERTDHHAVVSTFDLFSVGIGPSSSHTVGPMR AAKIFIHDLKTHKVLERVHTLRVDMFGSLALTGAGHGTPDAILMGIEGESPDQVETST IKSRVQDIHTNHSIRLDGTHRIHFNPEKHLIFNYFKSLPQHPNGLRFCAYDSDSNMVA TNEYFSIGGGFVVNEETQLDHGENVYYKQEDKDGAMAERRQQDIAVVALPFKTADELI AVCKRENMTIAQVVYENELRWSTPEEIRTKLLKIWNTMDESIRNGVMACPTDMLPGDL KVRRRAPALYSKLLKGLYGPVKTSTEYHTNQIATSTIPMEEAIGHPDDHKNTFPMSLS VLPKRQRKRFFLPALDYLSVYAIAVNEENACGGRVVTAPTNGAAGTIPSVMKYYLEFI SEHPERDVMEFLLTTAAIGMLFKRGASISAAEVGCQGEVGVACSMAAAGFTAVMGGSV DQVENAAEIGMEHNLGLTCDPIGGLVQVPCIERNALAAVKAIAAAQLALNGEGDHRVS LDQVIETMRQTGVDMMSKYKETSQGGLAVNVPMC PHYBLDRAFT_181554 MVSLPSVRRNGALPSVVYPFKGILHFLHHPRRIAGPILFSALKS SVLSLLAVIPLFKYGFSAQSHLISKIYLLVVPEASFPWLIHAGAAIASGFLCVFEAFG IMSQIHIHIVGSYPDRFFESVLVERNIIPPPATASASQDPPYEDIDGTKPKVHSVISP VGMMLGAAKEDQSWGEFFVRPIVYLVTLPLSVVPMGGPVLFITIQALFRGGESHKRYF DLYHWNNNQRQGRIEREFWKYQFFGMVASALEMIPFAGFVFGFTSQIGAAMWIADLEE GDDKLA PHYBLDRAFT_168833 MSERVDIVNIDSGLTTDKFISCIGESTVIPVGSPSNNSLECSSP ILSFGNSACNQIGSTVMSYTNVPSNQQTMDYQYELKEKNADVEEFSQADYLSRVVNEL LEEVLSEPSESPSSDDSLSCIISDAERSSLSACRKLDREANHPMKGFTEYGLRPVVVR SKINDMKLALRHVALRTTRYGTFLVHKPEPEFLAQYIIDLNSSRSIKDRDVKRVSFVN PRSRLCQKIEFDSCQKSKPNDTMHNLDNMDKSEDEDDNDNDNDNDSGSSSSESEHRPN LDDYEYDELEGKLCSFIKTIENEVEKENTFGSSSKALEEADVRSRVGYSFDTIQYIRE YLVDRFTRHTSVFNSATRIKSFRIGVSLQKRKMEYFYKKPEKQLWKVFKILSCSVSSY MEDYVQLVDQVDKMFLQISSQQPFDLKQYVNFRHHSQFMVLKLAKMMLSIGQLVKYVK AIAKLILTKRSFKSKITIAKSLHKTIAEIKAYEKYKYFGMLGRRFISLIFFVGVVLVA HLEPDWSPSPWTPKPKRKKQKLRKTGQRLSLGRKKYFGWERKKN PHYBLDRAFT_181555 MGSRHQQRHLLRGGPEQEDATTLKLGEEFANAQCLYISEVRILL EAHVDSKENGSVTRQTTNVMQKTLEYVRAFSRFSNRDSVREVRQLLGKDDLAPFEMSQ LANLCCEDAEEAKALIPSLANKVEDDQLQEMLNQMLTIKKFQG PHYBLDRAFT_168834 MASLPFPITLPSLTKSQLTHVGVKSPEDVVVVSAVRTALGKGRR GGFKDTLADELLSFVLKATIEKTGINPALVEDITVGNVSGKGGLATPARMASLYAGFP ETTSVSTINRQCSSGLQACVQIATAIQAGLIEVGIGAGVESMSADYFSRDIPLSQKMI NESTTASDCTIPMGITSENVASDYGISRAEQDAFSAESHKKAAAAQAAGYFKEEIVAV ETTVLDKDGKASKVLVDKDEGIRASSSAEALGKLKPAFIPTGSTTAGNASQISDGAAA VLLMKRKTAHKYSLPILGKFVTSCSVGVPPRVMGVGPAYAIPVVLERAGLKIEDVDIF EINEAFASQALYTIKALNIPFHKVNPKGGAIAIGHPLGCTGARQVATLFPELKRTNTR IGVTTMCIGSGMGMAAVWERE PHYBLDRAFT_34427 MSVVGFDLGNLQSVIAVARNRGIDVICNEVSNRATPSLVSFGFK QRFLGESAKTQEIGNFKNTVGSLKRLAGRRLDDPEIVGNEVNYVTAELAEVDGQVGAA VNFLGESRSFSNVQLLAMFLGKLKETASHELKIPVSDCVITVPGWFTEIQRRAVLDAA QIVGLNCLRLVNDLAAASLGYGITKTDLPEDKPRNVAFVDIGYSSYSTAIVSFVKGQL TVRGTAYDIHFGGRAFDQVIVEKLAEHFKEKFKIDVFSNKKALIRLRAAAERCKKMLS ANPQAPVNIESIMDDKDVSAIVNRADFEDWASHLFTRTELTLAKALENSGMAVSDIDA VEIVGGSTRIPAIKATISKFFNKEISTTLNQDEAISRGAALQCAMLSPAFKVRDFRVQ DIITYPIKLQWAQTPEDEDTEIIAFNRNNSLPSTKILTFNRSKPFLLEAYYAYPEELP TGISSWIGQYNIKDVEPVNGGPAEVKVKVKINIHGVLSVIGAYTVEEKEFEEEVKLKD DKTKEGEGKGEGEGGEEKKEIRKVKKVVKVRDHNVVSITSSLARNIIDRYIEEESQMA ANDKLIIATEAAKNALEEYGYDMRDKIMGPLSDYIDPAIKERFADDLNAVVDWIYDEG DDVHKSVYLEKLEGLKKVGGPVVERFRESEELARADRQLRAVCSRLTEEAMSTVYAHI PAHDKQDIVERCERAQRWLESEFAKHKGLPKHVDLPLTSKEVLKEEEELRAFATKLLS KPKPATPQPEAQSDKKDTNDNGDVEEMDDKEGTPMEGVEKDSKQENGMDVD PHYBLDRAFT_145875 MAYKSRFTEHFDVPAQWTDLSPSTTNASHPEDHQPSRMGSFDSI GKPPSLTHTSSGSAFSSSSNSSSSRPSNRNSNQSDTDLSSSEDHHSNPIIKFKRFLTT IGPKKYRSNKSRSSNPSSKNLF PHYBLDRAFT_113013 MQTAAKIFVISGPSGSGKSTLLKRLFNDYPDTFGFSVSHTTRKP RPGEVNGKDYHFVEKANMEEEVSQGKFIESATFSGNMYGTSIKSVEDVVATGKVCMLD IDMQGVQSVKKTNLNPRYIFVQPPSMEILEQRLRSRGTEKEDAIQARLAASKQELEYA SQKGAYDNVIINDDLTSAYQALVNAIFTKA PHYBLDRAFT_145877 MSKRYKKKNKDNKANKNLSQSCQPKSSSKDSTSLNKDKTLTISC DKGSTVGYMNSTIKSNIIRRAPDNVIDSPTPSHQSPAGSMATKKPQPIDHKPVLKTKQ LLSSYDDCSYSSQTVSLDEKMYNMQYEIDKKHDEDQTLLSELYHALTYIRAIDFEEEH QE PHYBLDRAFT_145878 MLEDRLNKARSIVVCQADRNASIKEKYSQTYGNLRKVSDERSGQ IPEHYIETGLLEMILKSTKDKITKKEAEYKSLFSEFKNILRQIRANEIEEQNFRDSLG E PHYBLDRAFT_145879 MSQTSNSSKLFDGVVYKIDQTMSSRTRNNLEVALSSHGAIPAKD TTPTHAITESPHYDLGPEIAHVSPKWVMASIKGKHVQNASYFSLDPLKFFSGVIISTS GIPPYDRDTMFGGTQLFGGQYRLDLTEDVTHLMVAEPEGEKYTEAIADPEVSVVMPDW FNACIKHRRHYREDMFKYPDPPILRNSKENEKTAEEKEDDEELTLLYPYDKTLPYNYK PKELFLKEYTFFLSSDLMLPRSIKRDLIKYLERAGARVLSYYDSLTVDVVIMKWRGSK RYIQACQDKKIIGSFWWATNTLCRERFVSPLKSLLDYPVPKGGIPALKDTSFAVSGYR QEARKYVVSLITAIGGKYTKQIVQNSTTHLISSRKNGPKYEFAKENKIPAINHLWLEE TFQQWECKPLDDERYTTFPDEDILNAKVGKTPVCPKEVERWMEEPLPEVTPLPNQPQP NVSTTISNEEDMSREISFVTTEDQQAPETPRSEVQTNANAKANANVNVNTNTIDDNED SGNGDNGDSTYTESSQAISRQRRGSTPFGTQKRSHSEVETSSQKSQGRKKKVKQTAQV CRIATTCVALSEKEKSNARALGAQFVESIAFATHLVSEDRIIRTPKFLCAINLGLHII RREWIKDSIEGHEWQDEKNYAIHDKESEKKLGFSLDDSLNIARERIFSGENGWLDGLT VYLPPKNRDSLKQVVETAGGKVVLRKPLANDDVLVISEDKDPGLIRLGFQLYSPDLVI HGTLQQKLLKDKYLLW PHYBLDRAFT_96681 MRVLIKGGVWKNTEDEILKAAVSKYGKNQWARISSLLVRKSPKQ CKARWYEWLDPSIKKTEWSKEEDEKLLHLAKLMPTQWRTIAPIVGRTPAQCLERYQRL LDEAEAREAGPLGDLGLTGSIGHESGPSADDVRKLRPGEIDPEPESKPARPDPVDMDE DEKEMLSEARARLANTQGKKAKRKARERQLEEARRLTSLQKRRELKAAGINMRAKKKK NVMDYNADVPFEKKMPLGFYDTTEEASRPVDPGKLTNVHLSKLNRRRADIEEEKQREK KRKSKANGGSGQPEGNQGRFIPAKDAKVVKMQEQEQIAKRRKLVLPAPQVGEDELEEI VKTGFAGESARMLVLEEGEEGATRGLIGDYSVTPNTLTTRTPRAPPSNDNLLQEARNL RALTSTQTPLLGHENTPYHMGGGTGFDGATPRHSSVQTPNPLMTPMRGSGPDDVMATP GSSSQQTPFKTPLRDHFKLNVQGQMIGGETPRDERLIQAQRKRALLDSLSSLPKPRNE WEIRLQDLEEKEDEKMIGTAAIIEDRSDVDLELKRAAEREEKERIARRSQAVQLNLPR PTSIPAKEKIDQDGDDEDIKSMVQDEFIRLLTHDAIKYPVAGGAIAPGASDLPGDLSD LEDEFTSEALEDARLEMDRELEKELALEEGANVKEAVWARVSSQPNFEAVWSKEHDDV LFSVRFNQFMTLDEIPDDEDKIQGLEKMVEANRKTMIRDATRAGKLEKKLDLRLGGYM ARSAALSQQIMDAFDEFESAKIEYQSFLNLQLAEKVAIPRRIGALEDEVHKLAQRERE LQQKYKELSDEK PHYBLDRAFT_26159 PFLLDNLEILKVLGKGCMGKVFLVRSRTTQELRALKSIVKDLVI EQREITHTLAEREILATVAAIDHPFLAKLHASFQDEHRLYLITDYYCGGDLATQMSTC YTFTPERVLFYAAEIIDGIGELHRLGVLYRDLKPENILLTQEGHIVLTDFGLSKWLTN ETDQSTQTFCGTAEYLAPELLLGDAYSFGIDYWSYGTIIYEMLTGITPFWADNHSEMY RRILEEPLEFPTDIEYDTAEFLAALLDRNPATRLGCSLEHGGVDEIKTHPYFASLSWD DVYHRRLTPPYSPNLTSKLDFANFDPLF PHYBLDRAFT_125105 METIKADGYNAKFATATYALAAAGALFLGAKAFTFIKMLLELYV FSGIPLKKFGAGSGAWAVITGASDGIGKEFADQLAKKKFNVLLVSRTASKLDVIAEEL SQQYGVETKTFAMDFTKGDANDFAKLGEVISGLRVGVLVNNVGTNHDIPTPFAEEDDK VIQDIVEVNIKGAMRMTKLVLPQMRKDRSGLILNLGSFSGLVATPYLSVYSAGKAFLC TWSQALGAELASEGIVVENINTYFVVSAMSKIRKPTFLIPLPKPYVASVLSKIGLACG AGTPYTSAPYPGHGIVNWLIDNVFNITFWVNHNDTIQKDIRKRALRKREREAAARKSS PHYBLDRAFT_133879 MSNDTCRFEDLKAHISAPSNLHDHGDGHMHASHGHEHGGRGTQE HGHTHEQMDHPGHYAERPKAIYNHRDWSERAFTVGIGGPVGSGKTALMLALCQRLREK YSVAAVTNDIFTKEDTEFLVREKALPEERIMAIETGGCPHAAIREDVSANLNALEVLH AKFNTQLLLIESGGDNLAANYSRELADYIIYVIDVAGGDKVPRKGGPGITQSDLLVVN KTDLAEIIGADLGVMARDAKKMRGNGPTVFAQVKNGVAVDDIINLILGEWRASGAAEN A PHYBLDRAFT_112632 MRLVPRELDKLLVHQVGSLAQKRLARGVQLNHTEAIGLISCQLL EFMRDGIYSVSQLMDMGKQMLGRRHVLPDALTTVEEIQIEGTFPDGTYLVTVHDPICT DSGNLEMALYGSFLPTPDISLFPLPLTSRRRSDGPGAIVTMPGKITLNAGRKRLSLTV TNYGDRAIQVGSHYHFIESNAALHFNRALAYGMRLDIPAGTAVRFEPGDFKTVTLVEI GGNKIISGGNNLASGPVDLIQLPAIVDKILSQGFRHDANTPLLPNAPAYQLDRDYYVD HYGPTTGDRIRLGNTNLWARIEADHTVYGDECKFGGGKVLREGMGQATGMCDKDVLDL VITNAVIVDHSGIYKADIGIKNGIFVGIGKAGNPDVMDSVTPGMVVGASTEALAGEGL IFTAGAIDSHVHYICPQICDEALSSGITTLIGGGTGPNTGTNATTCTPGKFHVEMMMK ATDDIPLNFGFTGKGCCASQEALTEQIESGCLGLKIHEDWGATPAAIDACMTVCEEQD VQTTIHTDTLNESGFVETTVASFKGRTIHTYHSEGAGGGHAPDIVSVCGESNVLPSST NPTRPFTTNTLDEHVDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDIGAISM ISSDCQAMGRIGEVVLRTWKTAHKMREQRGKLPEDKGREDDNFRIRRYVAKYTVNVAI AHGISHLVGSIEVGKVADLVAFSPAFFGTKPELVLKSGVIVWAQMGDANGSISTTQPV VGRRMFGAMPSSLSSSCILFVSQLSIDSGVIEKYSLRKRIEPVKACQKIGKKDMKLNS ATPVIKIDPETYSVTADGHPCVCDPVSTLPLTQTVYLF PHYBLDRAFT_145885 MTAWDNTSMSSSYQQSIKQLAFRKTMEANLALSIKDILVPFRED EINSLEAQLRLLSLARTIEGPQQNIVRAIESLIPSWKDVDMEPLSKGHIIASYTHPLI QSLLAVNNPSNTKIVADNINQRPDYVVDVYEQYQFSFPSCFGEKYKLNGVLSFQTIGS FTTFFHLKQLAGIDVFCEMTSITIPTRKQDIVQIVAYLDDLFAISCLHNNILKSNEEL TVQNEPILPLAWVDGTKEKTSLKRKISVNSIAGAQAKR PHYBLDRAFT_158948 MKVAVETPYAFFSSLLLELLHHTIAYPHLLELSLYLLQLFANIT FTAFLPLTLQAKIAIRGNKLTVFNGHIAINIWTSSATAGFLKSRRKLLHLGLMKIRRR FWQYAF PHYBLDRAFT_145887 MHLFLVKLQLGIRLCKNLDLYLRLNPIFQAQLATTITIAIAIAI VSTSNSSELDSAVRWNPKVGSGSDNYEAMSTKRRII PHYBLDRAFT_168847 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGLRFLSVLD DHVKANVT PHYBLDRAFT_145889 MSAQNILQVILPARNRSVSDPNKLNLVPEPESSSSSNGLSELYR LKRYARSDMSISSILEKGRRPRIQSVNSDLLQLSDTETSDASNHIISLLGRCTPSSTK SNRAFHSLFCSVPSKDHLIDVYKCAIQKEILHQGHIYVSEHHVCFRSNIFGWETTLVM AFTEITDIQKRKTAKIIPNGLTISTSNANHVFASLLFRDQAYELMMKLWNLHKSPEII ISQPTKNECNDFDDSTTLGSASIINGESNTQASIEQDDTCNSETNEIQPNLLHEGQEL LGDSQSFSPKSLLSRSLESTVTLVSSYYPTTCKCISEEKPHHLVVVDQSFPSSVETAY KLLFESDFLINYFEQKRKRGYNTTHKTLHLDKSKHIAVESTTIHHRAPGGCTFELKTR TCIRKTDPLRVNLRITINIEFISNGFHSSILQKSVIDIMKGVFSSISDLIGKRDSIHL YTMDKDILSRLSTESEMRERAEIYRVSHPIYSGIQSVVSLIKPKSSSLEIGSYHMVAL GVTLLVFWNLWMVYHTNKLLNHKLQESMKSTVHRSEDPFEVIFDSIKSIENVLDIVQK DALQKRQQLIEFIET PHYBLDRAFT_181561 MTDTDLLHTLLPSRDRSSSSPANPNERPEIHKKPSYTSLQDRLT VHDVRTPDTPTTTKKHHRNSLSGSFRLRKHISSENLEDGDKRRSLPPASNSTTHLDTH VLDTVPATETLLGGCSPASEKANRDFHTLFRSVPSTDRLVDIYKCAIHKDILNQGHLY VSEHHVCFKSNIFGWVTTLVLAFTEITAIEKRMTARIIPNGLTISTVGADHVFASLLF RDETYELLIKLWKLHQSPAVIIEQPIQDEFDRVSEYDDNHDYEASTVVGNNISTTTTI SNGYTNNNNNKDIINGRSITSPSLENIIAQPQPQFQVQSQPQLQKHNLLPGGGNENDN HNNHNHNNNNNNNSGTISASSEPKEVARSLVSEKATSATSFLYNLLPPSTPLPGPLRN PTTTATPLPHTCTCTTKRDPYPMVALDHTFAGTVETMYRILFDSDFMKNYLEKKENQK DVKMGQWIHGRRDNVYKLSSGVQCSSSNQILHRHMPTHVAVATSTRTPQASVGSIFVI KSRTCIRQVDPLQVHVKVTFKTKFIPSGLVSSIIERNVIEGLTSVFGDLAVLLEQPNI VRGYTVDQDLLNRIENESERRRVAEMSGLRRAAYSFAHSATSLVGTCASLVGSARSLE LSLNKSQLLVLAMILIVCWHIWLAYRIHVLVDQVIIPPLSMENPVSPHDSSLEWAQEN LKSIENMLNILQKDAREQREQLINFVNI PHYBLDRAFT_103175 ENLRIHEGAIDRDGLSSRSPTEIMASLEKTLKALGIDVKYDGEY CLKCIRRKVRVPVSTDSQPHQLSAGFNLEPVYGDPAFDCGDEVRFIVEVCRFRNLPHL YIVDIRRLKGNVWVYKFLYRKL PHYBLDRAFT_112979 MLETDHLQTITKEIVRTDPQSNIISRPTQQRKQNRLGPYLLLRT LGEGEFGKVKLGMHIETGQEVAVKLIKKTIVDSTCRANKIEREVSILKSLNHPFIVKL VHVVETDKHIGIILEYASGGELFEYIFAHRYLKEKDAQRLFAQLISSVQYMHQKKIVH RDLKLENLLLDRNRNVIVTDFGFANQFEDNDDLMSTSCGSPCYAAPELVVTDGLYTGS AVDIWSCGVILYAMLCGYLPFDDDPANPKGNNINLLYRYILSTQLAFPIHVGP PHYBLDRAFT_155485 MRSGFIISILCAVATVQALNPIVIKGHKFFDSVTKNQVFIKGVA YQPRSDGISNGDPLSDASACARDASLMAKIGMNVIDPRKNHDACMKSFADNGIYLLLD IATPKYSVNRNSPEYNVHQYNSYTAAIDAFGHYDNIFAFIAGNEVTNDRTNTKASPYV KAVIRDTKNYIRETQKRKIPVGYASNDDEFIRGAIKDYFACGDSDTQADFFGVNLYEW CGDSTFQKSGFADRTKEFETYSKPVFLSEYGCNLVSPRKFGEVAALYGPDMTDVWSGG VVYEWTQENNNYGLVKIDSSTGKVELLPDYNNLQNALAKANPKGVTLDNFNEQRAEPD CPANDDSWKASVALPPTPSEGACECMQNNLACVASDKVSNTDSTSMLTNSSSIIGIQI DSLCGMVSCNDIGSNGETGHYGAYSFCSPESKLSWLYSLYVQDNQASRCDFNGFARQE YPKRNDIGSCSKIGPTINSSGREFVDAKKSAATVYNPNVLISSTALIAINSSSSSSSS GSSGGSSSNTIQYNTL PHYBLDRAFT_72231 MDSVYSMGRTLPLQHRIYFISKMKLSEFYRSPTDASMRKLVLIN NAIKSTQSYRSTPPTEYETVPLTRQYSQPTRIADDQDTSLQQEWLDTCLGSLEEEDDE EDDDEELEVLESSYIDDEIFTSRTSEDWDWSLSAPAGQHEFFDEALTTTNTDYKWAND FDNNRSIESMEMDETFLPKILDFFYIICRIIVISILAISFRSMIKHFLSQSCSIII PHYBLDRAFT_145894 MSKLSYPPPRTLQGSMPTLDKKIEMEEDPIDTGVIRCICSSSDD DGFTIQCEQCLVWQHAFCVRIDQSNIPDHYLCDKCERRSKDERRPETTRLRKDALKED LATDAFSPTDKKRAYTFVDPIPPIETPATKRKEEKQKGRLQFTRERRPIHDGTRRASH TPRTTKKPKLSKRIAITQDTLRGLDSPEPLAQSLQKKLPHKRGYVPTNYNTVKSKFVK QIFKEARERWSQQTKWRASVWKDGLPRREEHTRTRDCPYVSMDTSTVTSTNTKIFVRP LKRSDSTLYPRNDLHLRKGVFSDTHIPTDWFLMEVNGDVFLKSEYKFNPINEFSELGT QREHVLFYPTLDLCIDARYHGNNGRYIRRSCHPNADVRSIVLSHAKDDNMIHLGIFTR EEIRPGQEVTLGWNWQRGHIAWQKNIEWHNRTPGTDDQHQVIDEEEEREKRKSVQRML DFFYKEFGDCACQDKGRCFIEHLRKEASGEKSRKTWSSELRPPVPRRINELEDESLDI YTPKRKQEKTIRRNSTANADSVFSFQNSRQSVVSALNPKIDGQERLTSDSPDPSMEDC LEIDVTSTSPGGGSPVRGESTLRVDGASEEELDVDGDIDIGEDFLGSPLNCSKDQDTT NVSDNEDLSSLTSLSSLSGFEDTGNEASDDERRVRIKSRRSGRRKEEGRQGGKSRRRH DNGFRRSPEVEKSGDILGSKVLPYMLPFKKRWMCSFREQGPKPSKSGSSKADADEKIS LGNLESIQVSSKLSIHDSDMDIEPSEEVQKTPEPEYHKQAMDSSLEKDFFADISVDDG PEEPVCDVVKQDLAAPDRHSNSRSLNKTEHPVRLDGAIPEYTSADFVLDDGELSDASS ASTLPLDEDDDDRRPTPTIISVSTPTLIASPPAVPETNPAGKDFPVNVDNASCDPTEL NQADSELSKVNVVESIWSPPPTQALDNTASDIKAQVSIDENLKDESKLENVPEPEKRK DLNNMVAPGSTEEKGTLGTFPPEAKPVKIKLSIQEYLSRRSAHPLEQSTETVQSEEST ETSLTVEKSIDILPLPLPLSPLPATTTTTTPSTAVAAVGSSSSSSSSSSSSKTAAAAA ADS PHYBLDRAFT_181562 MDTYPQFVPRALTVEDQEDISPYCSLSNYGAQLQQQAHFALQDQ GNWQGALDEFLPLDNDPFFQQTLSIKPMSQTPSDISILSFQSALMAGYDSQLHISLGQ DSMFDPLVTNNSLSMESQFLPSPLGPSLSSETVVGSVWPDSQDQSTPYNQKTENDFGS ISFSPPTSTLSSYQAPLTPLSIGSIEFDVPIEPTIDSYQFQSIEESVNVQPIEHQKLP QLQPQLQQKQQKQKPEKVRVQKKSTHNAVERRYRNNINDRISELKEVVPALRHAKLKD ARTTGKRSHRSDEEDEDEDGEEYLEGVSVATKLNKATILRKATEYILHLKKTEDTIQS ENTRLQQLMSQLPGGFQILSHYRLQQAQRAKDNEALRRQQIEQEKLEQQQRKSSNKKQ RRQTKGSRSSDEYETSSSASDPATPPYGGTGQRVFMAVFMAMSFFSSQPMHAGPMSPE QYQNHNHASRTPSTGHNGYPGGQHTIHGDNLGPQSSVNNSATETSFLGSFLPLNSGWS MIRTTIFIICIVQIFLPIVKHWCSCAAFKVKRTANPKRVSPRKRNSFKSSAASATTAS VAAEDQLTPGDKKCKQIYRILIESLNQDNESLPQTTPKILSNILKESICLFSRHALGY EILYDRHAQDGPQEEWARVCKWIKLNEIECLGGNVHITRVSMLHSCLQMINLVDSLNE DEHDYVGQTRLRAYANAAMEMALIVPFPTLAERLSRYFWRLSMYEACSDDDSVTRSLV WDCREDDAEDRMDVMLQSQAWKETLEVMQHQIGNFGVSSSAGHGLSLSMTAPVLVPVA ILSTLHLLDNLQTQFGRLVVTITGVPLTTAAASENGSDFSETTFDEILAITTPGPKEL GATDSDMQRLAHWLAAVGATVEALWKSDVETAKRWMATLVQRVPRSLVATEMEKGDLV DHKAKMNQIDELTKRSMLHILYGATLLKSSNEDEQRKGVEELQKAEKIRHNIKKILAK DIKSSTIARGGVQYLSSESVHKQDIESGTMALAEFVVAVAGLEAWVAAWQMVSSIKNP RERENWESQVRDQICEATITLRRMISRHSLGGLRTNQAIITRLIRLSSFASQQLDEVD LIYEGSEDEYCDHQIFGDNESDSELGEDERLVKRTQFALDILRGLV PHYBLDRAFT_168855 MTVHGLSLSQQATRLVLLIFLIFTALSRGETLAHTYVDSSNFTT CPTENSFTISAVKRIYNPRLNTYTLNITGAPLIAVETINQAGEFIPAARLEIMMGFAT TVNTVYSFCPDVVSGCPLSAGTSINISKSVNIPASSLPLADISARYSAWTADKVPLVC ATIGSVGYQNPTWRAIFIYLPAGFTAFSALVSFVASFVTLGEADRDILLFTSNYAMLP AAVRFKTPGFFDLVFYAQFIVTTGMLNLSYPMFYPLFTANFSWSFLLFSSDWINNLMT QVFPSTSNDTERAINSSGFAFNKRQLSQPLSPEPSVIIRPQINNTGTGMVNFATAVDL DINKLFLTSLLYFLIILGGCLCICFLIWAVSTCFISQNRPNLYKNHSEKIRNFTIGIS LRILTLFYLPLITTAFYQLMLDAPWYLLLTASIVLVFPLCLLYGYIGFALLHIKPTSV VFSDITLLLRYGSLYNTFTDDHVHFFVLLIVYKLFVGAMVGIFQTSGIAQLVVIILAE TVLLCTLVVNYPYADRRINIQYAILSIFRITITFLNIAYIESLHVLNVTKQYIAYIQL VLHCIVYLMMFVLPVKNMVILAIGLADEELYDNTVPPARMAIWRRRWKPSSMEEAGPS TERLCREVSLPLAEPSSRPWGTVEANDHQRGTSINPDRLPTSSSSSASPPPLPTHTIF SSEYRSIPTEDTVTHTNDKPHATRSSPIGGSKRHSSNVLLSYDDPAIDQPLVQADAFL TVDNSPPSEKGSAFRNSPSHRQYKPQLSPTGSNEAPNMPPYNENNS PHYBLDRAFT_133882 MRVFPPSSSMADMHTEGIYCVQFDNDKIVSGSRDQTIKIWDIRT GECRTTLQAHNASVLCLQYDDNYILSGSSDATIIQWDIRTGEIIQVLAGHGESVLNLR FAKDRLVSCSKDRTIRVWDLETGTMLKQLIGHRAAVNALQFKDNRVVSASGDRTIKLW DMETGQCLRTFDSHSRGIACVEFDGNRIVSGSSDQTIKIWDVATGECLHTLSGHTELV RTLQIDTASDRIVSGSYDGSLKV PHYBLDRAFT_187125 MEDLRVTGYNALLTPAFLQEELAPTPESRQTVSAARQETAAILR GEDDRLVIIVGPCSIHDTKAAKEYCQRLSKVRENVKGELLIIMRSYFEKPRTTVGWKG LINDPDINNSYNINKGLRVGRELLCELTNSGMPVAVELLDTISPQYLADLMSWGAIGA RTTESQLHRELASGVSFPVGFKNGTDGNVRVAIDGIGSASAPHHFLGVTKSGTVSITH TTGNPDCHVILRGGNDGPNFDKEHILAAKQAMIKAGLRPNIMVDCSHGNSNKDHRNQP KVADNICEQIASGETSVIGLMIESHINEGKQSVPAEGPQALKYGVSITDACIDFETTE QVLTNLANAVKARRSLKQ PHYBLDRAFT_113012 MPDLTAVELEEKELPEKSFVDNQKFKHEHTLEALPNYIKFGVAG HKKLSKKPTELASPVALVLTHSAVRAVDLVRALKEFNETAKIAKLFAKHLKVEEQVIF LEKYPIHIGVGTPNRINTLLEQGHLKLDRLELVIVDTERNAKRFNILDNDAVRGDIFS FLGNYISPRMKEGKTKLGLF PHYBLDRAFT_145900 MNLIAVDTERNSSVESDSVSSASPVSTSQTTPAVMSDQEIDIID DEEKITNEMIKVEEEMSQVTVKEEEQRIEEAAQVQFDTTIKEQRMKRLNFLLEKSGAY ATILGRKMAKQQEEAREKAAKIDAANEAKKAGSVQDKPIKEATKPKASAINLRKRKIN DEADYQLGEYINEDDVKRRKQTDDNVSKAIQEDSGRGTVPSGQIKPTISARQPALVTG GVLRDYQLAGVEWLTSLWENGLNGILADEMGLGKTLQTISFIAHLKAMNVSGPYLIVT PLSTLANWVNEFKRFTPEIPVLLYHGSKEERQHMINRKMQKKKETLREFPVIVTSYEI IMNDRKHLQRYNWKYIVVDEGHRIKNLNCKLIKELKTYSSANRLLLTGTPLQNNLAEL WSLLNFLLPDIFDDLDMFQSWFDFSDINQKSGQDRIMKEEEEDNIVTSLHTILKPFLL RRLKTDVERSLPKKKEYLLYAPLTQPQKDLYDAILKRDLRDYLIKRKTNTNDEPNPKE LTEEKSEEETQRSKRQSDRGSKTTSYKEQSDRDFFAQQSKALEKADPESITSESILEA GKKHEMAVATKQVNGMHLQNLVMQLRKVCNHPFLFDWPIDPKTNTYVLNNELAAQSGK VLLLDRLLTALFERGHKVLVFSQMTKMLDILEDWSTGLKNWPVCRLDGSVSQESRRKQ IETFNDPESNVKLFLLSTRAGGLGINLTAADTVVIFDSDWNPQMDLQAQDRVHRIGQT KPVLIYRFVTGNTVEGKMLEKATAKRRLEKLVIHKSKFKSPVTGLPLSKKDQANTVRE LAEILESQDGEQVQIVSQGDKVISDKDLEMLLDRSEKVFEDTTVRVSDQFKEMNTADM VDQKNEILATRI PHYBLDRAFT_168860 MADLEDLNKPFDPFAEVEEEQAGAVKQTNYLHLRIQQRNGRKTL TTLQGLPAEIDTKKLLKAVKKAFACNGTVVEDEEHGNIIQMQGDQRLKMAEFLIQEGI AAKADIKVHGF PHYBLDRAFT_158951 MGDALQKRIEVAKVTGVLNRMDRGRLTNQDWCSPEEILLQEMTT MTDLLAKSLHRPQLTKQRYSLPAMKERDMMNTDNIFRKVPKDIQEDTRDELALLLDTI YKAKPQFENQRASFSSRQSCLTSIA PHYBLDRAFT_168862 MKRIIKSQIWNTNAHPVDISPSLCADMYRSHCLETPFSFSTQGL YLRHTGHFTRLIILKEKGNRHLTDSEQYIVQNISGPFLSRRLKKRGQWTMGFAKEPNC FKRAVLTLKDGCESLSVSENGKIKYALMLTICELSTANVPIPPECNSIDDGTDARAHQ CVMKLASTPQTWTSYSDLVVSMCFAIRYPIERDILEKLRKEIADNQLQNYDLLRDQRA QLVNWRQEEMENFGIIRKSQQYLREQLEQIEGVRQRASKGVQNLSDSIVLLQHDAEYA LRTQEELVNVFANTSQRRLEKIIFGMGTMLESTLPIKRVIQWEISHDWHNSVSHINNS LLQMLNDTGTQVHSLQQDIGTVHSKILVIIEPMRQLAQFMAEWAAHKSTVMELLSIVW IIVGYFMSCSKWRLLEYFLITLIAGYLERETFTYVFYFGNMR PHYBLDRAFT_133889 MKLDAKALRYMSSDEFRVLTAVEMGSKNHEVVPTSLIAQIAQLR TGGSHKIVGDLAKTKLIARVQNSSYDGYRLTYGGYDYLALKTFAKRGTVYSVGNQIGV GKESDIYLVADEEDNQHVLKLQRLGRMSFRTIKSKRDYLQNRKSASWMYMSRLAAMKE FAFMKVLHENGFPVPEPIDYSRHCVVMELIDAFPLRQVDEVGNPGKLYSELMNMIVKL AQYGLIHGDFNEFNILIRSNGEPVLIDFPQMVSTSHINAEYYFDRDVDCIRTFFRRRF GYESVLYPKFKLDVNREFSLDVQVAASGFTKKMQKELEQVSLGKQI PHYBLDRAFT_181567 MAGTHSLEQILYMITSLREVIINRQTALGHHLTLMFEFLPKTHT ESLFLSRVRGQREPKVLGGFSLVTIVSATNIGSIQDGTSVRHATLVPEIVCSSHAIQT SIKRSQAQLLELKRKFEEWEDMALTYTSITTYSASSPSLYQMGFPFVLQFKALFINEP TLTVEAVTDQLCGTFKDIQITSRSIATHMKNKFRLTYKRIVPQYFALDSKKYVIKRHI EVSSWISRKLDFFNDCVFIDETGFNRNMYTSYGWSVVWTPCKIKVETKGPNVSILGAI SKDGIITLSRKKIITTAVAGKIQQLGDTRVIKKKNKKAQLVVQRGYLVLLAPYSPFLD PIEEFWPKLKNVVNYDPSLVRQNTKISERIFNASIHVSKEDCQSWINHSLSF PHYBLDRAFT_181568 MSSFTSPTRASYSYETSGPRALSLEQQRGIAAAQAASGEARRTS TSTFTPSSSSLYVGELDPSVQEATLFEVFSKVSPIESVRICRDAITRRSLGYAYVNFK TQADGEKALSALNYTTIKGKPCRIMWSQRDPSKRKAGSGNVFVKNLDATVGTKELHDT FAKYGNILSCKVVTDESDVSKGYGFVHYETEQAADNAISNVNGLTLYGKVIYVGHYIS KRERETKVEEVKQQFTNIYVKNLVPDIKDEELQEEFGKFGPILSLAIQRDDFGVSKGY GFINYENHEDAEKAVQQMHDTLYLNKRLFVCRAQKKSEREDELRKQHEQARLDKLAKY QGVNLYVKNLCDDVDDDILRDEFLKYGPITSAKIMKDEKTGVSKGFGFVCFTSADDAT RAVAEMNGCMLSSKPIYVALAQKKDDRRSQLEAQRQQIQQPFVPPTTYISNSPVYYGG NPNNPYSQPMMGAPRPPRWSHPVSTTPTVDPIQSQIVSNPPAPIVPTLPVQSVQPTQP VQSVQSVQPQEKSTPNQVTQQLTVAILSAMAPEAQRQTLGERIYRVVHAKYPNLAGKV TGMLLELKQDELVYLINNPRALEKKALEAVTLLEKIRGTRA PHYBLDRAFT_168867 MTKTFVITGASRGLGLEFVKQLKSKGHTLFACVRNPNSSSELQA LAGQNVHVIELDTINTESVAAAAQKIAELSPNGIDVLINNSGIIADRNDTVETSSGKS YLSVFETNVVGTSNVTQAILPLLRKGTDRRIINISSDFGSIASTEVATVPSYRISKAA ENMLTKLFANHLKDESFVVVSIHPGWVQTDMGGSDATLTTPQSIGGILNQVEKLTTKD NGTFIDFQGASLPW PHYBLDRAFT_145908 MIATSPCVRTQMMHTHACPDIRSYFEMAKPKFICVKGYASRTDQ LGISDFSPSHPHSRRSLIDMVWFIYQTKQGELEIVTKKGRLQLATGNAYSQETKTRVE GHLRTLSVVKEKQGYFRRTYPIQGRPVTGLAIIQPFREYQRELVSVGLYQKGSWCGKP RSGSSSAIRWSNPFVVDPIAASWHIVSTGSLVVYCGWFRIPPAGGQGPFRPVIEEHFA VPSPSLQMMIHIPRSIPLLTKQILSIKSYSALSTPIMWKIPKPESCASMTASRTNRGA FLYKSKIWVVSPSLKAKSISKKGSNAKFQKQVPKEKPKTKSEAYTKSSSPKGSKEIQT RGLY PHYBLDRAFT_145909 MNTVPHSIHSPWLKHKTQATKTQNPGTEQARDPANRNLQKNTGN LANQTRPANRTTVAQKRPRIKDSGSPTHLRGSQQEPYEQKVQANPTAVQAPPSNVYKR QIPEANRIENQSSTTIGYTHM PHYBLDRAFT_145910 MNSKVYNALCAAFALAYLLAPALLFIPVMLLEASPLPSAFCMAK PARRRPSMAGVGATPSLDVRPNGENRVEAHDTVMRQTILGLVSNWVGSLWSTGSRTTG EPSGSLLSERHGGIPGHMSVDDSSLEEEDVVCVGESSVFFGVGLREYIPHLLPLDELE RGIDTMVFPVDGVAGTCGETHADLDEGVSSELVDICDEAEVSVRLKFICERFFSEGFA NPRLPTRFLDAMLEEELNDSENKGHCDSLTEVAEPECGLVPKPVEETFVFEQESVQTP SGLNNAGLKDPKPKFGTVEGLDEAFALSSWQSVNGFFGECLSTGLPTPMEIDDDLAWS EVVEVENPMEIDDDVVEVVVPNAICGEKLVAHTCNPTVDDLQHVSQSAKKDCNANKIP TCVPQQVVDDKMEHDMTRLDAPIAVTALKPQSRGTKKSGGLKKGGESLPASVSGLLAD GAKKVGECTPAVLGPKGHSKTAKPASQSGKKKAKDTEVATTTEKASGFREKQPKETKA ETKVSMAAKLKALASGAHMEQPKDPKVESSTSVGKPKRSLVKGKKSAKSFDAPTNNGL SAAEFQAKVARRESLVVLSFWVPSVDFGPNCERMLVKKQNFALAWFLKPGTASSSRKV VETRIWLPGNPQTDNSRMTMAVVTPFDMQRGTSKVRHSVIQVMNRFKGLHIKMRSVRF TKRTSCRSVGPGAKPMRSEPKVDPEASGKLYGDTGIPRPFTVLFLGTICVVIHVGSLD SKDWIIVSLWDRHHAPPVSLVLSLGLA PHYBLDRAFT_63785 MINPALAVFHSDMAGALSNILQELTTYQPGLSLDQCFEFGEALF NRFLSHLTKSQGAAFVQEVLNELRMLIGYLKTFRARAASLLGLYSSIPLELGEDVVNR YL PHYBLDRAFT_168871 MSGTLLEESGASIKSLTDPPKIELSEPCKDILNLISKSSASATC IKSQIRSFIGTNNSLDESEYADYYFAESTLHHFLQMMTSPRNPILFPMKERTAAPITT IYLLHAMFLSCNDLVSFHWIERTADITGAAKWDGICFSIKDKRLTPVLIEFSGGIHFN STTEKEQRDESKMIRNMVKLLEYAKYVKKHKSPVPQFYCRFFNNQIFFEAIFLVDEET RLVKRTFCKIPCPVTPRELKIFAENIPAMLKWKQAIINYVIESQK PHYBLDRAFT_168872 MSGTLLEESGASIKSLTDPPKIELSEPCKDILNLISKSSASATC IKSQIRSFIGTNNSLDESEYADYYFAESTLHHFLQMMTSPRNPILFPMKERTAAPITT IYLLHAMFLSCNDLVSFHW PHYBLDRAFT_168873 MNVVMKTDDHRTVTTAGTLPQSGAIRHGSWTGRHCCEARPTLHF QSVDWRILLRVDDLNYSSTEISRLKMSVDLGFLYCEIYHIQDLNGVATKRKELDQVWK RAIEFERKIQNACAKTSAKEEVREGYIKRYGFGKKSVSICKERPSRRSGKMKRRIGWV SDQKEKKSETEVKDNEAEEHLAEIFDSKSSIRSF PHYBLDRAFT_145916 MAHHLATSPPRHLATSASASTARINFHKTQVFSLSGFPHSRWIN TLYSLQTVDYQDNHSPESIRYLGSLVISFLTQCNPFCSQILAMIEHILHILLFSASSI RSRTAVLSSFILSKLLQVFHVLFVPDTFFANAEHLMTRFHQHRSFIPLK PHYBLDRAFT_63773 MAKKKAIGDNLKAGREENIRSAGYNGRKKNELGNNLLEIVRVNS IISFSLIQEKADSGMTSGINMADNQLCGFTIFSKKTTTDEPSLVRGYNGSSGSDYAGF KKAREILSISIDLGLLLGDRETPSRMLSPKDVAASEERLVSESPRKTLYSPAGVCQHR GQGFARSPGVPVVTAFEWRLIKKKLGWLMPELVDQRVALEVSGTVIRIVSIMGKVDTV RVLFFRDKRILFISFTIKIPVMQIPVV PHYBLDRAFT_187130 MPNNTSFVFVGNPGKKISLEHASHSCPRCKQLSVQLIRSETQLI VLNKRIANNMRVRYECSSCKWKNEELPYDSDTMAQMQRYFSDDGGDMSSESSGETYMY PVSPTSPTRPGTAY PHYBLDRAFT_95282 KDKIMIGFTCKVCDERSQHVMSKLSYTKGVVLIQCPKCENRHLI ADNLGWFRDTKVNVEDLMKENGEEVSRVV PHYBLDRAFT_63776 MITKQFFLDPEAEMVSSEIVDAAAAKMRVGMTKVKTAYREAKYE ISYSYIRPQELVGIRRSMDSLTKHLNILGSCLKSERQLFETALENLDQGVTESESEDE YWTIHSMPTQQGKGDSSKRRSRCDLEATLRKAATFAANDYAQSGRYHSPKSSRQNSRA NSRRTSIDEDYTEQNQRSVNSMRSFMSSAKIASPKGQLPRKTKKKDKSNRHVLVSYLE SLRDPLMLLSVECAEVLDCISDSIAIELDMEDDSDKSIRETWYSYLRHVFKIRSQEQI IANTKASQRRHASEPCNCAQSLHEAIGEFDKAERQRMDMLYGYYKKQLDGPDMPLGVR EELSLVFFFIFTLREAANKLEYMALEMDVLRKNSQERMRNGKKRKHLYMPQLNQKWWH KWASWSNHQSTRDKGGEAFGNLTYHMPKSQKKVDAEDEYRLTKIQTHTSFKRTVLRRE SVLIKIGSHNSSNKSAITESPTTLRKRFFRNPHPSISTDRESERTDIDEPESKGLEHD VEANEKENADEKPPFGLRVRYRVWRTVQYMERYEFKFGLKMALAVSLLCVPAFVPAST GWYLALRGQWAAMTVIAIMNPTSGGTLQAGAWRVVGTVTGAMVGWAALEAGGTSPYLL AFFAVILAIPFFYIHLASGYNKVGVVVLISYTVVALSRYSNPNPNETIAETVWKRITT MVVGILVALCLNWMVWPFIARHATRKSIAAVISELGDYYSYLMGTFFYHDPMFPPTED DIKFGQKMERNIQSSIDSCSTLLELTDHEPRFKGPFPKEFYQEMIISTRNLLDRMMSI RVALEKMPQSVKRDLCSKEYYTYRRDLMSSMILHFYTLSSSLRSKIPLPVYMPFTRSS RAKLNRKEQEGHTGERWVKLSNLTWFATACATEESIEELEYLSNLIKFIVGENKYADR ARRIDSLMGEDSLAT PHYBLDRAFT_125125 MTTLEDKELWAGDEDDIGQEITRLSPEEIINRTRLLQNDIKVMR SEALRLQHEQVGMKERIKENKEKIKLNKQLPYLVANVVELLDADPEEEEEEDGANVDL DSQRKGKCAVIKTSTRQTIFLPLIGLVDPEELKPGDIIGVNKDSFLILDTLPAEYDSR VKAMEVDEKPTEDYNDIGGLDKQIEELVEAVVLPMTHADRFKNIGIKPPKGVLMYGPP GTGKTLLARACAAQTNSTYLKLAGPQLVQMFIGDGAKLVRDAFELAKEKAPAIIFIDE LDAIGTKRFDSEKSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRIDILDPALLRS GRLDRKIEFPLPNEEGRARIIQIHSRKMNVSKDVNFEELSRCCDEFNGAQCKAVCVES GMLALRRGAVEITHEDFMDAIQEVQAKKKMTLQYYA PHYBLDRAFT_168877 MQEIVKLEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQASEKNKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRARTRDGKP AATQKTKNNKKQNKSKKEPLDLIDATKNKIKQIKKEPLDPVDTTKNKTKKIKQEPLDP VDATKEIGFKRPATAQEDYQYDYRTSVGKRVKFQPGFPVSHEIVDDVKGGFNPTADGW CGFRVLAHLIYKDQEKFPLVKRDMLATLPKYNSIYASTFGTDVKQLEDIIKHGSDLCI TNSNSNSNFIPACLDASMWFSTSDCAQLAADTYKRPVCVYSDNPNTPSVSFLPFTLPK NISKHQEPLIFNHVNNNHWTTVHLSCNVSRKWPTIPELFFLGCVRNQIPDNFDTYWNK FKEFNKYDRRNAMFSFLSDQEEHVDLTIT PHYBLDRAFT_63779 MIISIYREFIYISYSTLDMRNCENSNIAGHIMREHEPILAFGSH LHNTGLNVWYMLVPEISQSDFLISRLKKVADMSLCSAIRGTATFKESVIIRGFQQSFK LLNLNF PHYBLDRAFT_187133 MLQELEILNDGSLCRVEFGIDDFDNMHQSLQNLSSIKAIIHLSF DFSAMLDAIPNTTPALSVTSLDINSKLFENKTYYINGNMNYWNPLWMYYFGYKYPNLR SLKLNATNIVEIPIIPGKRETTRSLFRSNPKAFQHLETFSLTTDRYFESFDLILWEVL CRLKVSLKHLTLNAAIWNEIDDSYPMDVNRILQSFSEKLESLLLTGFMYNAFNEDTIL EFSYYYPFLTNLCISGESVLLDLDNLLEKCVALKQLKLCGEDLYLDPYTTTENPDLEQ HGLEILTLGSCFTSAETLNRISFRCRSLKHLTLSI PHYBLDRAFT_77497 MGVTELPFEILTRISDHLSKDDKLSCALTCKTWRYPFQKAMWKN IRFYSHADVKTLINIVKSPQNLYTSYGSWVHSLRISCYYKATDISDIKFSGLFKFLPN IKSLNLGNISYEDIGTGIARSDKIWKFLENLKINYKGTSEKKPAKPLFELINACSMLR KLEIYDGGWLYRAEFSVNDFDRMHQHLKNLSSINAGIYLSSDFSAMLDAIPNTTPALS VTSLDLDSKQYEDECDEFNESRNDWNPLWLYYFGYKYPNLRSLNIQALDIRGDKINSD QRQTMISLFRSNPNAFRHLEKFSITTDRYFESSDLVLWELLCSVKVPLKKLSIHATSN GKVDRSSPMNVDRILQAFSETLESLSLSGFIYSSDGQNSIIKLSSYYPVLTNLSINGG NVSLNLDDLLDMCVALKTLQYCGGNLLIGSSTVTRKSKHRQHGLITLSLEKCYTASRI FSHISFRCRSLKRITFDTLNITGSISDKTGCLLIEMPHTFLKILQIARIQYATSYQEM DGEDAINLTLLTQLNEAPLPDKKTGRKKNKVNSKKKVAESHNREWLYTYDVREFWTVL GRDTAQVYDEEEIEFIDEYYRDFRASASSTTSKDYMFYNEERDEQGWIHELDRGYGKF VFGNIKGVEII PHYBLDRAFT_181574 MFLFPSDASSSQWPSGLAKDISPKIFSTAKHDHQHDEQPSLKHA MNQKLLSHAAVIDMVHITFIKVQTTEDSLHSYKEVSLFICNVRIRMPFTMKQKARAQS VSRGDNVPFCYYMLNLKERHNGDKGITITYIRCDRNVIKTGPVRHNDV PHYBLDRAFT_158958 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLEN LKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTHSRRAGRARDVSVISF TCIHRQQRSN PHYBLDRAFT_168881 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEAA MRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPKNEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACN CNLMKSISSGALVAKREYVYQSIKNTLSVFFRCPSFEAKILCFDEENCRDNGTAQRLH HTDNQNWKGLQLHESRRVEIMGSGLFFCLVAWYSPPLQFKNWMYFVDACQYYIKPSIT FDEITTTHSLLEKFCNACNIDYTATILTCNMHLHLHLHECICDFGLVYGYWLFGFEQY NGILKNFKINGKDGFEATYMKNFVQNAYKDDYINAVLKSSSQIPFIHTLSKLVTTSIP AATVTTLSSCPFRLQEFVQGYTDSYNPPKGNEPLPPFTFPLKYKKPSAKFVGSNGNII LGFAGQIQYLFTHSFQLPPTHNLHLTRMVHDHQQVFAFIKWFHTSSDRLREDDSVEFC LPTFSPDSYHSIISVYHILLEVATATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_71545 MSGTLLEESGASIKSLTDPPKIELSEPCKDILNLISKSSASATC IKSQIRSFIGTNNSLDESEYADYYFAESTLHHFLQMMTSPRNPILFPMKERTAAPITT IYLLHAMFLSCNDLVSFHWIERTADITGAAKWDGICFSIKDKRLTPVLIEFSGGIHFN STTEKEQRDESKMIRNMVKLLEYAKYVKKHKSPVPQFYCRFFNNQIFFEAIFLVDEET RLVKRTFCKIPCPVTPRELKIFAENIPAMLKWKQAIINYVIESQK PHYBLDRAFT_168883 MSLSFFHLNIPRARQHQLSGRIKMSPLTKKYRPPKLRVHTVQAI QAVHKKSYEKILIESNNYLMLSTVLVMWELDITTLLGISKLKQVKRSADDANIDEEEP ENTQLVSQDEERFKNTMDFFQRYKETRNRMNWRGCHDMLKKQILLFFTFFVHIS PHYBLDRAFT_63787 MYSSNVLCPLAFYLSELPFEILTQIADKLSTTDRLTCALTCKGW RYPFQKALWRDVLINSYYGMRPFIRSIKAYQNSSISYPLLVRSLRIHLYCHIPEIPDI NCSDLYRYLPNLKHLDLGTISYKWLYTDITEADKIWKSLESLKIQYQGTSEEQPEKRF LRLINACSMLQELRIYEHGWGYRIELGVEDFDNLHQNLRHLSAIKAGICLEINFSVPL DRIPNTIPAFTVTSIDINSKQYENKTQTGNRNWNEWNPLWLYYFGYKYPNLRSLKLEV TDISGDPMTSDQRQTIISRLQSNPNAFQHLETFDLTTNRFFESSDFVLWEFLCPLRAP LKHLALNATQNDGVDYSHPIDVNRIFQSFSQTLESLSLTGFTYSYSAQITTLELSDDY PLLTNLCISGSNVSLNLDDLLDKYVALEQLKFCGGKLFINPNTITEELKHQHQQHHGL QTLTLEKSSAAAELFDHISFRCKSLKHMTLDNFCVTGFISEKTGCLLLDMKHTFLKTL HIGQVKYGTSYEEINEDDISLTLLSQLNDASSSEERIEKEKNEMDAKYSIVEFHHIDW LYSYEYYTYPGIYGQETKKLSKEETNIALEYYKNFQSNKIGQNPKDGSSCGRENPEVG WKYELYKGYGELRFGRIETAPVLCTSND PHYBLDRAFT_168885 MVSRLPFEILIHISNSLSTEDQLSCALTCKTWRYPFQKALWKNI QICTYQSMQKIIDSIKASQNVLTAYPFLVHSLHIRQDCYMTEMTDINLYDLFRHLPNI KRLDLGDIDYRDMNTEIEKSKETWKSLEVLKIRYKGTEDKKRARHFFKLINTCSMLKE IEILHDECIFRIKFGVNEFDKLHQNLQSLSSFKVNIYLTPNLSVPMDTIPDTIPAFPV TSVEINSKEYRHVDRNGTSSHNTWDPWWLYYFCHKYPNLRYLKLHVAETHHTLMYLCD KKKLVSLVKSTPNAFRHLEAFELTTNGYFEHSDFILWELLYPLRAPLKHLALDGTEYE FIDDRCPMDVKKIYDPFLKHSRVLYSRGLSMILWKTVRA PHYBLDRAFT_168886 MLKKRFIFYEVFNYISSRCRSLKHMTLDKLSILGSISKKTGFLL VDMPYTFLKTLEIGQVPYSTLEYSFFMDTNIDEKDERKRNENDSEYPISASHIIDWIS VYKYIKRNRDKYLTTTKLSNKGATITLEYYQDFQSNKTDQILEDFGLYDEADQSICDK CNLSEGCGELRFGKVETVHVVRDSSLGKDINSERAKSLIKTPKLKSKIYKLQLSSNQF DDRNNCSELDSTFHFARSRLCSKSQMSKFLTLNTISELPFEILAQIADKLSTTDRLTC ALTCKGWRYLFQKALLRDMQIYSYYKIQETINEIRTYRNESTSLPLLVYSLKIQHYYN MTEISSIAFSDLFVYLPNLKALELCVEPYIDIEVEIPLPKKIWKSLESLKIQYRGIYD TRPAIHLCRFINTYHMVQRLELLDEGNFGCIEFSVNDFENMHQNIRNLSSIKASIYLS PYTWSRLDNFSDTMPAFAVTSLDINFKNKSIKFSSSSQNKWNPLWLSYFGYKYPNLRS LKLTTTNTWGNSTNSDERQTIISLFQSNPNAFRHLKTFSFTVNNHFALSDLVILELLC VLRAPLRHVALNTTRNQEADCSYPLNVNRILRSFSKTLESLLLTGFTYSNHTKNPVLK LSLYCPLLTNLCIIGRNISLNLKDLLNKCVALKQLKFGGGKLFTNLNMTTKEPEQQQK EHGLQILSLEKCSANASTFKHISFRCKNLQHMTLNIVSVTELIWETTGYFLLDMSHTF LRTLKIGRIRYEISNRYIKKKDYICLTLLSQLNGHRLADKKKIDTEYPKYPYHNIDWL YTYKYCVRDDIYLLITKILPKNGANIALESYQNSRSKKAGPALKGANLYEGNDPKVYW ECELFKGFGELRFGNIESVSYISQTYYRKYF PHYBLDRAFT_112892 MSDVPEFPSRDGPQRLVAAATKQPKNRQKVRLEPGHSPLDWARL KSSGKDLRGVSQLSRYTLDDIKRHNTLADAWTAIQGKVYNITPYLKFHPGGVKDLMRI AGKDGTRLFMLTHSWVNTDFMLDACMVGFLVPGRSSDDD PHYBLDRAFT_145935 MTENNLFQFAQVITDMPMHHSTSHQEDTLMRTRLQPPVMQLHSG QPRLAVRKYYGTDCECNEMQPRRGKNLPEGSLDSRAKKLNPQDKEDHDFTMGWLSENA HAIFRKEDGSVQGLRHLSEVSLCKAHSSTLYRAKKRFERSKAPPSPADSNGMDITEDH RHQQQQHNHHQQQQQQQQQQQQQQQQPTPYLDPYPYAVHHQHPLAEVVNGGLAAKVRE ISYQDNHYRHEPSPDFSSMTPSTSLKRKRLNKHMDHKLHQSASTPLYASSTAFLSHHH PPSPSVSVQPSANPRSPHPHSHPPPLPPTSSTSSHHHNQQLQQQQQQQQQQQQPPPPP PPQSSSSSHHDSFSSQLPPLHSRSHTLASLPQPHISITPSPSMPLSPPPAVETVSLRS VPQSDSSPMYYIRNLAITDAFTFRDLLAELDMTGSPPAGKRIIVSNAKNDLVFPLDQA IRSVIRRPTTSHVELCLGLAEKTAVDWSTYS PHYBLDRAFT_168889 MMTGLTKQIESGSLFSLLLTYKLFFKKRYQEIKVKPAVLSCECS NDMSCHLITIAIAIAIAINITCLFILFKCKRKTQNANGECGCSGALIDSDFDLDVDFG VIEINEKLLS PHYBLDRAFT_63793 MTSDQRQTIISRLQSNPNAFQHLETFNLTDYRFFEHSDSALWKV LCLLRVPFKHLTLNTTTRGKVDDSYSIYANRILQEFSKTFQRLSVIGFIYNARGQGPT IELSSYYPLLTNLCINGSNVFLDLDDLLGKCVALKQLKVGGKKLLINSDTITKKSKPQ HHGLKVLTLEKCSADAKVFNHISFRYRSLKHMTLNTLHVMGPICEKAGCLLLDMAQIL SNTLCIDQLYYSTEYGEFGIKCNICRTLLSQLYDAPLSDEKKKFHNIDWLNTYEYYWS SGIYRRKATKLSNKGAKIAYEYYQNFQSKKIGQTLNHGRLCYGGNPEIGYKYKLYRGY GELRLGKIKDVNIICVSDDNE PHYBLDRAFT_63795 MLASELPFEITLLVANFLQPRDKVQSCLVCKAWLPAFQESLFET LFIQSEASANKLMDSINSSISPLQRYGHKTRTLNIGKNTSLGDQQLYTLQQHLPYLEN FRWYSASVKLLRSIDFGGWNLWAESLTNLEITVKFCIHTELPRTFTLIRSNLCRLKRL KFYTAYTTLFTYTFDDFELLNDQLPELTYMSLSAQFKEMSPAELLKVNKVEPRPYFKT LEIVIKNTTYEWLYYIAIKYPNISTLPRFDFSRSIADMQTSQAPKMFAELLYPFQHLA NISVHANTPSEQIYLNFINQLCLFNVPIKAIDLNIHDSSSNNISSNNILESTKIFANT LEKIKIIYLQEDILHLSFSEKLEYYPRLVDLNIYISNATVMLDILLEKCPALKTLTIT DGFLTFRSDFPLFSMEYGLSDLSLSFMSISASTLNNISISCKNLSSMHLEFVDITGPR QKHAVENCIDMSSTHFTKLYIESTQFINTLDQDRISTFAFSASLSYNIETRTLTLKEN KNDVYLVQIPTENIWVYSSPSNSINHRQLQSTTLKLNEEDSKEVEEYFRKYPGMVRAE YTKDQLRETIKDLSIGRWASQLYNGYVRFKYGSARNLCLRQPSNNK PHYBLDRAFT_168893 MYKHSNLGPNYNEWLKTAHLLDPPFDYFSFAKLILKDKHVTNLI YRSILTEYVKKSNKYLNEGVISLKLFEGYMCSYHYNHLANQSRTKEDGPFGSAFEKYW IKREEERTVESIRKRQLEGTSNITNDIVSNMERVIKKSCATSPHFSVSPSTSAISSTY IADEKSETSTISSEDIASCDISPTGYAYKKYDGLNVLDLDNPDILEYMSGTLLEESGA SIKSLTDPPKIELSEPCKDILNLISKSSASAACIKSQIRSFIGTNNSLDESEYADYYF AESTLHHFLQMMTSPRNPILFPMKERTTAPITTIYLLHAMFLSCNDLVSFHWIERTAD ITGAANWDGICFSIKDKRLTPVLIEFSGGIHFNSTTEKEQRDESKMIRNMVKLLEYAK YVKKHKSPVPQFYCRFFNNQIFFEAIFLVDEETRLVKRTFCKIPCPVTPRELKIFAEN IPAMLKWKQAIINYVIESQK PHYBLDRAFT_63799 MLASELFFEITLIIASFLQPKDKVQCCLVCKAWHPAFQESLFET LIIQTRASIDKLTDPTNSAGKLLQKYGFRTQTLQIIKNLRAETLTNLEITMKCCIFIE PRKTLNLISSNLCRLKRIYFHTVDKKPFIHTFDDFEFLNDQLPELTYISLSAQFQEMS PTELLKIERVSRSPCFKTLESFITQTAYGWMYHLAVKYPNISTLKTVSFSQSIIDLQS SRVLKMLATLPSTFNQLKNIAIHVENCSEHLYYDFVNQLRLFNIPIKTVEINVLNSTK DYGPTRNVLLAAQIFANKLERIKIIHRQQSRLAFGVSYKFACHPRLVDFNIQISKAKV ILDTILESCPSLRIFTITDGDLIFMSNSHIFSIKHGLRNLSLNNMSIPGRTFNQISTC CNKLSNMQFRCLIKLGLQPGNDIDTYIDMSSTYFTKLNINDIQFLDPVNQVKINIFIF SSSVNSTEKIWVYSNPTKVPFLRNHQQITQRLNEKESRGIGLYVHEFPMPVRALFSRE QLLEPVMQFSLNGSERELYYGYATFKCGSIRSLVFDA PHYBLDRAFT_145943 MTSSKLMDAGLAIDKLGARIPRSGIFSNFSQKPRNFGLTVVQIA GFRLPVLSKDKPGLQGPFQSPSLFSVVSHSPQYHSIFKHCLEAFSVIWEAHFQPIFKE VPIVNNQSFKLKGEKLLIAVAAAATAAATEKKLNTTRLIAQLLGRRTSNRQIGGSNPS VGHFFQFFTKTQKFRPNSVSHSPQYHSIFKHCSEALSVIWEAHFQNIFKEVPIVNNRV AAISFKLKGEKLLIVVAAEAAAEAAAEAAEAAEKKLNVKPRVARHWVKECDEDPKSIF PHYBLDRAFT_4977 TAACCLIGDEILSGKTRDLNSYCLANFLFDLGISLKRIDVVADD DEAIGESVRRLAKDHTLVFTSGGIGPTHDDITYAAVAKAFDLSLEIDNETKQRLTDVA TKRQKALTDAHLRMALFPYPAVLVREDPSLLIPVVVVVGQIYILPGIPQLFQRLLYSL KPYFARLIISQGQSLKGFVRREIITYQPETQLAAYLTGLQAEYPKDQLLIGSYPELNG KVVVSVVGKD PHYBLDRAFT_63801 MQTLQGQIRHLRKLYRCLFFIDLQPEEGEKVQVFFRCDDGSMNN DQFQDAYKTARLGDQVKIQVEPPHDPKEADRPYVVYQSCQPIVVLSAYKGSFVADSAL KTGENKKETLRQWDGQHIDKASLNCKYWINQRRCPKMEACMFQHPVGEAYEQAREEWL KQRMDARKLNNHDPKDPHTNKKPHALRAIVFAKWILSTFQPSGQVLDIAGGKGEIAMV LSRGYGIPATVVEPQERKRPDYWYTRLLRLLRTSTWPFDVEPIYLHTMLDNAFVEDQA ALINSASLFIGLHADQATEPIVDCICCCALLRIRPGEQTSSAKRQQTGIDHSRSCTVP V PHYBLDRAFT_168897 MHHGKHFRRLNRTSSHRNALLRNLASSLIEYGRIETTVAKAKEL KPIADSMITLGKKGDVEAKRQAIAYLYSPTVTVPKLFDELAPRFAERNGGYTRVQRIG NRYGDNAPMAVIEYIDGPNDIKKAMVTQTLARVLGQTNQTSKVKEAFEGTADLGLSKT LLRDLKKVQFANSIETLSQDVEKEIAKQFN PHYBLDRAFT_187135 MNGLMISKIPSTMRSQVCRRTLSTQVSQQQGNKFCQIPNRGLLE LRGPDTVKFLQGLTTNNTRKIASGGEGCYTSFLTPQGRMLYDAFIYPVNGESEKWIIE CDERVVGNIAKHLKRYQLRAKVKMQDVTQEYSAWSAWGGDVQKTAAVGCYDPRVPGLG YRAIVPKQTDIKSVLGLDTFEELPAEEYTIRRLLNGVAEGPDDMWPEHALPLESNMDY MHGVDFNKGCYVGQELTIRTHHTGVVRKRMMPVQIYNGDQSVPESLVVDRSAIYPVGL EPQVDIKLADGSSKRSVGKLGSGIHNIGMALMRLEHVKKFENTQSKAFNVAGTDTFVR PFLPTWWPVEEEEEEKE PHYBLDRAFT_168899 MKADSSDKYLQYLKHSFDTYSHGQGMNFTSLSDAYKKANVSATP DDIERQIKLSNTKEHNLLDFNEFLAVMDRGDHEESVLKVFGILDRDNDGVINSEDLQQ GLLLFGESATDEEIDIMIKAADVDGDGLLNFEEFLKILTPSKINGQ PHYBLDRAFT_38102 MSFRPNSSHSRPNSPHGRPGSPRPFSPGQHTKVEEELHLAGIDY DKVTIKHNPSVPVLYEEALTHELGTVISSAGALCSYSGKKTGRSPHDKRIVEESGSSK DIWWGPVNTKMSEQVFLINRERAVDYLNTHPRLYVFDGYAGWDPKYRKKVRVIASRAY HILFMHNMLIRPTEEELENFGTPAFTIFNAGEFPANRYTTGMTSTTSVSINFKRAEMV ILGTEYAGEMKKGIFTVMHYLMPKAGVLSLHSSANEGPDGDVSVFFGLSGTGKTTLSA DPKRHLIGDDEHCWSDTGIFNIEGGCYAKCIDLSGEKEPEIFNAIRFGSVLENVVLNE ESRVVDYADDYLTENTRCAYPINFIPNAKIPCMGGHPKNLILLTCDAFGVLPPVSKLT PSQVMYHFISGYTTKVAGTEDGIVEPTPTFSACFGAPFLVLHPQKYATMLAQKMSEHA SDAWLINTGWVGGSASDAKRCPLKYTRAILDAIHSGELAKSKFENFEVFGLSIPTHVQ GVPDELLHPRKAWQGTPQTFEESINKVAGMFKENFKTFQDEASPETCAAGPV PHYBLDRAFT_14160 KLTTTLWEDESTLCYQVDANGLCVARRQDNDMINGTKLLNVAGM SRGKRDGILKNEKGRVVVKVGAMHL PHYBLDRAFT_77501 MSLPAILPDTDPNVSLTTSIAKEILKNALEKACFAVQCDAAGEV NGARDAYIEALALLVCVLPTVSGDRDRARLQIIYDLYLARLELLQTAIGPTSDENRLS WVTLPEDDQPNQQNTTAEPLAQSEQPILPLVDLVQTRWARQGSISQPPISPQPTTTTA TTTTTSTTSTSTSRSMSMSTSMSTSISISETCKDLKLMFALEKSILHGEFISEKLFLP KAIWTQPNVRLSSLDTKIATCETLFWNLTRLEAVSDHSNPTQSVRLLGALEEWLKILQ AKLPKKLREKAKKECGETSKTRKRQSIVSWSSHRLSRSMAKVNDTFSLTSSETQYQVY IASLTRLFDKMHILEQWYNHYSSQTQTRVIEKALERLRRICTLLGLITAGFVLRDVSV LLGKWLQSVESPEQDYQQSE PHYBLDRAFT_145952 MFQILNKSISIINKNHPKNEHVPDDLLPVPYVPKYPLNPSPPSP TPSPINPNNMCPPEAVA PHYBLDRAFT_181579 MYTRLVRIATRPLDASKKLAYCSIVGVSVYGYVQACKDDFSLTL KTKSNSKSHCLGLVYLIIFRPVLPFPAMSCPVLSQSSKKKKKQETIISSIPALTKKLN PHYBLDRAFT_112938 MDLSQTTFGENDLPVNFLETSDLYTHGHPFSDLLRPPKNSPDAP ATIYQYWDTAGCPIRMTDIEDIFLDFAKYFGFQRDNRRNMYDHLLTMLDSRSARMTPR QALLSLHADYIGGEHANYRKWYFASQLDLDDRHSVPTTPTGQLIEEAKREWRQRMESL TDHTRVCHLALYLLIWGEAATLRYMPELICFLFKAADDYRVVINTSLVNRLRQVAPES FLDTIITPIYQFARGQSYTLVRGECIRRERDHNHIIGYDDINQLFWDRRSMARLRLRD GQFLKDIVRYEQFHVLSQIEWSSTFEKTFYETRSSLHLLTNFSRIWIIHAASFWYYMA ANVDFVYLSMSGNRHDLAVKLSVIGLGGLVASLLLIMATLAEFAYLPATVQTTKILVS RILVLMVLSACHVGASVYVIQFNKVGGMVGLIVASCQLGLGAILSIVLAIVPSANLFR RQRSSHPIASKTFTAHFPGIPGDDRCLSILLWICIFSSKFLETYFFLALSFRDALGAT ISVRLGSCAKDTLLGNSVCRIMPILTSTLLFSVEFLLFFLDTYLWYVVWSTLFSVSQS MHQGLSVMTSWRTLFGRLPQQIIQKVVATKELDTLHLRKIACSQMWNAIVISMYREHL LSISNLQALLYQVQTTPNANDGTNNSINNTNGKEARELKVPEFFDPKGATDKEQCFPG QSEAERRLSFFAQSLSTDIPKPCSVHEMPVFTVFTPHYAEKMLLSLREIIREEDTTSR VTLLEYLKKLHPAEWENFVKDTKLIVEESENETSDRDDLPFYCIGFKSSAPEYTLRTR LWASQRAQTLYRTVCGFMNYARALKLLYRIEHPEIHATRKQQQEQQKTLLDQLAHQKF RFLVAMQRYAKFNSEEAENCEYLLNTYPHLQIAYIDEEKPKEKDQEPIYYSVLIDGNC ERLPGNKRLPRYRVRLPGNPILGDGKSDNQNHALIFYRGEYLQLVDANQDNYLEECIK IRSIFREFEQEDAPDETEVYSLHCSNPSKAKPPVAIVGAREYIFSENVGVLGDIAAGK EQTFGTLTQRIMAKTGGRLHYGHPDFLNAVFMTTRGGVSKAQRGLHLNEDIYAGMNAL SRGGKIKHTEYLQCGKGRDLGFCSILNFTTKIGTGMGEQLLSREYYYLGTQLPVDRFL MFYYGHPGFHMNNIMIIFTIQVFLICMMCIGAMAASLPTVCQLGKECFNLRPVTEWIQ RCVLSVLMVFFVSFLPLFLQELTEKGTWRSLCRLFKQFVSLSPLFEVFVTQIYANAVL SNLSFGGARYIATGRGFATARLPFSVLYSRFANPSIYFGSRTLSTLVFVTLTMWLPHL VYFWVTVGSLIISPFVFNPHQFVFVDFITDYKAFLGWLCRSQGDEKQHNHAWITFCRE SRMRVTGQKNISETASSTSLSSLFLSIFMTEMAMPLVQALVCLVCYLFLKTHEVINTM KPWGLLGPLVAATVVPIVWNVGILLLCQCLSVSLSVVGSVQHGVGIAALSHGLGLLGF LMAFEYVWFYEQFSLALTVMNMLVVFSVQRFLFKSMLALFLTREPQQYGIDKTWWTGR WWGSQLGRHAGREFICKIVEMSVFTTDFVLGHLILFGLFPFTLIPWVDRIHSIMLFWL KPSHQIRSSVLSTKQRRKRRGVVLLYGPLFVLVLGIFVALIVLPPICFPTLFLIQRP PHYBLDRAFT_74696 MAKKTKNAMTLHKVIMVGSGGVGKSALTLQYMYGDFVEEYDPTK ADSYRKKIMLDGHECDIDILDTAGQEEYAAIRDNYYRSGEGFLCVFSVCEYESFEHAQ EFRDQILRVLDDDTIPFILVGNKVDLEHLRKVTTEEAQQLAQEWNCNYMETSAKTRYN VENVYTMLMRQIRDRKEQMSMTDSRSKKGKCIIL PHYBLDRAFT_168906 MASSLRDQVNALLTDYSGLIKNYFHAISLVAENTPVDEQHSPET LLKKIVGVDRALQQAIESKEEKQSTYILYIQNEQTKRIDRFLLLVDEHQARQRRIIQV QDDIQRHQMTMLEIVQRLHDARENIDLDLTQAKRELKAIEYSKDSNVQFTEILSYASK LSKYTSAPPNFELISRDMKIEFEKPYPDEERMRRGQLYWQHAPQPVPEDQFESSDSDS AMEEEINKEAGSTGQPEESQGDPFWILDLNPDMPS PHYBLDRAFT_181581 MASSKSMFRNDLRGVDAYTRHKKLIRDYTLFYNHQIPKNEVKYK TEIEIIRENHKFIRNQDEDEAEDAPWEQRAAKKYYDKLFKEYAIGELKYYKESKIALR WRTEGEVVSGQFVCASTRCDSTHSLESWEVNFRYAEDGEVKNELVKIRLCPSCSDKLN YTTQKRLAKKEKKDKLKRKRDQKREQERDSNKSSRKRSKKSSGSKSAAEDESQSESES ESEEDEGERMGKNKESEASNVWKQTAESREERSKEEEFEDYFADLLQ PHYBLDRAFT_187141 MASKFPYGWFFIQSRCKHKMVLDVSWDSLKTSAKVIVYPMKETD NANQLWMYDHGYIINKNSGLVLDIQGGVLESDKQVIQFKRKMLEDAQNQRWYYREDGF IYPQADPNLVLDIRGNWTKPGTVVLLYERKYEDNENQLWDLVPYQEPKRLVNDRTGSE SPQDPDEDYSFSTASYAL PHYBLDRAFT_63814 MQQVWQKIGVKFASTFTESMQHRHQDVIEAKGGLKGLFVILAEQ MYGEDMVSLTNFLGSKIETFLTLKSYRDNVSIITGLDNHGYLYGARYISLILHGGKYR ALKNTIYLLYESGIIIQCREQGHNLWSDKIKMSSPAKKYRDPLGSLDPLGSALRVKRF RNYKYFIKNSFIA PHYBLDRAFT_168910 MVIFMRSLELPFEILTQIADDLSTKDRLYCALTCKTWRYPFQSS LWKKIRFYSPDDVQTLINIVKASQNVQTPHGSCVHSLRIGCHYNATEISDINFSGLFR YLPNMKSLHLENISYDDIDTDITRSDKIWKSLENLKIHYKGTRQKKSAKPLFELINAC VILQKLEISAGCNGYRMKFSVDDFESMHQHLQDLSAIKVDIYLSPDFSAILDNIPDIT LAFSVTSVEINSKEYKYVDEYGSASRNNWNPLWLYYFSLKYPNLRSLKLNITDTCSTP INSDERQALITLFHSNPNAFQHLETFDLKTDRYFEHSDFILWELFCALKTPLKHLKLD TTKYGQVDPSYPMNVNRILQSFSGTLESLSVKGFIYNYTNENTILELSSYNPLLTDLC ISGSNMSLNLNDILDNFVSLKQLKIDGGTFLSNPYTTNGESNQREQQEQKQKQHELQI LTLKNCAVTAEIFNILSFRCRKLKHITLDTVVINGYICKKTGCLLLDMSHIFLKTLRI GQVQYSTSHEESDINNNICLTLLSQSNGLQISDEKNEREKKKINSEYPTVTSHAIDWI YAYEYFGKYGESYIQTTKLSDKGANIALEYYQNFQSNKTSQTLEDYSSYDNEDPDMDR KCELYKGYTELRFGKIEAVHVIRILYRDLY PHYBLDRAFT_158964 MNGFILCATFDIFVLVLVCPKKELNIVVGGVNLDRVLKLMLKYV MVAGVSFDSVVKLVSIPVDL PHYBLDRAFT_158965 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_158966 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGRRYTRIFKQFTKN PHYBLDRAFT_145960 MSKPLHSKNEILRSNTGLTINPSKAYSRSETRDKLTGLTIIQGA KSSNQEQVSIQGHRYTKTRGAYAHCLLYASSAFRPGQASGIRSESTVISIQSIQKKHQ CSDLPEMVIVSAIQVNCQGPDFPAMAIDVRRQGCDLPAKCSLIQVNQQDSDLPSKVIA IHVKRPGSDLPTIFTAIRVKRQGPDRPSMVIAIRVKRQEPDPPSMSPVINVKRQGPNR PSMSPVIQDKHHGFDLPAMFKSPAIQDKHQGSDLPAMCSAIQVKWQGPDRPAMGIAID IRRQGSDLPAMCSIIQVNQQDSDLPSMCSANHVKRPGSHLPAMCSVIQVKHLGSDRQS MSPAIQVKHQGSTRPSMCWAIQVEHQGPDHPSMPPVIQIKRQGPDHPSMVIIVQVKHQ GSGLPAMSPAIRIKHQDSDLPAMGIGITKIDEQSHSALFISSVESTKIMLHGSGFMST HPRQLSGSDFHELL PHYBLDRAFT_187143 MVQPRYRWTSWFVRLYVKKDWDVYTAHIHLGDSCPFQECLPKSL PFFGKKIVALFVLHSLLPAYACNSLLKWCKFPEFQGSMLFESEKIGYFDQNLSNCNII NLEEVDHNVSHQSTNRKTSFKCFHAKVESGPLLLLCSSKPHDTCDAARTARTLCDIKR TKTKKQKVKQVPAQHVLQNAKR PHYBLDRAFT_158967 MFPIIPRKPFSPKTFRTLCTPSPDNPVPPLHTHQWRTFWSAPIH HSVRSLWFRALHNKLSCRSVLHQTVPTIFPDGSCPICGDIKESTSHFLFTCPPKFSAW TIFWSTHFGNVPSTQDIHSALFSFRLPPSLTPDIPAVSLVSCILLAIWRHHWSFVFDD APFLSTSVLVTAASLVTRFHAELSLPLSD PHYBLDRAFT_168914 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNDCLDG VIFIEEGVEDSMIGIVDFERSAKLLLSALPFRIASLSVHFELQYNMNDDPQQE PHYBLDRAFT_168915 MEEVIKLEALFWSCESSQQVANLLNKINKVTSEFEGKTGHPLIN FQAPKKIKYPGRRKGGAHPKYLPKDFGQANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKTKNKKQNKNKQEPLDPVDATKNKIKQIKQEPLDPGCRLTRICFLVDATKNKTT KIKQEPLDPVDALQKNGFKRPATTLEDYQYDNCISVGKRVKFQPSFPVSHEIVNDVKG GFSPTADGWCGFRVLSHLIYKDQNKFSLVKRDMLAALPKYKTLYTNTFGTDTSQLGKI IQYVSQLDYSNTNTNTNFIPVCSDASMWFNTPDCAQLAADTYIRPVCVYSDNPNTPST TFLPFALPNNKTKQQQPLIFNYVNSNHWTTVDLSPRQSFLNLYKSYQFVSLCQHKLSI TCGLNDSLLCFFLEIIRGKYFTLRVTLITSELKIINIGWCYTDRAKLSSNMLYYAFAS SYFINSSLGSL PHYBLDRAFT_145963 MSKHMASSTMTLIIVYPNSHQLAYQCWKDEDSSGKTKETLCSEI NAIIVENDIIYQSNSDIRSKILYLHNKFKDATDFINGIGQGILNNVDKNGNSTAAAEK TLRDILINLIYISDMTISRPSTLAPFPMSNGDPTDVSNVFERARQYDKEATQFKTTFP VEYLSATSNYQRPSKQAQRTVDSNISNVVEESIKINREHFDLMQKKFKAKEEFYKRQL QHQKDICNDKMMFEKRRLEIEEK PHYBLDRAFT_145964 MAAALRIRSEPVQDQNLDIETSTSISVSELTEFPLANETITEVL EAVMEEEIEETSSDEEVTGREEEVEVTSSDKEVTGREEEVEEISTVNRGSILPIVLTV TELIIAELQADFVQTITVAEQEDLEIEAEA PHYBLDRAFT_168918 MSFTSLGAKIDTSVGNNINGAYNFWIHGTICHRIGSILPVTESD IAHPKFAQIYIYDSAAQIDQRQYHSPQLERSVLEKIQFILMETNPFVHLFRTMDQISQ EKRQSIDLTLRLVAEGPWDQRRYNAPTASEIAVLIMNNEEGTSRDIVLHTRANFQQNI NEYHQSYDALHYVLLFPHSEDGWTIDASSLSGEHVTIMQ PHYBLDRAFT_145966 MIEDYLHLTRESSGTPALAPTQDMFDRCLWDIESHLSANSMSLM LFTGFVLSPMPDIQHFSLNGQDVQAIIQEQKDLCDQAQLLPDPDTLTFNNLQRRVYTT VLSAIEENVGTPRLYFANDPGGIGKTFVFNALLQKTRQQEKIALAVATSGIAALLLDC GHTAHSRFKIPVQVDLNSLCSINANSQTAQLIRRTELVI PHYBLDRAFT_73057 MSSTEKCCTSCKLMGHSRKSSVLCSLYKKRNTLYIPPKRTNENI SIEEEFPAEIVDPTIILAEEPTSEHEEEIMTINESTATPHCPSCLGTNYLRITSLMCP NNVQIPVQNQNPQNQNVANIARLQNISESEIDSRGNMDVVCRFCGALMWLKEKDTDLF ICRSKFNMCCDKEKYVLPSLEPTPPGISKLLNYRTSDGKDFLSKIRGYNSTLSFTLLG AKIDNSVANN PHYBLDRAFT_73058 MDQVSQKNGHVEDVAICLVAEGPQDQRRYNTTTADEVVILIMNN ERGSSRDIVLHTQTNQLQKINEYHRSYDALHYVLLFPSEDYDLIVSAKFPDPVTKPLA YRAVCHSILYGSCDIRYSKAPCMENASISTTTTNTSINMTNIQQEAVNEVNEFLDACY VLASEAYWKIFLFKLHQEHPTHQRLALHLENSQLIFQLTSFGQRKTKNGQNAKHLTEK QLAEYIKYLQEIQKKNS PHYBLDRAFT_168920 MNYTEASSSTQTNNWCVEKKIADGTIVSDEKFVFFLTDFVMDSV VLQKKYPNHYLWISEIFSTSLYQEFEAQHSGAIGESTEKFQVSDHIQVVAPEIAAAMR ARFDAIVRSFNTMQAQNQFEFANARSIGLETRNVLVETFLQASFRLCNDPSQIQQCLE NVLQTQSPASSGYTAPSVPSVTSASLGPSVPSALSAPSSFTQLERLARERHLTEEEVA LLVENKRRDLKISLNELAKRIADSSITF PHYBLDRAFT_168921 MLTIKNPQTNNNQTTFEGVVSESVSAFSSLGTSGGTYRRDEQCV ESREAQSGQNIGNNDSKGNPQTGADMYYITGKIVLHVINNTFDALINKKDVILSWGNL TSFQKNYLVHKVEKKALEKNISLNRFKNSWAVRHMLSQKWRTVINDARKKRKAFHFLK DFFLYNIWLLECIYCLTSVGVTTMISTLGLPTKLASYCSDTVYLEVYRPEVPACLKPS NFFHIGEWKKKLVKVIQGLGLKSWRHLNGPINYIK PHYBLDRAFT_73061 MNTNTSATRISGSDSDISATYYIDIAQDFVALAPSLQERNQSLF NSANQASSDEVHVHDQDIGRAEENDFEAFMAPESVYSISNSVDVEFNNDYTETNEAEY NDSDNSNDYLYESVHRYSRIRNPVNINTLLIESDFVTKNVNQESYIPFYEPNTYAEAA TLKFFSMFVENNVSHNVFDKCIKIVNKYMAEHGLPATNALLSYYKMDKILKDKYTVCT ATYDMCMEGCVHFRDVEASNLIDEKEQCPHCGSQRFRCERDTLVSVQTFKVVHLSQQL RFKLGNSQEHAKMAYGRSHIMGSRFGVLSDVFDGGAVCRLCEGSIVCQDDILVTMFID QFNPFDDTKMLATIIHVVNLNIDLKERYESDNMMQLAIIPGPKHPRDIGSFLEPIIKD FRMLATSGIQIQTVAGQINSYSVNGPNVFRDLPTLTSSAFFGLNEMHLLEHDIGKQLY KALGGKLNQIDRSITKSRADITTIFTVSWQSLEETTGRQKAVNWLDFLLFVVPMVVVN NFVLAKTRNAVQDLVKACAIAQQWKVTEREVNIMEE PHYBLDRAFT_145972 MSNDFIVDVVNEISHPCDGLHLHHFNLTGCVREQQDHWLKHACL QIKIGLCIPHRPSTSLWCKPLHSTLICYNSQRLSGWVQPKLGASNIITCSFEVAGSPV CWSSMGGPTTKAMFDPGLMEQDVFHIFPWFLPRPNCCPVFTNGAFNQVAALCPQWAHL SHHVAKMSQHVLVYHKNCGL PHYBLDRAFT_168924 MSQQSAVNSTIVSGEETALVNSGVIPPPSAAGSADHDGDTMMTP LCSEDGAFDSEEGSSGSDADHSGYEGANSPRIYLGNSGVRVNNNLVATMEMLLASAEE DLNAKKGNYYAALGHYLGVSKRDPTFTAAKSASKGAKEAQELFAEAEQILKDLKASTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRNVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEEHSWFENKLKGKAYNWKKAESILLDHYDMPFHKFLNMGRVWCTK QGKGESARSFGAKFQKFRCQASLDDGVQLVLCFWWNLRLEVREACLIPLSANYGTKMP SKIEDIISLVSVSTSDFTALLYNPANGTAASSWSSFAAHNGASSLSAGSHKGKKRSFS HERGSQSPKKSWDFKKAMKDGICFSCKAPWVKGHSCPEREKYLTKVSRMAVRSSASRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNIIFPILA NNSIRTISLLDCGATFSLVDKNFCLKNEIAISYINHINKDLLNKNNVHKYFIRLADSN IQIKRISTCVISVTCNMMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSYDDADSSEER REASRCFNNKSDLLESIERENERKENNPAVGPKQFEDAIDYIRLFIKDNQDIPKGSFC TIPESVVCLDTPENATAFRSPYPIPYKMQEYWQRIGEAPEGIKDINKANKKLLDMKVA YSVPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_73064 MRAKVHVLMATGDIPALAKLACHAGHTSKNCCHICNVVGQTAGH GQYFRTLPGTTIRTIESFRSFNPDSVLCKGLKRQSPFASLMSFTGPFFFALDEIHGLC HRISKQVWGLVCGKYGSKHPLFLSARAQKEIGAAIEGTRGSIPTSFHAVDWADFLLFV VPTLVAEYVHDQDAQKALLGLVQACTLLMSWELSAKEQTSIKRNLIKWNSYLEDHFQN GKVGIEIFTIHQHLLHHYLAMIVVFGPPRAYSARSLERAIGEYFRLIKSNSAIGANAG NVMLRLAHTRYVDVNGALVVKARTIARILQYNDKSAGWPMTEKGERVGVDSDIEFWGP LGCRTIHDSIEEISCLPVLFAKFYESKGVECSTIDLALTTSHKAFVNGCVIDSAFAHK VQREAHHVCLQLQVNKTTNTRPGLSTALKVFFGKVVLFFEHVNERKRWPLASVLVYST MMYNGVPVVRNGQMKPKVVHLVDVKELVRLVVSDATVNITTATTTAYIVWPELNCGPK LSLGSFADI PHYBLDRAFT_73065 MFSITKRSSYKCRFCNTFYNSTRKVNLCRIQCMKTHSSNIVNFE ISQPISVPEQTNVVSSIVSGYTSEENTAIIDNQTVDAFNNGDNNNDQPIYDANLDHAM NDIYIKTSPLIFDFSQPTPVPNNDDAKNLEFIKIIKDFGIPHEAHAMIARHFNKILET SNDITYRACFSYLGDKLLERYSSVKGDKYDICCNDCKLYNDSHEIVCPNCECQRQRRI ANPCQNNDSDLFG PHYBLDRAFT_145975 MKAGQDTIKLELNSIREELNSKIDYLQSQLDNRGFSEQETVPSA TDIPCNSFIHAPILNTWDITLKHVFKMMSKDFGIEINNEEKATLRVCTKIICDKLAVH SLIKDLDSSPSWESIPVIVRKQMCAKHATLMKDASIDLIRCHENWVFTSRISHLWRDH FRRLQSRKPLMNPGERHQENFDKKTLSQFEIEERVQELLPKELVNDYKKNWHVPHIPE EQRLKNTPA PHYBLDRAFT_73068 MGGRLCIMEEKSSPQTSISNELTEHLSNKETFDQYKIVTNEEKK AISKENCDPCLTTNQRFLEDLHSAVDTDLPLYAATLHRQQILILIDSGASANYVSPRI KHLATKVSNIPGRSVETAGGHSIKINQKATLTLSLNGYTDIVDAFIFPTKFDLILSCT WLQTAKPVPDWH PHYBLDRAFT_73069 MSPQELDKLKKQLKELLDLGLICPSSSPWGSPILFLTSAFHPQT NGKCKHLNGILKQMLRKYVHGAIHCWDKYLETALWACRIRIHQTTGFSLFFLTYGHEP CISGDPLRPFMDTTIPDDPSLVSDGVIPFLHNLQQARINADARVATNSQQDKEQWDSI MKPHQFAISKHLLLWHENKFGLEYNWMGPYIVIDKNADKNIYKLTTMEGVPYTSWVHA NHLKIAKSDDFDHIWYHPTPGCAQMRCDLALDSSSALPFSLVDSSGVY PHYBLDRAFT_73070 MSSPDLRPISNVKIFHPKKSTITVFEIDAHYRPKIYQHRKYISI ASILKEISCFLFLSRFGKSILADYTHLPWNVALENLGSNSFQLQYQMSRTNFELSLNI FSQYQIYEAALNNKSYPIEIQVATFLGVSAGSYTWFTMQFITVISDYSDMFVNWGVHD SETAARRVAEFEQPERRGICLPGVIETIAGKLISIQKPYLHRNSWVDCHNNSSMNLLA VCNANKCFIFVRTGQSDSAFPIIENILPLYSIATEDPKQQAFNKAYAKTCHYIENAFG LLMTLTIITHCALHNFCLDNNNIARNILEDTLRTFENQVRGFDAYINGGDDDDVEIEV EGLDSFMNEISVDLENAS PHYBLDRAFT_145979 MKQENLSGYLVLEFDNTSNSNSNSNSNSNNHKTAHLNPISAVSS VNDTAAIVYPDLSLLITLPLPSEAIKIIEDQLKSSSSCRSTRISVLRQIDSWGVGVRK PNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAEQNYCIFEINLSVYNDGKKQF AFGFQSPMQVSSMRISQSFCLNATHSISSRSDEVLYTLVTRHPQTGKGFPVAYMVTNN QTAISIKLWLDHLRIKSSFVPMNITIDCSIMEVNAIKEALPHATIHYCDFHVLCAWQH NLDSKIKLNASYTSEQLGNYKTALKNYLRHILIESNKDVFLRAIEDFKLMVQDQPQFL KYFEKKWTKNEELLRRWGRPYVSQQHQRYVTNNYVESWHNQLKTIYFGRAHIRRLDRL IFILTNDVEFYFEQEVECIHFNNGKMGPIDNELARNSFVASKIQNDMLPSMILNPLGE TGNSMDDYNDAINANENEVEEEVEEELESGGTAEDRGVYVFDKIAAYSATMHHGFEDL QTLKTIPGLDQTKADLIKRALADAVRLMDEYRSSDCGHAGCGSHDSHGSHGSHGGHAC HGGYGGSVIDQDEAVMKPNYMSLVNMSNMQPRGEQWDQMVMTFKRLLSQNSLDQTFNR TGVGREMAIQQPVTSFMTSRSEASIAELQRNIEITASASVVTSVVTSVEPSLLSTSDT NIATATSNSSNSTGPFSFWAYTQQHQQMLEMLERIARESDGCLVFAVSAALKGQPETV SSGSPSMVS PHYBLDRAFT_145980 MLPGTQTRSLKSFRNYNLASSEDRKGLNSQLLLALMKTFSGPFF FMLDKMYDLCHGIGKQCLERRDKEFAECVQNLVTQKALLDLVQICNLLMSWKSIKYIS QISVNAGNIMIRLIQSQCVVELTIVTNTKTLPANLLVYSTYTDRSPVTEEDDPVNAEC EIEF PHYBLDRAFT_187145 MLFPIWVGLPFTITKSKGQTHWGSRSILLLIFNAIDILLVRLHY GRMNGSWAKSRYKNTLRQKNRSFRGGFTGGSGGEARLKKFLRERIEDLRLGTKIRENL YRFCLLRKDFVSKFDQVPTLNYYCYLYYFGSGKLYDWGQSQ PHYBLDRAFT_73075 MTNVGKEEKSRNEERKRYNFFSTFETKYQKAYPINDSKRKLKRG DQLRPKKIMPTSNHKLAYSLASTDVQLLQALNAMKEEMKAMKDKITLIDTQIDVVITG NTTSINGIDTLSALPAPVNVPTIVAPTSAALPTTESGDTNTVFGYIHGYMWSPKLKSR DQAEIQVNAIKSKWAVDICFDHSPNRELVKQILYYLEKMFAGTDMRIYDLRKCVYTNF CIRHCQQRELPETRRALNTNSRRSGHETDADIDLKMSQNCSGLIQKLVMSEGESDYDM SPSQPRNEICVARPSWRKVDLFVVKQLGANSFQLLRRVYDRTVESTVPINLDPALPQW ALRNES PHYBLDRAFT_73076 MKADEWKSWVLIYFPILLKAVLPTEMFNNWISFIDACHNLVKPS ITFSDLNDAYQFLQGFCNECKRIYTTNVLTCNMHLHLHLHETIRDFGPVNGYWLFEFE QYNGLLKNVSTNRKNSFEATYMQSFVQDTFKGDYVNAILQCPSQVPFLPLLAKLTATA QPSSLKNKITFPQRPFRLAAFIQAYSNLSLPVLGNEPLPPSTFPLHIKPSSAMSDVDY SHLLDYYKVAYHIPGLEIYQFPSSSFSFVDNQITKLRSINLLGQVYKGCNNASGRSSF VQSLFLGSQGNNRLAYTGQIQYLFFHSFTPPVDSTELQTRIVYQDKHVFAFIK PHYBLDRAFT_113343 ASTPSERHQLEVENGVQWSQLHRLGYFDLVHGMIIDPMHNLFLE TPKGMMDWWIEERKITERDFVTMQKIADKMVVPNDYMVL PHYBLDRAFT_73077 MQPVLQTVSKLPCRVLGVAEVDFSRSRQPGELRDTFWACACAVV CHKPTSFSCGGNVTCNHEQRCSDMDTSWALKSWDVNNKERGSATNDTSSLLWWLKLKK FLRGRIEDLRLGTKICENLCRFCLLRKDFVSKFGQVPTLNYYCYLYCFGLGKLYDWGQ SQ PHYBLDRAFT_187146 MNLSAENYPAAIIPAHDDDAMSVMTEEDLIASLSDNISFASSTP GQFVAPSPLRPLVANAATTVLSLSEKLRLELQQHVEAVSAAHAMNDEQAAELALNKVR RVKEMIDIEIACSQYLSPSVKVVEKSSRTGGLTLNRRDLPKFQLSDDVIRSFPNEEVF HSVDHFLRTFQKVIESSLQDIELVWKRFLPLCLPHSDDGWVEMDLKKCVDWNVAKICF TARHGSHLVTSRSVKEVFTMVMLPSESIGDYSKKFLQAVYDAGLPKNDACVADRFLAS LTRQVQTLLQLTMTRLDFNGETKRDWTVEQLMQIGRDILGDDNRMYAEATQLIPGANM HTERRMEKKRMEAYPRKKVHSSNNQQHRISKPERSFFCSHHGKNTTHESSKCFTLANK AKVAAPTKHNPCRRCGENYFCGHVCKDSEPVLMVLQVPAKEKSEQVLKAIQDSVDLEL EDMSFNFPSLSCQRIVHAPEVLEFNTEFNFDILLGRDILTKMNIGLINVAYDIEGEYI HSDNAKDYAAVYENLNINKEKKFEPDNLPAGTETQRTEFIQSIQASLDENKKIPVESS CPLSESLIRLPTKEGTTAYRRQYPILHALRPTLDKQLCINRHISQKTLSAPFSLMYAR RFNVPDEYGDKAKFSLPKNTLSFQCRSLEV PHYBLDRAFT_145984 MGTGKTILINNVVRPCHNVVCHCTLCSRNSLGYSLVSGRTAERH IVKEKLERVERSDAAERFANSVQEEEMMDVDTQYNQTDSTNSNAAIMADNVSVDDEIS EVNDNDSESNDSSEEEDAETDIEELIAKDFFAASKIPANPVHQFIATFTVLFASHYVI NKGAAVLIEFVNQLLKIYGEDFQLPSSLSGLQAMTGLSNFAKSIKKFVVCQDCYKVYK QDVPLPTNCDFTKHDARSTCSCTLMKVSPSGAMVAK PHYBLDRAFT_73080 MENHFTTKRNTFLTLTATDNRTFVCTVCETKRVLESLQDLRRHY TKKHPNEMGEYEKLLKRRPAMFDGFSSSASTATATTTATANMNSSNGPAPMEFIIENP QDTYGHEISDKDEYSDDHILFDSSDDYDETTDDEDTDTRVEYDSQDHIARMAAEMRIF QSLSHAMNAYSNEDSSRQISYWPDDFADIFTGPTCPFKSKVEFILHALFYGNEDLASE RSIKKIMFAMKMVLDVCEEAGVTLDFLIPNAVINYHKQKKNQIPVFSTASLDVVNQDN EQHVLWMNKPSDYIKFTMTCPEKSSQILALPDFMENQRLNLNQGKKWKENPLLQHPMI TSNGMDYWVGDVVEVQGSPNRYLLEKFFTKDGSILANAFQVYGGHDPRLNHPDDTHFL QFGNSMNFAVSILKYTIEVDRIMSTVQKDSDLFLGHGFSVSYCPAKIVTYALTGVQSD LWLNKSRVEEFKRRLPGSGLMKVVVCPLNLYSNNTSGNSTKQYNKYDSHLMYFAALPL ETRNKQENALFICTSNHTLNAVEMLPPIVDDLIRLEKGIEMYSEDHSEGSIPDAPPYS PVDHRGSEERMRDFLCAFANADSQSELYLNGCELSYIKNGSEEFLRLEAFDPTKDMPV KILHIIPLGLMKYLMTFLWKQKMLTTSEKGRLQEALNSYKSCKSYSRTFRNKLCHTGS FIGRDFKELIQVLPRIMSKLFSDKPLASLFSKKDFLSKTSRSSQRSTLCIISLTTLFV LVPCCNTKLKTVNSSISLSANIYSRPTAIPSLETLLQDLASNSSVGIFVMEDSGNGTR SVRSSIGDFVKLAPVNFPGFNLHFFGSCVNSDNSGLSTPSLCDTLSDKDLSSKRLCRQ NEEGVLYAKVPNCSEQQSMVMDNYNNIVVLPLGGLVEVNKDDINIVQAVDIHLSIKSS NNQKLLNVAKFGMF PHYBLDRAFT_73081 FSLFSLFPTFIIFTNFLDTRVLLPSDASPSQCPSGLAKAISPKL LSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMTILASPMYSLGLQINPFALGSILST MIGEVYGSKQYKEKSLRLDKINSNTTKPCKNWHIRIIDLSFIVLLIGKCFTHKKTAPL FQWACASVLPSASLQVKIFPLVEEILPLIEDGYEDEQNLTGSTTGYPKTICGQTFLKL LKTMRTIILQDAVFFRVEYPTNPIFSGLLEVCWTQDSPQEMKLKDVLPNLQHVLAAIF KKMDNVAGNINFTNARQVAPATQGEAYSGHRKDMQNVIGSLNGLNFLFNEFRSACVNF GNNTTSAPIIVPTESGSIDKDCPRPATPKPTAKWIKNVKDLWHEYAVGYTIGNVTYPP IEELKKNIKMHGEMKRSKNSILAEFLSSML PHYBLDRAFT_145987 MVNNRQSIVPAPSLEYTELLRRLTTMEESLKTMDSNIGIVIKGN KDSLEILDSVANASGELLAVIAPTTIPASASVPFAASSIGSTLDWYTTPSEAFFGISS AAPSVAPSVGPVVLTGANAGELSKQDRTRVLALILGELKKHNFKSNKPELVAANNSKR SWDVNVDYRLPPNRQLMHDLHAYLAPKANISDCIYTNFCGTRRQVKESYEARKKTKSW SRKAGQETDHFDHCELTYHTFKAEIDVKVGKSCDGLLQKEAMSEGESEDDMPGVSSNH AIRTVCPSWRSDEYNHFLAVVDDFMRNCMDFNSRQMLKRSFGRDAVLAVPPRLTCLLP HWAFRDEFQ PHYBLDRAFT_113460 MHSTGAIYLVVNNLPCNERYKPENTLLVGLMPGPKEPKSKEINH YLQPMVDDLIRLYEGLAISTFECPSGVRVHAALMMVACNILAARKTSDFTSHNSTCAC YKCNRHFPCLENGVNVDFRGFDFSRWVLCDGVENRLHAEEWESASTPSERHRLEVENG VRWSQLHCLGYLDLVRGTIIDPMHNLFLG PHYBLDRAFT_145992 MIEVYGPPRAYSARSVDRAIMKYSQAIKSNLAIGINTGNIMFGL TQIRQMRVENSTMTTATVTATTLLQYDNPSAGWPIDQEGSNVGTDSDIEFWGPLKNRM IVDSFGGISCFLELLQKFYESKGKECSMIEAAIKTKLLQDFFGKVVIVFEHKLNNKRW SLVLVNVYAVRLVNSIPAINNGQMKPIVVHLADVTQLVGLVKLNTTINTITITATAYV VWPDLNHGPKLSLGSLADL PHYBLDRAFT_145993 MVVKTPSGDISIKVHVLMTTGNIPALGKIACHVDHMSKDGCCIC HIVGQSPGHGQYFHKLSSITMHTPESFKHFDEVASSSKKGLTGQSSFFLLDSFSGPFF FALDEMHGICHGIGKQVWGLVCGMYGKDHPLSLSLAAQKEIGTATVSNRRSILTSFYS AWINIATRSEYFWAVDWADFILFVIPMLVTERVHDQAAHKTLLDLVQTCNLLMSRKLS AEKKTLIKINLIAWNTYLEALLAKEEVQLKIFTIN PHYBLDRAFT_145994 MSTITKLSHHECSICHKCYTNKKLVAKCEVQCLEKVYKEINNIQ SSSTLEESTNMSIDKNITPSYDIEYENSMENDLAIMDVTENAIDNTPSQLVYDFSAPV PVSGYDNAKNLKLMKIIKDTSNKILYRACSSYLGTKLLSRFLGVHEETYHVCCNGCML YNNNQQTECPHCDEAHYKTSERSQDAGENPIPASTMIPLPLGRQLAAALANDCTRKEM LYCHHHTQKADSNISDVFDKQAYQSKKHLFSGENNIIILLSVDGFAPHCVLGLVTIVH VTTLNLPPMICYEHSHMIQVTIIPGPKAPATSGHAWSLF PHYBLDRAFT_73087 MSSCKHNNIIISLLLAIQLQLSVLCANQKQMKMDINSLGNEIMI KESPKQNLSLFINTSSGFIFKSVINIHNVTLEHVYQMMSQHLGIKVTASKKATLRTCM KLISPDAIKIGHSLQESVIFGKTVKGGNFPDRTPNQSVYLQQGEKWRTNSYFQQPMFT HNNVDFWSGDIVLLKDCSPNICFLVESFHTMNTSNVFSCRYIVQTPKDGCSIGIKINH TDINIESFLSVNTTPLNTSLCCSISPDTIILLIPTNSIPKKKGTNAASLLPEIVDDLK MLENSVVMFSAEDNVYVLVVSALLWIEADTPCHLELCCLGVSNSTYPCRKCYIKLQSQ IPKLNEVEYYTNRHPTRTKNHYIQAASTPDRDTVIPDIPYFDDKNTAEELSFKNKSID KLLKLKAYDQSKDTPAEILHYILLGIPKYLITSLVKVVLNKNKKELEELFDYVKDYKN SRGISRAFTRSLTHAGSFLGRVFKVLIQILLVILAIKFADTEVLQEITPLFVRLDCLC SLVFVRSIDNQYETYISEVDSAIRSLIEALHKYDTNCKPKKHAFYMSKPKVHLLTHLP EDLRRFRPALNYKTEKGEQFNKHICEHLFHTNRMNTLKDICLKFGKQYMTRHIIDGGS WIGKNGLRETCGKAIAEYMQQNSDRKFHETLLGGSREFADNNSTGLTSGRILKDNTFA LFRQSNRYIIIGIVLFSKGYHLYIKYLSAHAVNNIYRLALKYADDIYTPLDELKVVCL LDMHLKVGCKYVVNLNKFGSYWSFLYSFY PHYBLDRAFT_168945 MATLNAVGACQTGFSLLLSSRLYRIFIRPKFEYGLAILPLKRTD TIQLEKIRDKCLHMMLPGTSKNCPCGTDHISRRHLAVCSLVPAHLLVCLPIPSDQNCN PIDFAITALPNSSQAPCPSYWVALLTILWHFDKLCNPDGDYTHKTHFGTL PHYBLDRAFT_145997 MPMACNPEPFKIPFNTINPYICSSLQKHNSFPPHVFPHHAGTYR GSMGVSVLISSHCPYAVTQIPMPSKYALAVKIGSLRIVCLYLPPNMPTHDVLHILSSI PLTHDTILCGDFNARLGSVTGDYASNSHGLALCSWIEERSLSVVNADLALCIPTYISF RNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLLSLSFTYDLQHSTNMPPPLR MTWNLSRLNEPDVHALYAHIFTQNSTSLLSTLQEIVLNPPLTKPDIDAITDEFNSLIY NSLNSSIGHRPSRPNHWKSFWNVALQTAADHRNKCYKKWRLAIVQQAKRQSWHVFCQS IERDFSKATSKIKQLKRRRQPQHTFQHDDGPAVAAATMCDHLATVYSGHILPATRPPA PTTTYNSVPFASDDSPFNSSIVEEFMQFMPNRKAPGPDHIRAEMLKPIWSHISSLLAS LFTIC PHYBLDRAFT_168947 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDYARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTNASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVC LYLPPNMPTHDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSRGLALCSWIEER SLSVVNADLAPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLL SLSFTYDLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQDIVQNP PLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRRNQCYKKW RLAIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRH RQPQHTFQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPFASDDSPFT SPIVEEFMQFMPNRKAPGPDHIRAEMLKPFAGNGHMFQSIATHRRSGTLATMATLNSV GACRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQDIKVLESIQDKCLRMIVGGHAT SSTIVLKHICNLPSMKFRADALMAKFCIRSRFLPAQCLLSLLHRHHTIYSSLVSLGKT HLLSNLPPTLKLRSPSAVKNHFESIREAGFATFLQSNTQVLIQACRPVLGVDPILFLP ASRVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLLDCPLVPMALFEQLPQPDQDQI HRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICNPDGDYSHETEHGALWI PHYBLDRAFT_168948 MSHLPGVLFFWKDPERPINMILLQSDQSKSFGKEEHLCYWRLKK LPGLPGFLRKPDNFFLTVRVDIGNQNRNLQATRVLILQLSYKCQKRNTGSRLDIIVIQ IGLQEFGHNMSNIT PHYBLDRAFT_73923 MIIIVIIFLFLHLYNNYSFPVDLPLSQEESIFTAEDTITGAFMV DGDEIEEGNTSFDFEQEENFDETSGTSIVESIRPSSFDNMPFYIRFVAVFIVIFHLIF LMESSGLILIEFCNTLLSLCDMSGALPLTINSLKHKTGFNMVTDGMTVYIACSQCHSI YPPETSQRVCTFKKFSQSAICNNNLFKVSTGNRSLPAMVYPFHSLKYALQQKLSKPDF VSKINL PHYBLDRAFT_73924 MLTLNINWFQPFDGRTHSSGAIYLSINNLPRSERLKSENVILVA HFTVIDPMHNLYLGTAKQMIQIWRECNYINEKNQLTMQELANGIVVPCGYARIAKKIA DGFSFMKADEWKSWCVIYSPFVLKHVLPAKNLENWILFVNSCRLLTKPSINDKEIDEA HSKLQLFCTRFQTLYGKSAVTPNMHLHLHLGKCVHDFGPIYAFWLFSFERYNGLLKNI ETNQKGSFESTMMKRFLERTYIGSFIQSFVNHFPQFAIDFLHRISNSQDQLAALHPSS TASTFSLSDFVEYSLNPCHSALGCEPLPPSVFLIKLDQRITMCKGHYECLLEFYRHAY GSHDLFGHYSNCESNQIFEYSSGSYFRTYYLENNSEDKAAFPGRILYLFQHLLTINET VITHTFAFVEWYSSYSSGSYQPMLNEGIELWNKPSSVFNYECIIPVHCLYSPITIAKY RFTITSEFKRLVIPLPQKIEA PHYBLDRAFT_146001 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRSLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSLGRQPTLDKVARKKTGEKKQEENRWACLCQRRSCERRRSALKANWTHFVNSFG ENFDSILHADYMSDLESDDKREEEEQDSFSEKSFFWKFHPSWRSKEGDRFVDELDADY EAAHDKKNNTHPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_73092 MLILLKNFFSNISIFVEIDHSVKAAKEKQEAVLELKAIEQKKEL LNVIIFSAINVGAKKRIMAATFHTNNLIESYYNQLKTFYLGRARSLRVDRLIYLLAKV LTLDYRQENVKTLYGFQSNGFLQNCTCPDTSKLCKHIFLINCILDISYSLRQSLSSSP SAVYVSNTDTKAVVDTSLLSDEIEADIMKYRQLYSVELDSKIAEYKGIPEDMSQFLNM LKFAYKKQKEHGFPSQSRPPQQT PHYBLDRAFT_168952 MQDVNSSENTHGSYEKANPYKYGCIMYMHLANYGATQISLVVGM SLFTVKYTIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVSFITEEQLYVEYMP PHYBLDRAFT_73094 MSDINTTLLNSIQKIEVDLAEIKQALRKLQRQFSNQFVPAVSAE DLTTMQQSIIEQSSLEHIAKSVKRAQLTEYPDQLGKNEAQKYNLLLQILHEQDWKARC KEVPQGQPLPPLVPLSDYDLTVKRLHLKTLGRTVKHDIIDKDYPAASKEWKNIPEKNR EYYIMHLERLAKNGGLHIYQCKRMWCARSLLRESFKSDNQTHKKRMA PHYBLDRAFT_168954 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ARMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDNIM MEPGGKISHGETVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQESCVDCSKPRYKTD PDQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTDIFDGDNYKQL VQQGLFSNPDDIAIGLYTDGFVNQKKGKNSYTIIHCIIFNLDPSIRYTNKYLLQLRHG MYFDDISTRLRPLEDFKVGNPSKNIYQPSIYTQLSTFSKSSFFALDELHLIARGIEKL VYDLITVTLTKETKFYYTHSDNTLNITKYPFYKPKANLVTIGNCITSSRKYIPTSFQG SFNNVFAKIDGTRAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLVKDTSSTGTRFYT NNVFRPVQHYLVHIPYIIKQQGPLRCYSTRSMERVIGIFSKLIKSKSKGGRNTSFLVE RFAIHNYTSMAISICDEINLIWPKPYGRESYMDLPNDPSGAQLWEPFHQFVNLNNDSV EGVGGPSVKEALLKYYRRTTGLTGHEFGDSVVVVAARLWMDSTVYSSCMYRRKKNKTS HGNHYMMFTCPYRNNRNVIVHSWLIGTVQFYFQHVDFYGFPHFLAFVEVMKEHDAAGH DSSVPIVKQRSQSTCTLGHQTQPTYAVISVNDICHQVGLLCAYFRPNCHSSHRSAQVS LLYELVDSFPTLSTATTAIPAVSAVPYLLTTSSGFNLKTFIDSAEVTIDNVKGNEPLP PSAFSLSLGEFVQMQEDEYAHLFEYYRMTYCDALLRGYQDAIFGQLFVNSMIQKMQSI NVFVVQAMFHENNDCEMSTFTGQIQYLFVSDIINPVTYQADRHTFAYVRWYKTSSQDT RSEQFVEMSKFSFIRSDFQNILSVHCILIPAAIGVHTTATGNTHMLIAPLYRKIYA PHYBLDRAFT_73096 MNNFTSNSPSENNFVHAAIACNIMPNNNTGLASVMYIPTTRPAG SHVDDNVADPVLAMLVSLNAQMKSLTNQIASMATGSTKSNDTTTRLQETVVNIVSGQT VVQNTASRYNVTSGIEVVTGLSSLIEDDYVPGKRHSAISIRFDLRIMAKTSNTFFIEI DQWPRRVLTSYFIGGYNHSLALALTAYLRSQPQSAGIFTSDLARMKNHFCNQIHESCR TPSTANRKRTASRRQQCSLLLLHWHSMAYLENKEVIDMVTKRDDCAHVLQKTLQTLLE SLDNYAVAKGRQRSLKLIPHTRVTCDVDVSNDLKVPLAQWTIKD PHYBLDRAFT_73097 MILNFYPPGISILDISRNNLIDFPIKIFLNVFIDLNTDFFREPR ARDIRKLKRIVAIMSLVISHFDVVIYSVSMLNSVELVPFNCLLLRTLCLCARIITFKI LDYFDHIAIDQNTNRNLSLQLVTVLNDSVSMASWAVCELQLVLFFSFKNKFFCLVLIC RHELVDS PHYBLDRAFT_73098 MIGAFGSSRAYSTRSVECAIGKYFRTIKSNLAIGMNAGNVMVQL ACTQQLLMDSEGDMSAGWPITSKGEHAGADSDIKFWGHLEYKTINDSFKDISYLPILI PHYBLDRAFT_73099 MRNINNINNTNDFVIVSETSKKYNAALTEFNLIFLAYGVKHNIA LTTEYASSSHIKLICKHSGEYRDTRKAEKVASKTSVMGETLSGWERKCEKDMQKHGCP CFMYANTKKGRKLTVCSLEAEYNYPIEEDRRAYAMHRKLSPEEMTLVVKHLKNNDDVV IQTLGYWIYHI PHYBLDRAFT_73101 MDTLNLQRKIAKTREKLLVLFLRSTKMKDKENWVNMYVYKHAHF GNRTSNCGESAHASLKHSLSTSLGKLKTVTLKVKKWYDKLVADCKHWLMVESLEKEQK LINDMRLKVCKFAMDQIKLELSKSIIPKKLAKECKCFIQYNYLFPCYHTLAKFDTIPI FCIPSRWRKKYLEGENHLTIQNATPVPPNINNIKPITPEFNYALELICEHFANAQSKQ QQINIY PHYBLDRAFT_73102 MIALEHCINTKKEKITKKIKTEKEQKKQKISSAKEQKVIKNIIN LESPCNPTLLTNLTIAPKHISIIFSSEADGNCGYRAIAIEVYQDQEEWSKVKDKMLET FLNYQNNYYHRRIEHGNMPASNNPLICSLQDKHSPLPQQHWFGTIDHPQLVADTFSRA VTVYWNTPIETGDCLFVPFATLPEKVEPIIIILDINHFLLAKRKNTQNFCWPKINPFH KRIIQKHGLEDYSLMY PHYBLDRAFT_74168 MRLYQLLTRTIKVYKYNCLLWVFKVVILGAMSNIPDILLIFPLV SDVFNRTGIFKQATHHWFFERNNICIALLNSNNMKNDEKSDLKYIIVSLDCKPRSILF S PHYBLDRAFT_168961 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT LNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSSTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNARNRRSSREKEHLKRRKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPGWRSDEYNHFITLVDNKVVADLGLNS HQLLSRAFGETVEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_158972 MTSILSSFISVTSTAVATSKCMRCTGLKFGHCCYGRKLERVTRA YQNCCSQYMFGQGDQLISIL PHYBLDRAFT_102221 NLPRSERFKAENTILVGLMPRPKEPKSEEINHYLKPLVDEMIQL YLGIQIPTYQQTDGATVHAALLMVACDIPAARKTSGFTAHNSTCACYKCDNQFSRLPG TSSVDFRGFDCDQWRHRSDRANRVHAEEWNSASTPSERQQLEVEYGVRWSQLYRLGYF DLVRGTIIDPMHNLFLG PHYBLDRAFT_73103 MSYSNKRTRSSTDLSSFKCNFCSLTYPTSKQLRNHKCIHKTVNA PVAKENLQKPAVTYFPNINIYNPNYLLQFHLILSSQKPLKHLATLKLVTKDMSTTTTS LPTISSRHPSYSASVWYFRALGLLTKLAPYRSDTVYPAV PHYBLDRAFT_146017 MLNTIRIVIREELTDIRTSVARIDENIANQQQFIRQTFNADGTS NVHRDVVKQSAIERRMTYLVSHPDDRGVRYISATMNEARMGFIPQGTNKTPNDGVEEV NWGKLNSQKKLYYSLRLEELIFNNYNFLLYECQDQWAASLLLQEVMKAERQTEKRRKE RAAGPVQDNSANDSISEEESIVVMTLPRRPTRSRRT PHYBLDRAFT_168966 MFANQDGYCEKNASEIKRVIVSMAIESSLLHSHFYKYSHSHSHL HPNKMPKVNLTLAPHPSKEHADLIARLDVMQQSLKDMDFKIGCVVKGNADALEVLDTL IGTSDNALEIAPASAPTSAPTATSSDINQEVYNRLFVSKALSTLSAANDSKSSWNAEI HFNRSPNKELTLALMAYLKSKFAADGLRPSKIHGSIYTNFCGRRSAEQKLPSALDAGR SRSRRASRATTNFDCHELAYSICKVDIDILMEKNCEGLINKTAMSESESEDEIPEVSD NHYNQFLGHVDKAMLRCLSLNVRQIVKKTFGRDTDLAVPSQLKRSLPQWAFRDEL PHYBLDRAFT_102004 KPENVILVGLMPGPKEPKSEEINNYLKPLVDELETLYVGMKIST FECPSGVNVCVALLMVACDIPAAQKTSGFTSHNSMCTCYKSNHQFPRLSYEINIDFSG FVFSQWNLRNGVESRLHAEEWESASTPSERHQLEVENGVQWLQLYCLGYFDLVRRTII DPMHNLFLG PHYBLDRAFT_146020 MDKGVPVCFFVTNAELITTLSQWLSWVKSNCSLHVKCVIIDCSP VEIGALEKVFGQSVQVLLCYWHIKRAWEMHIKKDIKEAFYQQYDKFVSKFAGHEKFVA YFATHWHAKRDLWSMTWRLDARFHTNNLIESYHHILKAYYLRRSRNFWIIYGFKKLVL THVEKAKKKKTFDVAHKDVLCMIETVEDNLLLVKEEVLSVCSCPDSNHLCKHIFLVCR MMGLQYSLTKELPIVQNPEVQVVERNEESAAAQNELHLLELDEVSESLFKKLEQAFRK QKAENLKSGSGMDVTSQVLRDCLSLMEETGVASQQKFSDNVSVGNEEIHNSKSDSDES DNAEKSSGDDESSNGKESKDDDENVVEIEVEEFVDEDPFVTPNMPENPVHRFITTFVV MFASHYVVNKGAIVLIEFINKLLTI PHYBLDRAFT_73109 MSSIPQLYNEKCYCAECSQNKLDYSFVVRRTAQHHNKRARLDAI RCESAIPTRQSGTTEEAYGQTSLPVWEGAPMSDYDNVNKFKFSKLKAVLYLLDFPDCF WSGTFYCTSFPFPILLSKNKTFTLIMSSNRTNFSINEINDDHMIRIAPNYKSSNPKET ETFANEEVFSFYFKNLAKRHSTWNITNTHISWATSTASPKDVVKTVYFVCNHQGLPKK VKLVEDAGNQKAKRVQTESIKDGCKAKITKMTLQNGNVVVDYLWQHATHQPEKVQDMV CSRLPAELEVGLGVSSFLTSLHIKIIDVQNVINAGLNKLSRKNAIDKASVEQ PHYBLDRAFT_146022 MSYCRQIEVDLAEIKQALCKLQRQFSNQFAPAVSAEDLTTMQQS IIEQSSLECIAESVKRAQLTEYPDQLEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGCT VKHDIIDKDYPAVSKEWKNIPEKNREYYIMHLERLAKNGGLHIHQCKRMWCARSLLWE SFKSDNQMHKRRMAEKNKTQQDISDSLLSSPDMSETGDVESPIIADIGLLHSQQVLSL LAREAKDRSLAAVFGQV PHYBLDRAFT_168970 MTEIDQSILDDVDMYYDKNDTSNEDKSVSNSEYTMESMELDNTI SYKCACNFEDSEGEDHIYNSSQISTNTFTKAESLTCSDIICFFFKPGAKISPGKTVDT LLKSKSSVKGHEYDVCSSGCQLYGINDNQESCVDCSKPQYKNDPNQSQTPAASMKLMS VRDMLSQMLADLATRELLCYRANQKSLAGQLTDIFDGDNYKQLVQQDLFSNPDDIAIR LYTNGFVNQKKGKNSYTIIYCIIFNLDPSIRHPNKYLLQLAILPDPKKPTHLDSFLIS IINELSKVYLLLASGGIPAVADMAHIGSHTSLFGCHFCETKGKCPTNRWHGMYFDDIS IWLRPLEDFKVGNPISIGKLVYGLITITLTKETKFYYTHPDNTLNTTEYPFHILRADL VTIGNCITSSQKYILTSFQGSFDNVFVKINSTRAVDWLDFLLYLVLTLVVPYLPNRAA KTALLSLVKDTSSTGACFYTNKSRIISCLMVFSDQCNITWSIYPISSSSKTPCNATPL AQ PHYBLDRAFT_168971 MAISICDEINLIWPKPYGRESYMDLLNDPSGAQLWELFHHFVNL NNDLVEGVGGPSMKEALLKYYQRTTDLTSHEFSDSVVVKNKTSRGNHYVMFTCPYRNN RNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFMEVMKEHDAAGHDSSVPIVKQRSQSTH TLGHQMQPTYAVISVNDICYQVGQVQYAPNGSQFYVIVLYYIFNNNMRITKGNLSIL PHYBLDRAFT_168972 MNSDTEQQEFTERELRDFEVEECIQAKLPTEYIKTYQTICKVKN IPEELRLKNTPKQEAFCKMVKIMAKAFKQAMQAQKPAPKLTLKQPNCYFGERAASILD AYESGRQTRFHGMYAERPVAQQYYQSNNIVPIDLDTIDKHGGSRQYRSSSSCQYNSCP MQNQLEVTCHWCGEHGHIRRNCRDRIEAIRKLDEQHG PHYBLDRAFT_102363 RFKPENIILVGLMPGPKEPKTDEINSYLEPLVDGLKQLYVGMQI PTHEFPNSVSVCAALLMVACDIQATRKTSGFTVHNSTCACYRCSWQFTRLGSSNQVDF RGFNYSCWNIRSSAESRLHAEERKNASTLSERHQLEVKNGVQWLQLQRLGYFDLVCGT IIDPMHNLFLGM PHYBLDRAFT_146025 MAIQRRQLALHPIEITNEQLMEILSTLKTNMTAMGINMAADIIG VFATSSTETITAIDSLSRAPLVSPTNTIANVSQPAFNTLSEFSKKASNDVYAHIRNLM WDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVMRLLENLKHKFTHEEFREADL RARLHKNFTSRNHIRHLLAYTDNKEAIDLQMKRDCDFTMQMAAMSNGESADEDFKNRT KSIIKIVWPGWRSDEFNTLIKLVDEYVIEAMGSSASQMKERVFTSVSNTAVPDDITPK FPPWALRDGY PHYBLDRAFT_168975 MLHEKLEEYNSAFEKVMEELEEPEIPEDPKSSAPSTTDETRKKS RGQYQKPMDKYIKKLLYLYFIRGLTIEKASKIILALLSYNCSIRIKILSAMYPSANSK RGEQIQMTIGANSVDNGCKFNFYGS PHYBLDRAFT_73117 MEWTCTLAGNPAVTNLLSLKSFLPTKVNKSTTKTIVAQKLKTNL PEKVDSDSVPTAESLGRGSYQKYNQNQVNKLFFLVFLENQTAAAAAREMGINVRTAQN YVRLAREKIHTDFDAATVETDESNGLEMMEVEEFFENKPDATLEQARIAVMEEFSGLQ ITTSAIQKHLVKKCALTLKKLEKLPKKRDDRTFGHSVSGTTAKTTVWTQRGVSITILG AMCERGIVSLLLKKPTAVTTKKKRKLDIYTNVEVNGRIGTRTQHYLDFLSHTMDVLDS QGMQECYLIMDNAPIHKANKVKDFISSLGYKCAYLPVYSLFLNPIEEMWSKIMFGVCR EEITESDGLILKITESAKTVTLLDCLGWINHAISFFPRCLNREQKL PHYBLDRAFT_125134 MFLEDMNKYRLVLKTNIGERVLQATERPVYIQKDLLVKSGYTDE DIIENVGIEDTSYLFRFIFEQKTQQQAQEELGIRQHVDLQAQNLPAIPIYLFKNASRI VSLNVSKNLLMEIPVDFAQMCISLKELRLTNCEYSTVPPSIRFVYSLESLNMSNNCLT TLEPAQLENIKGLNTLTIYNNKLSDLPSSFSSFKQLTVLMISNNDLSIFPAVIGDITS LVHLDISFNKITTIPDCIGNLTNLNVLLAIANRIKGGLPPSFAGLQSLRELDIRQNSI TDIDVLSKLPNLHSVSADYNSVGIINCELNNIRQLKMCKNHLTHFNLLKKAEVISNEI PNTITTSPENQLTELNLSNCKLSSLPDELFLNTTLLERLILDNNTLTSIPDSIGVLSK LIHLSIHTNNIDSLPVEIAKLGNLKTLDAQKNNLKTLPKEIWLCLSLDTLNCSSNLLE SFPVPYSAPGIALHLPLTPQAEAAVTQSVMANAVSDGVLPTQLGSNAGQGIVPMTPSG SPNEPALPTFIVTDSSIEGNFIDSGIAGEGSSPNSALSQRPPNFNPPSFFASPRNHPP PLSLSLRTLLLGDNRLTDDVWSPLSLFLELRTLNLSFNDLYEIPPEGLCHQHLYELYL SGNNLTSLPADDIERLSFLRVLAVNGNKLQTLPAEIGKLRKLLVLDVGNNVLKYNIAN WPYDWNWNWNLELKYLNLSGNKRLVIEKTLPDPNNPSNKDLSDFSALKHLHMLGLMDI TILGVSVPEESDNCRVRTSPSDVNGMDYGVADWLGRPSDLATWDLVMPKFRNKDDECV FGLFDGRSNTKSCCKVTKFLNKNLTISLNKELNKNKHDDSIVSAIRRTFLSLEKELGL AKDEKKDSGASAVMCYISGTKLYVANVGDALAVISRNNGQAYEITQKHIPLNPGEVLR IHAAGGYVSSLGQLNSELAVSRSFGHFHLVPVVNANPYVSTIQLTHADEFVIMASRGL WDRMSYQTAVDIARTEKDDFMAAAQKLRDFAISYGSGDSLMVMVIGVGDLFHKREKKM RNRGNMGSNRGPDGTGMMGDDGGMTLARLEKEVHPPMGQVALVFTDIKSSTQYWETQP ENMRASIKIHDAVMRRTLRSVGGYEVKTEGDAFMVCFQNITAALLWCFTVQLQLLEAD WPAGIVDSEEGKEITKDDVVIYKGLSVRMGIHWGTPVFERNPITNRMDYFGPVVNKAS RISNAADGGQICVSSDVVAALRNFPIVFSNEQSLRSDEVGGGVSITGNHSIDRDLQQL KRLGFHIMELGERYLKGLETPEMLSLVYPGQLLAR PHYBLDRAFT_133910 MPTALFTPIKVGSSLLRHRIVMSPLTRLRADADHVPVPLTTEYY QQRASEGGLLVAEATFIAEDAGVYPSAPGIYNDKQIEAWKNVTKAVHDKGGSIYLQLW HVGRATVSAWIPGSKLPVSASAIAINGKNTAGMDYEVPRVLAVEEISQITQTYADAAK NAISAGFDGVEIHGANGYLIDQFINTSSNIRTDQYGGSIENRTRFALEVVEGVSKAIG EERTAIRLSPWSGFQDMKDDTPYETWGYLVNQLQERHPRLAYLHFIEPRDQFINDGNP TADRGDAPFRKAWKGPFITAGGYTTTPKRAFETTEKLENTLVAFGRSFIANPDLPLRL KNDWPLNKYDRSTFYTSGSVGYTDYPYYNPGTARDIKN PHYBLDRAFT_168981 MTTALFTPIKLGASLLKHRIALAPLTRRRSDEDHISIPLMTEYY AQRATDGGLLITEGVFISEESGAYPHVPGIFTDKQIESWKEVTKAVHDKGGIIYLQLW AVGRANESANIPGNKLPGSASAIAIRGKNDSGEDYEVPRALETEEIPLIVEQYAQAAR NSIAAGFDGVEIHSANGYLLDQFLNSSSNVRTDKYGGSAENRARFTLEVVESVSKAIG ADRTGVRFSPWSGYKDVIDAKPYETWGYVVEQLQENYPDLAYLHFIEPRDDFISGLHP EVDRTEILENDSIDPFRKVWKGPFITAGGYTTHPKRAFETAELMENTLVAFGRSFIAN PDLVHRIKNDLPLNKYDRSTFFTLGSVGYTDYPFHDPETADNN PHYBLDRAFT_158973 MVKIGQGASGGVYTSYRTGTQTLVAIKQMNLEKQPKKELIINEI KVMKQSTHPNIVNFIDSYLWKGDLWVVMEYMEGGSLTDVVTCSMVTEGQIAAVCREVL EGLKHLHANGVIHRDIKSDNILLSLQGDIKLTDFGFCAQLGDDQTKRTTMVGTPYWMA PEVVTRKEYGAKVDIWSLGIMAIEMVEGEPPYLNENPLRALYLIATNGTPQLQDPDSL SPIFQNFLSYCLEVDPDQRPSAAKMLRHPFLAKAEPLRSFAPLIRAARERP PHYBLDRAFT_65361 MPRLVVIDIYSHHHRLPINSIRKESFSSHVSVSTSNSTNPSYVF SSGSSVSTEASHSEEKKKSVRFSKVVVVNDPSLICLIDPKNRGDTKRVVDRYYGHSDG KRHL PHYBLDRAFT_168984 MNRHPTIHLNGEKVTTTPSTTNKTTAGGRSPYQLEIDHAYLAEQ NAHLHKELAFSRYTINALKNINVQKDDALLETRQELDRAYMHIKLLGMTIMRYQQQQQ QQQQQQGQGLLTDPSGTEQLLNQETARPLLISDADSSDDQELSDEEECSNNTSGPTDI MSKLPLRRPVSVYEL PHYBLDRAFT_155499 MSTTLFTPIKVGTSLLKHRVALAPLTRLRADADHVPTPMMTEYY QQRASEGGLLISEGTFIAEDAGVYPSAPGIYNDRQIEAWKHITKAVHDKGGFIYVQLW HVGRASSPAFIPGNKIPVSASAIAINGKDLIGHDYKVPRALETEEISQLVQTYANAAR NAISAGFDGVELHGANGYLIDQFLNTSSNIRTDQYGGSIENRTRFALEVIEGVSKAIG EDRTAIRLSPWSGFQDMKDDTPYETWGYLVSQLQEKHPNLAYLHFIEPRDDNITTMFP EIDRTEIIENDTIEPFHKAWKGPFISAGGYTTTPKRAFETTERLENTLVAFGRSFIAN PDLPLRLKNDSPLNKYNRATFYSSGNAGYTDYPFYNPDTANDIKN PHYBLDRAFT_168986 MSPALFAPIKVGNSLLNHRVVHSPLSRFRADADHVPLPLMAEYY QQRVTEGGLIIAESTYITEDAGPYPFAPGIYNDKQIEAWKEITKAVHNKGGIIFLQLW HVGRGSASASSPGNKIPVSASAIAIKGKNIFGQDYEVPRALEIEEISQFVQIYTDTAR NAISAGFDGVELHGANGHLIDQFINTSSNVRTDKYGGSIENRTRFALEIIESVSKAIG EERTAIRLSPWSGFQDAEDDTPYETWGYLVNQLQERHPKLAYLHFIEPRDDLVNSVYP EADRTEVVENDSIEPFRKAWKGPFITAGGYTTTPKRAFETTEKLENTLVAFGRSFIAN PDLPLRLKNDWPLNKYDRSTFYTSGSVGYTDYPYYNPGTARDIKN PHYBLDRAFT_168987 MTTALFTPIKLGASLLKHRIALAPLTRRRSDEDHISIPLMTEYY AQRATDGGLLITEGVFISEESGAYPHVPGIFTDKQIESWKEVTKAVHDKGGIIYLQLW AVGRANESANIPGNKLPGSASAIAIRGKNDSGEDYEVPRALETEEIPLIVEQYAQAAR NSIAAGFDGVEIHSANGYLLDQFLNSSSNVRTDKYGGSAENRARFTLEVVESVSKAIG ADRTGVRFSPWSGYKDVIDAKPYETWGYVVEQLQENYPDLAYLHFIEPRDDFISGLHP EVDRTEILENDSIDPFRKVWKGPFITAGGYTTHPKRAFETAELMENTLVAFGRSFIAN PDLVHRIKNDLPLNKYDRSTFFTLGSVGYTDYPFHDPETADNN PHYBLDRAFT_168988 MSATSYIRSWGHLTHTTSGGSAQRRDEGRLRVKKRSWRNALKPS ASYSESWTPPELSQGPEVSFHVKLPFARFLESVKVENMERLFKKEKGENMFRLAYDTE REVFELALTCWWSLHSLLTWRKRRLA PHYBLDRAFT_168989 MPIQDSPSALHILFLCFKICLRSWGHLTHTTSGGSAQRRDEGRL RVKKRSWRNALKPSASYSESWTPPELSQGPEVSFHVKLPFARFLESVKVENMERLFKK EKGENMFRLAYDTEREVFELALTCWWSLHSLLTWRKRRLA PHYBLDRAFT_146043 MVPETKFEENVNDPFKVEPKEDKRKATRRRKLDACSSLALYKSC QQEGHSTSRSHECPNNIPSKSEDFVNNLGFPYKAFTRKLPLDTAVNNEYKELLINKII ITSNDPRNIISRAMMLINSYCLAPSRDSIPNSIYKQKFWYPVCQLVKDRKVTKSANVP PDLVKSWDTYRASIKNTVYDQTS PHYBLDRAFT_65355 MQITLVATVYWSVKPEPIDQPLSKDLIKVVYLRHLITERVSSSL NCKRLQIFILRGELNSSICFSNFMVEAIYHLEIIITRHPLFCLNFILVLSTKAFPNLS QSQVETLYYNPALWDYGWKSSKKIYEIKQH PHYBLDRAFT_177616 MSSGYKLPSQVQLLHQTSQLKGLMTIVRSKETQRGDFIFYADRI IRLLVEEGLNHLPVINKAIETPTGSKYSGLSFEGRICGVSIMRAGEAMEQGLRECCRS VRIGKILIQRDEETHQPKLYYAKLPKDIASRYVLLLDPMLATGGSAIQAVKVLLDNNV KEERIIFLNLIASPEGIASFIEQFPKIKIVVGEVDAGLDAAKYIVPGCGDFGCRYFGT D PHYBLDRAFT_146046 MLVKDLPLEQRQALEDLTNDISNVFKSISTDYLEQWRIDCERGP LTDCPESVKQVEQGSKAIGLDHLSKYIHVEPDYYDWELQQRAFRVQAPSREHMCKSVV LENTRCTHSSIEDRDNSRYYCVITQYVKPVNTQKLLNFVRDLKNREISKKYYNFRLAD SEKSLELTGYKSGGVCPVGMTQPVPIIIAKSILDLEPPVIYLGAGHIDWKLGVPVKDF IEASGCFVADLD PHYBLDRAFT_177617 MSFTRACCTIPPVQSDYQPIGSIETLGDLTVYTVGSKDAKKAIV VIYDIFGFYPNTKQFCDVLANFCGYKIIMPDLFYGKPYKYEDMGDVSKLLNWIGKLGS FEVIYPLIERVKAKLQNEGVVAAGLVGFCWGAKIAVQITAVDSFFAAASMIHPSFIDV KDAEKANAPILAIPSKDEKDMTEYMAVLAKKPFGDLCEHYRFDDVHHGFAASRADWSD EIVKKRATQAIQLTGNFFNKIIKV PHYBLDRAFT_187153 MASNLRSLRFATLARQSTGFWASQTQQPIGSVVAKSALLSIRTY ATRDAPGKLVLMRDHGVFANYIPSDTAPPITDFKNWRLTKWRNLMNNAQNLLSVGLIK YKSQFDKWNSAQFLAVAEETYKDMNDAFARGDRTVLEEVCLDSMYSNLKNQLKQRGNA RWEWKYHGDAEAPKIVCVRCVGTTGMSKHGFAVGQVTVKMVTKQSMAVFDKKNRLIGG DPEKIHTVQEYIVFQKTISDPEDIWRIYGKIAPPSKTLTQ PHYBLDRAFT_187154 MFKKGTRIKNIRRKIETSDDESQDKTNIETEVKQTITKSLVEKK KKKESKKSLGLSFEDEEEEESTFQIKKSKASRRLATGRTLPTLDSTEKSNEDTTSSAS YTAELLESLRANTPSMPANLKNSTMTDDEALLAEKFPTLMNAQIGSTGIPDANAIHAA KKKRELLRKGVHIVDQEDDFISLDSATENSRLIREEDEIGDDGGAEYERYVGEDLSLN KSTAKKQAKERRDGVREMIEVAQEDDEDEDDLARWEKDMIKNGGVRSQYNHAEKDPFK TPLDYKSAQIPLETTLPSMADVMLRLDVTSSQLTHSLDEEGTQIKNAEKNLKNANAST EDLDKEIERSSKRYNYFQELMGYVNDLGEMLDTKFPELTKLEEEAHALFFTKYEVVTE RRWEDDLDDLSLFAILPQMEEVESEQVDEFGRVIEVKSSESSRQRRREERAARIRKRD MEDEDVAMWTDDDMQEEWVLQKEDRLETIRTQKIADLLSDVSDDFKPLRSVMDRFGAW KTEFYDDYQKAFGSLSLPGAFEFYVRCELVSWDPFEEAIDFDSMRWHSVLSDYGVIEG EDGHEDADVELLNKVVEKVLIKRLKGLLDILNPASTREMRHAAQAFEQVSYYVEKKEN AYQDLISAVISSLERQLIRYADFIERVSLKTDIDEKAKLAKSRFFHRQCKYLKTLTFW RRYIPKDTLSVLGDMVMNRILAPLLRPRLDAQDSQLEAHGLELLARIQQ PHYBLDRAFT_168999 MAGAAAQISKKRKFVADGVFYAELNEYFTRELAEDGYAGVEVRV TPARTEIIIRATHTQNVLGEKGRRIRELTFLVQKRFKFAENAVELYAERVQNRGLCAI AQCESVKYKLLNGLAVRRACYGVVRFVMESGAKGCEVVVSGKLRAARAKAMKFSDGFM IHSGQPARDFITTAVRHVMLRQGVLGIKVKIMLDSDPTGRNGPKNTLPDIVTILEPKE ETDVEEPIVQDFTPAPAPAAPAAVEA PHYBLDRAFT_133928 MASSALKLINPNSDVARRGQALQLNITAAIGLQEVLRSNLGPRG TIKMLVDGAGSIKLTKDGKVLLTEMQIQHPTAAMIAKAATAQDEITGDGTTSIVLLVG ELLKQAERYISEGLHPRVITEGYDAAKNEALKFLETFKTPKPVVDRELLVSVARTSLR TKVHRSLADTLTEAVVDAVLAIYREGEPIDLHMVEIMKMQHRSESESRLVRGLVMDHG ARHPDMPKKIEDAFILTLNVSLEYEKSEINSGFFYSTPEQREKLVESERKHVDDKVRK LVEFKNSLCTGVNANKGFVIINQKGIDPLSLDILAKNNILGLRRAKRRNMERLQLVCG GVAQNSVDDLSPEILGYAGLVYEQVLGEEKFTFIEDVKDPYSVTILIKGPNQHTIAQI NDAVRDGLRAVKNAIEDKAVVAGAGAFEVALSQHLVEFKKSVKGRAKMGVQAFADALL VIPKVLAQNAGFDAQDVIVALQDEHMEGHIVGVDLKTGETMDPKLEGVWSNYRVHRHM LHSCSVIASNLLLVDEMMRAGRTSLKGPQLGE PHYBLDRAFT_155504 MALPNLPLFENAIGFAQHNNGPAIDDIRIGKQFSYCELVHAVAS LRSSLLDGRMDLNEERVAILCPSGFSYVVCQWAIWAAGGIAVPLCTSHPLPEQVYSME EAQTSRLLGHVVFEERVNMLAEKTGQPLILLKDSDFYYEESRVPEIFNMDKNRRALII FTSGTTGKPKAAVSTHDTIDAQTAVLVKAWRWTEKDRIHHILPLHHVHGVINALTCAL YSGATVEMHQKFDPKQVWERWAESLSPKKPALTIFMSVPTIYSKLIGYYKTLGEQEQQ SYSKACQQFRFMVSGSASLPTPLRESWERISGHILLERYGMTEIGMALSQGYDIDERI EGTVGFPMEGVQVRLMAETPEGSKIFKDVTDTRNVPGMIQIKGRNVFKEYWGRPEATK KEFTEDLWFITGDFGMRTGDKGYFKILGRGSIDIIKSGGEKVSALEIERELLSCPLYI NDVAVIGIPDPEWGQRVAAVAVMEQDQASTLDLKVMRDELKSRLAVYKVPSQLRIVSE LPKNAMGKVMKKKLVKLFE PHYBLDRAFT_57306 MTFIHSEEWISASNGTFNEFKIPIEKPENDKRLYRVIELLNGLQ VTIISDPETDRSSAALSVQVGSMNSPFNLQGLAHFCEHLLFMGTKKYPKENEYSSYIS DYSGYINAYTRTDATVYYFEVGDKGLKGALDRFSRFFVDPLFTESCTERELKAVDSEH KKNLQSDCWRICQVERSLCSPEHPWNTFSIGNLETLKESPERLGLNVREELLKFHNKF YSANIMKLCVIGKESLDDLTKWVVEMFSIIPNKKIDLPTFGDNPLTSKELTTQVYIKS IRNDHYIILKFPFPDQSQYYDSRPSEYIISLLNHEGSGSCIFYLKKKGWVTSLNSSLC NEARGIVFFQIYIELTKKGLDYHEEVVLTLFQYIEMIKSAGVQKWIFEEAQKMGSIGF RFSKKLWPSDDTKKIVETMEDNIPPQLYISSSATLIRYDPELIKKHLYFLAPDNFRYI IVSQVFPADIKCSQTERWYKTPYDVQNFSPEFKEVSIKLTLSQQKLKNPGTNAAFKLP TKNDLIPTKFETGSISKERQKQPLLIKEEPGLRLWYKRDDTFSIPKTYLWANLKNPLG YCTPRHTVLLMIYTSMINKAMTEYTYNAELAGLTYQLYCSFDSLTIHLAGYSDKLPLL FDKLLYTMTHLVIDNDQFEIRKDELKRSYENIFVGSPFNYIDIYVSVLIRDFIWEYKE LWPELTELKPDDVLNFYPTFLKTLNVEGLVHGAMGQSDAVSLFDAIKTTINSKPLVPS QLINSRSIYLPEGQHFVHQIPASDPEDVNSAILYYIQVCKPTNIRLYILLILVQHIAQ EPIFDHLRTKEQLGYAVYSEVYIEYGITGLTITVQSERDPIYLENRVEVFLDKLREII VDMTYEEYKTQVDSIVNAKKQKFRSIYQEASEYWSHIDSGSHEFDRNLKEITEIEKIE KADLLDFFDVYINPNSPRYSKLSIHIQAQKKFAREVCQHDAESVLEIWLSENPATCIS DKISTKKTEETIDISSRDHTKLASGTTFITDITRFKSEMSLSPARLSSYKFNYP PHYBLDRAFT_65336 MSPISHEKAFSIEAHLRQGESAAKVASIVGVSDTTVKRHRRKLG IPAFEGKGRPPQIDPKLARRIIRAFKREDFTTTKEAENQLCSEGFTVKAPAIRTLLKT SGFTCQRQHPPKVKNRPSSRRQRMLSVRNSINLTEEDQEPAASSDESKVNNLGSDRQE WKWIFQSRLPRRTRAELLQHVVNMLREIFVIYQSLNIETNEAQSNCVFIPPN PHYBLDRAFT_158977 MELLKLELPEKSISGRPLKINERSKREILREISRDPTPPMNNIC KTLATHVSGTALRKFLREAGI PHYBLDRAFT_146060 MSLSVLKAAEHIFALSLFKEALNIFQLLWIQGNERLTNATKLKL INLDSGGVQNFEYEAEGFKDIILELEDVGLKALCPSFLFDDWLVVLHFADSEAQEQDV KHQSTLQGGNNMDGSEVMHRLWNRDLAAVLNFRHILNNLRYDGIIPVRFTHVIRIGRI RRQAEEDLQEGRRLRQRLTRIQRR PHYBLDRAFT_65334 MPTNKDLVQTVATGAGISAVTGATVGATVAVLTNSPVRTFAIST GLNCGVFGATFFAVRESFLTYQRSKNPLYGLKDSQTRDVDDLVSSTMAGVTTGGLLSA AYRGSRGVIPGSIMFGTFCAGTQLLYSAANRWRQDKILEKESGNEVVAKSIWEYIKMP SWSPIRQLSNDEYNEILDNQLKALEEEMRVIEKELKEKRVQ PHYBLDRAFT_65333 MNGTIRNPSNVGTIMAILSGHGPVFVFRHERTDPRNCLKRKNLV KGPCSMSLKIVLQKNKRINSLIWIGCFIFVCVALFEQDKFPGLVIQVELMVGCELRPK MWWIFVISTLRIVQKELIDREYKNETKHERRWLFCTIMASFMRNKANGRAPSTVFSTA AMSPRQVANKAYSLACKKLDRDDRRSRPRYNIRERLLLCNTINKAEDVLNKRTQRFNR RVLSIEDEDFGHSETPESHQPHTSKETVAQKSSLRSSGSEEEEEETTTQIVPVTMTPA EPKPIVQEHQAPAPFIHQISAAEELIKDTFLIPTKSLPAPIFVMLPSGHLEQLIALSE SMAEALLPRDCRLIMSHNNMLPIPIAAAII PHYBLDRAFT_146063 MSPAPTGPIEKAAQALQAGANISLQDKIASLRSLSVSLGENVSI SQATQVFQVLPLADLYGAFSVEDDELTDAACALISKLLGPFSYDMVVATNEEFLLQGL SHFTPAIRCLSLEQVEKCVVSNSSVISMVNSQVFPLVLTTLAFQDIRTASKASGVLQK VALTEPGLEAFTSGISPMMLQQLVYIDGTVSFRIYELVVDVASSSENAFKKCESSGLL SIIHTQISSNDLLLRINAIEILHKLALKSGIEFLEKNNILQELSVLLSQEYDTDVVLV LTKCAIIKFFANLSESRDVEFGVIEQKHGIFERLSKCLYSTNNETTAASCVGIIGSSV TGLGLILSSSLLGVFIEGYHSSGGETKTVFLRSLSKILGIQDESNPVVETMTENVYNL VNGRSTTLDELIKMAKQPMEDSRIAVFSVMQSISSHSWGQKLLSQSTEFIEFILNRAA ETTQSGQTWKYGIVKNLVASPDSASNLGQNYTLLQQYIRQGPFYQPSETAVAVGNI PHYBLDRAFT_125159 MANSSFENLQSLFVDFVQPQLVQRKKQAGVIFSAVVLVLCYNTI NKIIYPPKSLRHIPHVNYIAYTRSQLRKQPASEQARELLLPLLAENNGFYAIPFLGKW SVQVANPIAIKTILLKFDMFPKANSLSKSQGTLAHRFIGGPNVFLLEGKKWRKQRMIS NPAFSRSMPVDMFGRITINLFKYLDNIDPTIDVLDTMKKWTLDTLGSAVFDFDFESLT KPNNEWTSIYYEINASLFVPIFNILPVLEKSFLWMFPKRKRVHDKMTKLKDMMRQVII QKQARLKENKPNPNLKDTEKDLLTLLLESENEGHEPMSEDELMSNLCAFFFAGHDTTA NALSSALYHLAVQQDVQKKAREEVINVLGDEPEDVIPSIEDTRQLDYLNLIIKENMRI NPPVGGPLDRLVTEDIVLDGVLLPKGTSVKVAVYSLHRNPLLWDSPEEFRPERFLPGG EADKIEGMGYIPFSDGGRQCIGMNFSLVEQRVLLAMMLRKYTWKLSENTINKDELQVY AFNIMAPFDLKITLEKRY PHYBLDRAFT_177620 MAFALYFNENNGYLDGILRGYRSGIISRTQYSNLTQSETLEDLR LQLGATDYGTLLQNEPSPISTSTISEKLTQRLVEEFDYIRANAVQPLTKFLDYITYQY MIDNVILLITGTLHERDTHELLERCHPLGVFDSMPALCVATTVAELYNTVLVETPLAP YFANCLSAHDLDELNIEIIRNTLHKAYLEDFYQFCQTLGGPTAEVMGEILQFEADRRT INITINSFGTELSKEDRRKLFPTIGRLYPEGNARLAVADEIDQVKSACEVFHEYRPFF DTVTSNSTLENRFFEYEVFLNGISFQQQFNYGVFYSYIKLREQEIRNIVWIAECVSQN QKDRIGSYIPVL PHYBLDRAFT_146066 MSFSGWKKYIEVGDLVIVYMTKDNMTPIVITEDGDLNNRFGMIS NNYKGFIYLLHPTPELWTLVLPHRTQILYIADISFITTYLDMKPGARVIESGTGSGSF SHSIARTIAPTGKLFSFEYHLERATLAKQEFQDHGLGDMIQLEHRDVCKNGFGLVDTV NAVFLDLPAPWEAIESAKAAFKQHRTGKICTFSPCIEQVARTVTALNDHEFVEITMYE CLIRSHGVIPLHKIDFSEALEEAKLNALAGVTNTRKRKLLEGVEEETDADGLSTAVET LLVSKTPEEARGHTSYLTFATFLPAIQESVEMPLVTKETVVEVIKEVEATK PHYBLDRAFT_65328 MAKDQPLKIELEENDVIVSLFNTLSKTSKKLLQESYQQEKHRSS YHNRRNQENQELENEMDNWIKGVFHVASGNITVNGEDCTDLIDLDTEPLDLDLENQVK TLEKEVIAMREQMAVERRELPRQIERVLETTLERQMDGEERGIDDEEYTEPETVHLPV IEQETIAEYRRGIKLLQKLERTLPGRASQYEDIERVLGERSS PHYBLDRAFT_146068 MDYFYKPHRTTPHDTYDSPSPALPPVMEERKTGWVRIQFKKTKP IETPLPDATTRQVYRDETTVEEQLFGLVKLD PHYBLDRAFT_146069 MPQPNSTAKPRRFFSYLLALIGLDGYSRPKSHEFGTSSVLSDST LIVSHADLYDHCDEKLASSAWVFRSWISGLPKMVLCHILSNTALQNPALCDQMMADYR PSASTPTPDRLGEILLAQERARSLVHGLDQLRPSEQFARAADIADELHSLVRLCSLTL HSSSQGHSLVALLGLLIIVQESLDAPPEVRQHVFYHAKFGRTVILEMAAVLKNHKNPP LSSSEWSAVVSILDPPQSWLDSLANACTKIARYDVGWDFRNEYENVLDIARRYRTT PHYBLDRAFT_146070 MVDRHSFLKHTDKWLVRKGHVVRVGLRHPFYSARDQKYKRVSER WSSSSLEVKSKQDSSTQLPRPWPVSPQTKTPMTIPA PHYBLDRAFT_181600 MGARKDVLIEGPCPDLFCGLCQDLLDEPIQVRCPEDHIFCKRCI TNYIEQNDVCPFCQTTIDPTQFQPSKFVMRQIGRLPVYCVYRNTGCSWQGLFYEDHSN QCEHQPADCPNKEQGCVDILRTMDLEHHKSQCTYELISCPNHMPGCTPFLRKDILIHE RQCRSYPCTYAAEGCPFFGTLQERIAHEDTYCGKLHSRLLQLEQECEQLKKLLSEQRV SQNTLLQSAPSMLQSSDEQIRPESIQNDSTMADLDLLHQVLNNDAFPAMSFLNNTNSS TTNSTNNNNTNDTNNNINNINSIKTTNIDTTTHPIHTNEFMDFSISLPGFAFPTNLTT SPPIPAPTQLAASSAPKRSSNGKRIRYSKNVRLAHSALRIARQQGTYHENNPDNLHTG DAILQGLDIAGSASGQRVDKSLLATQSNVELKDLLTQQQGHLPNVSSAPLSGHQFPLY DLDEMAKFLSEMPMPADSNIPKPCLESAVVEKTVPNPSQLTNASTAKSRKDDTGSTSG TSTTTRKKKASAKDRKKEEPEQSLPRSPPNPGSPNNHASPPSTAAPKRRPMFVLASSY LTNYK PHYBLDRAFT_65324 MNKSIDKFTVNQNGSTPSTTKSKNQKGNPKPKANPIPDLPELGD KKCPIHPGGDHTTEECLLGGGKPIIEETGVLGMFHISPAILLCSFCLGCTKLIRHSNA NIPLFASETNERQVQLLF PHYBLDRAFT_177621 MLFVRLQVRPLISCAAKNSSETLCGIKEIIPTHENIYTIPNLLT FGRLLSAPYIGHLIIQHDYNLALGIFALAGFTDMLDGWIARRYNLKTVVGSIIDPAAD KALMTVMTITLAMEGVLPVPLATLILGRDAGLILASFYYRYISLPQPKTLVRYFDFSI PSAEVRPTMISKINTALQLLLVGTSLTSITMGLPSSEIMTAMHWVVGGTTIWSGASYI YTKDAVRILNKHKL PHYBLDRAFT_169016 MIEEKSALSFPDNLSSSKDYRNSLENLILLAVLLSTKQRYYYKE PKVKRVSRFYSANFAFPCTSTNPKSTNALKSDISAEFGRSSVLVMRNRSTPHSRYNEP IKGVEMRRLLCKYEPNVLYATDHLFKKKLTKVTSLCLYHRTQTPHATYNFGFTDLNYL ESMSPLRLKGRLWKQDLAKISY PHYBLDRAFT_65322 MGSFECKAYSRTNKVSLYVFHSNISNVCIKYDLDFNRFNRIFKI QIFYKYIYIYRCVNESLHPQDNGDTLLSIKVKDRKRGINEKRPLESPLKTSLISPFFS RNHRSDQTAPMVSAGVVLRGTVLSPD PHYBLDRAFT_146076 MKSASSPKRQNCIGICASVSTTQKKACIVLKTHGASSHALFSII LTQEE PHYBLDRAFT_65321 MTTSYSANSGEWHKRVSSFHAVDLVTLDSSILPASISRTLRDAL DGRDTTVVVNHEKSIKSPTTPATITATITSSQQTLQLRTVPSLENIGHCNNHNYYNNN HHKHHNPTQPILTLPMGPEIERISILEQALEEANQKVHQMNNHLWEHEQGTQITLGLR LEELEKTKLQLKTLEQIEEKQTAIIEQLLNKQDSMEILLKRQQIHSVKMMEEFARAKA DTTKYFQHKIEHVVKEVTRISAVRQDLEKRMSDIQDQKILEKRSSDIDLQRTMALEGR VEELDMIVEKLDFQKKRTSAALTRKTSAYRQMEQCLQRHTEIIKTYEQKIKMLEKAAL EASQQRVLSPNKDASDLLDESDTTTVPLPASVPVSVPANPGEKSVICHEACATKHQDG PHNSTNSKKVSARMENGYHWQGQRSLRHSHQPTRSILKKCDSSVINRLDEQALQKQCQ EWLDEGKGETLVYKIVEICKDNKYLIVQMQDMQNELNLWHQHAAMLRDAQRHSNPKKP TSASTSTSASTSTLASTHTPTSKKHRHDCESRLDHTSHRTQLRSTDNSETMHSYPSYK LNNSSQNLNLPPPTAPPSNPPPPIPSSPSSRHSTKSIEKENNNHNTTTTTANRSSQHN IRDLKRRLSHAEAAAFSMAKKLDAVLHEKEGLNLETSRWRAEVDKLLEAQAEKEAQAA KVQQELEKQLEEERALKAKSEKARQVLESQTDAWLSKKSVFKCF PHYBLDRAFT_133939 MAAYQKFTQKPRPAAMMVPVAQTQPQPQAPKPITNMPQQTAPPA RPMSSIEKAKTILPFGFPGPVSDLIYRDAYVVSYNRRDRNPNWVAEHLTPASLKRAEG VERSKSAFKEEDSVPPQFRARLTDYYKSNFDRGHMVPAADVKNSQQAMNETFYLSNIA PQVGEGFNRDYWAHFENFCRSLTKTFPDVYVFTGPLYLPHQENDGKFYVKYQVIGTNG VPNVAVPTHFYKVIMTQRDGKYSTAAFVLPNQRIPDETPLETFKVPIDAVERSAGLVF FDRMGDAKAGLNDLCRETQCKIVLSKFHEAQKNKQITA PHYBLDRAFT_155510 MTSTAVRVALRVRPLAQREQLTNCTECLSFIPNEPQILIGTDKS FTYDYVFDPNTPQNDVYKKAAGPLLDKFVDGFNATILAYGQTGSGKTYSMGTGLENPA DTENEGIVPRCIIDLFQSLEERAQRSADFKYEVYVSFLELYNEELIDLLNPHTSHKRK NHSSPGHNSMSHNNNNNNIPAAAEVTIREDVAGNIYWSGVKEELCTSPKELLGFLEKG SLCRTTGSTDMNSVSSRSHAVFSVILKQQRPQEEAENHDRSSSPLGATKKLQSLTSKF HFVDLAGSERLKRTNAQGDRAKEGIAINAGLLALGNVISALGDESRRTAHSDPHAGLC VAC PHYBLDRAFT_158983 MGTATAALNSKLSHTTINATTSTSISSSPIHHHIGNGSDHDIHG YEPTSPHSPHSPHVSVSYQQHRSTIPQRRSQQFYPTGPGQPLMMERAASTSSVTSSGN NVFDRLSQTPTRASRAKMAHRHSSGSLEDLRMHWDLERSSSSMSGSYYGD PHYBLDRAFT_113185 MPKILDGKALANEVRKRVKENIKSTQAKVPDYKPRLAVVQVGTR EDSSVYLRMKQRAAKETGIGFTLEQLPESISEQELLNRVRELNEDSKVHGMIVQMPLP PHINETTVIEAIDYHKDVDGFHALNVGKMAKRSTTPLFLPCTPKGIIELLKANKISLS GKNAVVVGRSDIVGFPVAALLSAEDATVTICHSKTENIKSVINKADVLVVAIGQPEFI KGEWIKPGAVVIDVGINSVTDTSKASGKRLVGDVEYETASKVAGAITPVPGGVGPMTV AMLMENTMISANRALEHRNECQTVCEERLQPLFSFNLFSKKFLISTSKEVHKK PHYBLDRAFT_125170 MLAYAFGPTDRKTLGSHSDSPSEYYPPDFYPNGTDLVLPMGSIR YWLMGDPNGKKVVLIHGISTGAACYISLSKILADKGHYVLLFDLWGRGYAEAPPHYYD ESLYTTQVALVLQKVGWTSTDVVGVSLGGAIATSFASFYPEMVNKLVLIAPAGLMHRA DIPMSGKIVAHPLLMRLFEQGWIQPFARKAFKLFIDNSSLRKELADDPLVDQISSVAT HQFIHHPGFIRAFLGTLADYPLSGLDERYRILGNYSNIPVFVLWGDNDKTVPYKYHHV LQKYVPHAQISHYKGGGHDILLSHRDRLNSEICDFLS PHYBLDRAFT_89747 KNKLGSFTAPTPQNTPINPAPIASNYRPTVTTISEDMETITTPG ASGQASALSGAFTGNPALISMLQGKLGNLVGRPSGYIDSLPAQVKRRINGLKYFQSKH GELEHKFQEEVLALEKKYLELYRPLYEKRAEVISGKYEPTPEQIAIGEKVDEEDEDEE EDDKKKDEEEDEKDEEDIVGIPEFWLTLLKNHPQIAETITESDEEVLKHLVDVRMSYM ENPGFKLDFEFSPNAFFTEKILSKVYYYQDHAYGGDFVYDHAEGCEIHWNEGKNLTVT VETKKQRHKGTNKTRVVKRTVPADSFFLFFSPPAFPGEEEELDEEEAEGLDAKLEADY EMGEEFKDKIIPRAVDYFTGKALEYEDFEGDDEFDEDFYDEDEDEEDDDDEE PHYBLDRAFT_169024 MAPSVQHYFQAIVNSFGGIGTVCVVFDTLGSHTVYDLKLRLAQT TSIAVGQQRITTVGGHLLTDSHVLFADLQGPAIFNLSVSLRGGRSAILPDPTSFIQED ELLEHPEKKCRLNEPTSLPLRLHCTAESSSQSKKINERPTNTPEKTKGKERETRKRKQ RE PHYBLDRAFT_187167 MLIQELPWTVWQQVILPLLDAVSLTRLSQTSRHFFQLCNDEYLW RNLVFDDYNLSHDVSYRNKGWKALYGQLEHSAVYTWGENGDGRLGFGPPRGNHWQRLS MSVTEPKELTFLRGKGVVSIASGGWSFHCLSKHGKVWMWGTMDSEWEARGTLAFKIVS EPTLVNLPQDICITSLACGRSHAVAMERNPTVLWHWDNLWTPKKVVFVDKLVQVSATW RGSLALTCKGVVCIVDLPTPTRSENEVHEQETLWQGVSFSLDSLREDAVRTQNNAVSE AIEEGDRIVQVAGLESSMIALSLFGKVFKIELRRLDELQNTPATYTTLLAPFSATSKE LNDRGNTVQRFVSGAFRQFAVYTTPGRVLLGNQDTDYSGEYTLEEYKEDEPETNSDEE EEEEENNVDPHQPQHFHFISRINRGVRRTSRARTSENSNVKIHWIGSNICNVSFGDYH TGALTTAGSLYTWGSYSSGALGHGARRQDIHHPKKVSALNDKFVFGIGFGGWQSSALV IPKEVE PHYBLDRAFT_146086 MPLSRPSKSKWSTFFTWFTRDIQSRRGSQSSTCSELSTPSTPPP TFGRSKKRLFSNEKNLDIEPVYSHVFLRRLSKRTPNPIPDTPPPETGHLDDLFALALD EINYAEDSRGSPYYSGDRITAREAIEGYTHAYTDLLKHTADLTIRCLLESKTRPRIAQ LQEKYDALPEDTH PHYBLDRAFT_169027 MYGQKTYPYKFPYSSETGTKSILHVECIIAKRDTLILYFIRATL RMSELSDAERLCYLSILRHLIIKERTKEKVDIQYKEISFLNSLPPGRMLRNICKLKNS CQLLSIV PHYBLDRAFT_181607 MASTDEILFVVMIVILFFIALLALVYLIHRMTGPRQQEQVQFVT ASQLEAMRPSTLKQVHTGSNRQPSWGLKDGQARTAGQLPPLSDWKIDGFQQKVRPLSV MAETIVRPSSVRQPSFKPMQSMIRNPLGVPTIVLNEDPNLAKSAYALPPPAYGDYRAS RPVRCDETPFKFTGKN PHYBLDRAFT_90360 LSPLLVPRVSGTPGNTRVREFIVNHFQQLGWHVELDSFVDYTPM GPTKFTNIIVTKNPNSDHHLVMGAHFDSKYYPDFEFIGATDSAVPCAILMDVATTMND LLDQQPPKQKSLQLIFFDGEEAVREWSSTDSIYGARQVIVIRRGNRLDQIELLVLLDL LGTPNPSINNYYRDTNRLFYRLLSLEKRLLANSLLATVAEDGTELRPVFNPKSSMTFR GEMIGDDHTPFLQRGVEVLHIIPFPFPSVWHNPGDMSECIDPNVVLNFAVIFRAFVAE YL PHYBLDRAFT_169029 MRKASSVMKKTFNPGTPVAIPSTSTASSIAEASHENNEENSKQA HTLMPKKINKPDGIRVIPTAASNPDNVSFDLPILPSSSTFTISGKEDKPEKLKKKLKN FLDERQKTKSRAQNATNSFDQARFFQENAEQVFAVFYETCVHQIEKIKQSIEKSERPQ SWNSKELVNLQKSLLLLRKIFLFVPELMRNGWQRKNIGKIYYILVVAKILAHVLDHGN HIRLRAQGFHLLLLWLNDQVVEYPECMELFSNAISLDLFILDDIYAFPSTTTQNTDAV NVTDDKETINKLQSSVQFVKKLSERHTEKPQGYGLLRDDRIKRSKLTFNQTFILGDEH APLFPSHSQPTFSDSVVLIHIFISNLVRLAYVAAGSPPPPDDYEYPPGDYVETDDGIA TGIGIDAATASAKFLFRIFRTYYLTKFVPAVAKSLHTESNKSKKPTTTTDDHKKVETQ EKQALVGFPLCPPSILRTLLRFLIGYCLDNNSNSHAHWAHLPSAPTSSPATPILKSIV LSSHETREMLHEILKQCMVLPCTNPQYRDITRGAIHILGVWVLGNEDERPSFLRKTGG ASVGTVGHSMTRSSSIASVATSKNSIVEPKVLSTSPTSSVWRTSDKPESDDGDANIFL RRYFLMIKLLFENKSGSRDRDVLVAQVQQVTDWEGLTALYKDAINLYRAVTVSRGGIE MEDETWELLLRCLLDIQNWFMSPPEKYSRIPVQPLAEEMADFVCETLLHAFVRARLIH ASLWIELKEHLLSSIKWSQTLSQWVKIMNKVTRLLAFRLYKVEYEPSLETHRQPLLES HAVQNRYSMQFNANAAPGNRGRTKARTRHLSIQGDRPRTSASNRVAGRPLSAGGSDGQ LIDSVNDKTQSGIDTPYETASEATTPVTLRSKISMPAIEPQTADRRSANLSTDIPHIE EPVLDEPIGPSTSTGKQSGVKFGIKNILPTASFSTANNSGSGGNSGGNGPSGNSVGSS LKKRSSGRRTMSIHQLDSLWQDSGNKLMNLVHHSAHHDKHLETPGQAKSATYNGVKEE EEVKKSKPEWDNKSSGSSVERTNPEDGLLPSGKSVRTLASTIISPKEPVKIVSSFETV NEKLPASLGVFRSNEFLTLNGLTLDGQGVLVLWKNMLCAVGNVNLIQGPQNYAVAMRC VVDTWDMLNWIRSKQPYRNVPIPALYEMTPWLLQATELSSEYDAGKADAYGCLCRLVS RRPEEPVPNTYYAHFYKAILRGLGSNDSVIIQAILKNSERIFSFCLPSIHILIPPFLD AIEKQLVDENGSKEASIALRKSCVTLLGSLVSITNHLRDTTINIEEYDMGWIQGYNGK EFSFAKCKRWLKELLLRLINNGTTTVHTKEDEEVHCMLMGALSSIILDELLASTTPQP EYVHECIVAIVDHLYWSSIPVLNTAVDCLNMLAHIYREDLDFQKKILQEVLTRVIDAL NVHLKSYEANKQNRRGFIISKLFSCLLEWVMMADPANLSNPESELCSLVFDVIAYAIH ITSDGSEKMLPRPPVVRPNNHKKKELPFKFKLSNDRGPNDLHEQPNFASWGDIPESDH GYVKESAEAVLLHLLHHFNNFAPPYGPATLHSTIVGPGMVLDEKQENYHQYQYFSFND TTIIAFVELPATELRSSQARIIIRDLTGRYVWDSQLEPSRDLTPVNPKATPSYNDPIS DILGDDQGYKMRSNISIQKESKPVLAPSSSETTDGLSRLLLQIGEDNPDCVWDPAVPL DHPSPASQFQTNMVGSLGQKLDEYLRNESDNNEQEESDIQLWYSKMNVLRQKEATEGI NDRAESMHAHLMANFSMRKDFLPAFPHESEKPHVPFQQSRLLMSHFGFINYDHLKDGS FRLLNKTPGLYRDLRGLDRKHGRETMKIAVVYVGQNQEDEQSILCNSQGSPMYSSFVK SLGWEVDIATHTGYLGGLEKNLTNGTRTNYYCSSTLEMIFHDVTKMPTDPNDPKQLKK KRHIGNDHVHIVWNEHNRDYRIGTIGGDFGNAQIVVTPMANSLFAIHVHRDPKIPYFG PLFDRMVVSRATLGPLVRATAANAFRASVHTNLYSFYKCVYSHRANDVQTIANRHKVA AWSYEQFMETIFMPDE PHYBLDRAFT_65309 MTYLVIRNRFIYTTMFAILPFLCLFLSLETVPAMVNIRQEQLVP DEDNMLKAIAEIPLRGNASVVFMLKQYEDHLEYDIHFNALNSDASCISWAIGVPGYLN GGNECHFLDTRCANDVPTNACISGLGMLGECGAILTRSGLNISKYTEQTNLVIQSAKT NAITLNNRHPRNVVGKGILVAYSTGEDKQELACGTIYPGQGTFGAFTVSSQARSGIFE NSGSSTLRVMGLCSALLMASTICFIGL PHYBLDRAFT_65308 MHLLLFLLYFIFKFQFVFGILTKRQDEWPNNQLIESQGRIAMAR IPLAGNSTIVFMFQQMETRLEANIFFDSPAADGACLSWGIGFPQIVDGTNDCYFMRGP VCRDSIFPDVCESGFSNISACGAFYTITGMGMEQYNPQTHINLFNFHTNVMSLGPSRQ HNILLKSIMVVYATKNNPVQQIACSNVVLGKGSETNFTIKASDASNKAVHKYGLALFL APILYFIGI PHYBLDRAFT_146092 MSPALFTPIKVGRLLLSHRVVHSPLTRRRADADHVPVPLMIEYH QQRASEGGLIIAEGTLISEDCGGYPFAPGIYNDKQIEKWRDITDAVHDKKGFIYLQLL HFGRASASAFIPGNKIPVSPSAIAISDKNGVGEDYEVPRALETEEISQIIQTFVEASK NAISAGFDGVEIHGANGFLIDQFINSSSNLRTDKYGGSIENRARFVLEVVENVTKAIG EDRTGVRFSPWSGFQDMEDDTPYETWGYIVNQFQEKHPNLAYIHFIEPRDDYLPGYNP EVDRTEILENDTIEPFRKAWKGPFITAGGYTTTPKRAFETTERLENTLIGFGRTFIAN PDLVLRLKNDWPLNKYDRSTFYEGGSVGYTDYPFYNPEKVNGIKS PHYBLDRAFT_169033 MLHDSSDDFGPLANTWGSQPKPLADWNALIDPDSVKTSRGIGSG NLHRKGPNFIPVDEQVIISQRLKKGVLTKANPPLNKSAPKSQESFSRQLKSPKKHTQS SSTQNFGKANNLPLTSSGSKERNKDYEGEKTRNIVSLGGLSPNNPRQPDNQSKNSIPK STTVRSPARGKTSNSNNPWLNTALSEEYFWGIKAATENSPTDIATGTPSSSTPHNTKN TFNRNQQLREQGEQTVYSPMPQTQKQPGPFSRPFNYSNNSLSKASPVSGESQTVFSRS SPAINPDSQTVYSRSSSNFSTRSETIFSRPPGPDSNISSVKPIQKILYPAGTTAGTED SDSGLSRYSNPNRQKYSRPAGSYAPVPSNYQLKQGPHPPLRVAPPSLADNPIIIKINI DIGKKNPVSVNIRLLDDPVVLAERFIKTHKITSSSAFQLIENLLTSQKEIAMKGRDVS HR PHYBLDRAFT_155513 MGKGQPRGLNAARKLRNHRREQRWADKQYKKRALGTAFRSNPFG GASHAKGIVLEKIGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LIAGFGRKGRAIGDIPGVRFKVVKVAGVSLLALYKEKKEKPRS PHYBLDRAFT_133949 MKDIYKDDELMIPAGVEVTVKARDVTVKGPRGVLNKNLRHLNIE IKFEGKDKLKFVVHHGLRKHVACIRTVRSLINNMITGVTKGFEYKMRYVYAHFPINCI INNGGKDVEIRNFLGQKVVFRVQMREGVHIEASKNQKDELTLTGNDLEAVSQSAADIQ QSCLVKNKDIRKFLDGIYVSERNVLEEA PHYBLDRAFT_133951 MSMASIFGLSTPVDIDVQFNNEDQRKHVDVKVDKDRRESYPVYL DGETVTGKIAIRIKDGKKLEHSGIKVEFVGSIELFYDRGKSHEFLTLSQELAAPGELR QNTQFDFEFKNVEKQYECYNGINVKLRYFVRVTVARRMPDIIRERDIWVYSYRMPTEV NNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIVGKIYFLLVRIKIKTMELSIIRRETTG ALPNVYNESETVTKFEIMDGAPVRGETIPIRLFLGGFELAPTFRDVNKKFSTRYYLNL VLIDEENRRYFKQQEITMFRRKTDDGYPPDEE PHYBLDRAFT_113332 MSASDSDDQPIEIPDRPIPNILVTGTPGTGKTTTSELIAQVTGL QHVNVGAIVKAKQLHEGYLEEFDTHVLDEDKLIDELEDTMTEGGKIVDFHTCEIFPER WFDLVIVLRADTATLYDRMEKRGYNKRKIEENMECEIMQIVLENAHESYEPEIVVELQ SNTVDDMESNVERVKQWLDAYRARHA PHYBLDRAFT_57297 MDDSFVGMSGGEIFHEMMLRQKVEHIFGYPGGAILPVFDAIHES EYFKFILPRHEQGAGHMAEGYAKATGKVGVVLVTSGPGATNVVTAMADALADGIPLVV FTGQVPTGAIGTDAFQEADVVGISRGCTKWNVMVKDIAELPRRINEAFTIATSGRPGP VLVDLPKDVTAAILRRPIPTNAFIPARPTAIPNTAIGAFTEDAQVRPEPLLEKAAALI NMAKRPVIYAGQGVLGHPDGHKILAKLANDGNIPVTTTLCGLGAFDEMDPKSLHMLGM HGSCYANLAMQNADLIIALGARFDDRVTGNLKLFAPEAVKAANEDRGGILHFEIMPKN INKVVEATHAIEGDVTENVRSMLPMIRSSERKGWFDQITEWKEKYPWYYEKPVDDEAT LKPQQLIEALDRQTRDIKDKVIITTGVGQHQMWAAQLFRWRHPRSFITSGGLGTMGYG LPSAIGAKVAKPDHIVVDIDGDASFSMTAMELATAAEFKIGVKVLLLNNSFQGMVKQW QDLFYKNRYSGTTMKNPDFCKLAEAMGVKAIRVTKTSEIEEKMAEFLAHDGPVLMDAV VCKKEHLFPMVPGGKALDDFIIHPSLEKKNRST PHYBLDRAFT_133959 MVKPISIVCLPGDGVGPEVVGEAVKVLKAIATHRSAALGVDFKF SEELIGLAALEKTGEPLPDSALEACRAADAILLGAVGGLPGAHIGSGPRPEQGLLKLR KSLDLYANLRPVTFASESLTSLSPLKDHVVKGTEFVFVRELVGGIYFGDRKEAGEDGK AYDTLPYSAEEIERVTRLAALLALKQNPIGKIHSIDKANVLATSRLWRKTVTRVLETE FPQIQFEHHLVDSAAMAMVQNPKRLNGVVLTENMFGDILSDEASVIVGSLGLLPSASL NGLPDGKQKCVGLYEPIHGSAPDIAGQNIANPIATILSVALLLRYSLGYEKEAVAVEK AVRDVLDGGLRTRDLGGNQSTTEVGDKIVQALIPILKTL PHYBLDRAFT_187174 MNSHNSADEDDVDEFLYGPSSGETEKKTNTSNYNAPLIVQQDDV KGEAESEEDEDLYELYKGSTANEAQDISRQEKEEDVMETDTKEVKEEQGENEEDYSDD DLEIMLENDESENVGDNTEASGQDGAPAAATNGSPEPATTKGGSNPLVTIKPGQQGKS SNTPQANSQSNPSASSGKTSTGGINLEAVGELNGQPITDVDLDTVEDKPWRKPGADIT DFFNYGFNEVTWRAYCLKQKMLRENKKMMGDIDMSDFMSMGMMMPTSMMDGSMPMSIG SMTNSMMGMPMGMGTTVGMGTTSSSSGQGIRSGRNTNFPIRSTGRTGMSMGGRPKSSE GGNDRDGDSM PHYBLDRAFT_187175 MEKRGRDSKRKDRRRDEYSRNTVKPFMQAPVILERPNRIEPTQS EPVDDRKQCDQPRPAKPEEIKAVLPFFRRQTKFQIDTVLKCLGDHPGHFVVGVVGKQG VGKSTLLSSLMQDTTEGFATQNTDILAYEGHKTLGIDMHITSERTILLDTEPILSWSV MDRALRTGGLEGLPPDIWIEMESLYQLVFMLSVCNVVMFVSEGSEVDSLILQSLRRAE MLKVNLPEFPLIPLSSLTQDPHYVADIVFVGNKCQDLEFTWRHYRNAQTVLKSALAGS SLKISGLVSLGYVLPAFDIPDPINLFFLPTTNPASGVESFDILMASLRDQVMAAPRRA GKRGQVSEKEWFRNSVKTYELIRKSDHFMDYLKMAKKFRDG PHYBLDRAFT_181615 MTKVVHIVIVKFKPEVTEEVKQQAIRDVIALKEVIPEIINCSAG TTYTDRSKGYEHGWVVELEKEEHLPLYAEHPSHLDFVKQYKPLFADLIALDYVY PHYBLDRAFT_65297 MSLYFYLCTLLFRISFLLIYEDQHDIINIATDNPTINLSSIYKD IRSTDPVIRLAIQTKIRDLPLIQLDNLLRQWIGHALRQTQRWPIHRLLRCIDIIIQSI DQNEKHVLRLPSLHKSIQQVLVVTHTSPSDVTAQAFLPCFTKLFERFSRLLPRKECQS AVGNLIKWCMESEKSIRLICLRGLLKPCFQKTLVQCDAYWLLTQQLHTIQTERDELTL VSRLLEQLIKYGRDAPIGKHAHTQLVNFSNAAHFHILVGVWENVSKTYQEQPLDIRPI HSLTTIVNICCHVSEKAVERISERKSIEKWQPLMYQAMRDFLIQSSALKQSLYGQMSE AEQSKETPWPKPEPSSKYKNETDISASLLSRILQCNSRVFPASQKIKTPLAINQCVLA IIQCLTVYISWPITPEDISQVGQENWTTNLYIDAETRAFCCVDAGLLLLYEPLFVILL DGLIQIVPHIPTHQVAQISRSIAVCIQAIWTSLLRSNRESPQISSRLEKLTIFLLHYN NAIDQFANASSSLQPLIWRPTLDQAKQCLMAMTSQKPTEWAPNVIDKAKRALISLEKA THHARACERLVSEDALSLVSPELVPDESRTGASTDSLTIYALLVRFISSLVNKTAYVR IRLREEQTLMPMIFHLLTIGVQEREKSISQRKEWNRLLFWSIDVIRAFKFDHVSLRAW ITWTDQKLAKMNISTATATYKPSLCTILKLILTPFQQTSIYPSMDIWSSDEEVIITAI FLMDQLIVLPDFGKQIMETPGFLECLSKLLITVCCPVTGRSRRMAIDEIVNPDLMEYK SSEYTTELPKSIDRHGISSPYIQVLQKTITRLLTTKENIMVILNQYLLTSFFNPMLRT MSTQGTYWQDFFSEQIYERIYDDLQRIFLFTADDNNAIILHESTAIAISYACMDPSHW GLLSEEHSGGILYTNSVLGVICHMLVYDLEHTQTDYAMERYIIGRKVAAAQVLETMGT KAAQFWYRSPFQWPGKRDIETNTTSTPVLFITDDSDELVESTSDVLIPQSSSFTALLS NIYSESTVKPIRLHDVSCSGLRLLFSLLSRLKTRPTLSDAALLPQSISWPDVIELLKL ADRFGCYDALYVCEQWILDQVKHRVVTNECLEGCVMLFRACRDPTANDGGITSNVWPF QQVVQICVQGLISHCVRMVSLKSFKSMVEDNDSDELSAFCDSIVITLKFGVIPRDDTV K PHYBLDRAFT_65296 MQGLVDYDSESSDEYLRTPASLKRSNSEDTSPRKRQVMPPLPDF FKSVKTKISKESSAQLDAPSIKPFREDSWATHVFIKVDIGKEMQNILRVLLDQNKADI EESDRIISVPDQGKPLHISLSRCVVLRTHQLHTFESSIRKHLKDVQKFFISFANLSIL TNDTGKASFLTAEVGAGYNELLKCLSAVDKIVERFKHPVFYQAGPPRFHASLVRANTS DLLQKALKEIPEDLVEDLRPVSTSVTKIYINMGNNIEKEIILKT PHYBLDRAFT_169044 MRFIDIGANLTDPMFRGIYRGKHAHSDDFKLILQRARDAGVQKL IITGTNLAESEEAVEETNKESQGPALYSTVGCHPTRCEEFDSYPSGPDGYYAALLKLA TDKREKIVAIGECGLDYDRLQFCPKDIQKRYFEIQFNLAEKTRLPMFLHNRNTNDDFY DILFALTNRHKFSHGVVHSFTGSLEEMKKLVELDLFIGINGCSLKTQENLDVVKEIPE DKLMIETDAPWCDIRSTHASFSYLKLVDKAEMDLYAPASKKKEKFEMGLAVKNRNEPC AIGLVLHIVASVRKTDPHELAEKIWHNTCSVFFPNEL PHYBLDRAFT_125190 MDSRDLHRRLVDLIEQPISPQLLSYVSHRASLVIASPPLNHDDH SIVPSIQHFVPLLVQRSCVSSGTLIGSLVYLDRLQRRVATVPSSSPCSCQRIFLATLI VTTKVLHDTSPKNRYWARYTSLFPLEEVNIMERQFLSLMDFQLSITLDDLFHVLYAFE ASLMPILSTSHPFSSSHAIPSLHHSSGVSWEDDSYRVIGQKQKPFVL PHYBLDRAFT_65293 MHHSNFYGFMEDDPQITQSHQEMYHINQPIEHPTRLVADAGPSI HTTMMRPQDPLFQDNLHESSYSGNHPTIDNAGDTNPTSRINKGNTDFTRRKNWSERII VEMTGLIYVLSPLGKILYCSESTTDLTGYRPHEMVGQSLTDFLHVDDLDVFFRDFNMA FETRSQIKAYYRIRKKDETFGIFELVGQPKSDAPGEAPKSFFGIAQPMPTKNGMLVDS FLELKMENEWLRWRIDELQSEDSIGELDTFAPLTETEDINSGKMEPGLDENNIGTYVL KDEVEEWDINGQDMEGSPDEYARILHAISKSDPIDTSDMGLNDRAKRRKKHKGSDEFV CADCGTTTSPEWRKGPHGPKTLCNACGLRWAKKNKKKDSNED PHYBLDRAFT_169047 MFNRSFVRAFRLKSAKSAGDKGVRNTSKLNRLVVFLPFAQKNCV QARTPVAGPLLACGTVFFLGLFALWFCPFSQSLLRQIQCSIAYWTPIKWKTYIGTSVD AINVAESFSSESLGSIPSIDYVMLVVDITNGTSTTLLEKALQGLSPRHLSFQCCVIVT KVDQAGLAVIDTNEVNTCVEKYSEAQVFHVNLKDERERRKVCEQLARLVRINTLQQKG IQPVLLRSIAPPRQWHDSDNPNQSVSEEDHSTNLL PHYBLDRAFT_31145 MEVEEHQKQPLGYLLREYYEEMAKSASPERQRDIIELRSLQLRD KQSKLREEIVRGMEEASKLATSVDRAAYRKIMKQTLREAKITEKLEKQQRIDREKKEK QRHLDYLQTVCNQGRDLISWHKAHQAKMGKLGKAVLQFHAHVDREEQRRADKMSKERI KALRNDDEEAYMKLIDEAKDTRLTLLLKQTGSYLESLTRAVVDQQNDPIHSQNEEDSL APDEPDEETMLTDKNGNKIDYFRMAHRIQESVRQPDMLVGGTLKEYQVKGLQWMVSLY NNRLNGILADEMGLGKTIQTISLITYLIEHKGLQGPYLIVVPLSTLTNWALEFEKWAP SVRKIVYKGPPDVRKEIQKKQIKHRDFQVLLTTFDYVIKDRPALSKIKWLHMIIDEGH RMKNINSKLTLVLRQYYQSRYRLILTGTPLQNNLPELWALLNFILPKIFNSVKSFEEW FNTPFSNQGVQDRVDLNEEEQLLIIKRLHKVLRPFLLRRLKRDVESELPDKIERVVKC KLSALQLRLYTQMKKHGILFAKGSGEGGRTSIKGLNNTIMQLRKICNHPFVFEEVERS INPYKISNELLYRTSGKFELLDRLLPKLKATGHRVLIFFQMTQIMDIMEDFCIYRGHR HLRLDGSTKSDDRSHLLEIFNAPESPYFIFLLSTRAGGLGLNLQTADTVIIFDSDWNP HQDLQAQDRAHRIGQTKEVRIFRLITENSIEESILARAQYKLDIDGKVIQAGKFDNRS TEEDREAFLRSLLEDKTDEDDGGEEESEDLDDEELNLMLKRSDQEYAVFTKIDLERQR SDLEDWRRRYGNTTTKKPERLIQDWELPEVYRYESDGLEESRDSDLVMGRGQRSKETV RYDDGLTEGQWLKAMESEEAGNKHATNSKRGWSPQEGQTKKRPRMQ PHYBLDRAFT_187178 MSATLGPIDPTAWPSSYGRSKRIDLEQFYTDILRQNTPPDKPTQ TTTTITKRKGSIRNRPSCGRKVSFSVEPPTVHEYESEQTARMDAWSKLDTMMSECWPG HLIQPNQVTSYGEFKRSVMSQTQPISTHAVVPSYESFKKAASIDHFEQPITRKKSRAL DIFFQNPPPPPVPLLPTISHLFIYSINMSATLGPIDPTAWPSSYGRSKRIDLEQFYTD ILRQNTPPDKPTQTTTTITKRKGSIRNRPSCGRKVSFSVEPPTVHEYESEQTARMDAW SKLDTMMSECWPGHLIQPNQVTSYGEFKRSVMSQTQPISTHAVVPSYESFKKAASIDH FEQPITSTLGYESFKSTAAAAAAIAQNKPLQQTLVRQSSQPLPIRNLNQQSLNRQLSQ PLSRPHIQSTPLPISSPHKNIKRQVPKPRKLDLRPIPNHQYVAQTDAIVPPSPASTIS STTSSISPVTPTANLIIDAPYKVEETMIPLAGTTPKKSTSGILAGLSIFQTLSRMGSL QKKKSH PHYBLDRAFT_169050 MAQRKAKASSSASDPMGSPADSPPSSPGPSALANNPNKLLHRVS GFFFQHNRRVRSPTTGEPDLSPEEPDEEPSYLQPHEPMIQDIETASRVDSMFSMHSNH SARPINPNTPPPLRTVCLDNPTVHTSIAPVEQPPSPPPSSSSSKTVKQSPGRTIPLRK LSKLSPDDVNEAFELLLAIQQEYALPTSLKPNLTQLTTEQKSVLLQSSRSRMLLRKNS TFSSSPFSLAATLGINRKSKGQPSNVRDRKFFSPSDKDDSSMANRSSASYQKSNGSTS TATATSSNTKSMGTSRGGKNTSLLAGGSHGDTNHRTVRGKMKSTPEYFVTVLRETHVR ELEENDVADLRVFLRSVVASWTTEFLSLGGYEALSDLFRQMKEIPKKRPQDDKFLQQL AKCFKAIMTHEQSGTERVLMNPIGLEHIRDLLFGPSNQKQKGVYGLDIITRALFLNIL CTLTTLQTKPTHNSSKYVHGYDILRQLLLDRPSDRILDETGCEQSSKTDLPFRMSLKT NPQEIMKVILENEDHPGGISETDMDSPKPRYTAWMREIQYTVDRHIEPITFLAQVLDY KFESAFRQLKLKSQEERAKSDGSPGSSCSAQADPEEGGLVMVDEGVVDHLITHLRLIC TIVTTPPTTYRGETTPREQEKVRLEIMLSGFDKISKALRSCPHPTLYDSYIRFLQPLL RPWAELSPSDPLETSSNINSSSSRLQSSSQSVSGSNTLVFPESYIPPNAPMIPERPAA HPLSYSFRSGNWVENSLSDMLNWQNELQDSSKGITTQSSVPPLVPSHQQQLWDNGGND EDCFFDAVHDPFVDARLPTKQNIQETAWEEDGDMASLDNYDDIFDDEEDDEEEEMEEV LFQDDNSPQDRRSSMPVYMRRIMSNSAPPQVNRETVSMTLDPIENIERWRLMSLDS PHYBLDRAFT_187180 MVNYVPSGYFFIASQFNGKVLDVEKASTKDGAKICVWAKKDTDS DNQQWEYRNGHLINKYSGKALDIKGGKIKHDTRIVQEDIKEASEEADSQRWLIDPQYY IHTAADTSLVLDIRGAEDEDGAEVILYEKREGTASSNQRWNLIPAN PHYBLDRAFT_133964 MYCNRTIDNAKAIRNVYAMHVLNHVAKTRDRVMKNNSKIAKAQK EDADIGEVRDQGFTRPRVLIILPFRNAAVDVVNALIELSGTEQQNNKKKFYDQFNLRE EESVDESKPADHLETFKGNIDDHFRLGLKFTKKTLNIYSDFYSADIIIASPLGLRTVI GTDGDKKRDFDFLSSIELVVFDQANHFLMQNWEHIEHICDHLNLIPKDAHGCDISRIK SWYLDGKAKYLRQTLVFSEFLTPELNAMFNKYMKNVSGKLKIKQTYEGSIVNVIPQVQ QTFTRIDSTSLATSDDTRFKYFIEKTLPSLRRSAITQSHTLIFIPSYFDFVRVRNYLK DNLFSHEACSEYASGPAITRARSNFFHGHTSFMLYTERLHFFRRYNIRGTFHVVFYGL PDNPVFYTEIVNFLGLKFDEASASEEATLSCTAVFSKYDFMKLERIVGTDRAKKMCTA QKNVFMFS PHYBLDRAFT_113057 MQTISTALFTPINVGNSPLSHRIVHCPLTRIRTNADRVPVPLMT EYHKQRISEGGLLIAEATIISEDAGAYRLTPGIYNDKQIEGWRNITTVVHDNGGFIYL QLWHAGRAGVSSLIPGNKPPVSASAIPINDKNTIGEDSEVPHALEVEEISQIVKTYTQ AAKNAICAGFDGVEIHSANGYLLDQFINSSSNVRTDQYGGSIENRARFTLEVVESVSK AIGQNRTGIRLSPWSGFQDVKDNTPYETWGYIVEQLQEKHPNLAYIHFVEPRDDYVSG PNPRKDRKEIMEKDSIEPFRKVWKGPLITAGGYTTNPKRAFETAEKLDNTLIGFGRTF IANPDLVLRLKNDWPLNKYDRSTFYGGDSTGYTDYPFYNSSAASDILKKN PHYBLDRAFT_57294 MKASLILISCWLISSALARKTCVIPASKVSGADDSLAIIDAFSR CKKNGEVVFSKGTTYNVQKAMNITGLDDVHISLRGKVLFSDDMEYWQKNIFALNFQSA GTWWVIGGRKIIMNGGGTIDGNGQVWWDAQAIDPRPVALTFDKVTDLKVEDVSFAQAP FWHLFVRDAQDVIFDRINIRSVTNSTFPTHNSDGWDLFRSSNVVIKNSVIVNGDDCVS LKANATDVTIKNLSCTGSHGVSIGSLGQYSGIGQIDVVKNVLVKDVTCINCSNGARIK TWPGGLGLVENITFDHMNVTNVDYPVMITTHYCDNNQMEFCSGADTHSLTIKDVVFND IYGTISSAKKPIVSLNCSSETPCSNISLTKIKITPTASTPKNVCDYVTGSENISYCNA PHYBLDRAFT_146117 MLVGEVHPNSALSSSWIPDAQISASFVYGAGGFHTTAPSPNIFA EAYPNHPPPLTYSASCFLCGLMHHSTTTRDHNSIDQTLSLSIYPPLSHQHQHQQQKQQ KQKQKQQQQQQQTEPKLELEPEPALGLELELTMFSPLVESIDHSESLSRPLVNRLDSI TLYASSRPTRYMAVLCGLQNWIREWKDWVCQKGARATPSHLPFCLSGTNRSMISLSLQ WRRLRTNLSRRRSQTSWTSQQSARSTKSVRFYAIDTVFYTHSSAEYDRKSPTEEGPDL LAVVGLEQDSLPLDLTSAEDEQTTMNTYKNRRQLKAVLENRPLRSRIVFMP PHYBLDRAFT_65282 MGRFKPTTFTHDFEFPVHGIAFTEDDRILATGGDGINTMGTSNK LMLLSVDEKNKALVEQVLLSLSPEDACPMSIACHPKMDIVAVGVNKSIDKGENTNCQL FKLEESRIVATEAVCTNTNKSADEYQKVTRFSPRGNYLVSGSTDGKISVLRVPGLSLA FPTLRFNSLHDADIDEAEEYVAVATTSAVLILSMRDGSIVQAIDSPQFNGIQCEFRAC RFSGTSPSNKTLYAIVNPIARGKGFVCAWKMRPSDHRFSVTKVQAESVSRTSITNFTI NDQGNLLAYTSSDFTTGIVDAKTLRPIVRIRPSHGSAITSLCFNRSGFDYRTPLEVVL CMVMFALFMHILVQMAAHMS PHYBLDRAFT_158992 MSRSASRIGSSIATSKLAKRASHIPSPASTRATIIPQRTKSTHP GLLHDTTNTSSSSSSSSSFTAARNSRASHIPSARATVYRLTSQDPARAGSRLGVRSTT PGPRNSLFGNPPPPPHSSSGASSNSGIPARPQTSLSTGRPSGLQTPSRVMSRIAKKT PHYBLDRAFT_95995 HSEIARGATCLIRMDGDQTIITKPSLAGQPKEEDTKAFTFDRSY WSADKNDPNFATQKTVYNDLGRELLDHAFHGYNCCIFAYGQTGSGKSYSMMGYGKDKG IIPLTCSELFDRIAESTTDVLSFQVEVSYIEIYNEKVRDLLNPSNKSNLKVREHPSLG PYVEDLSRLAVKSFEDISHLMNEGNKARTVAATNMNETSSRSHAVFTIFLTSARYDET AKLSTEKVARIRCVGKVIPILISSLVDLAGSERADSTGATGMRLKEGANINKSLTTLG KVISSLAEHGDTKKGKKSKEAFVPYRDSVLTWLLKDSLGGNSKTAMIAAISPADYEET LSTLRYADQAKKIKNKAVVNEDPNAKLIRELKEELQALRDTLMVYAPDEVDRIIVFTD ASGHTQHLTREEVVDQLQTAEKLLNQVNRTWEEKLHSTETIHQDREKALEELGVMVEK NNMGVYTPKSVPHLVNLNEDPLMTECLVYQLKPGKTRVGRLDSTIPAEIRLSGPNIKD NHCFFEHNDGIVTLYPDEGSMTMVNGMRISDSKRLHSGFRVILGDYHVFRFNHPEEAR RERDLQQRTTGSAAGLEPGSSQALDMMCRPVSPSASSMREMAQSPEVVDWGFARREAV LNYYSAESNLGGLTDEDLEKLFDDVFRIRQSRKKRFDGRSEADPDDETLSRTSTSSSA RPSHGQSTVFGEDQSVSSLYLDTSTMTPNRDDLLRQVKEEMQQQLDLQKQAFEEKIKL LESVRAEMERMMDQQRYAYESKIKRIAMHLPPGTSLQSPTLPSVATEHETILIYQTFL KWKSLRYVWMAETIMSHAALLKEANVISKELNKNVIYQFFVLHDHASVNPVSFWESGS ELQPKGGGRLSRLSSETMPCVGVLVVDRKHEVTYVWSIEETQARLVRMRSLYDFTERP LENNESLTEQQDPFYESPCPRYSLLGLAKVPIQNLAFQVPVESMVDVVCRHSGHVLGH LRVLITPIARSMARRAGNSQLTLPLSRQSSRDSHQERFLHVGQQQVFEVRIISLDGLS ESDATQVHAQFRLSSFGNIEPNSPVDRIYATDPISGFGTLPIDFHYAQTLSTTVTADM LEVITTKTLTVEIHGHLQSHFLRNMVQQSVEREELASPVATRPRMTLEPIPPAHSRRP SDSPEDGQIREEVHDIVAWVKICELEAEGEYEPVRVVYDSNNNNPKKSDGGGVFSLRQ GLQRRISLSLMHASGRKLRWEAIEEMSIGNVRLLNSKGQEIAPAVHSDVPVYLFAEQE LVYHSNGTSTLSAQGPWDSSLHNSLYLNRVSPDNQLVLLSLSWKVNCSSQCTVPIKFS MDLAVMIQERDARLTAAVFSFLRSQRILRKMSAIFVLKLHPPMSRKLKDLWRLNTTHR YVRGEELLGPWRPRGVSLVHEY PHYBLDRAFT_113143 MYVCIGYGSSAIVYSAIYRPFNKRVAIKIIDLDMFERNQIDELR RETSLMALSKHPNVLRVHGSFVNGSKLYIVTPYLTGGKFNADGTINIYPEGLDEISIA TILKQALEGIAYMHKNGHIHRDVKAGNLLMDEDGTVLLADFGVSSSLMETGERGMRKT FVGTPCWMAPEVMEQASYDYKADIWSFGITSIELATGHAPFAKHPPLKVLMMTLSNDP PTLCRETTKNKFSKTFKEMIDICLNKDPTKRPSAEKLLQHAFFRQAKKKDYLVKSL PHYBLDRAFT_158993 MRVNETQRQLLQEVCGTLNIGGAKHKATEQRKVSGPKQAPSDVP EIRPLGEDVPSTLDTLEHLLQMSIRENTSLQRENDALRLELEQLKQAEANGRL PHYBLDRAFT_65277 MGSTDAHMQPHTSPNVPQVLVKPDLSTDNKSNPSKAHKNVPEAD AVEPSSRLGAFFDSWPTLSRVISQSNPHSHSPTPSPPPSPIRTPVNEEYRNLESDPIS LSGKQLDSNGNKLNSIGVGRKVNNLLEHDDVKEAVATLNNAVAYMSMSDTVSNENPEQ VAKIYSEMLHTLCEPKILNIVNEISGVDLKKFPQDITRCIMWRLFIKVVQAGYTLEKA SYLEVIYQIMHYGLYKLAIKALYSMPRRLWDTDTYKLAITLHLMQTPRQIEQAEWLLT DYGKAYLDLADPKSPASDSPLIRIDTPLMKKVTDQDKFNMWIFYQARLGESDWIKERS VWQSSRENMVPRPKSLAEWVTKRLESDTSKRTKEECENIDENNTAIFVSMGNQQYEYG WQIYQSMGELLNKFTPRVIMHLCWRAHKDTPLSKKARRSAWETRAWELYSRFMCSVYL TAHQPEMPGFLGDLLSISATSPEREEDSRFAKTMQVYYLLEHNNLKHLLCNEQVLTPI LCTILHECHGPPSTIMKMCRKAFNIWYTKQKLDTQNHIEVPESFSIDWALLVLCLKSG DIHDFLDVLEHICRVHRTLPSSLLAPIQRFHDMYLNCDAQPGEQPIICCYFDHYLFRK IQYTDDPTQTISMDELGFIEENDSGDNSRDTDTSEGTEPMAYLYHALHRRTNIKDFQA ASLAMAVSMGIAQDEILTKKKMYCSAKKVYALIQHCLKVSRARLRNKTEC PHYBLDRAFT_20709 MRSIAKALDIDECISRLLEVGRDGKVHKSICFRNSEITAICQAA QEVFLSQPSLIELNPPVKIMGDVHGQYHDLIRLFDMGGYPPESNYLLLGDYVDRGKQS LETILLLFCYKIKYPENFFLLRGNHECANVTRVYGFYDECKRRTNTKIWKTFVDAFNT LPIAGLVAGKIFCVHGGLSPSLNSMDDVRNLARPTDVPEYGLLNDLVWSDPSDTALDW EDNERGVSYCFGKKIVNEFLTKFDLDLVCRAHMVVEDGYEFFNERTLVTVFSAPNYCG EFDNFGAIMSINEELICSFELLAPMDHPPVKPMKIKGRRPR PHYBLDRAFT_65275 MHCSIQMGTPQKQNFKDGHTVSDKKRSLFLTEYVPKRGNRYRKN DDGTSVALGRESILAYVKAISDISNTQNALGLNTNGVVRGPLARNFLDTLGKDKKKVK RRKMYFEE PHYBLDRAFT_169064 MSSAALIPVAAGMGSVFGSMYLDARLLLGKDLVQIRAGGLSNIH HALWTYRDRLHYYYRFKDQAKAHPNRVFLYFEGKEYTLRQLEKASNRMAHWLLSLNIH KDGRTMKIGDIELVDVVCMMHQNHPTFYIVLLAISKIGAIPALINTNLSGDSLAHCVT VAKASMLIFDPVYETQVESISAKLSEQNIRLYAYGESTETGEIPDLHIASAVTPMVLE SFSERDTSESYLKGINLQDPAYLIYTSGTTGLPKAAISQHARICYGMSMYSKTAGIKE NQRVYCVLPLYHSSGLIVASSVTLFAGGSIVLGRKFSASRFWNDCVDYKVDVFSYIGE FCRYLLSQPPHPLERKHHVQLVYGNGMRPDIWNKFRDRFNIPKICEFYAATEAPTSMF NVNSNDFGAGAVGFRGRLMRSFRRELKIIKIDPITEDPLRGKDGFCIQCPYGEQGELL VMIDEASPVQFSGYYKNNEATTKKVLTDVFVKGDAYFRSGDLLHVDADGFFFFGDRVG DTFRWKSENVATTEVAQAVSTFPGITEANIYGALVPNHDGRAGMAAIVIGQEGIDFKA FYQHLSKKLPRYAIPVFIRFVPSMEMTGTFKQQKVEYRNQGIDLAKIPEEGSIYWLQK DTYVPFTKEDLNKLEAGKSKL PHYBLDRAFT_158994 MTNGMMMDSMPINNMIGHCSAFTVMAEMAPCTFQPELMTSLRYT NSNEKSTPTTPKDTKAKVPKTKVEEKKDEVEKVEETVEKLPKPRLSEDVADLLASDMS RLSIKKVEEPTERPLYPKTGPQDVAERHKQLLQKVSRMINESYKKTNHTSYPAATVQV PHYBLDRAFT_95078 EQLYNHLTNDHVGRKSTGNLCLTCHWFKCDVSVVKRDHITSHLR VHVPLKPHFCNYCSKSFKRPQDLKKHEKIHNEE PHYBLDRAFT_31138 MASRILARQNLLAVSALPRVGAASLGVRHYSEQRKLNKYSATIT QPKSQGASQAMLYATGLTDEDMNKAQVGISSIWYEGNPCNMHLMDLAGHVKKGVQDAG LIGYRFNTIGVSDGISMGTRGMSYSLQSRDLIADSIETVMGGQWYDANISIPGCDKNM PGCLMAMGRFNRPSLMVYGGTIKPGKSCGGDKLDIVSAFQAYGEYVAGSIDEEKRYDI IRHACPGPGACGGMYTANTMASAAEAMGMTLPYSSSIPASYPGKIKECHDAGVAIRTL LEKDIKPRDIMTRAAIENAMVLTMVLGGSTNVVLHLMAIARSVGVELCLDDFQSASDR SPFLADLKPSGKYVMEDLHGIGGIPAILKYLMENKMIDGNVMTVTGKSLEENLHSLPG LPQGQDIIRPLSNPIKSSGHLQILRGNLAPEGSVAKITGKEGLFFEGKAKVYDGEEGF IKSLEHNELKKGEKTVVVIKNEGPKGGPGMREMLKPSSAIMGAGLGKDVALITDGRFS GGSHGFIIGHVCPEAQVGGPIGLVQDGDIISINAETREMNLKVSDEELSKRRAEFKPA PPKYTRGVLARYVMTVKSASEGAVTDEL PHYBLDRAFT_113478 MVIRLVETEKHMDSPQGAYVAYLVSCMTSLRTFSSHQSRPVWRR FQDFVWLHNALTLEFPACIIPPLPDRRRLEYIKGDRFSTEFIERRKWSLQWFMDRISK HPQLQLSQSVRIFLESNDFVRMQSRRISPAASVLESIGGSLFTAFTKVKKPDERFVEM KDNFDKIQDNLDTVERLYTRISKRQHVLHNFSLDLQHDYAGFAESMQGFSVRESEIAS PLQKFSDTTREYSMLIKTMAKDVLFLNEIHELLAYCHSAKAALRARDQKQVEFEALSG YLQQAVQERERTLYPTRYSSGSSLNITDFFTEKLNEVRGIDVQRARREKLEKLDHKIK ELEGEVARANDISNSFSSQVLKESDIFEKAKNKELKQGLTAYADSHIDFFKKGAHAWE KILPVLESIDVGDIDQRQ PHYBLDRAFT_169068 MKEVVPGVDEGTNESRIVVVVLAAVVVVVAFAIVVVVVVVVVVV VVVVVVVVVVVVVVVVVVVVVVVAVVVAVVVAVAVVVAAGAGAVVVAVAVAVAVAVAA AVAVAAAFATEASVGSGGVYKDAVDHHTLRTGQVDFCVSAFHGNIDRRWCI PHYBLDRAFT_125203 MASIFSLKGKKAIVTGGARGLGLEMSRGLAEAGADVALMYVSDD KTHDTAAEIAKQYGVVCKAYKAEITNAKAVKDSIDQIHSDFGGIDIFVANAGISIGGA AETFDIENWQKLFDVNVNGVFYGVQAVSKYMLEKKQGSVILISSISATVANQPQAQCG YNCTKGAVSSMAKCLATEWATRGVRVNAINPGYMRTEMLDKIFATQPELAAAWTNLIP MRRMGNPKELKGAVVFLASDASSYVTGSELYVDGGYTAV PHYBLDRAFT_8458 MSIGVPIKLLHEAQGHIISLELKTGELYRGQLLEAEDNMNIQLR GLTVTGRDGRVKQLDQVYIRGAHVRFIIVPDMLKNAPMFKRVGPGALKGRGIGLGRG PHYBLDRAFT_169072 MVTRIFSGLGVRLCSNLMVTMFPGGARYFYLTGLINPDYMSGAR RLSLILQGKIVCPQRNTIYPFHISTTLIASHAENHKVVLSLECDAIFLNIFLFTGLLT RIMPFPFFHLLIFPEFDCTNWDQIELFDAGKKRPRFVVRLNQDVTTTKKCRPPKISE PHYBLDRAFT_133976 MPVVYIIFYSLYGHVYTLSESVKQGLESQGITVKVFQVPETLSE DILEKMHAPPKRDIPVITVEELSKADGFLFGIPTRFGTMPAQIKAFLDSTGQLWATGA LAGKFVGTFFSTAAQSGGQETTAFTTITYFAHHGMNYVPLGFANANMFDTTEVIGGSP YGSGTVANGDGSRQVSQKEKDIASTQGENFGKIIQTFHKGSKILNGEASEPADKVQTI ATETLSVEPPVVTEEKPKPAQESSRSVAIPADSDSPKKSSKCFCM PHYBLDRAFT_125211 MVAKIHIVIYTLYHHIYTLALEVQKGLLASGIQAEIFQVPETLS DEILTKMHAPPKPNVPIITTEKLTEADGIIFGFGTRFGTMPAQVKAFLDSTGKLWSTG ALAGKFAGTFFSTAAQSGGQETTAFTTITYFAHHGMIYVPLGFASPHLFDTSEVIGGS PYGSGTVANGDGSRQVSQKEKEIAQTQGENFGKVVKTFVAGKSA PHYBLDRAFT_65262 MSCNMSVILKGKMVMLPESGFQTKERSSFQTSATHTLIFRVIIQ HLYATPELSSNVSTTKCILMIKESALDGAVYFCNLSLITNKKCIQLNNKRNITSLLVF SNFSVMEATGVSALQLLEPKVGVRLLHGFVSPINCFWSIMLSCHAPESVLVAVTVYMI CRAYWNFAFEEFPLLNSVVAKNTSSVVSRSSATRTSDNNSPH PHYBLDRAFT_78017 MSILKNVFAIHGVFEGLLGFTVFFFPQLFASYVNLAEGQGEFIM RAFGAGILALGTGGILCNGMPDVLPCKRAIGASFLAFHGLLAFLSFQSRKNGSLTDLV GWVACGIHSVMAVLFYVWYKVTESQVKTYTKKNGPKHDH PHYBLDRAFT_125214 MKFSADVSSDRSKSRKAHFTAPSSIRRKIMSAPLSKELREKHSA RSIPVRKDDEVMVVRGTYKGREGKIVQVYRKKWVIHIDRVTREKVNGATVPIGIHPSK VVVTNLKIDKSRQAILDRKNSASKKNAMEQ PHYBLDRAFT_65258 MQLIQTLADIPVHNYSVLAIDIFGVLHDGIQPYYYAKETLEGLS RDGIKTILLSNSTRLGNVLSVDLQRKFDIRPDSYESILSSGQITKLFLTDCAQYLKSA QSNQPESCIATIVKGNNPGERLGPVEFVQKYLKTGEFYLIGLPSWQEPIYGPLFPTIK PTDDWDAMEFVLLGKVSPLQEHQDLNYFDEKSIREHYGPFLEKCLSRSLPILCANPDI WAPNGFHEDGSHRLICCPGYIAEMYKEMGGEVLYFGKPYESIYRFLLENVAKEKSSEP GRVLCIGDNVATDVLGAKEAELDVVMILGGIHAQEISEIKGDALINKVKELCKENGSQ EPTYIMPLLKY PHYBLDRAFT_169080 MYNQWAQPEIHNQPRMIHAKHAYTRKFCGCMSLRGGSGLACVFW LAIICLIFAFASLIGLFSLFVNKPAVLRQSHRFIWMINILFIIDLFVNIILFGVQWPQ FSSWCVSRSREILDDTITVDYSNGDNFTATYLPNVVGSDLYNCNKLWQDELKFGISIY VMIVLCYIYWALCLWSYTQKQMIVLHYELQAHAAIAAGLPEQMMNLKPGNNGEPMVPE DDGQRSLAQITRAFFSNIGTSKGRSRDDQSENTAV PHYBLDRAFT_113290 MSCIRGHRVKRCQHHDRKLVPITKPGRQISQCSHCRDLRLTHKT HVKCNCAIAQGKEYC PHYBLDRAFT_159000 MHNYTEGENIFEVDCLNTIGPPDNELDYASDDSQSREKDFSEDS SVQNESDSKILLSGVLSTRNIPDSFSTEDEDEDEYTQQIIHDSEESMSDSYSPIEYMD DPVGDQPSFKKRYIEDQNTRPKRRRVIPNSQEDESEYSVMLSVTNAMNDTSLYEGMQD ILGVRERRHRKVIPNSQPDIEPGDTEDQGLYESRIRGAFLKKFIDDDQSI PHYBLDRAFT_169083 MAKLTSFWALCLALLATTFVFAQESVPASANLEITAEFPDNPFG LFTQIVSCLIRTLVIVNGQRNKVVLDLNNKENEAYSVVSVSGRITLAEDHTKIIRNLT ALRYELTIPAQTRANVPYTFYSEYTPGELGLEVFVDLQAGDKVIRVVGYSGNITVSEP ESSWFDPQLLFLYAVLAAGAAGVAYIVREAYFGGKKVKVKKDDVPVERPAHRDDKGQM VLDQSWIPEHHLKNSPRQSPKIKKRPSNRK PHYBLDRAFT_155532 MINEKQEITFDESELIIEGEKHLVYSTEDANKIQFAKIIKPERT KTIQTVDTYQRRPSVSAPKRPAQKPQKNIDITYLGAILIGVALLNAFIEFYQEQKSAA LLESFLNMIPAKCMVVRDHRIQQLDAINLVIGDVVFIRMGDKVPADLFIFAGSELKVD NSSLTGESDPQERGPINTQQSLFEAENIVFNGTLAVAGEAYGIVVRTGDNTVLGQIAG LTAGEDKIPSPLSQEIDQFVKIIATIAFSTAIIFFGIGFPVNHNNVSLTLNFAISVFV AWVPEGLPATVTILLTIAAKRMASRNVLVKDLQGVETLGAITLLATDKTGTLTRNQMT VTYVWSCMEMHNTLERNTLVAPVPELAGLNEIMHISTLNSRAKFDRTDVPVHMRQILG DATETGLVRFAGEHLGPAFDSLGDKCPTVFEIPFNSDNKWAMTIHNKPHSNGHLTLYV KGAPERVLNLCNTILTSRGTVPLESGHIEAFQETYLHMAGQGHRVLAFAQLLLPRETY PADFQFSKAEKNYPMSGLTFVGLVSLEDPPKHGVREAIGKCRRAGIKVMMVTGDHPLT AEAIGRKINMLLGDTRESLSKRTRRPISDIAEDEFSGVVVHGDSIDSLTDDAWDAIFA KEEIIFARTSPKHKLEIVKRAQSMRHIVGVTGDGVNDAPALKKADLGIAMNISGSDVS KDAAAMILLDDNFASIVHGIEEGRLIFANLKKSVMYTITHSMPEVIPNLLYIVVPLPL PLSAILILVIDLGFELCAALTFAWDKPETESGLMMMSPRKPVTPSSIDRYRRQLLREE TYIKYDPVTGEELKPGWFRRIYNSVVPFFTRAYWSEKLIKTDDDVLVDLPLLSWAYLE VGIIEVIGALTCYFVVLWYNGITPSDAVELQRGASSPTNYFQNGPIYATPFQASDGSI LDAEAQHRALGQAQSMVYWSIMMMQMFNMFACKTRYTLPIGRYMFSNKVTFLGIFAGA GLATLIVYCPPFNIPFGTEYHTLPLWWLIPFGFGFLIIGYACVRMTILAKMNPMQWNP EIDGLRMHPTIRTMATSHSRHSRGHYMA PHYBLDRAFT_169085 MAYILAVRNYAAFLGTFIHLLSVYFNQLFCCTSDAMLIKSHRAS GFDRIKINKNISSKHSKNWQLFQSQLLQNMQSKNLWFKALLNKANIKSRDLRLEVYAM EINEHGRAR PHYBLDRAFT_187195 MLRLPAALPKSAQQVKRTIRPLGLLVKRASFHTEPKPDVVPAVD TSPRSPSTFKDMLGINKPNPDLETTFYQRELPANLVRLASPEGKQLFRDAMENGQAEG FFPLSGNYTTQSEPSYCGPSSLAMVLNALEVDPKRRWKGVWRWYSDELLDCCATQEDM KKNGITFNEFACLAKCHCDVVVKRASEITLEEFKQDVESVTSRSDQFMVISFCRKTLG QTGDGHFSPIAAYNSEEEMVLVLDTARFKYPSFWCPLETLYESMKPIDKETGRPRGYF LLSYDFDNPPISLCKGNRNKDQNKVLPNKENNTKDTNNTNTNDNNNSNKKETKDQYTM TEESIQPAKLNWSTLAQSFCKRIPENMWLEKPRTLEHVVQLVMRNVPPEYTAMLVNQS RASNSQSPEKAEEYIDCLMKDTSHSPLYPTVFHSLYPEKKYSPDEPADTKAVFATLFV LGSPRMLYTSLPRDLQERLEQCRNDDSMSSVVKREVDRISVEVSELTKTFCTCGPGWA DQTSDSKPC PHYBLDRAFT_133989 METLTLRGTLEGHGNWVTAIATTSEAPDMVLSASRDKSIIVWHL TRDELNYGIPRKSLLGHSHFVEDIAISSDGQFALSASWDKTLRLWDLNTGTTTRRFVG HTNDVLSVSFSADNRQIVSGSRDKTIKLWNTLGECKYNITQDGHSEWVSCVRFSPNPA NPVIVSGGWDKIVKVWDLTKFKLRTNFIGHKGYVNTVTVSPDGSLCASAGKDGVVMLW DLNESKHLYSLEAGDVVNALTFSPNRYWLCAATASCIKIWDLESKSPVDELRPDFPHV GKRKTRDPECLSVAWSADGSTLFSGYTDNLIRVWQVSRTL PHYBLDRAFT_20616 QNRARGFAAGMASGITKLAVGHPFDTVKIRLQTSGPDGRFKGPL DCLKKTISKEGPKALYKGATPPLVGWMFMDSIMLGTLHNARVTMQSWNGDKPLSLFQH GLAGLAGGLTVSFVATPVEQIKARLQVQYDSNTKVYKGPVDCIRQLVRNNGPTALWTG LGPTMLFRSWFFLFWSSYEASLRNIPAIFLLSDGGVSFVAGGLSATVFWIGAFPSDVI KNRYMTQPDVTPRQFPTPMSVARHVYQTQGLRGFYRGFLPSFLRAFPTNAAAVFMFES VMSVLSTTELD PHYBLDRAFT_65248 MSNSVASTTGVQDINFSNFLAYDASDTTSKRKKLAEQNCCFHCQ KAQLSCDGSRPCKRCIKRDLANTCTDLLKKKPKYTQDTKESTRGILATLSNYPFPNAV TEALQSIDSHFIDASQLFNFSLADIEFGSETAGLEYGFIGNMLQTPLDGALMGHTTPL PSPTTSSVNHPLTPFQPSPNDEQNRSSTIFDPNPTQLTYFPFATPPTPISFAHSSLLG NPSRMTTASPVNTVNSGQQSPSVSRPLKTKRDSSTSSVTSGRRKGNSGDTPEAVYSSV RRPFNYAEGFHYLIAYVKEKMAREDLMRISRSLAMFRPSFLALIMNLTEEDLVFMEKC IQRTLLEYEKLISFSGTPTIVWRRTGEICLVGKEFSLLTQWSKDILLDKKTYIYELMD NQSAVEYWEKFSTHAFDNTDKACIYSCILKTPTQKPVPCTFCFTIKRDIFDLPSVIVG NFLPILS PHYBLDRAFT_65247 MPKILSVLSAILMALFFTAVLASRPVANKIHRPSSEISKRRLPR LESRGEGGSYEGYATFFHPATEGGSTGACGPQEDDNSQIVALNLDQFGYDGPASDWCF KEVLIKSGDRSTVATIQDACPGCRYHSLDLTPSVFLELSNLNIGIIPIEWCILGEGDC STD PHYBLDRAFT_78011 MRFSYLAILLISLAFVLADAAAVENVEKISRAQKTIIQKRSQTY SGKATWFIPSKEGGSAGACGPNEADDAPIVALNHPQYGSMSAKSSWCGKKILITNGKK SVTATINDACPECAHGDLDLTPVLFEKLGDLNTGILQIKWHLL PHYBLDRAFT_65245 MSLTFEDSIGHLDLTIFCVLVYACVHLRMFVYNLLKNCTTKTPI GSKYHLIHTYMITELEINASRRLQPILKEIYFEYRGHKSVHLPIYSSGLNPIDQDTNF A PHYBLDRAFT_103691 NHHQHVRQPSESSTSSDKVYSFVAIPGTNQKKRPRRRYDEIERL YHCNWPACTKSYGTLNHLNAHVSMQKHGNKRHPTEFKEMRKEWRRQKKEREA PHYBLDRAFT_65243 METLSSQQQQQQRQKYSWPVRWLSRPLKWMFPDLTTDQLRNLLS KFNIANLLFCYCIPFFYVFYPTLLMPAIFLRSLSFVVGWAHGLVSRHHPNPQRHGTST NNNNNNNNNGAGAGVGNSRRQPRRPDTISRTNTNTSITSNTNTNTNTNNDGMNNMYFW LQRCSICLDHTYALCLENCRDQFCKECFARYVEEIVNTSWGLGVTRIRCPVCKETIAQ SEWSRYVSSDIVERYDRYNQPYRAFSRHCLDCETVITPCHGPRSERISHERRLELISH DLSLLHQKSGHHNQNRLQEKDKEDREENDKQKQVIELQSMFEKARLSRRTSRVGQVQE LYGQMVPLLKKQAGECDKYKLCSAISKQLVALETLPEAWKLLQFVHVANFPIETCTTC QKQVCLQCGEFSHPDLSCLEHLKHRLTIDNESDESLIVKWKLEHTQPCPNCSVMIHRD EGCNKVDCLLCGFRFCWSCGSKWSQQCGFYQCQQQPIKDDIGITPEKKVELGVPDMDA IDAKRPTNSK PHYBLDRAFT_169095 MAEHSDSYALPTKDKPKDSTFPTASNSKQEDLKPILSRLVSEEW LPATHRQRPRLSYLRSAWGSQDLRAIKAKRPLSIELPRIDAWPTTTEDLYSGLEQEIN CLTTIGLSLSVIGPLAVLGIIRLDNFIFQIAITALLILMCIWWLIMSILWIRSPASLE IYLKWATHSSLLSTAITISAIIPWPTIFHATNTSLSTIKSASLSSLSSALSSSSPSSL LSLSSYLSSASISWLPWLWTLPLYKLAPVFTAMAGSTFLYLAMRFDWISSQTAARLRI HLEQEHDRLQTVVCDAVEEELCTRQTFLSTVGQEIQDAALMIITTLEQFSPASILSNT YELLSACSIAVPIASISAINTSVKQVCHVSSHLELVARLLREGSTSSADDSIQSLVRQ EFDIGDMVQNVGDALAGMASKLDVKLVIYHADNGLHHTHVVGDEGAMRHALLDLLHNI LEGCTPGACIELGLNITTTDTDQFKIAFEITHTTSPAIPDGLTTACLPNANLTARLLH YIGASLSVDDISQNKTRFDVVLEMEAGHEDHSHKPLFLRNAFSASQKHYSAIKFSNEP TLKELCRFIENLRGLKIVLHASEQSVFSKHLTSCLASWNTDISHVPIDYSTEGTEDEW SLSGVSGDDITPGSEISQRSTTAPTTSFSGTGYLDSNIHTPPLQPQPQQQQQQQQQQQ QQQQQQSLLSASALSASSSALPTSSSAAALSSPSLSSLPPQARPTPPIPTPAIEEEHL HSLPPAFILIDDDILTLERKLHDFRTQPPASANTLQNHQNIRRHKRSKSSTYHNPNHH NHHHYHPSQNFFHQGTTAIIHFTSLTNYKRVRDSVQFFSSSTQQLPFSMPRVVVVPKP AGPRRFLTALHTAWNNSVVEPHFLPIATSPSSPCPPTFGMVAMQNSNNTGGGSSPVTN LYDPERTGQLRSSPGESSIRRRPGSGLFSPPHMASDSGAHESNYFFDSGAVASNNMNI NGAGMSGITSNVPLGVPLGSPVLGATLLGSVRNLGPTRRRSHAELNPGGGSNPSGSGN TNSNNCGVAPSDYLTSGGAPTLAGLVHGLGHTPFGQGSSPSKLNPSMIINPNNLQSPA LPNSQQPQQDQTTSPSLVCDGQHLVTSLPPNEAVNSTAKKPKPNNIKLNKKRRKGKNT AFASVVNPPINVLIVEDNIINQAILSAWMKKHKIKFSVASNGQEAVEKWKQGGFHLVL MDIQLPVMDGIQATKMIRSIEKEQKIGVLPMSSSFLRQQQLGQSTSGTTATATTATAT ISTSPTKNISGSNGDLKINNDSNISNSGTYLDINEEAEEEGLAPFDITKKGEELPPST FRSPVIIVALTASSLESDRHAALAAGCNDFLTKPVSLEWLEKKIVEWGCMQALIDFEG WRQWKQSSTTDATVHKLQITPKKVGGGTAGGGGSTADDANLNAKIQQKKDEDERRRIA ELSRAALMSGKGVLLPGAVGLSKARRFSTLDRGSAGGGGGGGGSLNGHGPRRSHPAIT KGGSDSNLLAGMQQSRSVEGWQLRDTIDRKVIMSTVEEKACAFSRNLRWKRLEINEAN SAMPCWFCWQLGRRTLLRCKGRSSHIFIFSTPMLLATETNH PHYBLDRAFT_159006 MADAGKPEKKEGAAVNEHINLKVVGSDKSEVFFKIKRATPLKKL MDAYLDRQGKAPGSVRFLYDGSRVLPENTPEQLDMDDGDCIDVWVEQLGGCL PHYBLDRAFT_113395 MFSGQRFTWKAAGLFVATGVGLAVYFKNEKERVTKERKKKEYEA NRSFGKPNIGGPFTLINAATEKPFKDTDLHGQFHLIYFGFTHCPDICPEELDKMSDVI PGSKKEDLPVVPVFITCDPRRDTPAIVREYVKDFHPAMVGLTGDIDTIKRVAKSYRVY ISIPDEEDDYLVDHSIFFYLMDPQGEFVDCYARDKTPEEIVESFKTYKQEYLERGGTK PHYBLDRAFT_169097 MLSPSSEAILNVVVYPRDSVFSNENVIGWCLATHSYLLGLLMTN ALCDLASHSPTTSTSSDKPSRLKRFSRWTQSISLLNKLRRPKPKPDIRRQSSTQVRQV DAELPRRLSYSSKSKPHPPPPEDDDQTLEQPTIDRLYESPTKVLPTLSSLSPPPRHTM SRKNRIQSMQLGDLATVHVAVPIQRPRTMASRPCVLRLSLDVEQHSTLSHASTLSNDS EDRSSTYTSQSVSRPGLGSNRRSDPMTPFRSGTLGSIRQSDLSNHNDFFVNRASSRLS LIERRRRRSPQTVPDDGRLLLALSDHSSVTDVAWQERGLISFDRDVSRQEAMLALEGR RTSDTTISSFGRDKPSYDNDFSINDTSLRRRSTLDEQEVVRRHLLEDTSLHIIPENTN TPPSSANLKARTPSLIYFPSSPSSIGSIEHDNHD PHYBLDRAFT_187200 MGRLRRSRTHHSIRDNYRKYRTRNYTRDLDQIHDDIKPENADKL KNQEEDPDKPGLGQNYCIECARHFVTSDALTVHLKGKLHKRRVKKVQEEPYTQAEANA AAGMSGTDNGKRGGRSLTDSKVEDVKMDDVKMEL PHYBLDRAFT_113448 MERSRDVFVDQDIDFSTLIQNEQLLRGLEHSGYARPSPIQLQAI PLGRLGVDLVAQAKSGTGKTVVFGVIALESINPLIPQPQALIVAPTREIAIQIRDVLR NLGKYIEGFQCEALIGGLSTQGDYTKLKNSQVLVGTPGRLMALLDSKRLNPKTIKLMV LDEADKLMSEAFQPQINFIFRKLPATKQCIAFSATFTDNLLESLGTLMKSPQKVRLTE TVPTLDAILRSYEAKFEAVGDVLSKIPFYQAMIFVNSVPRAIELSGWLTEMGWKSGHI SSSLSQEQRISVMENMRDFKLRVLVCSDLIARGIDIDRVNLVVNIDYPWEIETYLHRV GRTGRFGKQYMLINPKLIRFYQAKKKRCVY PHYBLDRAFT_146160 MSNNPHAQKSGGTHKSGGAKSQMTPKDAARIQSHSDRTGINQDF KSRAQSAADKNANK PHYBLDRAFT_146161 MQSTTYHDNLNNDISCYCDDMNCRKQCQDSPESANSVYPIPFSN YTHPNEWQPRFLAAASPYSPYTPEAHLYGYPVYEEGSALEYGSGDCDNIYAPVCDCDQ HNLHSSRESLFGGANESELPHRHHHDHHEHHHQNVFRPAFYDPFEIKHRRRTSRSQFK MLEKTFSETPKPNGPLRRWLAQQLSMTPRGVQVWFQNRRAKAKLQKQQQDRRHHLRST SHVSREQSKTESHHVFSPPYAVPLKREHSGASHLTISVSSSINDLSHYSPSRGSPVGA EGQGYLPSQSAYTPPQSSIERPLSLQPYSDCATIIGDDDEEDDEEYSVHGKNGDGLFE NQQLLMTPLTPYQPSCYVDVFNTQPQQDLVGGVVSLSTVMDQPLILSTLSDSQKHKHL DQPLDLSSFEYPVQDQELFGEQTNPSDPLVYINSLFNETHLGETAKANEYYGANSIDS WIQTLPAQASSGSDVRPDSVWNSQSGAMPFLDTSFQPQRSFDSLPVERRHSHPVHSKI FNEEETLRRLSEPIVDWINHLQPYNPVTGVSNVLS PHYBLDRAFT_100991 GNCIGKGQFGSVYRGLDLTTGEIVAVKRVKIVNGELDQEEIMAK VSLLKALSHTNVIQYIGFIKTQNHMNIILEYAENGSLMSTLKAFGAFPEKLVASFSIK LLNGLEYLHANEVVHCDLKAANILTTKTGDVKLTDFGISLNLKIKCADAGAVSGTPNW MAPEVIELKGASTKSDIWSLGCTIVELATGKPPYANLISMSAMFRIVEDDYPPIPKNI SEEMHSFLLCCFQKNPDHRPTATELKEHIWIRNN PHYBLDRAFT_177636 MAKAKQAPPLHKIIMVGSGGVGKSALTLQYMYGDFVEEYDPTKA DSYRKKVVLEGQECQVDILDTAGQEEYAAIRDNYYRSGEGFLCVFSVCEQESLEHTQE FRDQILRVLDDDTVPFILVGNKVDLAHLRKVTASEASSMAQEWNCQYIETSAKTRHNV EEVYTALMRQIRDRKLKQNNAGGDEKDSCCIIL PHYBLDRAFT_113036 RPVWHAPWKLMRVISGHLGWVRSVAVEPGNKWFATGAGDRTIKI WDMASGTLKLSLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLEQNKVIRHYHGHL SGVYALDLHPTLDVLVTSGRDATARVWDMRTKQCVHVLSGHTSTVSAVKCQEADPQVI TGSMDSTIRLWDLAAGKTMGVLTHHKKSVRALALHPTEFGFASGSADNIKGWKCPEGT FMQNYNVRKSIINTLSINADGVVFSGGDNGAMGFHDWRSGYNFQSMDTTVQPGSLDAE AGVFCSTFDKTGLRLITGEADKTIKIYKEDDSAVKDEDFYQ PHYBLDRAFT_187204 MADKYKNLKVKELQELLQKQGIPHTGKKEELIERLMKHDERKTY EIESLEAEFGSIEDFDESKLNFDDLHDVDLKSFSTTDAKQLTAVEDESKAQAEKTEIS VTETTTDASSTQNSVKISQIQETVEVVKPGSHFKFTPISFEKPGATTATATNATAAAA SVAESAQTTPKPAVPSPTTIKAAPAPAPTLSEVEKKILAEAEKKAERAKRFGVQLDEK AKKELRAARFGIPVAVAKTAASPSKSTPKVQTPKVQTGKTVAPKGIDPETLKKRAERF GLPQKGGKIEKNGKSTVLDPVEEEKKRKRAERFGSDQSKKQKN PHYBLDRAFT_78003 MASNQNYYPTSGHGKDKNSDKSERHLFHIGDSDNDLHGNGKTAE EIQESETKEKPQHSNYGQGNDESRIPKFDDYRKYGEYGNTSHDAARATYIDPKVIPSA VGAGADSTGRAQKIADARGQQHNNGNHVISEGAEKSNKEAKATLHDKIKGRFESFLGR LTCNDERVAKENMLLNIELLILRSNVDFLRLSCKERVMFHTESKLKS PHYBLDRAFT_169107 MCIDVAFVDMIQCLWTPCFQSQIIVYEATTSNAYFYVPNLMNNL PFMGGNAVAQRNNSLVLYGGEDALSNYKSDLYMLTQMPTTYTWHQIHQNNSVPGNSYG QAVMSNDAEIMFLIGGVTKNTKNLFLPMQIYKFLFSTGNWDPWEGNTNLNTTLPVPPN RQRFSAIAGPNNKVYICGGALNESAIFGDFWELDTTTMKFTELARLNAPIYAHTASLL SNGKLVILGGIIQTSPTTTKLSPMDNIHVYDIHTNTWKIQPTNKDSNGMYTSPRTMHN AVVTSDDKIVIFGGDNGGNQRTKAYINSVSILDTNTWTWSSPPPHGILPSRRSYAAAG ILDGKHLTVSFGSALNSYYNDINVMDLNSLEWVNDFSSITKDSTSEMSKGLIAGVTIA AIILVVIIIFLFWRFWSYVNWLGKKIHGDIWKPRNGEPAWAETTRIIFQIILLFIFTM FLVFVIKQAVDSPNVTQRIQNSASNVQVPDVRFCFDGFPVYPPTDIRSTGVLCQTNTG VSCTKYIQQLNTSIFEPVFADYLGAVSCFLFRAPYDFQLVQTSGANNGSFLTFTFFGD QNATGRIHISAYPKEMDPNVNVYNIPSDNIPSYLSVSDMSNWQTNERNDIQSKNVFTV EPFSYSTLGYDLIDHRYLQNVGWNYVGFLPLSNSTPEITTSFRQEASNPNYVTSGHPD LGLITIVPNTWASLVDREVKMYTLLNALGFVGGIFGLLITVQTWLFGYRPRSPWGVVQ RWSIGDMKRSLLRGLHSKFKTTDSGIPLIHPVHQRFSVSDFGTLEDETESQRVARVEE RMQVLEMLFKAYYVDDEVFRSLESANKVTDNEKVSGSNLPLFPSSENSSVGLPFNSGN NNLNTPGFSHMFTRQHSGSSSTYSVSQQRLNGQL PHYBLDRAFT_187206 MTDRSEYNISNHAQNEEETIPQEIRRARRARYSKLNLNLLSDGT CIYLFRFTLGEIERITTALGLDHVYRFSSIQVRKNLGFAMLLNRYSFPRRLGDMSILF GMSESNVSVVCRGFESIVMNQIKWGLQFNIKQFRPENLERFASAIYDKGAALPNIVDF IDGTMQAISRPSQGNEVQKAFYNGWKHMHALKYQYVVTPDGITSSLLGPYVGSRHDQY IYTMSKTEARVEKYLDIVPDVELPFALYGDPAYMVSKCLYSPFEGVSLNLDKKINKSM SKVRVAVEWEFGEVQKYFKYSKYKYAMKTGETSPATVYMLSTVFKNMIHCTGRNRSPT SSYFGLEPPTLEEYISGLRRDKIDGEDEDDILF PHYBLDRAFT_65225 MDTLPNTGGKHRLKSTTRGRLHSKRSIPHLSRTKLASTPASPTT PTLSEKQSIDPKPAQQASHTLSTASFIRHNQELSSNLQSLGRSKAALPRNGVQLTLPH WKDQETSSSSCSVDSRLQARTTSLGSTPKIKEKPTEDPHRPSDQEIERRFRLASKDYL HTNLVDISNLSNDRKWWLLCNQKEFTKLFSNTTKKRQNGLTRARSRTNLKDTAPKVLT PQAFLRPFLADNRSGITLRLVKDLEAQLRTSSLGWIRQFIEENGMRIIIKELGMINNN PAREQKNYLIELGIIKCLRPMFNHIIGIQEALSDPFCSIHLILSLLSPYIPARTAACD ALTFLCYCDVPTGHSMVLRGMDHLTECSHEYGRFDSWLKALDSTLENRGKMGSLVGAN KDLWQTEVIGTPSSPEAHLMEYALSNMLLVNAILDPDTVEGFDSRMAFRNQLHQSGLS QILVKMNSLNYEKLNEKIFQFKDLEDFDSASLYGGLVVGSVIDPADVLDKVLATIRGT HAYESLQNTLHHLLLIQCDPEKRNRYYQLIDSIVCEIVLDHKNSPQEFLDDYTSSVHD MIARYTKGSPPDETTEENNTSLNKSIEREKELQRQINLGAGGLVGQLNCKIDSLEEAL KASNLANIALKQRLSDLEIEFQNTLEATDAQIKRLYDTVREQTEYGSPTDKRRRVRGV RKPKIDGDTRPLSISSILLDESLHAEALTESPIEVTSPLPPTQSQSQPHPHPQPNPSS GNGKPSLRVSIGSRMSNLVRRSTQPEISPVPKKPTSPKINDTPIIEGSLPPQAKLRYS EDQLPTPSATKSTSLL PHYBLDRAFT_159013 MTTAENNLESIPADQQGSFSSFLKTLASFTGDLSSLTCPSFLLA PISLLEYSSFWADQPALLSSISVHDNPEDRFYAAIKWFISSLNGSFSSRVPKGEWEKK PFNPILGEQFFCSWDDETQITCEQVSHHPPVSGFYIENKKSGVSVIGHDGQKTRFSGT QMMVDQVGYCLVKLTHHNESYLFTLPSLSVNGIWYAAPYVELYGTSYVQSSTGFHAAI EYSTKGWISGELHHFKATINSESLSGPTIIEGQWTAKSTLTKHKEKTEYFLDLTNLVK PEPIVKAVSEQGALESRKIWQKVSNALRAGDYMTASAEKSLIENQQRAARKERAETNQ TWEPTYFKAAPGNHSYGDLREKILAKSDSKFVDTMGDGAWLYKDSAVQTA PHYBLDRAFT_65222 MLSQYEYVLICDKSNIRVSGSPQHMLNSVEKAFSGKVGSVQKKE ELTEYISNMIHSKSKKEFDWALNKFNAVSAGSEVENGNVKAPVSAYFHDRWIICQEKW AGYLTQKIMHFGCTTSQRVESVHQTLKRGISAIASLDLAFENISRYIERLERDFWNVE IRESTTVDPLVGRNKLLSRLLMKVSKRALLVIDQEVRLKCESSIVCECMNKLQYGIPC RHSLPAGRDIYISDIPERWVIDPRNVKPRDNTCRENFQLEERPEVWMEEVIKLESLFR SCEGSQQVANLLNKIKKVTSEFEGKTGHSSINFQAPEKIKYPGRRKGSARPKYLPKDF GRANWRKISVSSGHAGLKAMVRLRAKMREGKPAATQKTKNKKQNKNKQEPLNPVDATK KKKQIKQEPLDPGMLSYTNMLFSRCHQK PHYBLDRAFT_65221 MPPQKNGLKRPATALEDYQYDNRTSVGKRVKFQPGFPVSHEIVD DVKGGFSPTADGWCGFRILAHLIYKDQNKFPLVKRDMLAALPKYKTLYTNTFGTDTRQ LEKIIQHGSQLDYSNTSNTNTNSNTNFIPVCSDASMWFNTPDCAQLAADTYTRPVCVY SDNRNTPSTTFLPFALPNNKTKQRQPLIFNHVNSNHWTTVDLSPRQSFLNLYKSYQFV SLHQHKLSITCGLNDSPLCFFLEIIRGKYFTLRVTLITSELKP PHYBLDRAFT_38868 MTTIRPLNDDEVFSEMKKMVAFIRQEALEKAREIKVKADEEFNI EKAKIVRQESLNIEAIFERKIKQAEVQKRISQSNYINKARLRTLQERQSVLDNLFDEA RSQVNEVQKDEEQYAVLLEKLMLQGVFTLMETEVVVRCREADADNVQLAIENVTKEFE EGVKQSISISVSEDYLPESSAGGIILYGLDNKITVDNTLEARLEIVSEEMLPQIRATL FGHSPNRRFFN PHYBLDRAFT_155542 MTKLPPSPIYRLKCKTQSYDWGKLGDVSKVAKFAEKSGTIVDPS LPYAELWMGTHPNAPSIVMNEDEDTLRDIIRDHPELSTEDIYEKYESDLPFLFKILSI RKALSIQAHPDKTLGARLFRDFPDNYKDPNHKPEMAIALTPFEALCAFRPLNEIGYHL ETYPEFAAIVGPEATDSFLSIMDSDDVEINKSALKNVFSALMNSPQDRVKELLTDMID RLKDMEDRNELANLILRLDQQYPGGDVGVFAVLLLNYIKMEPGQAIFLGANEPHAYLS GDCVECMAASDNVVRSGLTPKFKHVDVLVDMLTYRYGSAESQKMTPVQFSDYSLLYDP PIEEFSVILSSQNPGDVEHEKAIQGPSILIVTQGGEGIPEAETTYSLEEGYVYFIGAN TPISAIAGDNGLEFYRAFTVLPKLEE PHYBLDRAFT_65217 MSLSVKQYALLMIGIMVIFCLLGWIVRRILDSRFHVQLGHLGFL SITGLGYQKTKDKQLILSVNVGKIKIRLKKPGWNVTTPWITIHFSGIHFNVHNLEVLR ASKKTRSDRPNALNRRMSMINQSLKHIPWWYSLSIVKNILKFTSAIPAQLIMNGLANY VAIQFDDCRLSINNTCCLSIDQLTVQSTLFAALLAKTPQSPGHLSLNDYHQRHSLKCA DHLFREKFFEIAVTLGTIKLLGYESKNGVLIPSGSRLAISFHLSAGCVTLKEVDVSLQ MAPVQINLDCLLNLRTKLAPKSKEKTLGDHANIESTKNGKPKRASSLILKSFSFSLEH LSVSYDDPSTNVYSMIYVQSIQANVAAERTDCEVTYACTASIKDTGLKLMDAPISLET NSTQICNLPLLQITANTNPEGTQHEKSTTKPTLKIPLPLSKHQRYHLKTNVYIQSFAF TIDTTKIDLLRQIKPTKTTKSTTNTSPIAIPSLELNIHLDTPSLSFLAADIDRSTKVC AQSIVIDLTGRYIAYSKKSKSSPSSPTIPFASDPRANSPTLRKRNSMRPWSLLFQRPW YSKESEEIEKSLEIDWVYSVAARSTIDKLALKQTCELSEPVFCLSQIMCVATTQLKTT EDMKDLRVIPTLGIVDGEVTVDNPVLNTWTCIGDKLAYVHWLHEITKTWKQPKHEPHK EVNKPLNLDWTKNLRLSLVITGVTILAEAIDPGIKGTGEKRPGYIDTRPEKDVLISFW GSIERFSVSFKGAHVFGHSKRSSHRYNLSSGSINMTSDPSQEGTMKRLGSLKASIQNA CIRREFRPENGPRSLSPENSKLLGWLSRANGRVDLHTESGVVYARSDVVIKKIGAHYS LENHFASLMFLQAIRKIQEILKKPQATQPTHTAHTVSQKLFKIQRIQCQLNRTDFHIH LPKNTPLYLRIDGLKTQWQDTQLMGPIMVIRNLMIFGMGVNDSGRWDQLLEADNLDIT LQNGMVNPSDGHQLKLSKLYLRIPYQFVMADIVDNALNMVKAIKTLRLRFKYGEPLTF FGPKISPNPLGIPRMSIVCDNFTLELEDDPFEARLRKIWRTGVLEQTSRLALEDAFEA KVQSVTQTSDNPETDARVSEALHGLQHHNANSWIKKVNFLIQKETMAYDKSRQSNYRN PTSEAYDEMYDELDNSTSKIPSLFHIHTIPLPRSPPLLNITVLKTACKFSQPSFPLED TRQFVHKNGKGIPLDTQFSTLVPFHVDWSAGKTWIQIRDYPIPLMHVPPPTTIDDSTP AWLLSGDYVFGDELGSIEATRSINVLIVDQENINYNFNVARTSTPPKFYSIVDIKVFT QSMTSFCWSTSYQPAIQDITGALDNLTRPPIDPSPKVGFWDKLRLMIHTQCKLGFVGG GDVACVMKGTRNPYDMSDRGFGMSMVWRKQVEWYIGHDNPQGEFMQLLSRDYALGVPD LLRGGYAFLNLLPEGQPQYEASILSSGASSSGTSSRQGRPSAWSDSREPSLNTSEDEK ELRFSKILLKLSGGICMGIGCHLERVCSPNLDGCGCQGNAMEDRKCRLLHFLPHYNVK FMTPSAVEKLGDSDNYDAYAGFRSHFIHFSISIVKLKIEEDKEADLDVVSMNSMHLTP NTLDHFGAWFRLFGGAMNYPTRSGTLYPKLDVRPTKKFSKHMSTMKYKIMVGPLMIGY FYKDENEPGSSLSVDDLGDCVGLKALVSSFNVDMHQRREVVHQTGLNVDNKQLKANWP LHEAEVQLKNVDMRAVRASYRKKQPMEDIIGESGLPSSTIDTSSVFSSDSSRADCFED WDEKSNEDSTPPEWVDLDDFVELHMPTPKVEPKVQVLPFAFSPYLYYLKQNNRDDVEK YRYLHKTHDCILGTAVETREVQMSLLQERSDNIDLQIRKHQARLHNVESRLSGRSDDN DLLNESRAIVEKTEMLFEKRGILQRYLRELSTESMPNVAHNGHTDTAAYSSSMIFGHD SLMKWENLMGYFRQRYIVHNAQILWNNSIRNIIYHLLDVQAHKRALTYYMSARAVKFL RDMNETHRQNQRNEMGGSEENESNSGIQMAQELIEKLLADQKNIYAANETEGTSKDTR RGSGFSETSNKNVNDPEAHINSIPSGYLMKSGYLIDLLNPQISLQSDANPDSLVLMSN QRMQVKGFNIVDASESEADMELVKDRTIVSIDNAQFFVAKKEQFETVDLLLDNHYGAK DNEHWLTWIPSEMLINYVKLSDKFQRVGTKLTATIQYDKYNPLRMKAKLYSSITQIHP FEDRCDTVLLNFPKLALTANSQQYNAMYEVAVDLLLYKEPAKKERLARLREIMMAADR GDPCEITRKIENLQNRIRRLTKTRDHYRQKVAYLDESQMDKFQDIRTTLYELREELFL GMEAIKITQTSKRSNDHEPITNLKFVFSAEKVIWEMLTDNDTPLSEWNLTNTTFILIN REDHSSTNTLEVDLLHVTNRTIPPVFTDIIGPYADPRKVHDFSRHKMIRCYISSLAPV GGIPVIQHLEINLSPVKVQMTYDFGKAMASYIFPPERRIKQPDTVGTISLSPSSQNLA EMENGSGENESIQNLDTKSVDKDSLRNASNTKFLDSGKNTGADSIKTVDISYMPKDNG DLDTPDTSSIVSAPPTTGKKGKKINKSKLDRKGATDDLTVMKNRASNNRAFILVKIPG AKHCLSYQGPKEKNIEDLRDFVFQQPNLEYRNKTWSWFELMSNIKKDFMRAALLNNSP ALIKEKLTIRRHPRDSTKNIDTTSSIQSSSSIIEESGFCDSQMKPQGLYEGDDLLELT ESSREEEEHELDKDAVSLHSSNSQEAGWEAEAPTDTKTARRVLPWARRFRKTKTSVNP TETVEPLQHDIPVRVEDDLGCQKGTANHRRSIGGINIPPRCEKNIAPAPNDEELASKG RMLLGKYYSGPSHTHTMSKFKGKLSHKPSSVKSSCSAGKGL PHYBLDRAFT_169118 MDEYLDWIARNGGSYSKLDFRRDQETNGTVHATETVYPNDTFAT VPFRVTITEKVARKTFADLSDVSCRNVMALFVAYQSLLGDQSFYAPYLNILPKQILTP FFWETEDLHYLDQTNLATAVRERKLNIFNDYEALVVRLSAELPFPYTLIDPTHTEPSE VLFPLVDSLNHKPNTKITWVRSGDDQSGSLSFVSGQVFNAGEEMFNNYGPKSNEELLL GYGFCFEVNEHDHVALKPNFSRDPNGQVKLEILKQCHIESGNPDPLTYYVHREHIPQM FLKLMRVLVMNQTEAKIYIQCKDSQQLEWVGYRNELAMVAMTCALLQSRLNAIRAVEL NRETTRWVQRCALMYRDGQEQILALTLISMENLKQTIFKKMARDKKQERIAPVTPFVN YLNPGYFESLTYPDLEAEGLVMMDSVTITLGQLLRDKKFKSTIEQLFEDIEEEEDVVW MIGLLHERTKKNSPWKPFFEKTEKAYLQEHQNLEELEDLHGSLFPAFSEAFPDIFAPQ DYTVQLFGWADMVMNTYTIENPLCVVPL PHYBLDRAFT_146180 MRALIGYGKRPDWTWSVDGVAFFKGLVNTCGTLQGTSKRPAPLS PVGMCAAIDADTEPASPVLSTSSFMSSISWVAEKSAADLVALLKNAYSAMKEKEKDLL LAAEIGKSLLENNMALKSKYEHLLEQAQAYHAENEAEMLEQISMASRFDDDNDDDDMR FITTQNARKTLIETLERKNKEMEQMLERALHKSNEMDEANEAKSEELEQEIERLRESL DQAAVKVQELESATRHAKLNGEQRMTERATSEGVRHYDQDDSVIAVELAEKLARLETE NAAISFAKNAIKQRLTSALHDLNHLRQQFDSFEFTQQGYQDLQEGYQRQFTHIAELNE SLEDHRHVLSKLRDRGQWSGKHTPTPSEHPGGSLVKASLLERNTSLLGELQSTWSKGM GDLPILTDPDLPSPPSSSCSLANLQSFATLAERNLTSFYNAPAAYTLETALSSVGIND RGAIDEAMRFLEYDSQDGLSDQEDNMLVPYDGSPTTGLYPDLPNAENLCRDLAIPQES LGVSGRIAHLVRCLFKAVLRWCRFSIILTTAVMINLWQGPEGFLEI PHYBLDRAFT_113116 MDWDKQTIIGTSVKLEKQYLRLTSAPDPSTVRPLPILKQTLELL KDKWKAEQNYTYICDQFKSMRQDLTVQRIRDEFTVQVYEIHARIALEKGDLGEYNQCQ SQLKQLYMLGIPGNVMEFTAYRILYFLHTQNWSDINSIMAEVTPEQKRDEAVQHALQV RSCLATSNYHRFFRLYTSAPNMGGYLMDQFIERERVQALIILCKACRPDLPLSFIQTE LAFEDHEQLIKFLMSQNAHHLRPDPNLLDTKAACLVLLESAKRYKKIDIKGQM PHYBLDRAFT_146182 MELDKLRLKTLENVIPICESLVDSTRLIHDSNIRTPTRHLDELL KALETVVDPEQVFEIRLINLITRSLLETFISIVRSNIKPSHGFLEGWLKCMLFMLTRT SWEPSLSVQEQTQLFPLFSSCIQPPSAWFTDRVPEEIQQLALQCFTTILPANNQLVPS ADTLYRPRLEELCKDHCQPMLSSCVSNLLNIIKSDVGRDLRLNALETLSKLLLDNIRR INRIAIFFPGVVSTLCRTIYQKKEKENHKIIAKTLDVLGDVIQAVMRDDKNELLIHNV RSMRDLHSIDITSLHINKKDNGNTTTKTNSDDTIKRATDWHISTKEKLQTMLKSILIT QTHTEWRARLAYVRFANKLLSTCAQMLDNCVDLLVATLAMHRDDPYEQVATACKEAMY NISQSSTYFDTVMPVMKEELYKNIVRLPRCLISGDGQMQSDAMALIIGYLLLLRHHAE SVIDTALKRSADGWLTAFALDTDSIHVLDEKSEGKYIDLQENTRLHGQIYPRIHFKYL VTDQTVAQMARMLNVIGQNGDLQHWIDFFMRYLSAENAEEETQPQAAFVVYALLEGGS LQEDELLSIEKDTNNIQDTALRLLHDIMSLSLSSNASDDQKAVVQQSASSQGLDRESA QILTICFELKIIGLVASILGHDIIQQELISLLYPLLAYLGSPNVFVHTFALITLDNIA HVCGEPDGKALSIANIDYVINMVSQRITMLVTNPRAPLVLRALVRIGGMGAVVYLEDS VEEIYDALDRYWYDDWLCGQLCGVLFEIIKVLRSGIPDKPLITSDKKVSQKTPSDGVS DEIKELISHPWEDKADKLEDDVATLEEIGRYFIDRQQKNANKAPKLHEVLEEEMMRES KKKSLADDNEEGEQEQEKEPVKDKKPSLSKEQTMTLGIMKKVGSMLTAKSSHLRSQML CLLSSGAVVLADQPVKLNTLINSLWPFIISRLGDEHFVAFNATELIKTLSSISGDFIS KRVVNDIWPRFKLLLAQTTHANALPSYSSFSYTHRLQCCILSTLSHIAQHVPLPQSTV LEILEETRLFMSNKVRVDLQQEVVDLFLTIGNTYSDTVWFCCFAMLGEHVSLKPTSKL LAPSGQSVSVQRPSVDRWQSRYLGSIVGLDNLKSPPSSPGQRSPKKILKNSPSLGSTR YIPHSKSPRRPLPTLAIIPPAKMNNCHSCNKKLVGKTVRLPESNVRYHWECLQCTQCH LPFEDTSFYVDPNKSIYHPKCAPSTYTFRSCTRCSLSITDSYLGIQTSVLHLRCFRCT SCQKVLQPSTIYTDLKGTFCQQCTNTTLTHDNETLAQHMKIVPQPVQLSLRSGPLSMF GSSLSLVSNQTGSTTTSACPSPSTSSSTNRSCVGSPPSVPNLVSPAHEVVPPSALMSS RGRPLPRFGTTKTCPGCCQRIVSIHEEKQGPKATRWHIKCLACTRCSKQLDSAATVLE EKTGANPWCSACLLWKKNDTENEFGRSSFLTLPRKPVRIE PHYBLDRAFT_146183 MSSLPSLVSGPELVAIPLHIVKQQRRQCLICLRKDDPPDELERP IGPQLIQATGPEWARVCPCPREAHVNCILSTATDLHCETCQHTYYRQWRVLVAHIVCF ASHVFSIGSAIGIAFGLVYLGRGLGQLGLGNEMSNKIDDDPWFDHELGVILQWLDLVY YATGFSGEALLGLVYIFGFCSVAGFDETLLMVNNTLSLDLSWCLGLEYPIWFNRGLAY LILGFIGLLLGTYLMFYTWIWACLFHQIRHAAFQQSHVKPNRDM PHYBLDRAFT_65211 MSEKPKQFQSNEDPAYDSGNDSWSPKIKNRRRFSATEAALLERR YAEEQSPSQHVLQGLADQMSTPRKTITTWFQNRRAKYKRRSYRNKQAPEKANDSQQKT NDGSPGQRISSGYNPPEYMYQFQDISGSSLDSNERNQYVLNQNPSLCAWQCYDPRLFV HPDQTACIIDPSFVGCHTRESNTFERICFPGQSDIFTDSVDCTYEWREQVASYLISPE DSKDWASVPKDISHAI PHYBLDRAFT_146185 MVDLPGVLLFWKDPERLIDLILLQPDRPESFYFPTRDILPDIKG DSSKELLETTYGKLSLYLTLRFSSIQFSFTAAILSEKRKRIEGDRMKLNVYSNFASLI HGSPLLESHFACARVLADAILLNVSSSVAIMINTIEVLITLSIHINIPEDPKIGPLSS TITILPQTSNIYVDQGSWEKAVALALNSKASSIHISYLIGQMKQLHVQFHYPTSYILF YASSHVAMWCFCQPLTVFTYADFEGIKKSIDNDNSSMIASQMFQEICYEVINFPTTAK LNKALVVNSVAELNNSKIKILFNSLLTLFGDNDNIPILQHRDLNHVMQ PHYBLDRAFT_65209 MLLVCVLERGPGHFSSPAKISAAFKTASKNLGKLHAFDETDKQV SFFCSTLLYLILTCLAIFDNAFILILVHFCISAGKYCVRTVCQRLLASNGYKMVGDYE KAFALLWHTFLPFSHDRTFFPFFPFALSYGVMIIDEAIDNNVERLDTSRHCPFRNKVY DNLDSLSNHIKRSKDDSDNDVANLSMDLIAVPTVKTIKHILDKVVSNVILWEHSQAII NARQSMNCRLDDKDRNAWIIDKMEFEPFALTCSDNQDKVIGYNALSHATNIKKMFNNV FEYKAIFV PHYBLDRAFT_77996 MVLDNQSQHEQIELGWINVVEASSFVIFAAFISLIMGVKLEVSL IVSGIRCVAQLTMMGYVLDDVFKTDNIYVVLFLSLVLVILGTYELVFNRAKKTFDGLF LVMFFILLSSNCLVAYLGSAFAIQQDPFWKPTVFIPIVGMLLGNSMSSVAMATERCLD QVSVHAPLLETRLAYGASRFEASLPLAVETIRMAMLPTITQLSVMGMINIPGMMTGQI MAGTPMKEAVIYQQCIMFMVAASSTFGVVMAVGACMMILIDKQQALRIDKIIDTSSFI QRIKSSKVTKFRVVRFRTVTLRTCLPAALFNRRRRHEAKYEEVELR PHYBLDRAFT_169127 MSYSFYFENGNDPMDITGNEDPYKLEELASYNAYIEARQKVMME ADQNKRVSNEVKAHVAHLVDIHPKNSVRAVALDLGLEPRSLQRWYSTWKKGPDSLFKT IGRPRIIEAEGELAEATRNAVTEFYYKQPTATADQLLGRLTSTFEGLSLSKPTLYCYL NDL PHYBLDRAFT_159019 MAGLSLKQAGKSAEKPSPRWTKDNVYDGLVNFVLGDAFDQVCPL FDADQEEEDREEAPVGVSALHDGTFSDDETPATPQGLANSDPNSLEEDLDMSPLDFLI NSVPTPAYTGTFNPFAHQVLRSTGSLFESPIRKHSRFGFAQI PHYBLDRAFT_181654 MTLNENRSVFICQSGCCCFPGGFSKANRRIIGVYFSGVLFTLAW WIFVDGVITSARMLENPAPPGFEDWASGIVLTLGMIIVHSIDLQSLRSDSDMYSYDSD YSRVWFCRLMMFLGSTMLAGGLVGSVVVLVFNQR PHYBLDRAFT_65204 MKIIQWHIILGCILWSIYMHSTEGLATKPIAPQNAHLSHTNSQL DDSPRLSSALAFLSKFVHRIAEAKAMPSLRISSSVATGSNNSTDANNASDAKNTTQSN NATQSTTKPAPKSAQSSSSPPKSNPPPTSPTFSVAQIHADMVSIVDKPLSTDYFDPSA PIVAESFIHNDGIPMCYRHRRKVEFSQFWIPKEDEWDETNNGVRVYLNGGPEIHLKDS KNNVLGSVSATMWNRCNMEGTCLLKNGDLVNLGQELGTFDIIGHSGRKQNLFGLGSGP QNLVPFVSVASNDLPYGQTLYMPDLDGLDLGTGKTHNGCVRVDDTGWSFDGCQLDLFV VSYVDYLWLGLPERAHVHVVDCEVKNYVTPAHLSYVKANADQSTVDSATEDHSPDY PHYBLDRAFT_169130 MPIQESPSALHILFLCFKICLRSWGHLTHTTSGGSAQRRDEGRL RVKKRSWRNALKPSASYSESWTPPELSQGPEVSFHVKLPFARFLESVKVENMERLFKK EKGENMFRLAYDPEREVFELALTCWWSLHSLLTWRKRRLA PHYBLDRAFT_65203 MPIQESPSALHILFLCFKICLRSWGHLTHTTSGGSAQRRDEGRL RVKKRSWRNALKPSASYSESWTPPELSQGPEVSFHVKLPFARFLESVKVENMERLFKK EKGENMFRLAYDTEREVFELALTCWWSLHSLLTWRKRRLA PHYBLDRAFT_65201 MSIRNINLFSEKIIIWDMISILIFVYQKCKMFSIPLQTLNYGEI YFSLLSTIVSIFYVYEYFKHRYEKATFGKKYLTSDNKIFNIFNSTLSLINSTLSYLDI FERK PHYBLDRAFT_134013 MSRLLLLLTVTFCILSTALAISVDVAPHGKECFYEDLNIGDKMT VSYQVGQGGNLDIDFWIYDQQGRTIKSSAREASGTHVVQAVAPGRYTFCFGNQMSSVT AKSISFNVHDMEKVNESAKEHIDPIAREIRELADSIQSVKSEQEYIVVRERKHRNTAE STNARVKWWSLGQVGLLIAVCLWQVNYLKRFFEVKRVV PHYBLDRAFT_65199 MMVQSIRDLQNMKRRQKSKKLDAKRKGSKGQQLENIVVCFNCHQ SGHESSQSKECPNHNSSKQKEVQALLGNYTSITKKIKLETILKPEYQTLFKEKVRQLL TKEFLRRTFGTVSHSSFKRTQSQKAMPSDCFTFWETFSSRYNVAYDMTPVSEYSHRPT AACIKTATVYTSNINESIYFVYYYEAVRKRTPVWPDELPEVYNNKKPAIKDICTDFLS TDMPRYNGNKKKKHDEEDEEKSQMLPRLFSLCPVTSLKWRFITLNPNPISAFAGISLP VTYEGKYEMFSKIFDFKRLRLSSFQDLKYPNKKTKSAVSNVIRTGGYVADVIINKSSQ TNTEDFTHYSDQNVLVTLLGDVLTSEGLDNTSICAIDPNCNQVSTASYGDCETNHQLR RCSTKEYYTYTGSKRHTKKK PHYBLDRAFT_177642 MSLEAKKRVCYFYDANVGNYHYGPGHPMRPHRIRMCHGLVMNYG LYKKMEIYRAKPANKWEMTQFHTDDYIDFLSRVTPENMEQYQKEQAKFNVGDDSPIFE GLFEYCGLSAGGSMEGAARLNRGLCDIAINWAGGLHHAKKAEASGFCYVNDIVLGILE LLRYYSRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEFFPGTGELKDVGVGKGK YYSVNFPLRDGVDDDSYQSVFEPVIEKVMEWYRPSAVVLQCGGDSLSGDKLGCFNLSM KGHANCVRFVKKFNLPTLVLGGGGYTMRNVARAWAYETGVVVGQEVGPDMPYNDYYEY FGPDYKLDIRPSNMENLNTTEYLEKTKTKVFENLARTMFAPSVQMQEVPGDRDMSEDE DEQDQDNRYIQALWDRRVIPDNEFYDSDEGEAQGSGGNRKSRHYQNYGEEDSSVYDGD ESDENRANGSEARPTKKTKSRAMDVDTEMTTTKQEDVVVSRDEEMEIEEALKEDEIKV EPLDGTTESVSEAVRKGEESDVPQEKAEENVCEYPTEPMDLSDDKELSLESTADNAKS SDSVSSPTLAMEISVPASSPALVAVPETAPAPVQTEEATSIISSLLENVPANNTADST PALTPAATGANATSTP PHYBLDRAFT_65197 MSSVNNLLFKQIYCRVLCLKRGEDLETLENVGSSVTTKIPKVCL GYLKGSWFEQRPFVKRRLAKDKVVTQPFSKQQGELGRKIVRWKHKQVLSLTQVHGNFL VY PHYBLDRAFT_113060 MTLQAIIENPQILLQTSIDDRSLQLESLLGIGAYGIVYLGRRIY SDRLYAVKLLTNLKASRHEVDIQAHLSGHPNILSVEKIVHEADLTFIILEYASEGDLF GAITHSHHGLVGNNHAIRHIFLQIIDAVQHCHQNNIAHRDLKPENILMFPDWHIKLAD FGLATPQATSTEFGCGSTFYFSPECQGSALQSFGKMKGYDTKQNDIWSLGVILVNFVA GRNPWKRASMDDPTFAAF PHYBLDRAFT_187217 MSRHPHDVDEDSPLIEGSNSVNGYRSTSAVGGSGPEDEIETVEI HLPDDEDNTKHINEPTIEEVLAKRLGGASLITVLCGLWVGVTLASLDSSIVATIYAQI GTEFKKSNEIIWIATSYMLSYTALQPLYGRISDVFGRKTALMFASSVFFIGSFLCGAA TSLWTLVFARAIAGIGGGGINTMTTVIVSDLVPLRERGKYQGYGNIAYAVGSVVGAPL GGFITDNFGWRYCFFINLPLMLITIYVASSLMSNYNLEEQDVTSSLVERIKKIDYIGA ATIVLAVVAFLLATSLGGNLRPWSDPLVIGCLVSSVILGILFCYVEARVAENPLMPYH IISAQTPLACSFVNFWTVMCSTAMIYIAPLFFQGLLGYSSTQSGVFFLPKVASVSTGS VLSGVYMSRTGEYRTLTITAAFGSLVSMLCFCTWNPSTSVTFMLLTLLIDGFTMGIII TTALISMLSCVGQKEMATITSMSYLFRSAGGVIGISATSAIFQAVVKNRLTELITGPN AAEYIEIARKSMTEVRSLLPVDVLDIVLDTYQIALRYSFFSCVIMALLSFICSFFIQR FELATKIKK PHYBLDRAFT_113255 MSSLTDLERQSQDSKLSLTGMASQLGINIGISFGVLMVFNVLRP NNSLVYAPKYKYATKSQKPPAIKRGMFAWIRPVMKASDEELLDQIGFDAILFIRFMRM LRNLLYAMSFVGICVLIPINVAATSYTGFWGVELLSISTINYYDGKFHSEGNLSWYWA HTVGTWLFSLLIYRTIFYNYRAHVLFRKSYFETKDYQQATHARTLMILNVPAAMQSDT ALGNWITSMDLPFPFLHASIGRHDSQLTKSVEEHEKAVRKLELLLSNHLLQGNKKNLT LITRPMMRTKWFGRGQKVDAIEYYSSRIQTLRQAITQLRSDTASDKRTTNYGWIVFSK PFMAHAVAQRLSAPSSPLLSLPRRLVQGAKPRVELAPPAKDLVWSNLAMNEHIRDSRR VVGSIVFYVFTFLWFIPSSFLSASSNVKNFIRLFPDADLFIQNHSTFVSLMSSWFSPV VMAIFFLILPKILRLLSQQQGYLTETSLDRQVLSKLYVFFMVNNLFVFTVSSVLLAMY AQIQLAAKDNRALTAQEFFETMGNVSTFWVNYVSLKGLGVVMDLAQIFGLISVTLRKL FTKPSPRQLREMTVPIGFDYPLYYNILLFFFTVGLMYSVIAPLVLPFTMFYFMLATMV FRYLLMYVYVTPVETGGQIWRVLINRLLISTVMYQLVMIGVLNLKGAVGQSSALIPLP FFTVLLKLYCRRYFDPHVYYQDPNDKSNFNQNLNHNRSQHKKKETNSNRHGFRDPALF AELPIPMVHERVRHLLPHLYR PHYBLDRAFT_74820 MIPSVVRKTVKSSLPLVCLRAQQASYSTGKWASQKVPMSNLENH YINYQRIADNLEVVRKRLNRPLTLSEKVVYGHLDDPHNQEIVRGQSYLNLRPDRVACQ DATAQMAILQFMSAGLPSVAVPTTVHCDHLIEAQKGGEKDLKRAIDVNKEVYDFLATA TAKYNIGFWRPGSGIIHQIILENYAFPGGLMIGTDSHTPNAGGLGMVAIGVGGADAVD VMSGLPWELKCPKAIGVKLTGKLHGWTSPKDIILKVAGILTVKGGTGAIVEYFGDGVD SISCTGMGTICNMGAEIGATTSLFPYNERMGDYLRATRRSEIAQYAKAFSDNLRADPD AKYDQVVEIDLDKLEPHINGPFTPDLATPISKFKQAVKDNDWPAELKVGLIGSCTNSS YEDMTHSASIAQQALDHGNKAKSEFTITPGSEQIRATIERDGIMDVLTKAGGMVLANA CGPCIGQWDREDVKKGVKNSIITSYNRNFTGRNDGNPATHAFVASPELVTAMVFAGDL TFNPLKDSLTGADGKPYKFAAPTGDELPPDGYDPGQNTYQAPPADRSKIDVAVSPTSS RLQLLKPFKPWDGKDIFEIPILIKAKGKCTTDHISMAGPWLKYRGHLDNISNNMLIGA LNAENNEVNKIKNAFTGKYDGVPETARDYKERGVKWVVIGDENYGEGSSREHAALEPR FLNGAAIITKSFARIHETNLKKQGMLPLTFANPADYDKVQPTDKVDILGLTDFKEGKP LTMRLHHENGKTEDIKLNHTFNSGQIGWFKAGSALNLMRENTKNKKNKA PHYBLDRAFT_187219 MHIPTKTAEDKERNISVKKKEYDDALKLKRLEKQTLPILSIFHN PSSNASQNALRLLQAKQKRPSGEDVYRVDVQDNLQEPLTSIQLKQIAEYLGGGKPDWK PMISTTSTTATENQSFDYDAQQLLHDQPSVLQRPLVVDWNQGKAAVGPALDKIQQLIE SRLKL PHYBLDRAFT_100883 VGKGAGGSVRLIRRHTDNKTFAVKQFRKRLPAENEKEYVKKVTA EFCIGSTLHHPNVIETLDIIQEGSTFYEIMEFAPNDLFNVVMSGKMTTEEIGCCWRQL LDGFAYLQSMGIAHRDLKLDNMVLDDRGIVKLIDFGCAVVIKYPHEKESRMSKGICGS DPYIAPEQYIQTEYDARLTDLWSIGIIFVCMTIRRFPWRLPRPSQDQSYKNFITPSTQ GAARLFKMLPKESRSIIQRILEPNPRERCTLEQVMEDPWVKSLAMC PHYBLDRAFT_169141 MRIRKQNHLCALYIPKANVRATERLKNTAPNLSVCQYSPSNDCA VCFHQVQLSENNKCRQPKEYGFQRKHKKKSEKCRGSIMFNGRTDKPSPLPSSIFILVL IFFFMIMIMLVLTAFIIKPSKDALRTHFDGGTNLKAH PHYBLDRAFT_146204 MPAIVEVEKTRSPSHTIIGAIHSSSILHVAMKKPPPIRKKAQKF QINKKPVLEYVEVESSDDREVNKPPSKSTTTARFIKFMNEVLDSMGMDDLSQRITDAC NNVRFSDLRGFCSHSKRHISYCQDKSFNELLEFQKCSKQFLN PHYBLDRAFT_146205 MEGVLTEIIDPNIAFVTITSQAAFLTAKHEDKDTEIQDIPVNKI KSAKSTVNSTYRSYDDHTREEFINRMIEGPVKRGRETEKVPYKKLEKNLGRPSGFTGE PEQHIQKIVEKDPQLCAVEIIDSLTSKFEGFSISKSQINHHLKNNVFISVKNPTFEPN IKNSDKTL PHYBLDRAFT_169143 MEKKRMKPNLAVVYTKYKHCLHMLIRFSDMLKDKMEIVDDLIKN CCWKKQKEAMLNHQNQIKAATLLTSKASAHNMDNELSLNERCFSICIDSTLSNLCFPK YIRRKRCVQKLGSQISLLSSPTVKYIDFMITISRFDATTSVSAQKIEHLLKALFIVLV TNVRATERLKNTAPSLSVCQYSPSNDCAVCFHQVQLSENNECRQPKEYGFQRKHKKKR LSLLSPPRMLYVLTLTVVRISRPISFTVHCPIVHLRRVLERDDQGYLLLIVYQLLEHN GIPNK PHYBLDRAFT_65188 MASFLWHFNQTELDAGVVPDDLLTFSKKISGGLFIISFIGTAIV CLGYGFIRWIAPSYDRASLRLLVYGAISHMFLGAASMCRNKPFLPVKTCGFCMFLFIF CNVFSAACFTCMAINLHLVYVHSARNIKHLGWYYVIGSFSIAFILAILPLFPKNTHYG HNNHMGICWYNASTHRGSLLWAITTIYLWVAIFILYCCISICIILIKMRREEVAINAN LAVDSNQNNRNYCFSHLFFRPRHRLGTMPEEQPSMPSSLLQSQLSTRNDRKLESSYVL KTVSRVIWYPIVLIITRIWSVINVCIMAATSKVNPFFSLMSYIALPIQDPAFIAAIRQ FIYRIRPSKKEQKAPALELSLSRHTGDLSNGTGTHSWTSRQRSAWSPFSMSIQIPTKI HPSQHQSSSSSSEQNLIHESEIDLSSCHRSSSA PHYBLDRAFT_113490 MLTPLARLHTSPIRLRTKEEDTVASLPNKANEIIEAVLYGSKVV KEDESQTHSKVLARGKYVHELQKHRVKPDKVEEYIKLVSLHYPRIANDPANDVHLCGS WSIEVGELDTFVHIWEYKGYPGHQHTMAQLARDPAYAQFMKELRPLLISRYNNIMLEF SFWKTSPPTVTNGIYELRKYSLKPGHLLEWEMHWRKGLECRRQFCEPVGAWFSQLGDL NTVHHMWSYPDLQARKTTREEAWNVEGWSDTVYKTVRFIDSMQSYILKPLTYSPLR PHYBLDRAFT_8208 RLPGTTIIPLARVKRVIKEDKDVSLINAEAIFCVAYATELFMEY LVTEGYQRAKRDKRKTVYYKDLASTVTEVEQFEFLEDVIPPTLTL PHYBLDRAFT_77985 MHQVGKKNNSEKVVLFIMSLPTDNFLDAVRVSSRKCIEKSAVKI SDEGIRTFLQNLDKHQFEELAIDSPMRMPLKFNSVAEEINFVSFIDLLNFGSGYRVPL HELAGRGAFDTIRFGAMSFHIGGTPMTANKFKNITAYEVSEIFQIPIERDVHPPNMPF VTMSEPTPLKPLAVGIASVLNTTGEFLEKHHYNDMASFILDVTKPQSNQMPSASRLVE HLVRALPGLQDLYTIDGEDVYIFKKAQILTYHLWMFFKDQDPDRFDFADIERLTIFSD NVIPTMLEHLGILVIPSEWKQDIVDNKDIGEEKATILRAAAIVACDKIVQLTRSSSPI GQITNMIEGDLDVYLWRLGKEGEYRNIPRIEWRDTVMF PHYBLDRAFT_169148 MLPQVPHNPLFADRLPQPSSYASAPIRREYDGTRTFSYTDAYSA HIPSDFSMQNTQSPPTISHHSYQEDHPSSPFPSISTSPFHTLDPTRQYDSVEPERNQS VDPLAGRLTMRYSCRTSPIPDCDTYGHQQPQQPQQQPKRQQRQQQHSQQQQPQQHHHE NKKIKVQSSTPLERPYTCLFPNCGKAFTQQGNLKTHSRKHTGERPYHCLFAGCQKAFT QLGNLKTHEKIHWPVKPYICQFEGCGKGFTQRGNLKKVKGKEITE PHYBLDRAFT_31086 MSRRYDSRTTIFSPEGRLYQVEYAMEAISHAGIALGILAKDGIV IAAEKKVTSKLLEQTASSEKIYKLNDNMICGVAGITADANILINWARASAQRYLFSYN EEIPVEQLVQSLCDLKQGYTQYGGLRPFGVSFIFAGYDEHFGFQLYHSDPSGNYGGWK ATCIGANNATAQSILKQDHKEELTLEEAKALAIKVLSKTMDSTTLTSEKLEFATIRLV NDKVKYELYKPAEIDALLKEQNVGANASTTEETQ PHYBLDRAFT_100427 KIGSGATAKVYAAVHVPTGSLVAVKTILLESFDRLEDGEPSRLE RLRKEIQIMTLCRHPHILPVHQSFVSCSILHIVMPIMSAGKERRRIYIHKKTHSFEFY IIHQAALGLEYLHSNGLVHRDLKSANLLIDHTTGIVKLADFGVSDSLAKKASRRSFVG TPCWMAPEILMNRAYDTKVDIWSLGMTAIELASGRPSGWHLDPLKIFSSIINEPPPTL ESSGCKYQTSTAFQDFIRLCLIKDPFERISIQDALSHPFLRKAQGPQFLARYLSR PHYBLDRAFT_169151 MEIYDLLSHKQPRKLTISKADSSLPEVPTRETLITERSVVNQES QNIVDLPSRAHWKPYSSTTLCGQKGCSNVFGVLIFCDRHCSESIRLDKNALFNSKGVL CRGCDKCVLYYLWEILRTKKGCTDEADIAPNTRDKHRSIMTLEPQAMEGIMEIGRDDI ILPAPVSDTEGGYLLSLGKFDPIPYSALDGWQWSTF PHYBLDRAFT_125263 MASEISYEMHNHIKLGPAPKICDTILDNIGRTPLVRINKIAKDE GLKCELLAKCEYFNAGGSVKDRIAKRMIEEAEKSGWITPGVSTIIEPTSGNTGIGLAL AAAVKGYRVIITLPEKMSQEKVDVLKALGAEIIRTPTEAAWDAPESHIGVAKKLRDEI PHAVILDQYNNPYNPVAHYDTTAEEILDSCDGKIDMLVAGAGTGGTITGIAKKLKERC PNIKIVGVDPIGSILALPESLNVEGGSYQVEGIGYDFIPDVLKRPLVDEWVKSEDKPS FLMSRRLIRDEGILCGGSSGTAMYAAVQAAKQLKEGQRCVVILPDSVRNYMTKFLNDD WMRERGFTDEKFESQSFEKKAEEEYDGAKVSSLNLSEAVTVDGQTTCEEAIEIMHKNA FDQLPVAAGPHRRLQGLVTMGNILSRMASGRAKPSTPVSEVMFRFNLGTSKFDEITVD TPLDKLTRFFEKNSSAIVTEKSANGSRVKHVVTKVDLLTYLVKHAKK PHYBLDRAFT_187225 MATTTTLNPEAPLHVNALRILGVSATRSSFLNSVTSGLFKAGNT SEVLKNVQQVASKLDNLDIFDEIKVYLNTNPTVSDTVDVMIDLKEKPKGLYKTSIAVG DYEAYTAGSVAVRNIFGGAESANLSFSFGQRTKAKVEASISTPLNASPYSKLEAFVSG SIKDYSFINLYDENEKSAGIRFKGISSYGSHQVTIGMSDRDITAHPKASGTVRAHSSK NQKTFVSHSFVRDTRDSLSLPTTGHYVGMFQEVAGTGTQGEVHYVKQEMSAQYHKSLV GNELQPRENGRLILSTGLKAGLLATVDNDYSVNVSDRFYLGGPLSVRGFKAGGIGPRD GNDALGGNLFWAAGLSLVSSLPGLSHLPVKGHAFVNAGSIIEWNKNVPLADTVQELVK EPRTAAGFGLIFHHDVARVEVNFCVPLKFTSTDLPVPGLQFGLGVNFL PHYBLDRAFT_77981 MSRKRRYSSIDIAMQMQRINHRPWAALLNHKVTRSLITVALLVL LLVPSGVVMKVFIQLSVILSNYPDGLIKAWSSSSIKDVFTTSQIDVHVLAASSSSETA VRVIYSPFPVCFRLSSSDNYDLFNSRALNLFLFPFSDERFWQLILCRLEHFHLGFFLI GSVNNIWLTYKILDDMFDLVLIGSDTLQDGVQVEGEEEEEEEEEEPNLPTINRRVGKL VKSMLLFHCCTLVVLFWIHFNLLAFHTDSPQNDPQTYNTRQFLAELPMWTLRWVTLGI AVGDFALRSIYRWLGRISNCVAEEEVLTSPENQPLH PHYBLDRAFT_38849 MAAQISKKRKVVADGVFYAELNEYFTRELAEDGYAGVEVRVTPA RTEIIIRATHTQNVLGEKGRRIRELTFLVQKRFKFAENAVELYAERVQNRGLCAIAQC ESVKYKLLNGLAVRRACYGVVRFVMESGAKGCEVVVSGKLRAARAKAMKFSDGFMIHS GQPARDFITTAVRHVMLRQGVLGIKVKIMLDSDPTGRNGPKNTLPDIVTILEPKEETD VEEPIVQDFTPAPVPAAPAAVEA PHYBLDRAFT_146217 MSDIKTYRKQMSQTRNGSTEFMANSQGLQRTDASYIHLSREQQQ LREEIARLRQEEQQLCEKDVWVKKNIVAIP PHYBLDRAFT_3662 QLRAARINKNDFEVIRPLANGLFGIVSVVRSKLDGNVYAMKVLS KDPLLQQQQKAFSMEERLVLSHPDANHWMPVLHAAFQDVDNLYLVMEYAGGGDLFSVL DRKENLCLNEDEARFYMAETILAVDSLHALGYVHRDIKPQNILIDNSGHVKLADFGSC IRIDHCSKIPPTTPVGTCDYVSPEVLESQQGNVTYGTEVDWWSVGIVLYEVLQENPPF YSRDSESETYRKIIFHQSSLKFNDDIPISEEAKDLICKLLCKKEDRLGKNGIQEIQHH PFFKGIDWENIRGATPPFLPVLSSPDDTSNFSVPEEDTVPTITSEQTNNTGVYEGRSL PFIGYTY PHYBLDRAFT_134039 MSAANGTSDQRYTRLEKLGEGTYATVFKGKSRLGGEIVALKEIH LDAEEGAPSTAIREISLMKELKHRNIVRLQDVIHTENKLTLVFEFMDQDLKKFMDVTA RSSHGALETPMIKSFMYQLLQGIAYCHENRVLHRDLKPQNLLINKRGELKLGDFGLAR AFGIPVNTFSNEVVTLWYRAPDVLLGSRMYSTSIDIWSAGCIMAEMYTGRPLFPGTTN EDQLQKIFRVMGTPTEQTWPGVSQLPEYKPAQAIYPPQHITQILPTLDPMGLDLLSRM LQYQPQMRISAKDALSHVYFNDVARS PHYBLDRAFT_177649 MTLARITRNVIMFPFEMNNGGKASSALKLINPNSDVARRGQALQ LNITAAIGLQEVLRSNLGPRGTIKMLVDGAGSIKLTKDGKVLLTEMQIQHPTAAMIAK AATAQDEITGDGTTSIVLLVGELLKQAERYISEGLHPRVITEGYDAAKNEALKFLETF KTPKPVVDRELLVSVARTSLRTKVHRSLADTLTEAVVDAVLAIYREGEPIDLHMVEIM KMQHRSESESRLVRGLVMDHGARHPDMPKKIEDAFILTLNVSLEYEKSEINSGFFYST PEQREKLVESERKHVDDKVRKLVEFKNSLCTGVNANKGFVIINQKGIDPLSLDILAKN NILGLRRAKRRNMERLQLVCGGVAQNSVDDLSPEILGYAGLVYEQVLGEEKFTFIEDV KDPYSVTILIKGPNQHTIAQINDAVRDGLRAVKNAIEDKAVVAGAGAFEVALSQHLVE FKKSVKGRAKMGVQAFADALLVIPKVLAQNAGFDAQDVIVALQDEHMEGHIVGVDLKT GETMDPKLEGVWSNYRVHRHMLHSCSVIASNLLLVDEMMRAGRTSLKGPQLGE PHYBLDRAFT_159032 MESSQYDVQPTDAESISAMLSMPVNFTIDPLVTVASPVSQDLFS SSREPSPVNSEYSSVKNITPRQFAEELQAQPEPSPTTKEQKAKERVLRNRAAAQESRD KKRRYVATLESTNEQLKQENDQITKRAKLLEAQNEALTTQLEAFSRQLAELQAQVKSN SPSSFMFHGFCDSARIANRLKSLF PHYBLDRAFT_146222 MFSINPDLIEYLNTSDKEFQETKANRRSRKRLNLEDLSDAERKK EFRFTLPESTNLKLPLGGG PHYBLDRAFT_159033 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ PLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAAS VFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_169162 MALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYSQVA TQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPPKTSPNFDPFWRALLAA YPREVNMGITLGSRYSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGTIVR RVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHETYGFFDGSGYVVLANTPTDEVP SDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCTKRPAETRTCFGCNKIGH LQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPLIPTALSLTYGGSEASKH NPHKPALLESAKLTLPATLPAITTISATTTSSGPRPRSRSVDTPVKGWDDEIDDDMIT DFTDRVEARTLRLQNASRLSHLRFSRTVRPIGRNTSLSPPRFTPPHSKKALDAEAKIN Q PHYBLDRAFT_134043 MKKEGIKVYYQAKIEECELVINEKAQDLRRLEAQRNALNTKVRL LKEELQLLQEPGSYVGEVVKVMGKKKVLVKVHPEGKYVVDIAADIDISQLTPTTRVAL RNDSYQLHKVLPNKIDPLVSLMMVEKVPDSTYEMVGGLEQQIKEIKEVIELPVKHPEL FEALGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSELVQKYIGEGSRMVR ELFVMAREHAPSIIFMDEIDSIGSARMESGGGGDSEVQRTMLELLNQLDGFEPTKNIK VIMATNRIDILDAALLRPGRIDRKIEFPPPSEVARADILKIHSRKMNLTRGINLRKIA EKMTGSSGAEVKAVCTEAGMFALRERRVHVTQEDFEMSVAKVMKKDSDSNTSLKKLWK PHYBLDRAFT_20543 MNATTQFFTSTIQASLRCDPWSDEMLTLWVPIVFYWVYSISFHF LMKAEIPFFEKYRIHTSSDMEKRNRVSITKVLYMVAFQQVIQVILGIIVFRPVDQNLL AIQQRFFSVMDNNLPRRVIMDAHQYFFHRLFHVNKFLYRHIHSHHHRLYVPYAFGALY NHPVEGFMLDSVGATLAVEITRMSPRLSMIFFTFSTLKTVDDHCGYALPWDPLQFLFG NNVEYHDIHHQPYGIKKNFSQPFFTIWDKFFGTELSVQQVKASRKTKKVE PHYBLDRAFT_187233 MSEFTAKRKEAPTDAVVNNKKPKPEARREQRQTKMERKAHDRNF DMISEAKRIWEKLRRSDIKRDEQKQLMDEIMAVITGRVQEVIFKHDASRMIQTCLKKG NSDQRRQIAEELKGKYLELSKSMYGKFIVIKALEYCHWMRDSILAEFRGHIRKLIRHK EASNVIESFYSQYSTSAQRQELLSEFYGPEMTLFNHGGGAKSLDELLEKLPEKKDSIL KYMGEMLDGCMDKGTVVNSIVHKALLQYLVLTDDKGRENVLGHIKENLQDIVHTREGA RVAMLCLTYATPKDRKTIIKAFKPFLSKIACDEQGYIVLLRLLDVTDDTVLITKAVLG ELSKNAKELFANKFGRRFFLYILAGRNTRYLSPETVQELKAGDAIRTSKKDPQQRSLE LLKASSPLLIKMVADNAGTLMREKLSSQVVHEIMLHADGDKTAAINSILELAAEPIEN ENHIIVDRFANRIIKAMIKADTAEESNDKALAPLEFAPKLLEVIQPHLGYFATNFGSF VVVALAEEPSTKKDVRKALKPHKKEIEAAAEKNSGAKLLVELLAAK PHYBLDRAFT_134049 MAIGKEFGASKQFQNSRGRGRQLGSTRANNSAQKYGLPTNSINE PSNQDQRFDDVKALDELEAKFNFSRYEDGPEQLGWMLNMHETLIKDDEWPSGRAGVDF YFLNDNGETFKTTQAYSPYMFIGCKAGTEAEVEEFLRRRFENTIEKMKRVKKEDLDQA CSFRLSPNHLVGNTRTLIQLYFRNMNDMYGVRRVLLPAIKKNQAKISAMDTYAEVVSE ANNVHYEPEHSSASMASRRNPNESLENIIDIREYDVPYYVRVAIDLDIRVGLWYTATA KDDGSVSLSLRPELVHRPEPVVLAFDIETTKLPLKFPDASYDSIMMISYMIDGRGYLI TNRQIVSQDIEDFEYTPKPEFEGPFTIFNEEDECALLTRFYEHIQTAQPNIYVTYNGD FFDWPFMEARSKANGIDMYKEIGVYKDEEDEYKCKHASHMDAFRWVKRDSYLPQGSQG LKAVTTVKLGYNPMELDPEDMTRFASEQPQTLAQYSVSDAVATYYLYMKYVHPFIFSL CNIIPLVPDEVLRKGTGTLCELLLMVEAFKVNVIMPNKHMEATNQFFDGHLLESETYV GGHVEALEAGVFRSDLPTDFKIEPQAAQQLIDQLDDALKFTIRVEEKKNLEDIENYDE VRDEIKRQLEDLRDKPLRHEPPLIYHLDVAAMYPNIILTNRLQPDAMVDESTCATCEF NRPNKTCDRRMTWSWRGEYFPAKQNEYRMIQHQLSIESFPPKFPNGPKRPWHTLSEAE QGTLLNKRLSDYCRKVYKKVHETKTIERESIICQRENPFYIETVRAFRDRRYEYKGLH KTWKQNMDKAVGEGQITRMAEAKNMIVLYDSLQLAHKVILNSFYGYVMRKGARWHSLS MAGIVCLTGAKIIQMARQLVERIGRPLELDTDGIWCILPKSFPETFSFKLKNGKKINI DYPCTMLNHLVHAKFTNDQYQDLVSPETFEYKTHSENSIFFEIDGPYRAMILPSSTAE DKLLKKRYAVFNTNGSLAELKGFEVKRRGELKLIKIFQSQIFKVFLEGKTLEECYKAV AKVADQWLDVLISEGEELSDEELFELISENRSMSKTLEEYGSQKSTSITTARRLAEFL GDEMVRDKGLACKFIISKKPLDLPVSERAVPVAIFNSDPSTRKHFLRKWLKDNSIVDF NIRGILDWEYYKERFGSVIQKLITIPAAMQKVNNPVPRVRHPDWLFKRVAAKDDKFKQ HRITDMFTLDVPRMNNSAASLVSRHLAKVTKRKKPETTAALPEEDRQENMPDMYTDYS AWLVFQKRKWKRQRLLRQENKDMYGQVRTGDRSNVGGYFKRQTGSLVSSVWEIVQIVE TDSPGEFKMWIFAQQQMHCVKLSIPRTFYLNSREEEPTEVFRQNPSCEMARCVRTLPR SRPCLNLFRISMSEAKFQEEQKKFSSIFNDPSTEGVYETQMPLGVRALLELGTLCEVN KKKAGRNSLEDSFILDDLIPRSDSRLQYISQPREFNYIYLYHVNTDNRHFFILIGASL PQARVFLVGSSQLNQQMPNIKRIYNDIYEQKFGVSELQKTTVAIKKDMEFEVTFHKNE REVFKGLNKTLGKYQDLKRGPTVLVISSPRPASYFTQQTRVMNEFPYMTLLSLQQDNR FDALNWVQPVIKRMFEHYFNLSSSVNKRLSQARYSSVPFCNIPEDANLFMADIAIARR MVKNDMILWWSTSTKPDLGGREDDENLLATEEPINPEINNPGLYQDICVEIDISRLCL DTILEAPCINELEGTSGATAFDAVAHTLDEYANGTVSIASAFGDGSISQKTFMMLRST VQMWFVQAAAQGIELAEAMTETLHRWLLSPTSGMYDPCMYALVHGMMKKVFMQLVAEF KRLGATVVFANFHKIVLATSKETMDSALPYCEYILRSIEKKQVFEVIGLNLVEYWDVL VWMDETNYGCVNANTDEGTKITSIWNIQSYLPTAVQGMFRNLCAGFVHQELLSKKKFP RGLSGHLGVPGDTNQVNPRTQHIQEYIRSDVMRGNLRWLPQFLHRQEANTTGEDEALK FPQLPGSHLNMTNPALEYIKFICAVFNLDKTLEKEVRIFKRNALRAMGGMSDFSSEAQ FRNPCEYVKVYRVVCTSCNYTADLDFCRDESLRPVNGQVQPWRCRGCHFEYDKHRIEE LMIGQVQRWLTSFQLQDLKCGRCRGVKRENLLQQCDKCGSEYLPTQSKADIIRRLRVF GNVAREQQLRLLGEIIQWSLTRI PHYBLDRAFT_113189 MPGPKTKKSPVVLKDKSLQAVVDYIKENEVKNVIVMSGAGISTA AGIPDFRTKGTGLYDNLQKFNLPYAEAIFDIEYFMETPEPFYALAKELYPGRHFPTKV HYFIRLLDEKKMLLRNYTQNIDTLERLSGLSPERIIEAHGSFATASCVECDKTADPGF VKNKALKAEVARCSECNGLIKPDITFFGQSLPERFHNNLSDFDNADLLIVIGTSLMVH PFAGLVDHVPGNVPRLLINMDEAGVVSNTKRDVAVLGSCDKSIEKLAQMLGWAVSVKK VDAEK PHYBLDRAFT_181675 MEDFPRLFDSRTQSHRLNSSPSRPPPSFLSAATKIKNIVPLLDR VLIQRVKAQQQTASGIYIPEKAQEALNEGVVVAVGKGALTKDGKHIPLQVATGDKVLL PTYGGSIVKIQDEEYHLYRDSELLAKVEN PHYBLDRAFT_159037 MKPHLDNGMNQNGIYYNTDYYRLYLAFDQFQKIFAQLFPQEIGT DLEADREGEGERDRDKERNANMKAYRVWIEPLLTETNWAAFRRNIVVGERMVQLTNVV GQGLVLMTKELSGSKLHLTFTNNEWDDFINGLIDPNDIQDLKELQSKFSTCYWFRSDG NIVTVEERARLLSGQSNIPHSPNSE PHYBLDRAFT_65159 MQAAVATGFYNVLVTKALILWIGGCSVVAAIFQQKMLLHLQLRP HLTVHHQFWRLLTSHCAFTNSGDLFYGMLLLYAMQVVERNFGSAKYAAFVFVALGLTS LLEVGALVLGKALGLKRIPGGPYALLFAMLYQYHRIVPVVYRLRVFGLSLNNKMIIYL LAVEMAFSQGIDTLAPAVCGLLVGMLYRSDVGHIKQWRFHRSFKSFAVQWLRPWLASA PIARSTMTMPVQQTSAGFAVDRLVSAAGLRNRQPQSTATTTEANSVRAYLDTLTGSAA VESNLAPLSEEHVALLSNMFPEHPPETVERALAAANNDVSRAVEIMLSTPAPEGSNTH PHYBLDRAFT_77971 MPVEGFKVDTSKAVGHVFALDKVSCNRRDFILYALAVGVKEDEM RYVYENDKNFGPLATYPLVLSLRGNVGDVNVFAERMKAGGDLPGMPPYDPNKIVHGEQ SLEAVNPWPANGGEFILKKTCSGVYDKGSGMVVETTMDIYGEKDNVHYCRMLTKSFVR GYGGWDGPKGPKAVSYNPPKRNPDAIEVFSTSPNQALLYRLSGDYNPLHADIELAPKV GFPKPILHGLCSYSACAHSIIKHFAKNDSKLFKSIEARFASPVFPGETVEIYMWKVDG PDAKIDAVIFAAKVKERDAIVINNGYVTLHKNIPQNKL PHYBLDRAFT_65157 MEPTLGWLQPLLNDVTFFVQLVFAIIGLLAIIKPVIQTSPLYND IVYTIYGSFQSALQACFKMLGIQKLIEMTRPDAYYNDLARLDIDYTWQAMQFDKQDAL MCVKPANGAEDTSAVVSGLVNTGNSCFLNSVLQALSSLPTLHAYLTQRSQSAVACIPL PVTRALLKTMRKLSKPLYWRSSFRPAEIVATMPSNRRVINREQQDAHEVFQLLSSAIE AETQKMEQCEIGGLQHVLAETLYPNTVNTKLFPTTSKPLKCPSVIKEITHSDNPLSGL LANRLSCMHCGYTEAIRHFPFNNIQLNLPNAYTTTLHECLQQFTSMEFLKDVTCRKCT LVSTVQAISLDIKQILEKKNLNEQSKNKPEITKHLPKLESIRFEIKTRLSQRRIEEEA EDVELWGKSSDKVPILRATRRLSSKQVMIAKPPTILCLHISRSAFHESGALYKNLCQL SFPEYLNLAPYCTNGTLNTTPNVPISIFSSENDTCKYRLMSVVVHYGNHSYGHFVTYK RRLVSNTCRCDECGAREEKWEGKDQWYRVSDTKVDVCSLDTVLQANPYMLLYEKVEEK SHQHQITVPAKPKQTVDKQQKLLDTPMMYYGATTSDAALEALRISNALLMNDKQSTLN NHSKESPNWMKNPSIAHLMTF PHYBLDRAFT_65156 MFTRSGRSLSMRSLHIDFMRQTVASMLFCKSYLYCGTKKWIVVG FWLQIDWLYCNIEYIDYIEYIGYIGYTLVVLANYNYNRTDQNQAMITMGINTGIKGGI LDPFLDQKGSMIMIMIMSMSMSVSVGVGVVIITGH PHYBLDRAFT_104073 TMIINGSKFACGTCIKGHRSSHCSHTDRPLFKIRKKGRPVSQCT YCRDLRRTKQIHVKCVCSER PHYBLDRAFT_146238 MKIYTKTGDKGTSSLYNGERLVKDDDVFEALGTSDELSSNIGLA MSYLEDQKSKVLVEQLLQIQCLLQDIGSNIATPRDRSNERKLQRTTFDEEGEHVAQLE KWIDEMDAELPRLTKFILPSGGKASASLHVSRSVCRRAERTVQILVRDQLCDNSVGIY LNRLSDYLFNAARTAAYQIGEPERIYTKPV PHYBLDRAFT_65153 MVKHIFSLSFLWIFIVFLLLLNIDPYNSVRNGPHIDQNIIIPKL LVKIVSDLNVTGNLTKNFAIMSQVQEKNGLYSTACIQPVTTPCLCWLKTYRNVLLVRD VGFPVSRYLDTIVGLLDNGEDSDICLNYPSKPHVAA PHYBLDRAFT_181680 MNDWQPIPRSLRELLYVFQNGISPDGSTQALVQQRLQSFNEIHD YNSYLVYILTVMQNEDVYTRVIAGLTLKNNIRSHFNAIPLYVLEHVKMCCTISLQSPE PDEGVRKAIGSVITALVTRGQVHNWPEILEILINQLDSPNYIAVQMAWDCLSKICEDA AIDLDQEINGVRPLSYMIPKFIKFFYHYDTKLRVQAIGATGQFVLLRSQSLMTHIEEY LTALFANAEDKSPEIRVEVCRSLVMVLEARPDKLEPSLDSVVKYMISSTANSNELVAL EACDFWLQFTNVEIFKKRLLPYLPDLVPILLSKMVYSESDLMMLDGDEDDFNVADNEC DIAPRSYRHKTIVQTKKKEDDNDEDDDDHGDGNQYLDDETDKHQDTDEEEEDEGDFDD DEFYSEWTLRKCSAATLDRLAVTYKADLVNVLLPVVNRNLANADWKERECGILALGAV AEGGIETIAPHLPGLIPFLIQCLNDPKPLVRSITCWAIGRFSEWCVNQCYTLTGRKDF FEPVLFSLLNRLLDRNKRVQEAACSAFATLEEQAMQELEPYLEYILNVLTTAFRLYQH KNLLVLYDALGTLAESVGTALNQPKCLLVMMPPLIEKWNGLSDQSTDLFPLLECLSSI TTALRDGFAPFAEPVFSRCVKLVASHLHETYMASQDPSRFDPPDVEFMVVALDLLSGM VQGLGHLIDPLVASSDPSLLSLLTICIHDPVIEVLQSTYALIGDLAIACFDRIKDGLP LFMPELISQLSPDIEYVSVYNNATWAAGEIAMRWDGIDPFVEPLLERLIPLLSDPQAP DSLQENVIITIGRLGLARPKALSEQPTHFVRPWLRKSINLPENDEKDTAFQGICQLIK VSPQHLIDELPMLLVTISQWENPSPELFGLFGEVIAGYKNMLTPEQWKENWECVGKSY RNKLTERYGSIDQ PHYBLDRAFT_181681 MNSVFRHLIVAALGIGLNALATFRLPGTKLMQDPVETLASAVPI LLLGYCQLLMLSLYLRGSSVRDALFTTGRALVATACATLVLHLLTILFGAPLLAKFYN TLVFSAYLAILSVFPTFIAIVTPGQPSGWFKIFLQHCPTTTSEIYGYTQAVCTFSGAW IGAIVLPLDWDREWQAWPISCVISTFLGHAVGVVAGFGWSFFKHICDKPKTE PHYBLDRAFT_65150 MPNKEDATLSFFIDSSFKGIIYGLSNDESEGCTLKGYCRLTVNK PVRVRRLLVSFEGKSKINLKPINTVGVPSTEGVESRTLASTDTHFLGNDGISHLMEPG EYDYPFSFEISSRLPSSFHGKRGYISYQVQATLHRSLFLNNIVNSHPIVLRRCLINDL QPMLTTLRDTVCGEVGADILTYSASAPTMTFREGGLVRLNLSMQLVNPDTHVVKSVAC ALQERVHYHTTGQQSISCQITSKIDDSYPLGWSTFIPSSDSTYDPAELHHYNAIFRLC PRVNADNSSRLLKVSHSLVVKINVEEKNPTEIDVASRTTTPALSRTHSPAHSRTTTPP LSRTSSSSSLSSLHGMSHPSDTLRDAATKLSFLNLHPFQSMKPKSVVEPSTGTLCTLE IPLSVTTREHYWEGGRPQPPAYESAEAPPSYTKTLEQLPSAPIYDMC PHYBLDRAFT_134066 MSAKVEEKPVEQIEEIAEEFEETVVDSPHSRGEKKARKAILGLG LKRVAGINRVTFTRGRDTVYAIAKPEVYQSMNSDTFIVFGEMQVEDLAARAQAAAADQ LAAEAAAGESEPVAETAAVEEEEEEETDVDETGVSKEDIELVVSQAKVSRSKAVKALK NNKNDIVNAIMELTM PHYBLDRAFT_187241 MRAKERNSSARNEEKAQSMLYRFREAQAAELGLIRKKERRPGMA STVTSVGECERWRREVLGDVSRKVSKIQDVSLSDYQVRDLNDEINKLMREKYHWERRI RDLGGADYTRSAPKMLDHEGKEVPGNRGYKYFGRARDLPGVRELFEQEAPEPASRSRS EIYRNIDADYYGYRDEEDGALVEYEAEQEQDLAERALRAAEEQEKKEEDDEKEKAEEL EQLRSESAVPDQKDIEAYLVNRRRQQLLDKYVSEDLQQNEQETKELTGQK PHYBLDRAFT_65147 MSPPRLEWLFVLLPILAALILFFCLRVRNARQRANKQADEEAIR APTISALPLPPQNSGMITAQHLSLPPILMDRHNRRQPLLRFSSPPQTSRLSTNSLMSA SSFWRRLQPSDIDVSAPGRRRRRRRPRPPRTPPPVYPGSPPPHYEDVIGPEDEPLAQV QTRMGTTSQQREELEHQAEDEPLVQLQHRLIADPD PHYBLDRAFT_169186 MTVDLIVTLRALEALKLLGRERESAGPLFTERGMRVLCRHAGVL SKRAVDTLCSCEALKCIANCIHLCADVKQYLEKNNILEGCFDLLKLPQLSVDGQFLAC RILFFVTVGREDLVEKLISLDIGQCITKICTGHVKTLQSGRSKLSSTSPIHPMSVLSE ALKLLFSLMLVDSRRETKETDDKESSAGDTFEPCLGPIFDILFTLPCPEPLPLAPPLS HAVHCLMQFSFEAVESYWKEHQKNGSPALVVETLVDVLSRSVIYLIPNNEPDEVKDSS TVDATLSPLLLVLRTLAKGDLRLRQSLSVLLLPQESDRIQPVHQGTRLPAYLIRLMTC ALLPQTRDAVSELLWILCDEQASEFTQQVGYGNAIGFLVNKGIPMAAPTDSNGPRDQN TNPITGQRLEDEDQGPSLADMTDEEKEREAERLFVLFERLKKTGLVDVENPIAKAMRE NY PHYBLDRAFT_169187 MSLKSKESRASTGQKFWQLKKFSLGHQAETFSQDPKISAEATNE VDSLESTKPKNKRLSSLLSHHRRQASPKQPTKVDTSLTPLLSPRKTSVISLPAVMLAQ DVGHQQNNSSLPGLPKDQKHQRASTVRKTDGQRSIRRIVSLASHRSVITPEVFEPDPR PTQRKSLDSASEEDSDEQQLPKEPPARKMSPDLRRSLRPSEKDKDKDKDKDKDKDRDR DEIPKYTLRDAPVRLNNSEVANTDDAPSSVVRRSSKASDDCGYKERLQQRARTLRPAA SLATLRQLAQPMGEELPTRPATSFRRSFSQDEEAAASWRLQHPHSHSPPHSPRTSGAR PLVRSDTAQSMRTLTRRVNAREDLQGWSSANNLHSRANTATTTIPDHNDIDAPLDSSM NSEASWWSGQPSDEDEHRPDTDTSSDSSEHSPCPRRRIDTVDQLRAALDQDKAVIKAL QACNRDIAFLSQNIDLLSTEAADWKKKWESEKLQKEQCKEELTSAVRRLAEASDKARQ LSSANEELKRDLEKCRQLETKEEPMEPCSDDNNTRIDQLKAQLELSQDQVRVLKATME QFLRMGIFSSDLAQGSSCLYDSTSDASFIKAVVRELRYAQLRQRQTEPNTSVESVQKS MLTTNEPLVLSDTPAPKDEETENSTAAELDVRLRELLLEKELLQAEYSKIPVSGGNAL TRRRREELEDRLDSVDSQMSKIKLWMRHRNVLYSTIG PHYBLDRAFT_146247 MVDISLVFRVINIVVAVFMIIGAVATIIDGGFPNFIQGIFCILL GLMTAVFEFKLPGQVTHVGGITLGYKGLSIASGVIILVVGVAFVICHFIRTIEPPSNM RQVAFDESIGHTTRLQGSHIESAIPAPEATHKTLISDGHTV PHYBLDRAFT_87673 AQDSTVNQYYSALLNDSLWFYEAQRSGKLPANNRVDWRHDSGLE DGSDHNIDLVGGYYDAGDYLKFTIPLAHSLVLVAWGGIEWYDGYAKTNRTQDLYDMMR WGTDWLIKAHPDPNILYLQVGDGDVDNNYWGPDTSIPTPRPSYMINATAPGTDAAALT AAAFASAACLFKNQLNDSSYAETLLSHAISLYSFAETAQPFQVYTNAIPQGKDFYDTN VFYPQLVYGAQWIFRATGNTTYRDKASTYFDQGQLAAATTPLLDWSDPTGAVYVLGAG IDNTNTKYSTAAKSYLDTIITAKKGGPCSFTSGGLLWCGGYSNSNSLIPAQDTALLAL LYNVYDKSRESDYNSFAIKQIDYMLGNNMMLTPYVVGVHMNSPVNPHHAGASGGTDIG NINSSPAVEAHVLYGAVVGGPDEDDKFFDERSDYDQTEVALDYNAPFQSLVAYQISAG AGDPPYASI PHYBLDRAFT_181685 MHFNHSTPCHDSLCVDTTVTQEIKQLEKTPIAMVSVPSIRSRTP RSKISKRKQVKNACVNCQKACKKCDEGRPCKRCVKLGLTETCINSPRKERRKGVKRGP YKKRRHHQAVVIRPTNERQSQEIVPPILTSPAALSVTKESPLSYLTIPSTLMSQQPWQ PAYASQTIEPVFGIDNQAFYIDQSVKPEPEEFYADSHFFATSSTPPLSQSPLSASDPL DLYGLGNFFSAAAVTATVAAEAGTEATEAGLPSSSSFSSAASYSSSYSSSSLSPLSIT PTSQTFPNQPFYPTEDLFVATPVVPSSIPPLVENNTTSGSYGAMLSHGNSFYQPTDTS LLFPPTSPTDGSFSVSMASNPNALPSWSSSASAYVPNLSQPIYQTQTMLIQKPFTPWL DLSQTFQEPASSWQSFMTTSFI PHYBLDRAFT_146250 MSGNDFTLSPSEQRDGRRIDMLYIPANNATRTSLNVMDATELYP HVMVISSDGFSDKRFRDSTFTKEEPRTFYTHPCQALAKSIRICISRSLFPQLDQTPLD KMIALCHIFFRKQKSILILEKYDDLFVQAIYKVALQVCAIQKDNTSKLNETTLEGY PHYBLDRAFT_169191 MRIFLPKNSRWSCAKSVPVLISKISIELAVLIQLKRFEHTLIYR NIRLLSNEMWLWLWHIYSIIGHPLQDYYEYSCLIPASMLTLIELHYYGCKLRGNMDMV IVPS PHYBLDRAFT_8378 FSGEGTWYGTGLGSCGEVSANTDLIAALNAPQMGVVPNPNNNPL CGRYVKVNGPNGTSVRVKIVDTCPGCASGDLDLSPAAFTKLADLGVGRININWNW PHYBLDRAFT_187244 MAFTQQEKLIHTYINYCSFRYCELCEHHFVFTPIYRQDMPDRMP LRIICRQSIRHLAFFLRGFLRAIVVILVWLVVVPCLTLLAWRFCFWSGSRFDFSSSLK GLVSPSPNESTTDSLPIIGPQNNPKTSSFGFFGYTQREFMEDCFEGQIVTLFVVVVFV TAYLFREWVIQNTPEERNIEFLPEDELAELPPLIQMNPVDQNINHFTGPAELADMYQN EFLEASQHDREINQHQEAGHILEEFRRENEWIHRNSNRGYPNSHQDNHRQSRSSGSDD DTSEQQLLWDHSHFEEQYTNDHEEDYNESESGDDAATFVPSSTDSLLSRIDNSLENIQ NSARALWSPEANPYEDSEIHSEDEFLMSEESSASRKSSSGSSDRKGKSRLERASSIEY DGYLDHRIASEYSEDDAGESSSRQPLLASWRREHDRLEIRENSQSANTRWRAPEFVPS PESAIDGGNRTASPVAPTLMLDSDSQNQIHEIPSDDESDRDTEEENPIVEEDVFDFGE DIEGVLEAIGMRGNPWMLVQNAALMSLMVSIFLGVCVWIPYMTGRIVILIRPTSFIQT PIYILRLFTDPFVDFFMDRVVLSVYATVDASYFKNHVITKRLDVALERLLLWTTEYTA QILQFIQHQNHQESALKINSMNHTVNVLDTAISFGKQTDLMQKKVEDLGYLALKRWHQ FAIGQTWADRSVCVTVGYSVLIIAGSWYLTRPTAPARRIHGNTLKDIIWQQGIFLKVF FLILLELIIFPIICGALIDIATVPLFSDGSLTSHWAFIQKNPYSGWFLYWFAGTGFMF NFAVFVTLCREVVRTGVMWFIRDPSDPQFHPVQEMIERPITLLLRKLSSSAAMYSTLI IIGIGSVTTVLGYCTNLFPLSLNFGKPLSTLPVDLLAIQFLLPLLMNYIQPREYTKKL MSFWWRSASHFLRLSSFMFNERHPEEEGVHVRRTFKAWILRKETQINDTIFNEVSIED DDADVVFKRDGMFARVPNQDTVTVHPERRMIVPVNPFTFEAIEEEERLAGHPAAASPE EERHVTTVVYIPPHFRLRIMMFVFLVWVSGSVAVCLHTITPRRSLYKMYFGPNQQMGD IYAVILGVFVMVLFSVVIDWVSQIIGRTKQRGLGASIEEGMIHVKQYMLLSVKSIYIS GIIALILPLLLGVTIELYLFMVLRASEPSDEITIHLSQDWALGIVCLGIIYGVIYVLP NNALQRRIDQVVEDGISNLRLWAVTRFLFAPVVFGLFIGIILPGILAMGVIRAIPISN PVTQLLVFRYSYPAVLCITILFGLFTGSIKLIRLWIQTIRDDTYLIGKRLHNLGETTT A PHYBLDRAFT_169195 MKSASTTVPGFERVIASSPYAKLTRQRQSVELSPDVCKMLNNDR ELRPQVRYFTAKVLSGAIIHNIFNSEAIMTNTIEPYGRTNYVDAHRETFGKEKNTAIT TSIPPPTYTMYINVWLPCIGSKCMTFQLTTTADSMSTRISLNLTCLQEVFTTLASPQT SCISTSNIIYQLRQIRSNFSSPNA PHYBLDRAFT_65136 MKFRQREISFQTKHSSNLWEKIAKKKNQQRYNSAQKWEQTDLDF TTNCVFLNESAFPINLKRDIARSKKDNPTIITVPTAKANKTSKLGAISATGLINVSLR ENSGV PHYBLDRAFT_77962 MPHIDENGQLRIRRQQPRQPPPSINTLQSTSSSNSSGHAPTIYS NDISTLTNMTNDLSLENQNAIGDYDEDQFGIIDSLDYDDIDDGLIDHEMIHRQREALI HQLYTSEQAYLESLELVLSAFLNPLRKNSKQSSFNFLGMKKLVCTDRELKWLFGNFDE ILEIHREILASLEQRLSIWGPTQIMSDVFQTWFRSLGVYHSYLDNYGFAVTTYERLTR YQPFKKFIDAAHKDPILRGATLLSLLQIPAGCVNRYAQLMCQLADGTSPMHPDYVGLM QCKKQILVIADEIRPKVEDADNVDQVLMIQQALVGAPFGVKAQRRLVLQGQLSRVVIN SRSTGEERTYLLFSDILVFVRPKQDQNKTLLQYKGHLNLERARIRALSVDEAGGQEFC IEITSSFEGVDTLNTTYMGSPTVHVLHTQSFEEQTEWLKRLEIVIKKLDREATAVRVA ASKRLANSRSPPDSLKRQGTTISSSSSKLSTGSTGSVHSSNGSNGRSSKQ PHYBLDRAFT_169198 MTNQMVSRFRVKKLSPKHPLPVFKESQLPDLTEAASLQRSVPQI ETGVEKEEEEEHDLQAAISAAQAAVTTGAKVESYIPTPDASRVIPDEEYYSLYKKKYK EPSTLIRFSSTVEDVTGCPYMMDEQDDEFLQRYNANKANETLTPDAFEEIMWQFESVT NHQLPHLNLQLVLMIAILSYQDPSHIPEYAEFMTMIPENSKLRGRPSLETVYQHWRQR RFKRQGKSVVPELKSEDTLRSEIDPYVCFRRRETKPVRKTRRTDQQSLDRLRKLRTEM EMSRNLLEMVLRREKIRKEGLVLEHTVFDKKCKLREYQRALGIKEDEDLLPAPKKKRK TSLEIGSSGATIKIPLNKLKRDGLDGRQDKTPMQIALEAELARKREQDAPYEDFTECP YQPFPLPVPHQFFCSLPSRGPRYRKRVGRGGRIFLDRTGYKRNPSHSMGGKTKPGFQQ ELYDPYRFESDSSDDEFKVDEMQDSFLRHRAQLLTEVELRSLVTIPFLTPLNMMNLHA ATMRANQAAAAAAAAQRAAFMANGAAANNRPNVPNGTVTAPVSSVSNLSSLPLKRQNS RTKMTPQQAAVAMANGMIAANMAAVHELILILFQAHFSSNSHVHCKDLYWNILLL PHYBLDRAFT_155563 MIPSRQALSIFGAITILANQALAGSLVMQYWGQNSANGASTQKP LASYCDGSADVIIMSFLYQFNLGGLPVLNLANACTEFYPGTQQLNYINSSILFNPDIK TCQSKGVKILLSLGGASGAYGFQSDDQGTAFAQTLWNLFGKGTASNRPFQDAVLDGFD LDIEGGGSTGYATLVTSLRTLFNSDSSKTYMITAAPQCPFPDAMLGSVINAVGFDVIN VQFYNNYCSPTSSNFNFNAWDAWAKSTSPNKNVQIFLTVPGSPSAAGSGYVPFVQLSS IVKSVASQYSSYGGVSVWDASQSYSNTEVSPDFAHALAQLVHGTSVTSPGGNSPTTIP VPTTTPTNTQSASTAPTSSPSPPATGPLTGTCVTNGQSCSTTGQLTCSGSGYGLCSNG KWSVQNCPPNTQCFATTDSSSVYCGAATGTSTCSNAIGIKGNPSGSSGVSVPKPYTSG QVSAQVSVASSNTSNFTFVINARRLNTMPFGSNIVVKFNISPGLNITEVENGVFQQTG SLVSIQINNTEKQSMAIVFSVSGTLSNTVFVAPIPSTMTFQT PHYBLDRAFT_169200 MHFIPLKQLDPVNIRSRILPIKCCGCVGIRRGGALGCVVWALLS FYFAALGFMGRSPFFSHLERAPLVIYGVLNLAFGIASLLGLFFIGYMASPPKYTNTSV LKLLSRILWLFATLVIGDTLINFILFVVNKSKFESWCIETSISRLEQNPVVPNPTQTL DIGNVDYYNCQRLYIDEVKWSLLAALVMCMVYIHWALVITAFVHDSFIIVAPRITGVP KTNIQLSSPVTDQSSTDTIVHVDDNVNIGSCLVQNISDKVLHTISPLYVPQRRTSIHT FTAKQGNNNKPSDDLEYEGISSSPPYGKPARFVDTDPVSNSYWNYAKYLLDKDFLNQI VGTYSFTKTRPKPHQLNKFNMHPKAMFLVIQKLSDICVHYSLIIWAKAINTLIAWTRK LFMALVSKILSGSLSSFLVPNCPINDNRHTNYSSLFSDRFAVYPYDSTKLYIVKTICF IISHYHAYSVCPH PHYBLDRAFT_181688 MAQLPKISRVAIIGAGPGGLAAAKALRDENAFENITVFERNNQV GGTWIYSPNVNASPAIPSVNALEVDPPGQQKNDHVQGSAIYSHLNTNLPTPVMGYRDF PFPKNTPLFPSHEHVLKYIQSFADKNQLKPMIRFSTSVVQAEYLQEQKVWRLSLSEQS QGSSLRKEYTEYFDALVVANGHYSVPYIPDIEGLKEVAASSIKNNIKIQHSRDYREPN EFKDKTILVIGGGPSALDIVRESSATAKKIYHAVRTENKLSARALENQAPNCHRVTPP KRFIAETGGRSKVECVDGKLLEDIDVVVFATGYLYSFPFLPFEKNNLIIDGQKVLHLY RFLFYIPNPTLAFIGLPIKVVPLPLSQSQAVVIARVWSGKARLPSEEQMREDDRERQE SNNRKDIVLSQEEELSYADHLGAWAEGWEGGDDLSGWSSANVVTGPLSQDWKQMRKTW VDLRKEHLGY PHYBLDRAFT_146259 MTPNTYVVDEPFRDEIALAEQKAIDNQLTSHTNPLLKVDILPGK GRGFVATSIVLPGTILHTSVPLAATVSDEWTPETCGWCFNFSYPKKMRHKALDELESR EMSTKWTKENKSKKTISISQKKLLFCSKECKSAYLSHGYSREWELHIATLSLLEKETK RRAGLAAEEPDTTPEDNTIPTEFIELDDDIRVQQWIDEAWSCITQATDFISQAKLYSS GPADNTMCRLIAACLVRKQCEEASLYPDISIPSYKDLLTIQDNELSHFRIQYRASMPT HRNSIPYTKGQPVSAFLKYMPPSVLDIIRTSLFFSAALSAPGIEPRLEYSHELFRSVY FREMSNSFGIWEIPKNPSAITEEQGVTDDLELLGWGIYPMAVYFNHSCDANVIKLRRD RNMVFVARRTIQKGEEACISYGSVGEGSIKLHNSNDLQPIDIGPASSKKQSNKR PHYBLDRAFT_169203 MLLITGADQYVGFSIVSHLARYEHLRPLTRVLCQNKAPCLNFAN KGIDVRQVDYSHPNHLSLAMRGVDHIILAVGNEKDRVANAKHLCQIAAQSGVKSIICI SHVGAVSGVHESLQHYALIEEEVINSELQWTIIRPDWIQQNFHLWAALVEKHRHLALP MAENTEICPIDISDICNVVEQLVLDPKEKRLVDSLDNDHIDQVYTLTGPAMINGKQLA DSLSEATGYDKMVYRHVRPMDLNYYLNELRKDIWFDARLKQERAQLYRDSFDNDSYRS KAFAIPTATQIQTFVDYFDWVTKTASSICVPHAPMITSMPSRSIDDFFKENANSFKPR V PHYBLDRAFT_31052 MALKRINKELKDLERDPPSSCSAGPIGDDMFHWQATIMGPEDSP YQGGVFFLSIHFPTDYPFKPPKINFTTKIYHPNINSNGSICLDILKDQWSPALTISKV LLSVCSLLTDPNPDDPLVPELAHIYKTDRARYEATARDWTRKYAM PHYBLDRAFT_146262 MTPEIVSCYKTAKTFRLGDRPVSALSFSDNGELCVTSSEDDSIH VIDCLEGKQKSTLFSKKYGVNLARFTHHTNAIVHASTKEDDTLRYLSLYDNQYLRYFQ GHTKRVVSLGMSPLNDNFLSASLDGSIRLWDLRAAGCEGAMDVNGYPTVAFDPSGRVF AAGHSSDKISMYDLRAWRAGPFLTNQIDQGVAGWKGISFSNDGKNLLLSTTGNSHYIL DAYDCTIKHQLVGHTGSTLGMCTEAAFWSPDGRFVYAGTSQGMLNVWDTQQEAFELSP FKTFNTPHQQEGTRVAGHNPTTAMMVTGGDVVALWLPEV PHYBLDRAFT_134077 MSKIHQNEKLTICIDRGGTFTDCIGFVGRPGEAGYREFVVKLLS EDPNNYKDAPTEGIRRIVQLATGQTISRSQPVPTQSIESVRMGTTVATNALLERKGER CALLITKGFKDLLIIGNQARPKIFDLSITKPDVLQQDVVEIEERVVLLNSAASQETVK DPHTLSDVVKGISGEWLKVLQKPDINLVKAQLKNLYDDGYKSIAVCLMHAYTFPEHEK KIGEIAKSIGFTHISLSSDIMPMVKIVPRGTSAVADAYLTPCIQGYIKGFVSGFDDGF EKGTTKLQFMQSDGGLVPVNNFSGFRAILSGPAGGVVGYAQTTFDSKDKTPVIGFDMG GTSTDVSRYDGHYEHVFETTTAGVTIQAPQLDINTVAAGGGSMLFFKNGMFTVGPESA GAHPGPACYRKGGPLAVSDANLLLGRLLPDYFPKIFGPNEDLGLDINIVREKFAELAK EINKTTGEIKSLDEVVYGFIKVANETMCRPIRALTEAKGHDTSKHILAVFGGAGGQHA CGIARNLGISQIVLYRHSSILSAFGLALADVVHEVQEPSAEGFDSSSLPRIKTRVETL VKQCTDKLLQQGFDASEISTEVYLNLRYDGTDCALMTLKPLSPTDWDFEGEFVKLYKQ EFGFSLPERIICVDDIRIRGIGKSFPSKELTPREEIERRKSSQNSDVKALEESITSVY FESGRDENVPVYLLHKLPAGSLVHGPAVIIDATATVVIEPACKALITSQHITITVGEG EKKKVTTEMEPIQLSIFSHRFMSIAEQMGRTLQKTAISTNIKERLDFSCALFGADGGL VANAPHIPVHLGALSHAVIYQMNYYKEDLQEGDVIMTNHPAAGGSHLPDITIITPVFD RGKIVFFVASRGHHADIGGISPGSMPPHSKELYQEGAAIKSFKIVSNGKFDTKGVEHR LCDIPASYPGCSGTRCLRDNISDLKAQIAANQKGIILVKALIEEYSLEVVQAYMLHIR RNAEQAVRHLLKQVAKDHQGHDLVAVEHMDDGTPICLRVTIDADDGKAIFDFEGTGPE VYGNTNAPESVCHSAIIYCIRCLVGQDIPLNSGCLEPIDIRIPKLSILSPSETSAVVG GNVLTSQRTVDVVLKAFEACAASQGCCNNLTFGKGGKNAETGKTTSGWGYYETIAGGS GAGPGWEGKSGVHTHMTNTRITDPEILERRYPVILREFSIRKGSGGKGLYNGGDGVIR DIEFIEPDIQVSILSERRVFHPYGLLGGEDGKTGLNLWIQSSDGKEHPVNLSGKNSAL FGKGDRIIIQSPGGGGYGNQHHSSPGIVQKAAKTLSNFVIKAGGSLGAYKDTSESA PHYBLDRAFT_38811 MRLRMESFVKGLQSRIVADIESIDGKQFSRTTWQRKEGGEGITC VLQDGNVFEKAGVGISIVYGQLPKAAVEQMRHDRGKDISADGPVPFFAAGISLVMHPH NPNAPTVHMNYRYFEIENPDGSPRLAWFGGGSDLTPSYLFEEDAIHFHQVIKDGCEKY DKKYYSTFKQWCDKYFYIKHRGESRGIGGIFFDDLDDKPTEELFSFIKEMGNRFSQSY IPIVKKRMNMKFTEEMKEWQQIRRGRYVEFNLVCDRGTKFGLQTPGARIEAILMTLPL TARWEYMYTPKVNSTEADLEEVLKNPRDWIPLD PHYBLDRAFT_181692 MDYFKRTSESPMDFEYDSPQSFPNGLFNRAINSLQKENRPKEKI FNVEPTKTGFSFHLAPSFLDVSEETRRGLETKAVYQSLSNLGLNDDNSKQILTNAIPD AEVKVKDSCADIMNTNLPEDDKTKNDQPTSKEKPLLSTRSTQPTLSETQLPSHYIQPS VSLSSSSMPSLTSSYSYSNSNPEYINSPSASQQLVEYDQPHKPTFVHIQNDPTAERHS YVFLLIGLLRFGCHLALFAMGAYVAINFTLALRRDVGIKMKTYEIDQLEDYLYIQQEY DNNRCDPLTRLPGVAEKCRDWQRQLNRTIKPGETKVLAETLAEILNSFFNTLSLKAMF FFLATLLISLSTKKLQSRHLNNQELLSHRSQEAPRANRLMDK PHYBLDRAFT_181693 MSIMPLRFIKMFGHDRLYIIFHQLLFLLTGLFTTLGIQWLFYKG AATGDSYLVQLAQYLGMVMVGLLIPFMVRNRSKGYSSVPDDAVNEAEDIQMNPMHPEE REKLGHVEGPVPHRSIMKLAVLDVVANFCVTLGFAVIGSGMYQVIYSSVVIWCAILAY FFMGRSLGRLQWLAILGVSAGLAICSLGSSDNKKQASTANTTILMFGTLMTLGGTFFY SCVYVYSDHILSKHVPPPLAARVCCYTGMYTSVLSLVWVAIYTIPRFDKLIHIDPTVP IWQVFGMYVLVVIANGTHAWNYYELIDRTGSGLRAVLVYVISHAWYCSTDSAQYITKA AHNSPNYSPYDPIYSDDDDTSATGRTMSMMADDDTDALFMDHISTPCSYTFQEEMEDI DDSRISRYQNTYFMDGGNRFISLPSLGTTSTKAALHISRMFREWLENKIYHHPTPKEF LDTDRQDYLPIIEDSVELVAEDVLNKIKSRSSVRGLKGLSRVLLKIRTGHIYTYHQLE DGQPLITQDMEDFFQQFPLFVEVMIYIMPHRHHSTEDSSTQVLLAVENITVNPNSPYR LLEEIKQPIS PHYBLDRAFT_113126 MVNIYTLKDVSKHNTKEDMWVVLHNKVYNVTAFVPAHPGSEDIL ITRAAGKDATEAFEDINHSELARETLEQFMIGQLHEDVS PHYBLDRAFT_65120 MSALRVIGPNLQIEWYFIESKMYLITQKENQSTIVVLLCCLINM MKFATNNSLYSKEMKFICLFSWSLEPQKPFFSEFTINSLFALTIIDLCNLWKGVHISP YQGICGINQYRDCATNICLDILRKVEID PHYBLDRAFT_146268 MLENAINHKILSHTNTNLSLKAPTGTRLNYSIPAKRSPVVWWNQ NVVSHQETLSPEIYAAYFEAVPPGCPALILSFKMSITFNQPIINSDVHITTQCPKLLD LSISSVEVKRLKKKYFLSGSQRRSNITEALKRFRKQSIAKVHKNNDISSLKIISLSHI FPINKFDVGKCVNKYFDNKTRKALNSAVVYCKDKADEEEKMAMMRAIKVTVKEKKQSR ASIADNSEATFIGKYLMPVIRTVLLKKSGKNIHYAI PHYBLDRAFT_77955 MNATTDQPYQGTLDKEFFDNAVSKSTLDDWQYEMRREMQEILPG LYLGPLSVCKDTIGLQTNGITHILCFLDALETNLFKSTQTLSNYFHFKAVEISDSNTQ NLISSFPSVSTEIKNVLQHSNGKILVCCNGGMSRSPCFVIAYVMETFELGAVQAYNFV QSKRLCINPKENFKSQLKEYEPIYQARNVRVPETLEDLERQRRRRRPAPEDDMEGVGS ENKRLTRTDDLQNRNMANAAFTSSNMPI PHYBLDRAFT_65117 MGRLDSSGVFPKQVMELIRQMCIKLPTRIYGSDLSKTNNGIIMC YKGTISKLSRRFMAILRISVWKLAEKPQLFNKRLSIYDVHTKDVGIRIYQLNNEYDS PHYBLDRAFT_159048 MSIMQYNGGSVVAMVGKDCVAIAADKRLGQQFMTVSTEFQKIFQ ASEKSFIGLPGLATDVLTLSERFRFKVNMYKMQEERDIEPKTLAHVVSSTLYERRFGP YFVEPVVAGLDKNNQPFICSMDLIGCINFAKDFVVSGTAGPNLYGMCESLWEPDMEPE DLFETISQALLNAQDRDALSGWGAVVHIITKDSIITRTLKSRQD PHYBLDRAFT_65115 MNFVCLEIRGLFTSEHSSRRRMRTLPQTRVYFSQDLSYSIIVRH WLVSFEICSIANYNSATLFESISIVKYKKALVADLLYMGLYKLQCTLVHKKNKFLKPF VVYLKQRKLSTSIYHDKSFVTIDQMETFL PHYBLDRAFT_159049 MEVLKQLNFSPETPFGIPLYPIFDKAYEAVVGQPASTFRYTAGT TPLSTVNEVVASCITYFIVIFGGRYLMTNMPAFKLQFFFQVHNLLLTLVSGVILLLMV EQIFPILYRQGLLNAICSADSWTQPLELLYYLNYLVKYWELIDTVFLVLKKKKLEFLH YYHHSLTMVLCYTQLNGQTSVSWVPIVLNLTVHVLMYYYYFRTAAGAKIWWKKYLTTM QITQFIIDLFAIYFCTYTYFTATYWPHLPNMGSCAGTETSAIFGCALLSSYLLLFINF YRITYQQKSAAALQKKLSGKVQPRSKKI PHYBLDRAFT_146272 MRTSSPVLCFLISCLLLPLAFAGPGTHRFEAGHSNYGEDLKNKQ HIQEHINALAKDGQAPKVEDKDMVYYLFVVHDKNADGHLDGHELRAAFTDFEEGDEDP TKFISLEEVTEMVDHVLEEDDIDGDGLISWDEYLQSQLYHGQT PHYBLDRAFT_169219 MNGKSHRNPLSFLLKRKNGLWFNYKDTKKGPLNGIFGAPLEHGA QCGSMTSQGLYVPTIVHRCFTEIMNRGLLVEGIFRLSGAASEVDSLELEFDRPPTYGK YLDLTHYDIHAITGVVKKYLRSLPNPVIPSAYHSRFLHYADNRNKNIQSLYRLIEELP TANYQLLHYIMILVSSIQNSSHVNKMNAEALAIVLTPVCSGLEQTLKKNSIKRNTRPR MENMQNLVQTNARWTHVWNLMITNHAALLLAWNTHSRLGTKTLPALSTHTHTHTPSPA GHSDISQHKILQLALFPEEDTLDWCLNHSLISFPDQQTSPPPNLPLLPQTEQEQRYGV VVMRRNNTGYKGGGRQRLRQRMEATSDTPKDYLETLFLDLSTDTITKPLTSSQPIPCH SDSNESSRANSSTSLNQVLA PHYBLDRAFT_20800 MATALFMPIKVGTSLLSHRVVHSPMTRLRADSEHAPSPLMAEYY EQRASEGGLIIVEGTFINEENGIFPFAPGIYNDKQIEAWKVVTKAVHNKGGIIYLQLC HPGRAAPSIFIPGKRAPVSSSAISINGKNAIGATYDVPRALEVEEISKISQAFAQAAK NSISAGFDGVEIHGSNGFLIDQFINTSSNTRTDQYGGSIENRTRFALEVVESVTKAIG DDRTGIRLSPWSGYQDMKDDTPYKTWGYISSKIQERYPKMAYLHFIEPRDDYISGPNP GVDRMKIIENDTIEPFRKAWKGPFITSGGYTTTPKRAFETAEQSNNILVSFGRSFVAN PDLVLRLKNDWPLNKYDRSTFYGGDSTGYTDYSFYKSDTDNDITN PHYBLDRAFT_77952 LFIFFSSLNRTSLLIKPIEQNYNTRIQQLTPINKTSVKEETIYK PLVLYNIYLFILGRT PHYBLDRAFT_88759 LNIDEKPVTTEYYDLLDVKVLATQDEIKKAYRKMAIRFHPDKNP DDPTAEAKFKRISEAYQVLSDPKLRKNYNEYGEENGVKPDGGFVDPEEFFKSSFGGDR FLDIIGELSIGRDMREAMETAEDGEEGEERSTETPEQKAEKEAQKEKSEAERNETRAA RVKTLSEKLVNKLCIYTESEPSITSAAAFTNIIQAEAEDLKHENYGIELLHAIGYTYT AKANQYLGKSVAFGLGGMFHTVREKGYIFSETVGTLRTALDLQSSFKELQLAEEKGLT DEEKAKLEEEAAQKGLQAIWRGSKMEVESVLREVCDTVLSDSTASKNTLKARAMGLKI IGTVYQKVKAD PHYBLDRAFT_187257 MPKEVKTKKAAKAAIPKSLDTFFGFTILPVLVAENTRHYMYLKK HESRNATELLPNNRSLFVLNLPVDTTDDHLRQLFGDSGTIKRIFYHNGVAADTVYDSD CSDEEEFGVVEASPEKKEKESKKSKKRKNLERRKEEEEAPIELRKVLHTGSSAHIVFA HTKMLEAALNTARDRVWTAGENVNVQSLGFDRYMLRFSVSRPDPAKLQQKVDSFMMKF KANEYEQERLALERMNQMDDDGFVVVTRNKKVKTSDGTTSVSTFKGESFDVNKVKKKE LENFYRFQLREKKQNELVELRKKFEEDKEKIAVLKQSRKFKPF PHYBLDRAFT_187258 MFRQQLSHLTKLTTSTLKYASTNTVTLLSMATSTEDPMPSQALI NFWIQETQRGLDAFFDDQFETAQIIFVQHVTESPFHAVGYAMIAYVEAMLGFEPEKIA NALQLIHTAEAMARQFGKRARRRSWKSKGSTTADDQESSNSISESCKDSAQKKRYFSS RNDQPIDIQYVLLETNCMLMSATIQFLRSSWIEYMKAAYKLRKAYKMYEHLFETLTGQ KVLEYAANLKGLRQQKLGPNFVPARRRSDAQGTEPANTDTVSLSSVMTTPAPHLWTEK RFGVFRHRKSNTDLGPLNRKKKSESSSRKQSSDPGETAIESGIFFGIGLFSLIFSLLP PKVNKILNTLGFHSSRPFAIHLLQESYYSQGLYSSLSALTLLAYYTNLSLFIHPQLLP RSFSLENARIILNEMKVRYTQGKIWKLLEGKLCKMEGRTRQGVEILRDARRRRQDPDM DSKHDPMVNELAQLQALAVYEMGWGQLFLGDYFQAAETFLRLERMNNWSRAFYHYIAT CCIFADEAYDKAATEFLKVPALLDRKRQLGARLLPNEIFAERKIKRWKEKVRELQSTD TNLQSCNSLDGQMLREVAVVHPLWELIYLWNGTSQLTDEILGSMKTRLRSTLLCPTPS SQCVQPSPSERAFLLLLLGVVERELGDFEASAEFLLASVAMEPQVEEDVWIIPYAMYE IAALRCFEPFTPTRAKEAREWIRRSEHFFSKRTTNEASNSNSNSSSTHTPQDQGDADW ESRLYVRCQLLLEKLDDMADT PHYBLDRAFT_146278 MLPSLQRNLFPALAVLILPCGYYAGVLLHEQERQARLLEQREAL AEERQALLQKIKLAKEETA PHYBLDRAFT_146280 MDINWKIFKKSPETLDIYTADAVVTYVPTGMGAKGKASIREFFL QKGFGPHQLHETVHSSVSSYNKRIEEVEWNVTFDKDNCSWLVPGLEQYVSNKSIVLPA VISAGFERNLISSIKVYWDQACVLQQLGLLQQPDWPIVSGKDQVNVLKSPTMPPLHGL SIESNETKTQFKHDEQSTYMPGRVFGPVKPEDQVSRSVLNVHPIRPKRNIFTYEPPPT RPMVAHNPSILASSIKFSQEDGPNHGSPANGRNVNGARANLLTSRSDATSNRRPAQQS RNIFAHQ PHYBLDRAFT_65104 MSTGFRHAPTSRFLVSVVGGYSVLTKVLEARLDTRLELPLTHHE LWRLFSTHWAFGSIGTTVIGTWLIYHMRVIERRYGTAKYTATISIITCTLLQTGALLL IGNSIGLKSIASGPYGVLFAILYQFHNQIPVCTRSERFGSLLNDKTYVYTAAISLFFS NGLASAIPCLCGLLVGAVYDANLGAIKEYRFPRWMSSFASRFVLPILNTSPVAGSSGS RTRPASSPAVSQRRGRETNRDLRQRRPHQQQEQQEQAAVVVPEEDINAMMSMFPEIGR QNVISALVQSHNDLNRAAEIILST PHYBLDRAFT_181700 MSKPATQENHPLTSRQPPQDGPEKREWIDEIAPDMEAYLKTPPS HGLTDSEVAERLALFGRNELQETKRNKLLHFLSFFTGAIAYLMELSVILTAVTSDWVD FGIILAMLIINAIIGYAEESKAESAIDALKQSLALKSKCWRNGKLVEVDATELVIGDI LVIRLGDIVPADARLLGLGATGEATEGDIQCDQSALTGESLPVRKRAGDIVYSSCIVK QGQQLAIVVRTGKHTFIGRAAGLITITTDAGNFQKVINYIGNFLIAASILLVSIIFIY NLVEQKLKNGEVTGQDVLNALKEMVVLTIAAIPVGLPTVMSVTMAVGAKQLAKKQVIV KRLTAVEEFASVSILCSDKTGTLTLNELTFDEPYLVNGHTKEDILLYSYLSSEIGTTD PIEFAIRTAAEQSHPLVDPLGSHKVQGYKVTSFMPFNPTEKMSQASVYEESTRNTFRV AKGAPQVIIELVGGDPEASRMVDNLASRGLRSLGVARTIADNKWILVGMFSLIDPPRH DSAETIRECAKYGITVKMVTGDQTVIAREVAHRLGMGTEILDASELVEPNQSDQVITQ RCIRADGFARVIPEHKYRVVELLQNEGYFVAMTGDGVNDAAALKKANVGIAVHGSTDA ARSASDIVLLTPGLAAIIDGIKTSRIIFQRLQSYALYRITSTLHFLVFFFVITLAEDW RMPPVFLILISVLNDAATLVMAVDNVSISLKPDRWRLELLMFLSCVLCLVLSMFSFAH FYIFRDVLKVTPGELATLMYLHISSAPHFVIFSTRIETYCWRNIPSWPFTLVVLGTQV IALFLSVYGVFGEDQDISGIGWPRGLIVLAISLGTFLVVDVVKVWTINLWNHAQTRRR SGKKNDKITRAKLFQQQHEMDWQNNDNNGNSGEPVYPRQPPPSY PHYBLDRAFT_146283 MDYISNCVFVDEAAFHINLKRSMAARTTATLDAILPPEILNIQL HRPTMPFKKILGSNVLAYLERLNNHEEFKEDYIVMDNVPVHTSKVIKRYITSRDYGCI YLPSYSPEFNPIEEFWSIVKIKPKIESLVGKRDDFQ PHYBLDRAFT_113043 MSSQNNKWIFSNPLTISHPDPRWRAAFVTFVDGERSALTKLRQT IRNLEDNFNHAHGYPYIIFSHEALSDEYKELASSLASGNVTFEHLGSDMYGYSNTTDR TKAAKARVDMKDVMFGESEDYRFQSRFMAGLIFRHPALKELDYYWRFEPGTEYVCPID FDPFQYMHANKKKFSFSMALYEYHEVMPTLYDTTLKFAQEHLKWIQPIESKNSLWDFI LDADSKDFNRCHFWSNFQIADLSFYRSEQFQTFFNYIDESGGFFYERWGDPVIHTLAA VMFLKKEEVHFWEDVGYRVANYFTHCPADKKLYSRCSCRPDKNFDYDGYSCLRFFKKP TLEEL PHYBLDRAFT_113284 MNYEKKREYYVTSVITYSHLYITINKAVAYRLIKDDLALDGNPA LNLATFVTTYMEDEAEKLMAENLSKNFIDYEEYPQSAELCNRCVNMIARLFNAPMDNP CFESLGCSTVGSSEAIILATLAMKRKWQLSRKAKGLSTENPNIVMGANCQVAWHKAVR YLEIEGREVECTADLLYMDPQKAVDLVDENTIGVCAILGSTYTGHYEDVKAINTLLEE KCEENGWDVGIHVDAASGGFVAPFVVPELEWDFRLSRVVSINVSGHKYGLTYAGVGWA IWRSPEYLPKDLIFNINYLGSDQASFTVNFSKGAAHVIAQYYVLIRLGRRGFQKIMSN LTDTADHLAERLKATGHFEILSEGGGRGLPLVAFRLSTPHHYDEFDIARRLRQRGWIV PAYTMAHELEHMKLLRVVVREDFSRSRCELLARDVVSVLKTLDLLDEKSIEAHR PHYBLDRAFT_169232 MAIFTFHISSYCCKYETNKHGKTVWEKMRAIVVKNFYKIWGFKG SLKGNTLIKPVYVYTSGPASEFIEIHEYFGELLNCFFSRWVRFFCVFGFAADLEAQEQ DMEHRWTLQGGNVGFIDWRYSICSSSISISINLSSHKPHMRFKSVKILRVKCSRDYQL YAQGHKNHGACKYMLSEMLLLQTIFLKSIYKKHLNREKLNLNATAADALIGSFQPIIS QI PHYBLDRAFT_155574 MSKGGGFLTPKSIANRIKAKGLTKLKFYCQVCEKACRDANGFKC HITSESHQRQMLLVAANPGRYIHSFSDQFKQDFLSVLSHSHGTKRMFANQVYQEYIAD RNHLHMNATRWTSLSEFVKHLGREGICHVEETERGWYITWIDNSPKALERQANSFLLL KKKRSS PHYBLDRAFT_155575 MGDNDQSTLSKLLAKPRVHFGSLEEVEAKRLKVSETATASSGID LDALAEAQDHDLSESSARAREADREVLEEFERRKRARQLAVPTDDVRVRQRLREINEP QCLFGEGPGDRRDRLRYLLSKQGVDVGSDSGSDSEGSEDENMEEFFTPGSIELLEARK WITSYSLPRAKARIEKQKVEMDIPLVQLRTGRKELQAKLKGYTNWASQMVDERPVAQC AFSPDSKMLVTGAWSGLCKLWSVPNCEPIMTFKGHTDRVGGVAFHPEATLSQSKSSVN LASGSADSLVHLWSLDKETPLATLKGHARRVARVAFHPSGRYLGSASFDGTWRLWDVE TTKELLLQEGHSKEVYAIAFQCDGSLVASGGLDAIGRVWDTRTGRSAMTLEGHVKDII GVDWSPNGYQLASASADNTVKIWDIRKLRNIYTITAHQSLVSDVKFSKGTSMSSDIAG LYLSTVGYDGCVKIWSGDDFRMIKSLEGHEGKVMGVDISNDGKYLASTGFDRTFKLWA DENMMLD PHYBLDRAFT_134099 MTDPALRKRRNYDENSLQNGSGINVDDYTDDTKKVNVSNLSPSK YSHLIGLSIVTIAGFFVTFYKIWYPAEVVFDEVHFGKFAGYYLRRTYFFDVHPPLAKM MLAAVGYMVGYDGHFEFDNIGDSYIENNVPYIALRALPASLNVFCIALMYSIMKESGY SVCTCFLTASLYLLDNAIVAQHRLIMLDSMLIFFMLATVYSYIRFRKVRHQEFSATWW AWLLATGVTMALTLSVKMVGLFLVAAIGICVIVDLWDLLDIKRGISIDHFIKHFYARA LGLIAVPAFIYMFWFYVHFAILVESGPGDTFMSSAFQETLKNSAIKMKSLDIHYNDDI RLMHKDTEKYLHSHDLEYPLRYDDGRISSQGQQVTAITVPDDNSWWRIKPTKEISEDE PVPIIHGDIVQLEHVGTETILLTHDVASPLMSTNEEVTTVDLDERYNETLFQVLFDDH NNGDIWQTYMKAVRLVHMDTKVAIWTHPKNLPDWGLGHQEVNGNKNLMDKSNYWVATE IDGLNATEINLEKKKEIKTIPFIFKFVELLGRMLSHNSGLTKPHPYQSNAISWPLLSR GISYWSKDDTKEQIYMTGNVFGWYLSMVGTIIYTGAIIIDTICRRRDVAWIEEPLRRR LIQSAGFFILLWLLHYIPFFAMGRALFLHHYLPAAACNYLLLGAVFQYLFITSVDSPT SDLRRNQSALEEIHPVGPAHAQTSTQAQPTTKTYIIATIILALQFACFVFLSPLTYGS PGLSIDEVQRRKVFSGWDLQFAK PHYBLDRAFT_187262 MSTKYSTLPDIDDQPDVYETPDVSEEAPAMSFDDQTSDYENENV VRSRVSVKDASARFANSVVITDNTDFSDRLTQRKKAMYRSYIRRPPALETDEYELLPK ELALEETSLQKYRRLVFEVQELNEQVEKQKEDTPAETKETATQDDLLAQISYLQSDLT RLNQSFGNTETEDGPTTYGKRIDEAKNLIKQLEAYKSLPLKNKESNETEDTVIVEKND KGDLVTYELFYTPETAKMQKQSQVSEIDERIAKIEKLVGTSAGQGPEDMPSGLASTTL INSMAKLEQQITILAQPRQLEMVARRIKVLNSELDRLNELKSGRKENTSSLNFSLHPT STTAGVQSATTSAEGQNKEAAGGLSNEAEEKVNHLFATMEKIDPLLNLTPALLTRLKA LQGLHTEASTFGRSVKVISDEQSQMTQELKSLSATCDTLNQSLAANEASINSNIKVID DRMTELVQRVAALSGAS PHYBLDRAFT_146291 MSHENAKTPSESPKESHFLICSVLFSLAEFDQDLSKLERCMDAL IAKKATCKRPFLEQQELGRENIQQGCGKINKLANVIDISSAQRGGKSTISLYDLKEAH IPLHSTLWKHF PHYBLDRAFT_65092 MSIISIIYQVIIGKSISILVNIFLCLETAARTLGRSAPRLMQMN ESLQNTIKVMKKARSNAKYEVVFSYYVSVWYKNCAQSSESFSRAILGFFLALARESRV MRSLQSTLGSPIRTPNSDQPIQPHNTLDITE PHYBLDRAFT_146293 MGCFGEIRSMNFSLYGQWLGLASIALLIALGIVDLTKHVVFSIV GWVIAFIIIMVEIPLCFKMCPTSPKFDTFVAYFENSYFRAAMYLVFAIVMFLSNLLHG ATPLIACAVCLLLAAISYGVAAVMGQAFASSSLIGGTGVDNVV PHYBLDRAFT_146294 MNQAAVPSTSVPGDSQATLPNGSDDSSSDPVVDDDQDDQGLHEY IPPFLVRSKSKQEREEARQEMSAKKDQKSEGLYAMFHRVYEKYSTSVLLENTASVARD HLANERTFLAWLRTSLSMITVGVAITQLYHLQPTNGSDFSPNPGRALGATFVVFSILF LYFANARYFHSQIAMTKGHFPASRGSVIFGSTCVLGVLIAMFVVILTQPH PHYBLDRAFT_146295 MCNSSSHAVSLPPKNINPAIDIPPLDMTGGQCTWCGKYGHKNPG CPLLK PHYBLDRAFT_146296 MSHLPDPIPPREPSSCSQTSTISDAPVSPMPVGIERMEALKNLG ATFEEGHRRVSKIATPTEEEVIPSFVDTKQVQQMANLSKHPAFSSVNIIPAHPQ PHYBLDRAFT_177667 MAAQLYKTASGRLFHAGAVAIITVGLPARGKTHLSRSLCRYMRW LGVSTKVFSVGNYRRERMGPVPNDYFDPTNHEAFEQRLHIADECLDDMIEWLKTGGGQ VSIYDGNNVTEDRRRAIHDKLVANDIHPLFIESICNKPEIVLANIRSVKISSPDYVGW DPESAVVDYKNRIEQHMANYETIADLTLPFVKLMNVGEQLIVNNVHGYLQSRVVYFLM NLHNRRRTIYFARAGGSVSPSSFKDDAELSPEGVEYAQKLEEFVVSYREQKQTGSGST EDQERQLVVWTSARKKGRQTAIPFVNAGIPVRQHSVLNQMNPGEVDGLTPEQIREKFP EEVISARANPYSHRYPRAESYHDLAIRLESVIMELEREKNDVLIIAHDTVLRCLYAYL FDRPESEIPTISIPRSCLIEITPTAYGCRESRIDIL PHYBLDRAFT_146298 MTPSSLSPHYAAQSPSGHNNRVHPNHPISSLRRVCERTRETYHK VAGSSVFNYQATPSVPKSFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_169242 MSRKYNVVVSLWKIFLSTNVNISVSTNGSCYEIRVIMSVVIIAF AVITHEMRTHHTVTKTPTSLFILGGFSNPNHTSFAQSYFPIAGHTTHWYSENLLVLFG QTLTNLGPPLKIINPNNKYNTNLISSSSSSSHPEPQSRMHHTSVLSNDTLWVIGGQTS DPTKAFADIWALSISKQRWTHITDLEKPITGHSSIQYKSWLISCFGVTSYMYSNQCTI FDTVQQIMIPTSIIPDKILGLGGIPRARTSTTMSLVNDRIVVFGGQNGDEFMSDVWQL DLKDAPQLAWNHMQSNLVEPRSGHVAVQLNSSLILYHGGQTSLHSLATRHLFLSLPMF TWTASESLEHYTKRSPIAADADNEIVHAYATDREPSANAKSGPGVGMIVGIVVAVLAF VGIAIGLLVWHRHRRVRGRRHPQSRATRFSLSPPPGSRQARYSSASIAPRLSMNSILA RQHSAGMEDFLIAKPEMAMTRASIINQAASRPTSLVSHRISSLLDRRQSLATSNPSVG DRRQSLMNSSVSLGLGTGGDRRQSQTILNQLGNNNSNTNTCSSANTNSNTSNMNLSNV PESSEMFVPPHIRGSDLHLPSLDQVCEQASQESLVLGSQHSTTEPDDRTDPPVVSKRR RRESKALKRLTLTIFSNRNSQGEDSPVSGSPSNKFLKRYTIASTPTSESPSPQAPKTS RRSSLFRLLQLPVPAASSASTSAGASSSYPSSASVYNKRSKGSMLDPRPSNVGSIGAK SVASVQWVEFNDAMDYKEQGDSRPVPLSVANPYRRSVFTTRSSLSLESSNHSAHSSCA NSPLSSPQSPTFVISPPSHYHLTMLETMSWGDELMQRQRQVQTRDDIPSTTTSKLRIT NDEPA PHYBLDRAFT_20680 MSSTLLWFQALLVFISLASVMAQNIKVEKTGFDRVPDKLFYFQG SQVALWFDAGARVLWRSSDQGKAWTRVTDIAENEPSFLYEHPFDNEKAYVIGKGKVHW KTVDRGASWQKFETPIEPAATFPHLSFHAERPNYVLFNGFKCNLGGWTGMDCHGEFYY TLNNFEETALLRSQATYCIWSLSSAAFETAPVKEVMCVEPAKKSGMASMLEYEELHLV QSEDFFKNEQAVNFGSTSEVRGVIAISAVNRFLVAAIKPKPSEPDMDLQISLDGENWH EAIFPVDAKLVHEKAYTIVESTGTSLLVDVLDSASSTVGTLYKSNSNGTFFVKSLENT NRNQLGIVDFERVQGVEGVMVANVVSNAAQVKAGSAKKALQTRMSFDDGATWSPIQKV YDAQGTLLKCSDGPDKCALHLHSVTTPRNNGQVFTSKAAVGVMMGVGSYNDHLLEYDE SDTFLSTDGGLNWKLVRMGAHKYEFGDMGALLVLVDDEKEIDHIWWSKNRGNTWERYD LGISIRARYLTTDPTSSSSKFLLIGSSRFSNERVQSFYIDLSGVFSHKCELIENNESK SDFERWFARDLTSGPDCLMGHEQMFYRRKADRDCYVGLDFQPPVVELKECPCTEADYE CDYNFVRDGDKCVRIGPDVITKDMCTSRNSVYPSSSGYRLIPGNTCNAEKGKKLDEPV DRRCGDNEDASYAQPPRPTQPMFDDEIDQFVYFKESPAMLLRLRNGELWSSGEHGIGW KHVLQDKGVIRSFIMHEFDFNRAYALTDETIYVTSNQGAQWEEIKVPLAPTKHIALAL DFHPLEKDWLLFIGDSTTVSSQSEMSSDCHSQAFYSQDQGRSWRPIATYVRSCLWGRG GTMENTDENSIFCEEYQEKKGNQRSFISNRLSFTSSNNYYSSSETLFDAIVGVAVFGK FMVIAAVSTFLFLPPSIFLHISMDGKTFAQAAFPASLNLSPEAFTIMESANSMWIHVS TNTHHGSEYGTIFTSNSNGTYFVASLENANRNEIGIVDFEKMQGIEGIALANRVSNPN QANMGDPKKLVTMKTADAGAHWFPLTAPQKDSKGKNYDNCKRGVDCNLHLHSYSERRN TRDLFSLSSAVGLMVGVGNVGPSLSTYRSGDMFLTRDAGKTWNEVYKGAHLWEFADQG ALLILVDDEDPTNVVKYTTNEGISWQEYAFTTPEKRLKVEDIITQPDGTSQKYVIFGT EYGSSNVVAYHIDFSALHPTKCKLDLSHPSDDDFELWSPEDTRGEACLFGRETKYYRR IQDRDCYIGEKLVQPREEVRNCACQQEDYEWQV PHYBLDRAFT_31026 MATSQLLPLELIDKCIGSQIWVVMKNDKEFTGKLLGFDDFVNMV LEDVTEYEKTPEGYKANTLEQILLNGNNICALIPGGAGPV PHYBLDRAFT_97172 QLPGGAITHDIYKWTEGVENEQAARRHRSQSVSLPRQIPGNHTL ANLKDPGGFRRHFVVDQAARQGKPPPNWMTRTFVDFLALYGHFGGEDLSDDEGDDRSH IPRDEELATETTPLVLNIQPNAVQGTATPAKAVFLLLKSFVGTGVMFLPKAFHNGGLA FSSAVLTLIASVSLYTFLLLVETRARVPVSFGDIGGALFGPFMRLAVLIAITLSQIGF VCAYMVFVAQNVQALVESVSQCDTRVPLSYLILGQIVIFVPLAMIRKIQKLSVFALIA DLFILIGLLYLYYFDLFTLAAKGVADVVWGINTTSFPLFIGTAVFTYEGVGLVIPITE SMKDPSKFPKVLAGTMVFITALFLSVGFISYLAFGSNVQTVILLNMPGTPTVNTIQGL YALAICLSIPLQLFPAIRIIETGLFSRSGKFNTVVKWEKNIFRFLTVLVCAAIAIAGS TDLDKFVSLIGSVCCVPLCFFFPPLFHLKAIANTFKQKAIDIIIIIFGLTAMIYTTGI TIVLWSAGGEALPISRCPS PHYBLDRAFT_5506 IMENQDSKQIFFFLLLNLSYMFVQLAYGVWTNSLGLISDAIHMF FDCLALGVGLFASVMGKWPSNAKYSYGYNRIETIAAYFNSVFLVLISISILVEAVLRL INPPEMSTQRLLLVSFVGLVVNLVGIFAFNHGHGHGHGVFLHIMADTLGSVGVIVSTL LIQWFGWTGFDPIASMFIAVLIILSVLPLIRQSAAVLMLELEDGVVNQVHGTLEELKK VQGIAGISLPRFWPNEAESLVGSVQIQVKDGVNTQDIRRKASELLMSHIDGLKEVCIQ VEFEN PHYBLDRAFT_159056 MTNYDTVVLDIEGTITPITFVKDILFPFVTQGLDSFLTRTWGSE DLNKHIAQLREQAKNDVENGIPEAVLIPTDGSPEKIKAAIKKNIEWQMAADRKIGALK AFQGYMWKEGYSSGELRGQVYDDVVPALNKWRDSGKKIYIYSSGSVPAQKLLVGYSDK GNIMEYFSGYFDTTIGLKTEVQSYVNIAKDISKDPKQILFVTDNIKEILAAHQAGFQV VISDRPGNALLGPESAAFQIVTAFDQIQ PHYBLDRAFT_187265 MGNANSSSKNSRKTPSENEQISQIIKATSHHATNGNLKNVPRFL KPLAKTEKTVQRHLTKKRNRKNSKLKHIAQLMPPTPIITKDMSVTPVSDIYPTSYKQK WIYERHFSTMEDVDRDRLLPTDSPELERNRIQSYIFNWAFSGNLLAPVENELIKGGVK VIDIGCGIGSWVVDMALAYPKSQYVGIDHCDIFLADIELPIDTKHNQDQVVNPTHLPQ LSLESKSEDENDELVEDPSDFHSEVLSPKSSQEMCDTPHEGNVIFQVSDVLEGLYYPD NTFTLVNVRQKSLVFTNNQWEKVMTELLRVTKPGGYIQILESEINLKIDNPSYIVKEG KRVYYPLLFRTVIHKLVLRGFNIDISSYLNQLLDCAGFIDIGTRYVSIPIGSWGFEIG IINTFILLSGTLWKQNLASLVESLKPSILPGIECTEAEWDLEWKTALQKMEDEMKFTN IHVAWARKPLENEEKSPHWENCDILNTRLSYLPEVYKTSYAKPGPENIITLESDKIST EVTDTLLGDVPSSLA PHYBLDRAFT_65082 MRFAKRQNITYQMIYRYKNNRTTPHKNNTILEKPSIYISYISTQ ESSSVLHILSLCFKISSPSLVLKIIDTFRAQSGFHRLVILGKSSPKNTRKFLELCGIA LRSAHKELNAPSALLADSKIPRTIFNELDGWSLPFLW PHYBLDRAFT_113141 NRTIVAASSAAITSVTAGFPFDSLKTRMQTHHYNSVLSCLKITF AEEGARGFFRGMIPPLVTVSIIKSVSFSIYENTKARLKEQGIKGDTLQSTFGLSMLSG GASGAFIALLSCPLELVKIQRQLEYVAMKEQAALGVVTGGPVRSSSLYAAQQIVSRKG ILGLWTGVRLHSGRDTLGTSIYFGSYESAKFIISGGNSAASNPLTHFMAGGCCGVLSW LVVFPVDLVKSVIQKDVLKSKVQGFRECASDIVRREGIRGLYKGLSITLIRAFPIHSL NFLVYEQVLRKIPPL PHYBLDRAFT_169250 MTPSEITRKEVLDSVKKALLLEHGRGITSLKKLQESVLENFTPI RQLCHIDQHLNRVPVKYIQHCIEHDDSQQFELSNNELQVRLAFLDQEQQGFETDPYGA IAVDSEEYSPELIKYENPTTLKPSTHFRPYQVSFLNITNALEDRTVSSNQSIDTDTEI STTKSKRIPVTPVYYEDGKFFCDDFAGDYARKDRPAMLIPKYDRLNIDPLCSESFKYT RFSTKPFSNGKTCWNCDSEDHELSKCPEQRNAEKIRKNKTLYNDSHETSGRLHIELTE YNKLSSLKPGKLSDSLQEALGIKGTNYEPQFYDRMRIYGYPPGYKGLASKKGVSQLSI SKESVLFENTPLLNIYDDEFNGEDKETQSKKDVLSTSQDDVDTSKEKDTSEELVELVV YPGLNIKEKKHTVQQIPQWEQPGMPDFYRMEPNTHQYYQQFHNEAMQDTYSMYDQRLW PHDQYNLGGQAPYPYSYPPYNEQYAHPEMYYGYNQPLDQYNDYQPGNYFPGPPTNHYQ ADQPFPEVQQPPYAPQALAYPTNVQQPVPPVLPKAPMSNKEDSVKSEDEDMEISDDED PHYBLDRAFT_65079 MDAVEAKRDLPYYFVGHQRTGLLVAGLVPAGITIIVCTLVIISH FSMRFYRPEVANRVSLHLIVTACLLTIIYSIINTTSEKIHGGNLACRIYAYFLIATDT MSCTCLAMVGVNLVLIFIVNVNRPWRFEKYYYILIGIVGVIVIVMPLAISSSPENVVE TCWYHYYFIGRFTRYFNWMWYYGWLLLSSAVAAICAFLALRKFSREQHNFASIINMRQ SNETQAPRRPVNPRQKIIQKNTALFRKVASRCICYPLVPIISKAAGIAVEISLMRKVH IPYSVFVLERITSRIMISFIYFTDPAVREAISDLFKLAVRYYVEDYYCMYFIPEQDEN GKMLNFWVKNPYSVTLHCIPEPIEDMRASASMGYTTLKKDTEKNKKYSLIGLEGLRRS ITADQTHKSRKKNSSTLEFNHHNSFRKRYSYVHPLVRLVIVDGKYVCSQHSYKETKYI ANISTDPMALGDEINHVQSRLTFMSRLQMVFTWCTSARKKYAYRKVPMYRVRPAQYLK DGNESNESSLLYEKERWRSGSDYIGRASFQFFRYPFLARVLHWILIHIFRTESPGNIN PAFDRDVGNTPGTHLPSIHSSEEVDGNPNIGLFENANSFAESRNDSNLDNIATDSIHP LDSVIISDPAEVLNNDQSYFPSTSLTGELMGTAKEFSKNSPAIDNNPKHEPKSYFDFQ PARNSLNCVGNPNPPKNRLKPLVIDTPRLYPNTEYIQSPTNERPQTTEYTKSPRISKY ARNLSFGDVSPILRDRSISSQNMRLNSTKSKGSSWSIMDALNLSRNKPDSKHSSIDDT VSGRESNRSQSGDTAKSKDNQIPEKHPFSKRLRASFKISESRQAQSIDDYPPARSSSS TESLRLYSPLPEVADSQKLGERKEWSSALSPPPRSHFIRNQTCSKQMKDNVTAEVSNK SLFFPFGNNTSQGSTVRIYSPIGSPGHTGESRPEKTMSFNDMVGGLEECHSRVVHVSN WQHDDDSGRLTVGYETWDADNALEEYANNLASLIHRA PHYBLDRAFT_146309 MTLRVLEFYSGIGGMHYAAELAEWNHEILKAFDINTVANEIYRH NFGAKLRLIETLSIEYYSDIAADVWTMSPPCQPYTRIGLKQGSQDGRAKSFLHLLDVL KGMKNRPKYILVENVKGFEESDSRDMLVDTLGQCDYAFQEFMLTPLQLGIPNSRMRYY LLAKLKPLTFALNPTNSILGYVPFSAHMSSEFVDSRTRVISNEEQLIERTSVEIEPLS NYLDPLADITPYIVPDKVLAKHSHVFDIVKPDSRRRYSHYAEATGSVLQMNTDADAAI AKANGETENQLDLLHTLQLRYFAPREVANLMGFPKSFTFPDTSSLKQRYRTLGNSINV RLVSELMRYLLKENTTV PHYBLDRAFT_77942 MFYSPAYVVKYQIYLLIESSEFHFGIHEMSESSVREWFSLKILI YIAFRIVNFPTKVNCWYCNENSYLTYYTTGIKKDWKCSYCENHNVFDEQGEIVDTFAA MYDPSLNRPAVPHHNLNPIIKTPYKLCNTCARNQAWICDKVREFIKEPNDPDYKRSLE EADIYKAALVKKYRLCKDCQLAATNALDEQRKMMRRWWLGVLHKRLPNYRFAIKPATR TYLQHGALWVTLHAWTILLCCFAYSNTSRPEPEGTIRERVLSDLMNCKDEFLNILQAI TYMWYRPQEEIGNYIYYVLEWVIDELDFSIRFGTRVLACVASLDNKSKENNCVWKSEI PVLLHIIGCVLSIWIFWHPWVSRLRYQYDFRLKNWKFYKNTQRFIHVCRLALLILARY ASNATVHFAMKAARICIPCVLVVSILSVKILPVGGWPSNKLGFIPNTGNKFISEPIDD EPEDVIKKTEAKKTPVVLSPSVSNYEPMEVDDMEERYDIEQKLRQGKAQDDETLAHGV KFGLCLE PHYBLDRAFT_169254 MSQTDKESIHSHNKDELNQVSVMSVTSADSHGMSQLLEGVILNH CAFDGDSLKSESMDKKENKNDDIAIKRQDHVVPIKPIILSETDIKPINDDKLADYSLK SNDNQSISYEPENFSLRNIRNTSGNSIDADLAHNSTAGKDDLQDIPTIKPLNETKLNM ERFFGDIFGDGSEKFVGFQTASRKALPPISLQAKRRALAIFTEEQQPLDNETTKKHTT LPKNTYSSHISTATIFPKVNSPINPPKVYCDEANSRNPGEKGSPKQNTHPPAVIQNVF HDITNNSPRKIYHDQEKLFKPVTEVQLKSGFSTGGGKAIKPISEKAYRDAVLKFKQTE EATVIVNKSKPKKLTDPPYVGFRTGTGRIASDVSEEAKRRAETILSNDRELGPVSHNQ SLSVTTTEKKANSLKDIKLNNNKRQRPEDSLKNPLATNVLQGRLLNKRRIIDSTVKAK PFKSPVINSKLAITKAAVYKKGSPVTSIKGQPVFNLQFCVGIGQYIAPGPRWCISKLG RPIQYSRDTLLELGISIDILDMSASKAEQYMFGDWGPDQALGELLEAGAMQKFLSKGW VTNHYSCIVWKFACMIRSYPNEFRDWWTPKKVIEQLLYRYEREINMGQSSVLKRIIEQ DDVATKHMVLAISDIVDLGNNSYMLKLTDGWYQINAMIDTHMKQSIANGKLKIGQKLS ICGAQLCGANGPKSPLQADSSTYLSISTNGCLPAAWDKKLGYHPNKIPIRPLSSLFED GGMVTMLDIIVCRKYPLLRTETFPNGEKITRGAQEEDSTIIRRGFGEQSLHKNRQDFG SLESSTQSRRNYTDNNSELFQDQQDAPDRKVSSYFKMTVCDYSCTFATKQDTGMATLT FSNANEIHHMDINEGSRYKVFFLTPYLSKAKWASGLHFTTSRNTRWEVVPGPNKLISF YEPREVTQCDSVDYMHHLSEADMVVFVLHVGEGVKERVVMGQPTWSQTLLVTDETRAL CKINRRSTTRPLVDIKGKVICLKNLRYEAYDKKYRIPHLGTSNESETFLETPKSKYLH EAAQSLKRWVNSHPEEVRNLQTRVNEIMNISY PHYBLDRAFT_134106 MFARQLIRSSAITTAFTKPGLTSARTFSYKAKSFVKYDWEDPLN LNSLLTEEEQLVRDSVRDYCQENLQPRVLNAYRNEKFDREIMSEMGELGFLGSTIEGY GCAGVSSVAYGLTAREVERVDSGYRSAMSVQSSLVMHPIYAYGTDAQKEKYLPDLAKG VKVGCFGLTEPNHGSDPSGMETTAKKVNGHYVLNGSKTWITNSPIADVLIVWAKNLDE GGAIRGFILEKGMAGLEAPAIKGKFSLRASITGMIMMDNVEVPVDNMLPNVKGLKGPF GCLNNARYGIAWGALGSAEFCLAQARQYTMERKQFARPLAANQLIQKKLADANTEIAI GLQACVQVGRLKDAGELAPEMISMIKRNSCGKAISIARECRDMLGGNGISDEYHIIRH AANLEAVNTYEGTNDVHALILGKAITGIPAFS PHYBLDRAFT_113216 MASNAAYRRRASLGCDLRRPDEVTISLPSIGNASSLDSTSTELS ANSRDVIIGDQWLVLAKIGWGSFGEVFKVQDIHDGMRYAVKRESHDVRNPQLKHESLV YDALFPGPGIPRCHWYGQHDDFDCIVIDLLGPSLKQLRESVADMPIDIVADLGCQMIT IFEHIHHRGILYRDVKPENFLFPASCTVPKVYAVDFGLAAWWRNSTTHKPYPEARKPI RNKTGTARYASLNVHHGKAHARRDDMESLGYVLLDLARGSLPWTGIQARNPKIGWDTI GTLKEEASLQDVCAGLPSGFLKFIEYTRQLRFTEQPDYNFLRRLMR PHYBLDRAFT_181711 MLSTPSIPITLSASSSRSTRVIGQLNETWEKIQKEVATAKSQYE ALCAAKQQNENDAIAFAESNTVYRANIQQLMQVLESKQQVLDNTKESSQELEAEVKRL KDEAMASRRQLEDLRKKEQVLEQDRDRAVAAKEQVQRQYDVLRESLVGLDSKCERQVA GLKHSLGSVHLQMKQMMEDTHVATEWLENEIQNHAAERAHSAQVLEEVHSKMNEADKV YVDEIQQELQQLLDNLTLHSSHNEDWKQAVEQCRGQVSGLVHRIREYSAEETQQKSHI ATQVS PHYBLDRAFT_146316 MSSDEDTRPTHNEPTFNYSDDGGELSDLEDEQYSDGGHNDDGRG HLGNVHDDLPPEPVAASIHKLPSFKKRDRNISAEESVRLEQVRKELRDQKAHIVENDE PEEIDPQQALRDEIDQHFARALASGKKKRKKVDEDDLERSMDEELANMRDAMKSAAEY DAVSNGQRKPAVAKLKMLSQVTSMLTNKHLQDSILDNQLLDSIRLWLEPLPDRSLPSL DIQTEMLDILEKLPIGSDHLRESGVGKIVYFYTKSPRVEMRIRRKADQLVAKWSRLVI KRSENYRERRHELREYTQDDRQKYRPDPVEEEGDEKRLHVRIPQAVAADYDIAPQSTV RVDKVKSRPDNSSFRRLKNTMRAIKTGPKRSTPKVSIEGKNLGT PHYBLDRAFT_134112 MKHLAAYLLLVAGGNTKPSTEDIKTLLGSVGVEAETQRLASLLK ALEGKTVAEVIAEGSSKLASVSTGGAAAAAGGAAAGAASEEAAAEEAVEEKEESDDDM GFGLFD PHYBLDRAFT_169261 MKIYFLFIGLLLGFETVFAIYNNREEFPDIDKSYIPLRYAYDDV IQPADMNTLSRIPLDENTTAVFRFTQRGESVTYNLYLEGYHAKSLCISWIVGVSYVDS SDPAKCLYKPTSKCMDSVESGKCVYGTKDLGDCHSGDGIEGTAVHKSTNATSMLVKSG NSTLLSLIDDYDSSISKRSIMVNYFFYGQKAPKRACGVLLLGAVKEETYTPLNSGIRV APVYITGVAFLLAYLILLC PHYBLDRAFT_65068 MAQENSYTSSISNTVLMKQIALLLTLLIIVQGIFASSYKRWVAP TLGDPYIPQGALSGYGTGIPVENLTALARVPLGGNTTAVFIFKLTGDTIFYDLYLEGF SSKSDCISWTVGINHVMSYTPANQKTCIFNIREPAECRASLGVSGIYINETPQVVVLQ LVWRLVISIPLRNALCWFHILLMIRLFRNTFVRILSSVQAQ PHYBLDRAFT_169263 MTDCLGSMLCVHYIKHANLINQCYPDREGEKGPRSSELSYLLFY ASNRPVKLTKVGSYLQRKVERDIRKGRRQNNQVSLDILKALIQECHSDLNLFSKYIIT ILTIILDTRDIDLIDLTCETFIVFCDYHDGTTLGVDQDFTTDFEALIGKFAAFCNYTN ADDSVVLRMRYTGYRALQACVTSNALHVSDMNVQLDLIIPPLLTCLSTSAEPIKDLVQ VEGSIDIRRSAKDIELNQFIVERLAAQTLSMFYNKPNGPAIRLSLAPVFRFLDGNDMW WPRQFAVSLMEFILNSLQPQHRYLLVSEVLQQLETPKAARYKDASLAAILDVVLGDPI GLVGISVLEVLNAIFGVLIQSIRSHGFREHKPEKTDTQGIYEFTIHRSLVHCIGGLAS HTYYQNQQKDMIGYIISKLRVSTTLDTINEMPLETYRRVALVCLDRLLCKSTDEVSLD TWMPALGLFSDKISSTRLAFANTMTRFLSTNLAKNDSKEVFPDYSLRAQQDTIFIHNL HKALLEWSLLTNLTPSDTVAMYRLLCTITRRFGVGGTIQSIPFVFELQKYVQEKKIKT PHLQRTIAAVLVEYLCMVGVFHGIPGLVTCMDAIRQERMVEKEYTTVVWIEAPEEKSV VEFDEVELKNKTPVSHFIDRPKVVELISTDGRLRDKEDTHGLDLEKKLHAVWGSEALM PHEPVFRISVPKDFEEIKPKLEKPWESPCRDAIEPEEKQSIKVENLKEVLVAQLQANE NERDTDSIQSVPTAIPVIRSGKDSRKDMRLLLTELNIVPNAQRALVCPDMQRTKPLKE KFVELTQRLFQREHAYTEDPSGFWDVYLLLDVNADCIANIIETMSAEALLACNVNLTL LFEACVDHMDHEQSTSEKMRQLHAMQILACLFRALFAKKRLSYFNIIHLLTRLDKADA VFGALIQRIQRLLLQPSTRSWALVLTLVIVSGNDHVNQSNLNGYFMHHSIVSTLMEII RDLQANPMQQQDAMMIWSLLSNYNKYEIRNEYLDQLHTCKDTHVLETMMNILQDMLNM MQKYEVKIKYETLKDDEETVPKAMVTFMTRWFSPTHTLPPDIDLPASLEDLPSSESAF LLILYELVCHNPHFIVILARASVSKSSPAEPTLVGSILSFSTYLFQHNRNPRSFLYTR LILLVLLRLSEEDTFLNSMRNETAIMQIRLCRQRPPPLPRIKNPRLFFVIVLDSLLLY IKHNMRKKLDMSTYKVSFSVMHRVLCYLRKHKMRLEYHWMLLWPVLTSMLHFIVAHLE ELREREDFGPFLSLFICIINLYITHGETFIADTKSYDTLFYEIIRATDDFNALSQSVN RGSPTKNRGDSNGRSNAVSYSDFGNIRLICNHFNPALEEWQTSHHVKSLTPNQVMNII NENYATLDLAPMDKLESFSIYNEVPAEMGFFRQVLRTVVNDYSKTIVPRLQ PHYBLDRAFT_169264 MRNQAVLYIVYELFGYYTQYCVVVDKDKGALHQSQTYGTEEKIL LKRLAHGPYLHNSAYFLLKKSIDMFLSLGWTASYFRDNVWSVLHSPFSNSKLRKHYKK VLNIIKSVNYQLGEK PHYBLDRAFT_169265 MHAVIAIEAGALTNLYQECLTLGSIVASYLLKTNYLPVTRYSDL YIGSGDIGTFVVSVGTVDYINEFSLSNKAMNDEFTMGNVLTSLIEYNQKNIFKCDYRE LKSNHRILLYE PHYBLDRAFT_134113 MASRLLSNTFPVKASLTKQFVRPLATAAKQAVATRTTVLPNGLT VATEENSQAGAATVGVWIDAGSRSENVNGTASFLENAAVKLTHKEKKKSQASAFEKLG GILRSNTTRDQTFFAAKTLGANAAASVEIISNIIQTPVSSSAVAASRADVLKQLAEID SNHEQVVFDHLYATAFQGSSLSRPTAGVPESVESVTAEELAAYQKTNYAADRMVLVGS GDISHEALVKLAEQHFGAINPGQAVESKKPYFTGSEIRLRDDLMPQARVAIAVEGAAA LSEDYFNLLVMQAVIGSWDRTLGAAAHLSSRLSTIVNKNHLANSFASFTKGHKDTGLF GIYFESENREQIDDFVHFLQNEWVRLSTSVTAGEIERAKQQVKAGLLLNLDTTCSIAG DIGSQILTNGKRLTGDEIKSTINKITAADVRRTANKYLWDQEVAVVGLGPIEGLTDYN RVRGNMAYNR PHYBLDRAFT_177671 MAKLRRDSCEMEMKMVNKDYDTSPATDIEQDRYNFAYDYSEGSI ISAEEKVLIRKLDMFVMPIICIIDFIQYLDKATINYAGVFGIQKDLNLQGSEFSFLGS IFYLGFLIFQLPNNYFLQRLPLSRYIGSLVLLWGTSLLCTAFATNFSQAAALRFLLGL FEAGIYPCLTLLISTFYRRSEQITRLSAFWIFNGLGFIVGGFITYGIGRMENLHGLAQ WKWIMFILGGLTCLIGIAVFFFLIDHPKSPYLNLNAEQEILVEERMRDNAVMRTRNIK KEHIFEALKDVRCWCFCFACTLLNLQNGGMTVYSSQITVAFGYTVSYQDLLNLTVLST VGIGAADILYIVLAVYFVKKTNQTIYVACATMFINNIGLLLLLVIPVPKLKLIGLYLG WTYPAAYVLILTSITNNISGYTKKIFFNGLFMVFYTIGNFAGPYVASSSPAPLYLGAM IGYIVANFGVIGFLLLARWRMATVNARRLSQPSTMATNVEDDLTDVQDPNFIYRL PHYBLDRAFT_169269 MTGFYKMNLLEQSDFFVNSKFSFVIILVVTASVAAGGKETTSGN TPIRSVIWDVERPIAKSTDCSLINQDSQLNITLDTIKKFTLTEFALIKIPAGVNFKTL LTLR PHYBLDRAFT_20510 MASATTGSFDEQGNKAHTVSAAERALVRKLDFIYVMPCIAVLNF LQFFDKSALNYSSVLGIIVDTGLHGQQFSWLGSIFYLGYLLYQPINAYLLQRVPLAKY LGTLICFWGAVLALTSLGKNFSQLAALRFLLGFFEAGVYPCCIMLISIMYRRTEQAGR IGAVYICNGIAMAVGGLISYGIGHMVNVGGKAPWQWIMIILGCITTLFGVVFFVCLVD SPTSRFLRLTPEQEDIVKARTLDNAVVYTKEIKKAHIIESLKEPRFWLLAFASLLINL QNGALTTFNSIITVSFGFSSLNAILLSIPSGVIDCIYIAGAVYINRRYGHTLLTCAGL LTMSTIGLILLVSIPSGPPKLAGLYLCWAYAAAYVMLLTSIANNVAGYTKKIFYSSGI MVFYTIGNFAGPQMMVAKQAPRYLGGMIGYMAANVICICLLLIARHLMIKSNRERLAN PSAAVVDINDDLTDRENPHYIYRI PHYBLDRAFT_181719 MARQYAQRFATASHLLRFGPSETLLPSITCLRPKWSANRLLTLN TPSQQQKSIHYATPLTETPLFLTSSGNNRSKVPLQSLTQSRNLTSSNTAHDTPPTGEL SQEEPQTTTEGFNFFAYASWHAKNRAPKEKQKESKTPYWKRSKVGKVDAGEDAFFHTY TPKGMALGVADGVGGWADVGVDPALFSWTLMNNAAVVAKAENPVDAHHILDSAFNQLR VGGKVPAGSSTACILNLCKVTGQMTTCNVGDSAFLLIRDQKVVYESPSQQHYFNCPYQ LTVVPDTYPDRDNYVTDMPKDGDQKSFFLKDGDIIILATDGYFDNVYSSETLALVNST MSRLTDATEEDEVVTTVRGLVKTLTDTARRLSLDPKRLSPWARAAQAHGSHYRGGKVD DITCIVTLVKGVHPDPTPQDN PHYBLDRAFT_146327 MPILENTVSDASMLTVQIRSPALQETLSLRIERDASVHSLKMHI QDVHPQKPMASEQRIIHSGKLLNDSDFLRDVLAKTDANTIPTFHLVVKSSLSTSSHKP PPIDESQSTLEQNDAPHYSGIPSVAPATLPLHTQTSFTGLPPLIPGGYQVVAINGQYY LAPVLVPATTFPTHPTWAQPSTGYGQPMYHNASQPRPQVQPAVQPQPNPAAPGPAEDQ RAVARNRIVIRNTTSIWLALKLIFILFIVCQGASLERIVFFHIIAFGFFLYQTGRLRF VVQRVRAEDPAANRRAVPVQPRQQNGGVPSPRAPTTEEPTDSQPVLPEEPMSSVEIFK RCAYLFMVSLWPDYDHDPNAAQAFENAM PHYBLDRAFT_169273 MQLVLDSTLYRVLFQYNAARTYTLGLSLFVANNGILTMDLRHEQ VFILYNYLLELLNIITILNTIMRLVLLLLASSINFGISHASFEKRQSVQPIPIPGPNP GNRPVSPILESSAVTYLNFDENTKATMIFWQLQDYIEYDFYIEVSKSDGACISWDARF IKEGDGSPLSCESMKSVECETSISLDVCRVGPTGFGKCSSPIGKTGIDLKVYYDQRRI RIDSGRSTEFNLHPGDPLSIAGLSIVAAYSPKDNSSQKLACGIVQLGQGTFGNFTIGT SESENPEAPENSDVSGTTNDGRVNNITGITSVLLLVAMLYYVEF PHYBLDRAFT_65055 MYILKGIQKCYEILYTNISSNVVNAGFTQATMTILLVLIYLFFS LQTVLAAISGGNTTTFDPTPEVDSVDEINPASSNYTAGDDSTDGTTISPIDITDIISS NGGIHAVQGRQAIARVIVDRNTTAVVLFEQDGDSVKYDLFVVLSGSSDGCISWDAAIA PTLNPGSNECPIFTDTTCLKTLKPDICAAGPFGFGECGTGQGKAGIKLGKHEVHSNTP IYSGRTNMFNLLDNDPYTVIGRSVMIAFTPHPGAKQRISCGITQLGEGEVNNFFPFPD SSGSRKHTSLSEIFSILLLVAAFYFVYV PHYBLDRAFT_169276 MNALLLLLYLFYSVQTAVCVVDKRQDGRPAGLPPAVPDIPSASA YVSLENGVSTLFQFKQYSENFEYSLQFDSPNAEGSCLSWAIGFPSNDNGTNLCTFLEN PSCMALIKKDMCKTGIRGFGFCGQVLGSGGILLDGYDDQHQLTIDSGRGTGLNILSKD SHNVVGKSLMIIYSPKNGAPQQVACGNIQKDKSALINPDIPQSSASIKNILHISSTIL VGVVLYFISS PHYBLDRAFT_146331 MFPVPLIGTSRSANQSFEFLQHHVVLRQQPRRAKMSISNERERR PIEPAPILQLQWPKINLQSPFYFMIVSLINATDPTNSLLPSHDYFSGSTVSSLHQLKD VDNTSTTSSGGFFVFGDLAVKQEGRYKLQFNLFEIVNNKALSRFITVSDVFTVYSARS FGSPERSTFLSRIFSDQGIKLRIYKERRLKTVSKQKLDYFRETQIDKLKLVSQWSRAT RDTFDRYSNISNDVYFGRWQSVLLNPAKSSRSNTWSTKRSKECSITENNSADRAPKTN ITMHSIVLPPQPTEVSLMSTPQFSISPTETCSSLEQPKDCFQPYISPMLLNTADTQTP DQYLPSLQHILEKPYMSSSLVLPAPFSANPLPLAHCFGFVENFSR PHYBLDRAFT_134122 MSWYQKQIKLAAYPKGCHLVHQEIVSQVPEIKQYKVGMANIFLQ HTSASLMLNENCDPDVRTDMDMALDRVCPDNLPYIHTDEGPDDMPGHLKTGMIGVSLN IPITNGKLNTGTWQGIYLCEHRIYGGSRKIVVTLQGEKK PHYBLDRAFT_101252 RYSLERLPLSISHWLGYRSPIKVYKPPPLWRVWIWSFVGAWIGI CVLEVVFLYGSSFEKRHVPMLIGSFGASSVLLYGAIEAPLSQPRNVVGGHLIGSLVGV ILCTLLQRLPNFDSVDQQEAVRWVAGATAVALTVVLMQITKTMHPPAGATAVLAVVQD NVVDIGWLYIGVVILSAVLQLVIALLVNNIERRYPLYWWSPPLPQPPKNQLNKQ PHYBLDRAFT_113218 MAPFNRPASPYGRPGSSNSVRSKVEEELYEMAGIDYDKVTIKRN PSVAVLYEEALTYEQGTVISSAGALCAYSGKKTGRSPKDKRIVDEPTSTKDIWWGPVN TKMSDEVFLINRERAIDYLNTRPRLYVFDGYAGWDPKYRIKVRVVASRAYHLLFMHNM LIRPTEAELENFGTPDFTIFNAGEFPANRYTTGMTSTTSVSVNFKRAEMVILGSEYAG EMKKGIFTVMHYLMPKAGVLSLHSSANEGPKGDVSLFFGLSGTGKTTLSADPKRMLIG DDEHCWSDNGVFNIEGGCYAKCIDLSGEKEPDIFNAIRFGAILENVVLDEESRVVDYS DDFLTENTRCAYPIYHIPNAKIPCVGSHPKNIILLTCDAFGVLPPVSRLTAEQAMYHF ISGYTTKVPGTEDGILEPQATFSACFGAPFLVLHPQRYASMLAKKMSEHKADAWLINT GWIGGSAATAKRCPLKYTRAILDSIHSGALAKGKFEKFEVFGLDIPTAVAGVPAEMLH PRKAWQGTPAQFTTSLKNVAKMFVSNFETYKSEAAPETLAAAPKI PHYBLDRAFT_6945 MDTFIGHIKTPQDALIIFEACRRGQLNRVQRRLSSKERVHITSG SVFAWDEREAGVRRWTDGRTWSPSRVLGSFLTYRELNTKHRRRHLSISSSISSSSSSS SSLSSSSSSLNKANHASQYPRSSAGCSYKPNGLIKQSFSICTVNNQKIHLISYYTKAD VASGRLMQPSHHPQLSKISIPKGYYPELNP PHYBLDRAFT_159064 MSHQDGYWGPTTSSVDWCEENYVHSYYIAEFWNTISSLAMVTMG LLGFSLHHNSLGLKISTSYLFIVVVGIGSVLFHGTLQFEYQMWDEVPMVWTASYLLWV LLSDQGYQYGLAIGIYCGLATYLTSQFKGSIQFYLFQTSFGVVMWSCFWLVWKLYKGV QNKQVSRLFRQGTQCLVLAILVWLFDTNLCFVFDSLPNPQLHAWWHILMSASLYLFFA GCGHESMRLHGKEPMIEYWGIVPFVSNKS PHYBLDRAFT_146338 MFAKSSRFLNLKKFACQIRSKVSLTAMDASNSSPIKRPTPILIL ETNAPKGWSAEWQNRLSQLGYMSTVAHLSITDKHLGPDERLNMYYKELSDCTKSLSFF PPLFIGHDYESWRVCQKYVSNKPVSGLVLLEPKHSTKTDLPSSEFEPRFPIALVSSLP NSTPPAFLEGWIDHETVNEDNEMFKRMITWMDDVGM PHYBLDRAFT_146339 MSTPSLKDGRATTALEIGPGIFRSKESKQSVHQRKISLSSACPP TGARLPKAHSRYFYWPEFFSLDKLLSLLSTSSSSSSTATTTTPTPTRNWIRKLVRTRA VRYLGWAYVLFSICIATDSVNPLTAQPQSSSPDSAIISPDLIPPDNPSLLNTLSPRLR LSKMLSKSLVKSEHIRPYWFLSDATPAQDALTLATVMTLDQWSDLVHIANLWQGPISV TLQVPTEVGLSPSAIVGRLLRIKDEYEAEAVLKKHVDIHLVLRPTQENQPSLGGFQEA RNIARLFSRSEFVVHVPIKTQWISDLAKSVQTYASLLRDGDLLVVPTFAHPAVANIDD INGLSAANSAAAAAAAAIQAHAPQGFDWPFDKETLLDQVEEGRIGLLDYHWGLNEGPT SYEEWQAADTPYLVTDYSYHYGPVYISTRKGHPWCEERFEDALPACIYSAYLAGADLW VLPNDYILRTGQEPENTLSGEARKIQLQLYKNYRIEQCVFYARKFDQSQEFGSERSSH IKQECTKVIGSLRKQEMISKKP PHYBLDRAFT_134125 MAASSAVAQTAMQRFINSPAGPKTIHFWAPLAKWGLVIATIGDL ERPVEKLSLKQNASLMVTGLLWSRYAMVIIPKNWSLFAVNAFVAGTAMVQTGRILQYQ QTDAYKQQEKQAVAA PHYBLDRAFT_159066 MWKEDFTHYLKDRQKIAKNLKKMMKSILRQKLVMAEAKDLMLFG MQLYKNTIHLYCLTMPIIGFYCFVEVMSYPIPTNPATYPKDLPRYVKNLFKTLKLVIH GADVLQRYMTSFEYYSSDETISDDNSPLSPPFISPQKTGKRKGISKTSTTDSNIDNKR KQTSSML PHYBLDRAFT_169287 MAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKNIIKEIKKETQFAEKQ EPLEEAKTTNFAKKQEPLEEAEKYSSGIKRPKHLQDDYWYDLPSPKKQNKNVHDFALP AQIDQAAISLTFNPKSDVWCGFRVFAHLKEGGEDQFPLVKKMLATMATHGKLYEHNFG MDVAEVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIADTYNEPVCVYSDDRS VLPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHVHRSWPKTSDYTGWGQGV THRNDGCLPDKSYNTSLFVVSPRHAQEPDSAVLSGGHMPSSTTCTRLLSVLSPIFCLG LLDHFVGSGDPVLPTLLKKYLRVGRIIPATNEIWAAPKSAKWIYIIVLALIDSLMPLK LEVL PHYBLDRAFT_187285 MLAVVIYSVNVERADCGNSSAVVIYSANVERADCGNSSAVVIYS ANVERADCGNSSGNSPYFYLMLAVVIYSANVERADCGNSSVVIYSANVERADCGNSSV VIYSANVERADCGNSSVVIYSANVERADCGNSSVVIYSANVERADCGNSSVVIYSANV KRADCGNISGNSPYFYLMLAVVIYSANAGYVGYGNSSGKILPLAYIVKQVLFKFRNYN PHYBLDRAFT_125360 MPTRLHHNRKKRGHVSAGHGRVGKHRKHPGGRGLAGGQHHHRIN MDKYHPGYFGKVGMRHFHLKQNQYWRPIVNLDSLWSLAGEGVREKYKNTEKVPVIDAL QHGYGKVLAKGDISQAAIVRTRFVSRRAEEKIKAAGGVVELIA PHYBLDRAFT_55962 MEAVQTFGRKKTATAVAHCKRGRGLVRVNGSPLALLEPEILKFK VYEVILLLGEERFSNVDIRIRVNGGGHTSQIYAIRQALAKAIVAFYQKYVDEASKKEI KDILVQYDRTLLVADPRRCEPKKFGGPGARARFQKSYR PHYBLDRAFT_169291 MSQPLMSHAIAYTHQPPTVQEVARRYVQWRDEDVGLLLTDLEQP GHYEKWKENKSSYSKRVADEVFSKLMYHEAIKFKVRWLESRFRRLDDQLRAITNEQTR ADTKSKLLKEFRYYDRCKNIFSVDSTDTGDADDSSSKPETDAEKTTQLTTSFTLPFGV PSETVETIPTSDPMMVNTPVPNSQATTRQSTPYGIIHPETSRTQLNTSHAVPSKRKKS QRSVENMEEERRLKYVELELESKRMEHDERMQGMKLEQLRLEIELQKLKSGTSLD PHYBLDRAFT_65038 MNRDKRQTGSDSYSCFQPSSLAFAITRSTITIRTIKVGKNCING QHKLYILSNQSRLLSRTIDNAQCMFDQQQTNIHGDIGRGIESYTKQQGLVQQTLICYG R PHYBLDRAFT_177674 MPDTSFEFPLMHGFPPFYTRQPTEATWESQIGQWSQVILNYARH HRIFKLDLQQSTSPGGSDLFENTKIKRRLSFETLQEIIQAMTVQGTAEWEHGPKGPKD HAYIFWRRPEEWATLIWEWVNTNGLNNTVVTLYEITEGDLSEGQEFYGIDSQVLIMAI KVLVKRGDAQLLEGNEGYMGVKFFG PHYBLDRAFT_181731 MSFKPMDPQEPKTDETNHRIMTRRVSRSAQSRSPVLESKDGLRE MDRLTPSSSPEPVAGRVATRSASTDSSLPDIAETRKRRRKTQTKKRQPAKQVRDDTDR ETRHTAQRMTKEAKEAKKAQREIIIKSRLSELEKLERAVKNGSHPDYLQLLRDIEAKR DEKRHRAEARHELIEKGIRKMILAQEKIAYDQYHLDKLALRRTMIQQMQQKINTLEQE YHSRTVQGKPYFATPDVNDWLDWVPPERPSNISLTLIRLLFDDLRSLTLGLSPDCIDE DLALAHGDAVSPEPSHVLPFSLGRSSPTGRATSPIHSLASLVDRQDTHHLHPHHRYLS DSLTSTQPL PHYBLDRAFT_169294 MSTGVAVSDECIDLYSEFKLRKKYKYIIFKLADDNKEIIVEKKA ETGNYEDFLECLPSDEPRYAVYDFEYEKPGEGQRSKITFYAWIPDTSKVRQKMLYAAS KDALRKKLVGLAIEIQGTDLSEVDYDAVLEKASRSN PHYBLDRAFT_20633 MVPQAPKVPKTVTQKENARRLTVILEGATLETLKIGKNKEGHYQ LLNVDDHLHILKKAGRETYEARPDITHQCLLTLLDSPLNKAGLLQVFIHTQKNVLIEV NPHIRIPRTFKRFAGLMVQLLHKLSIRAVNGNEKLLRVVENPVTKYLPTNSYKIALSW DAPTVRLSEYMPTIPADKNIVVAIGAMAHGTDDFADSYVDDKIGVSDYSLSASVACGK IACAVEELWGIL PHYBLDRAFT_77918 MPSNAHGSISIAATMNTDSSLWTPIANHTKNMSIANKQGYKPLN PADALVYLELVKKTYADKPAVYQNLIEIMKDFKNKRTDIVKVIETITVLFKDYPFLII GFNPFLPPGYRVECNQTENGLVVQTSLPMGQTKTTTILPTDTANSLQQKHQKQPMSLQ DAFAYTTKLKERFGEDSDTYRSFVGILRKFQKKQVSTEQVYWSICKLFKDSEDLFKLF IEFLPPLESTTEPRCTSDQHKKRAEESLDDLPQASEALLLLSSKHDHPSQPPISDLRS TSPTPQNVLANDEERFFERARKHIGNKTTFNAFLKVINLFNQQIIDENLAAHRAEAFL GGNPSLFQSFKALLNYNEPDHSLLYAYAQAASPEDKKYECGPSYRAASLSWRKQQCSG RDPLCWEVLNTDYVSHPTWASEDSGFTASKKNQYEEALHVIEEARYAYDMDIQANTTT VDHLKKVTETLSTMTEKKQKAYRLPPDFGGPSPSIYSCAIKKVYGNEKGQDMIKLLQT DPAQTIPGVLKRLVHTGEVLQKGKRQTELWKEAEIKNYYRALDHQGINFKKKDKKANS VKRLVSEIEALRLEQATKQQREPTDGYNDLPDPQYTFIFEDQAVLKDVIHILLFVLER NLLYGAKDCNRIRGFLSLFLPTLFDIDLFNEDTQSHQKATENTRQSEIEGETRTGRGG RAGKTEITGRAERACRGKRGEMGKMGEKGEREETHHLTTTFVSDPTQSRRPSFFGNSG FYCFVRLFQMVYTRLLDIKALDLEYKRNVNQAKYATTAEFDVGLKTREMENIKLDFTS DHYTLLLDLIEKLLTGEIEQHVFEGSARYIFGTRAHPVFTIDKLLISMIRQIYPLVND ERVEKLLGLFQNYAKLAYPVHEKLSYFRMKVEKIMGVNEDLYCAIYNPKERALSLQLL RRSSSVYQDDGDDEYEEYCASYMDWRHLSEGIKEGQLMPCYLERNRKQGLQDCDMENM YTFSGMKYKMCRNTYHLFYLIGSEDVFQRHVCYKDLGSYSLAPLENRVSQGIEIPLKE VEARVRKLLG PHYBLDRAFT_146352 MSSVQLYVWSPALDAPSIDPKCIVAEAYLRMLNKPYTVVHSNDP QTSPTGELPLLKDGAVWIAGLDRIIAHLTKKGINGNSNLNPEQKADSLAYNSLVQEKL YDIMLFTWYADMTNFVKSIRPIYGQILSFPSRYLVPIQLKKNAQARLAKYNIEIIGDD VELPQNEVEEMKELQRTGWHHMYQLARDTYGTLDKKLGDQKYMFGDEPSTLDCVVFGY LALHLYPNLAHRRLQHILKQEYPRLAQYCDRFKDTYFAEDQTLSSPAADIPSTWSTLL SNPRSFFSVVKDEFKPEDLSQNKEKKKSDEEIDFERKRVWSIAGGVTFLFAYVIFNGI VSVELED PHYBLDRAFT_187292 MLIKEFRVINNCTEAEYQVAQLYATAMASKEQTGGGEGVEVLKN EPYEKEDGEKGQYTYKIFRLASRVPSFVRAVAPTGSLDLYEEAWNAYPHCRTVLKNGW MKDNFSIVYETQHVNNSRGELPNALNIPEADLKKREIVMIDVANDKIDAKDYKPEEDP KLVKSVKTGRGPLSEPDWQKKCEPVMTCYKLVYIEFKWFGLQTKVESFIAKAIHNLFI KFHRQLFCWTDSWYGMSIQDIRDLEAQIKKDLDVKINEGAPVTLDK PHYBLDRAFT_134148 MAPKTDKPVVAGKAPAKVVEKKVKADGKKKRVTRKETYSSYVYK VLKQVHPDTGISNKAMSILNSFVNDIFERIATEASKLASYNKRSTISSREIQTAVRLI LPGELAKHAVSEGTKAVTKYTSSK PHYBLDRAFT_74800 MSGGKSGGKSAAGAKSQTRSAKAGLQFPVGRIHRLLRRGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKSRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHATLLPTKTKKAGVSQEV PHYBLDRAFT_134155 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G PHYBLDRAFT_187296 MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQEASEAYLV SLFEDTNLAAIHAKRVTIQPKDIQLARRLRGTKKFSSQLLCDLCKEKFKLKNKKYVEV LSFRQLLKRKYLFQLTDYL PHYBLDRAFT_169303 MRDFIGLRYSELTKDFRTCEGQQSSTLHRIRPIPTKISVKTMII PTHFQITVILSSSIVFFSEVIVESILYCFQEFLIFSLCCCFFTASVPIVVNSRYYYNF WAIT PHYBLDRAFT_177681 MSGGKSGGKSAAGAKSQTRSAKAGLQFPVGRIHRLLRRGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKSRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHATLLPTKTKKAGVSQEV PHYBLDRAFT_65024 MGGLTNAFLGLELRNSLVQHFMASECNLSSKKIRLDNKIPRHGH GLTNYAFIDEFVFHINSKRSYEWALKARAPVTVVPVTRARSATIMGAMAIHGVVKITV RKPNPPSNKKRQHAEDGKKKMGTGTNTDHYV PHYBLDRAFT_134166 MSTKNNAISNPTTTTTTTTTSTIISASTVVVPKANRTTGKTRVN KPIDGKKKRAVRKETYSSYVYKVLKQVHPDTGISNKAMSILNSFVNDIFERIATEASK LAAYSKRSTISSREIQTAVRLILPGELAKHAVSEGTKAVTKYTSSK PHYBLDRAFT_77911 MPYNPPRPPMHPVPSTPSARPAQPPHQPQHHHFQPRELYDSAPF DSRFPPSFNHRHPPHDQHQQRFQPHDQQPRFPSPQQQHYRRRSRSPPMSNSPGGFRRG ERGAPHWQSSPSNDRYERDEPRDRMDRRDDRFNHRHNNDFDHSRRFDDRSDRRSQEPS PPNINVVLRGLPDNAQERDIQRKLESMEASIDEVTLIRSRETGESRQFAFVRFTSVGH AVQFFERYFPYFFMGEYRVRIDYCHKNGVKDNKLEWRCQKCKKFNEDYRRVCVECRAP YESSTAQTRSNETESIEINDGSKDVSFTPSSMILIRNLDNLTTEETLFQALKEFEGLH RVLLIRDKLAKMSCEYGFAEFKSAQLAAKAIESMGDEFNVDRRTVKISYANPDSFLPV YVASEWAVRADVPDGLWAYGDRHSYACEYSEALVQERLKKEKEQQELEAKRLREEEEE RTKKLEEAMKPKEKESLEDDLDAFYADMGDFLSDEKDGSTDIFSVPKAK PHYBLDRAFT_65021 MNISMMLWKLRARICKRSLWSFTINSLIYSDDSQPAVFQKRNVV ICLQGVIDCLHSTFIRFSHTKILIILKKSPPHSNIDQLKKISNKLQKIKDIIELNFYY YYYCYGSLVLVKILNFENETPIKKYIRVFRFHEYKSDVYPRILNKSSI PHYBLDRAFT_169308 MPKYDLLSQEEGEDRSFFLLLLLYNICAISLSFDRSMISTIVSV LETWALRQKGDEVLLATWYPDLKYHAVELTFIPVLMFMLLIYSAWKLVRSKTSYESLL LAFDPPKETSLEEKISLGILIGSWTMTFVQRWIKSDLLHLLLPCFMSQILLIIVILYP NKRSVIPTMLFNIYLYTQWGGLAALLFPDLRDHILPGETINFVIEHLIILIAPLFLIK SGRYLILPSSRDVYMFSFAIYIMYNCPFVQCLGLFLDINLNYLQVPPPAPIIEIMGPY YRPALYVIAAINMYITRYILVDGVIPLLQKDSILRTKKAE PHYBLDRAFT_169310 MFTSRPTTANTMKINLVSEHGTTSDQTFYCPGSVIQGSVSLSFS KKVDPTRVRLVFEASEGINLSTATPGAVSNRLNNLFRVQSTLWEKNESDQLTESDYTF PFNIQLPMVQFPPSMDEITYRCVYRLTAYLDLSKSRQGIITLAQKKINFVPFIETAIL KSPLTEEITRNGIRMVTKLTSLNYVSGDKIPISLRFSTAKNKPVSLSIKLSQIINFIT DEEYPLEKTTMVSSSRVLAAGTTYHETCLEIPSDTSPTFDYGRMISVSYILKITMGHK YCAGLLQSSEVSVELPIHIGTLGCGIRPPDDLKMYTIFLKSSSARSSDSTSDFGLEPI PPPRFLKLVEYENALPVYTPERLPDYSSVRSGVHV PHYBLDRAFT_169311 MSKTSKGSTAPAENTIKDITEYCEEYQEHIEKLKKKKKEKVDYE NRTRLIQLMCDRLRERSLVDKLLVSVFGPNANHSISERDLVLDYAGLTNDPNDFKKKA FRKILKTPFRVNKNIEKVYCCQFASK PHYBLDRAFT_159083 MFRFNAHDRRLERSMEVALMLMEAFRGFEHKFAYRIVGHSGDGA NIEFVKPGNYPKTEKEEFEILSKMRAHSQYCLSGDNTLGAASHSVKEIVKEEGDDHFV VILSDANIAQYNIHPDDIARVLKSDDRVTAQMIFIGSLQDQAEQLKKALGSNAHMCVE NKELPKIMKALFLASMIKS PHYBLDRAFT_169313 MPKDIYCPYNNIKIKQKRPKRAAFPTATVQNDKISKRFQKESQT FKKSMQQTKRFTDHHQSIRTEAPLSHTRGSPFPLSKNTTKATTTTTTTISNPNPNPNP NKKTNTKTTTNSLKSSSYQPTLATKACVRTPSAPPPPPPSNSRSRSYPYSHSHSHSTF VPSKRHAWSVFGFNSPEEEYSETNRRTSILRSIREAGVCPQIPSKRIKRRQVTSLSQQ HQQREPEQQPSPISSVSPIFKPVNSSDNKPLPSPQPSPCIEKEKAPPIDSPLITSPIE QKIFTTDYIKTLYTLMTNNESQETESLNNLHPLTLSCVATKKEQKEKRVVKLEKEEEE EEYEEEEEEEDNEKDRSRLCDEYDSSFTTPNKLHIPLLHDGPVSKQISSDKKALEREY WRRQRRVFLRTRFITDKEATYIARKVYHNTTISSNYRFITSFLSNMANYRTKWRKGLK RIAKKLSVSKEQVIDHSMLDSIWKYWITDPYVDPDLFYSNNDSVARLAAITVCAVYFT LRVMHGHMKEGQYRKALRELDSFGMTCSISLPDPTLNEEQY PHYBLDRAFT_181745 MVQSFNISGIVNAFRVIWNPSLAIPHIIVNDVRNINFGTLKGKG GVQAIGFDKDNCLTAPYVSQIHQPFEEAWIECKNTFGVDNVAIVSNSAGTNDDKDYTD NLIFTSLSNFAFRLCAKKLEQSLGVPVLRHSEKKPLGGRELQKHFGQTSPRQTVFVGD RIFTDVLFGNINGNLTIWTSKIVTEQGDNKAAALLRRMEYRLIKFLQMMGVQAPEHPI IQTTKDKNLFIK PHYBLDRAFT_38732 MSLPSWAVAVITIGAAAILAVSIDYLISLLTRESFNPAGRNCFI TGGSSGLGKALAEELVKGGADVCIVARRTEELEKAVKEIEQDMLRAFDTAEVKMGRNP DFVCCCAGASYPKFFLDSTLDEVDHLINLNYLGQAYAAHVIENGKIVFVSSMLGILSF AGYATYSPTKYAIRGLADTLRNELKQYGIGVHIFFPGGIESPGFDTENLTKPEVTKAI EGANTPQTSKECAKSLMKGLHTGNYMIMTDFIGEILRAVSRGVSPSNNFILDSILSII GQPIASGYAIYMDYLVKSLNKPKTK PHYBLDRAFT_169316 MTPPTSSITKPRRQELDAFIRDQIICQWEKDATYGEISKALDIP KSTVGNVVKAFRDKGVSKPLTRLEREPKVTKRTQSAMVHSFHSELFVSIVAQHQRLVD VGISICMTTFRKLEEDQCFVWSFSVPQKNVLIRPATALEDYQYDKRTSVGKRVKFQPG FPVSHEIVGVVKDIIKHGFDLCINNNNTNTNFIPACLDASMCFNTSDCAQLASDTYIR PVCAYSDSPNTTSVSFLPFAHPKNISKQQQSLIFNHVNNNDWTTLDNLV PHYBLDRAFT_169317 MAYKITYTSQEIFFAVGPHNPYTVAHQPTNTTGNSSSIAACIND CRLHGCFITDKYSSNSKKYDDKNNIIDDYFNDDTTNNYVLSAVNEYLESAVNGKMNID NFGNLEGFILNTDTNNQSNSGFHPFPDLQSMVLFELIDSDNDMLSQRMVKKILAAMNL IIKIYAETPTGKVFKLSCLDNLMNYQTRKGNKTSVLPSLHQEIQVPNNTEHIYLNLPS THFEFLMSNAKKCDLIASLPDHTPGQAISLQQGEKWRNHPLFQQPMFTVNSVNIWAGD ILYLKANNPMLRFLVESFHTANSHIYARGYMIRIISDKCHGVEIAATDINIELIDHVN NNRLEEACYLSISLQYTATLCPVHYTLLFTQHPMKQQIPNAPANTFYKVMIVPLILFT DDTSGNLLKQYNPYESWLMKCAGLAFRDRLSIENIQFIATVPKKNGAKGVSILPVMVC DLKALEKGVVMFCEKENQYVLVIAPVLWIEADTPCHSELCRLSGSTSLYPYRKCYVCI HRLKDSLPAKEYCTEPHKRRTQEHYVLANFMLDRTTIIPNAPTDRCNLPAKDLSFKDR STGSLLELDAFNPSRDMPVEILPTILLGIEKYMVIHLMNNDYKCSTRLSRKFTRNINR SGSYVGRDFKVLLQILSVILVTDFSNEPILDRIKPCFVELGCLCSLVFVREVTSGFDD YLVGVDLSVRRLLGQLHDYNTFKRAEVKEKNKTAKIKKKYTAFCTKPKIHNLTHMKDD IQ PHYBLDRAFT_146372 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFVLLSDSAVINTHS QQLYLIPTFEKQEDRFSALL PHYBLDRAFT_65009 MIGIYKAGITIPEIFRSEGIPVKSLRSIIKKYKKTGFVKDKPRS ERSKCLDSRGKHHLDRLIRSDQRQSLLNIARDVRNFTSHYISENTSKWKSLLMEKGWQ EASHRLCSTKRVGPLAVVKGTINAKVYVKIMETVFKSYYYVLSNNGTKIYMFQEDNVP SHTANISKKCRKELGLDVLDWPSRSPDLSPIENIWNI PHYBLDRAFT_146375 MPTSNNSLEFVMDKHCEMVSHSSSADQTQFSGIAFDPLCDFNQE IVDGMHGEVVLQSVTDVFVNHADMEWTRTSARNPAVSNLLSLKSFLPTEVNEATTKTI VAQKPKTNLPEKYNQNQVNKLFSLVFSENQTAAAAARETGINVRTAQNYVRLAREKIQ ANFDAATVETDESNRLETMEVEEFFENKPDAILEQARIAVMEEFSGLQITKSAIQKHL VKKCALTMKKLEKLPEKRDDVNTIEMRQERILEWQQLADFNYLSNCVFIDEAGFNMHI KRTFDHSVSGTLAKTTVPTQRGVSITILGAMCKRGIVSLSLKKPTAAATKKKRKLDIY TNVEVNGRIGTRTQHYLDFLSHTMDVLDSQGMQGRYLIMDNAPIHKADEVKDFISSGG YKCAYLPVYSPFLNPIEEM PHYBLDRAFT_146376 MSSEHLNGKSPVLFAQTDLSRMDASANTQADTSLQAIGRICNVV ATCSTALADAIEQNLLKERKNQIGQTITTMEGNLTILISVHGHLLRSSLPSAEQETRQ SHVVPRELPIFQWHVNKWDTTQKVYSSIEECLDKFENVLHLYSLDLNVDWHHLLPIVL SREQHFWYDNYLCSSPELPWSFACDAFICVYSINDLECQIQLTHELMLMRMRAIESVT DNMQTTIAYTATLLPELARQVSLLQVNMPREKRDTIHKMASLARLIYNTVSHTPTHPS SAHSSGFSCETTPSCECSSSKKCLLHGKNNYDTEDCHILKTALATKGGNQMEKTPHIN KYFFGFCVWLFLEICPHFAICSAHAVTSDNTPLEASSSEDDQFMVIDFEQCSPYTGAT ISSVNTKLCSKFGWSIIPCKGKIVLATSNAIAHRLEVTKPIKVFYNNKHITHSFEVLD LAENIDVSIGTDLMPSLGIHLLGLAESWYGSNTPQTPTPIAEIEKPNNSPARTPTEHS QFMKALLPFIKANEDIPITLFCIVKESIVQLPTPPDEFGNLTGKRPCLDLRAINTLLS DDRFPLPLISELFHRCGISGTKQ PHYBLDRAFT_169320 MCSTLMGLEPTTFRFEPYNGSTCVVDNVTVKPFLVIDTGRCVPS AVWPGTLSNEKAIHNIFLSTQTHTLDCHCIKCHNSHQKSSYAAKCTETHRNKRARVED TRIFENTAMRNMDVDTEDRKKTNKLLYSIFVLIPTSRSNSVEAIDSQANLPFLDTASM FDNDRDNNDFDDNVEDEVNEIEIEDFNSEDPFAASDMPENEVYQFIAIFTVLFASRYV IDKGAAVLIEFINNLLRIYDQDFQLPTSLASLQKMIGFSAITKGI PHYBLDRAFT_169321 MAPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIAPRPENI SIPVSEFNDVVSLLATLNDKMTAVSSNVSELKVQCQVGAQSTGMQAVLDSDMDPQDII SSSRHPKISSIIRGRLRDINLKTDDLELIRENDDKPTWDVNIGLSDEFNKNLASDLML YIRCQPVAAMVPPKELCGIIVNSYYNRLAASKLTEEDRQTNTTSNRRGNRKTALNKRR KRTYTKHKDAVTEKFNWDYNGVFYRDAMSGDETETDTSVVASRPDWRSDEIMFYIKWF FCCLIPDLARDDLGKRATQLKSRSHVLVHETIPHGSVTKMPTWSKRV PHYBLDRAFT_102026 NLPREERNKAENVILVGLMPGPKEASTDEINNYLRPLVDELMLL YKGITIDTYNCSGALVRAALLMVACDIPAARKTCGFTSHNSTCACYKCNCQFARVDGT TAVNYFGLKFLEWVGRTKEENRRHANLWKNAKTLIERKQLEIENGVCWSELHRLVYFE PVRATIIDPMHNLFLGTAK PHYBLDRAFT_146380 MFSIANPNEVRCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAEVNTGEADMDIDQIEEHIEYDNYSIGAPSPEQYVNTHLPLSVEESL FETEEYTSEYESEYESSDEFEQEEQNREQEQESTENLPENIWH PHYBLDRAFT_169325 MAYITLMIFFSLTKYEDVVEVHQCKLIKAVTQYSVHQALESRGC IGQFKRENKEFVLAITSVKCRLWDITGVDFHLMIATFKVKAGEMGGSMESFQAFIDSW PHYBLDRAFT_169326 MTVMSNKDKEKCDAIIKKVLFKIGDHVKLMHKGCFGLELRFKGP FVVMNKNEAYGTYQLKTMEGKPLTSWVHIDHLYKTHGDAPQDTWYNPNASCSAWRTAM RLLDLEIPNDTVDPVASPDDVGACGRSQSQEGGNVRVDISEQNQ PHYBLDRAFT_146382 MFLVGASGARFPKTPGGPLLSLETRHSVDVNVHESGTTRHSSPP DQTTKGIHRPVSRTRD PHYBLDRAFT_159085 MYAEATQLIPGANMHTERRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_159086 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINEELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_71593 MCETYKHYSICKWRVLQIYLSILDTGQERSKMDYIMYIALMKQI KGLSLQAGSTGWRFLRHVTFMTHQNEMKKSALPENLASTMTNTRCKRAIALRSVEITN QQLMEILSTVRVDMSTIKEQISNAEQTLTSMYGRIGALATTSTNTISAIDSLARVPLA APVRVELTIAAPVVISNHESTCEKSNAVYMSTYSQPYPRWDTNVAFNKSPNREIAEQL LSNLEYRFGSSSMRWSDLQKRLHTNFTSRTRRERMSDDEIAETNALTQRAARADNNEC HYVLIYKDNKEAIDLLMLRDCANTLQKAVMSDGESADEMDKDGIKHVIYIVQPDWRSN EID PHYBLDRAFT_71592 MSVPPHCVFTNVGAHSPCGCELMKEATSNALVLKQAYLYQSLKQ ALSVLFLRPGFEEQICHWNTELKIVNTMCDIYNKAMWKKLKDASGILFVACPHSLMLI LNIDWFQLFNGVSYSSGAIYLVFKPENTILVGLMPGPKEPRCEEIDNYLKLMVDKIKQ LYIGMRVPTYECPSGANVSHNSTCACYKYTTQFLRLPNTNQVDFSRFDYSLWIICSGV GNRLHAEEWKSTSTPSERHQLEVEYGVKWLQLQRLGYFDLVRGTIIDPMHSLFLGTPK RMMDQWVDKKTIGAKEFATMEKIAETMVLPRDYTKLISKIGKGFPYMKADDWKSWVLP SIAFDKVKSAHDYLEMFCKKATKLYTPTILTCNIHLHLHLCETIRDFGPVYGYWLFGF ERYNGLLKHIKTNEKDSFEATYMRSFIQNAFKDDYVNAVLKSSSYVLFFNIFSKLSPK VIPTTTVITLSSHPFRLQSFLLALSNPHLPPKAKKIITDG PHYBLDRAFT_146386 MFPSIQMYNTDCHCTRCNNNDQGVSQVLRYTVQRHNKRVRFEAE KRSMGVDTENGQANSPILDTVSIFDNDVFVGNNYNGNESNMTDDNDSNDNSKEDTAKI YVEEFNNEDPFAASGMLENPVHRFIAIFTVLFASRYVVNKSSVVLIEFINELLKIYGQ DF PHYBLDRAFT_169332 MLIVLIFPVRTKTRTTRTTRTRTTEARTRPTKTGASRETDIHQV DLYGLELLNELGESILNEGVLGCRALGREGNKLRDQASSRPTIFFFNRLHLESLMDQL IPETGQTSLLYLVGNLRAESSQQNANLLGLIGQGNQTKELLEVEGHLTDTLTRTLPRF FNLCPPDALHLLRGKLFFEFSGEHVPGSNLGLVYSPPFGRHATEMGDSHQDGLLVGHP NTFLQTVHVQDPGE PHYBLDRAFT_146388 MQQSIIEQSSLEHIAKSVKRAQLTEYPDQLGKNEAQKYNLLLQI LYEQDWKARCKEVSQGQPLPPSVPLSDYDLIVKRLYLKTLDHMVKHDIIDKGYPVASK EWKNIPEKNREYYMIHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQMHKRRMAE KNKIKTGDVESPIMADVLSSPLTASVEPAHKRSQRS PHYBLDRAFT_169334 MNREKKQRKKNLLVVFWGRPDNVPNGLVVWVVDEASNPLDRLHI HHLHHTRCQAIPRREKILVHTLNIRKTVDGRKESGHSVDSLGEEFKNKSLPFKNINEL PHYBLDRAFT_169335 MTSTAFFGLDEMHLLGHGTGQQLYVALGGKFCPTINDSGRNAHG IHLQDRLKHHRYPFALDVSLEDINIAICASRANIPANFTGMWRSLKELNGKRKTVDWI DFLLFVVPTIVINHFVFDRTKAAVMKIVTACRIAQQWRITAANIQEAEEAIGHWHASL HCEIKEKRLKPTVFVINQHMLVHFDYMMWEMGPLQAYSCRPIKRTIGVYSVAIKSRKK PGKNMENLLLRKAEINHCLGCQPVICVTNDRRTSNFEVASNDVAGPQLWSRPTGSSLA ELAAAIGIECQDLIRSLVPFWAREGIVSFEENDEVVCANKMWKDLVVYRVRSSVDNRH G PHYBLDRAFT_169336 MNIKDLLNNASETRTSGYMLDDSFFVKCSNRIEDCIIAQACEKS NNRNKVATTAPMDFDKFLAAGNSNHNEAESMDTNDVQVKSVKEINENIDYISDNDYGY LRVRNPYRVYNAPVDHVTDYEHFVPTHVSNSRAQAVSLELFSMFFENNISHEDYDKCI KIVNKYMAELRFTKVDSLLSYYRVNTLLKEKYPVKSIAYDMCINGCCWFSTVEEGDFI DKDETCPHCGEDRYKVERVSVKPAQTFQIVPLLEQLWFKLAHPEEWAKMTYGTRCLAG RCEDICEDIFDGDVVGRLLDCGVVSMFVDQFNPFKNAKMSSSVIYVINLNIYPKERYK TGNMMQLAIIPGPNHPKDIASFLELVLDDLRNLGANDLQFQTDSGLVIAKVHLVMATE NTSAVSDLMNLAHHNAHHG PHYBLDRAFT_74140 MMMLLPATGNCDIKRPSPHGKPEGWNQSTKCFKGVLATHFTGGD LDNAELIGRNIAIPSWVSLADDQKTIMSSSLEENAALKNIALHRFKNSWGALLILSHK PHYBLDRAFT_71588 MSSEQTTSNSPVLTAQSDLSMMEAPVNNQVINHADRTLQAIDRL REMLATCSSALADAIEHNMPEENKNSIRQTIAATEGDLVMLISAHGHLVRSSPVQAEQ TAPQSRLVPRELPVFQWQGNEWDHTQKVYASIEECLDKFEDVLHSYSMDLNVDWHRLL PIVLSREQRSWFDNYLRSSPELPWSFARDAFIKAYGINDLERRVQLTHELMLMRMRTT ESVSNYTDRYQRVRREADVADNMQAAIAYTSTLLPELARQVSLLQVNMPREKRDTIDK AASLARSIYSTVFLTARSNEPVARAHTLGSEQVSRTSSSSSAGRSSGSSRENAQSRER STHKRCSLHGKGNHDTEDCRILKNALATKGGNRVEKAPHANKYVGSAPCRWCGEVWSH KHRCSSVSGSSSSSGSASGSPRGSAPHFAVRSIHTVTNDSTPSDASSSEDDQSMVMDF EQCSTCKYTSTHTDHNKRSTHSYLVPISLEEEKLWALVDTGATISSVSTKICSKLGWP TIPRNGKIVLATNNAIAHRLGVTKPIKVFYNNKHIIHSFEVLDLAENIDVSIGTDLMP SLGIHLLGLAESWYGSNTPQTPTPIAEIEKPNNAPAGTPTEHSQFMKALLPFIKANEA IPITSFCTVKESIVRLPTPPGQVVYRRQYPIALQLVPLMAKHINQWLTDGTIQRAPMS LVIPQASVLA PHYBLDRAFT_169339 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDISNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYDSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVI PHYBLDRAFT_146395 MPNTQKNNSHGPYNVLSDLTKEKILGLQLAGNNVASISAKLNVP KSTSSGRPQILTPRDKRRIVSNLKKDRWSMLDDLVDDASADTG PHYBLDRAFT_71583 MINHGETVDALLKSKSSVKGHEYDVCSSGCQLYGINDDQESCVD CGKLRYKTDPNQSQAPAASMKLMSVRDMLSQMLTDLATRELLYYRANRESVAGQLTNI FDGDNYKQLVQQGLFSNPNDIAIGLYTNGFHNGVEHCRSKVYLLLTSDDIPAVADMAH IGSHTSLFGCRFCETKGKCPTNRRHGMYFDDISTQLRPLEDFKVGNPCFRPVQHYLVH IPYIIKQQGPLQCYSTCSMERVIGVFSKLIKSKSKGGRNASFLVKQFAIHNYTNMAIS ICDEINLIRPKPYGRESYMDLPNDPSSAQLWEPFHQFVNLNNDLVEGVGGPSVKEALL KYYRRTTGLTGHEFGDSVVVVAARLWMDLTSQSTCTLGYQTQPTYATISENDICHQVG LVQYPPNGNQFYIIVPYYIFNNNMRITKGNLFIL PHYBLDRAFT_71582 MNNQTECTKKITKISINRYKNIYNTTHAIIVYKSVKNDTSQVDI TKKVGISKDIVKCVLQSYKKTGSHMAVPRPGRSLKLNERDKREIMLQINHDPTQPMST IARTLSTPVPVKTLRKFFHKAGIYSQKMILKPKILEINHKNVGVTIMTSTTTLSQYEY VLICDKSNTRVSGSPQNICTGKQQIGIPSSDLMSQRSSSTEVMGTGVFSGQMARALSP TSSHQL PHYBLDRAFT_169342 MAYPEKMAQMSSHPDLTESQQLNLNQSTKWKENPMFQTLIITSE GAFQVYSGHGTMQSYFENAYFWSCGESTNFAVSLLKYTIEVNKILSIVKKNSNLFLRY NLSVSSYLSEIVYDTLVGIQSQLWLNTFFVERFKIRLPRGGLMKMVICLLNSYSDDTS ENSSKQYNKYNSYLTHFAVMPLEVRNNQENAMFISTSNHMLNAVEMLPPIVDDFVKLE KGIVIYSNDYDEDFLIVASLLLFMGDNPWQLQLAMHSGTLGKHFCRKYSELYKHGCDL NYSKNSSNEFLRLEAFDATKYMPIEILHIIPLGLSQYLVTCLLKFSRMSTAEMARLES AMSSYRVCKSYSRRFRNQLCHNADASSLKCYDRAFSGNSKFELLTKTLHVVAKLSSLL YMRGISKDFDYYIVLIKHTVNEVTNPLLALDIHIEKSNYTKQDLIFKPKVYLLYHIAK DIVCFGSVLQYKTENSKQFNKFICEHLFQTNIQSTSRDVALRFGKQFICCYLCNNGYY NAMMNMNGTSQQVRCTAGKYVQELYASSEFRRHFFRSQPNTDNFSLLTPTLCNTLLGV FQANGQIFFGQVKTISMQDIINNFVKKQYMQKYQMISSNSIECIYIPPVITANMHNIV VVPFGYLLEIRKE PHYBLDRAFT_169343 MMMLWPATGNCDIKRPSPHGKPEGWNQSTKCFKGVLAAHFTGGN LDNAELIGRNIAIPSWVSLADDQKTIMSSSLEENAALKNIALHRFKNSWGALLILSHK VVQSPGIPRIRFAKRCSTNRVMLDFYWSIENVQFCT PHYBLDRAFT_71580 MNIKDLLNNASETRTSGYMLDDSFFVKCSNRIEDCIIAQACEKS NNRNKVATTAPMDFDKFLAASNSNHNEAESMDTNDVQVKSVKEINENIDYISDNDYGY LRVRNPYRVYNAPVDHVTDYEHFVPTHVSNSRAQAVSLELFSMFFENNISHEDYDKCI KIVNKYMAELRFTKVDSLLSYYRVNTLLKEEYPVKSIAYDMCINGCCWFSTVEEGDFI DKDETCPHCGEDRYKVEKVTVKPAQTFQIVSLLEQLWFKLAHPEEWAKMTYGTRCLAG RREDICEDIFDGDVVGWLLDCGVVSMFVDQFNPFKNAKMSSSVIYVINLNIYLKERYK TGNMMQLAIIPGPNHPKDIASFLEPVLDDLRNLGANDLQFQTDSGLVISKVHLVMATE NTPAISDLMNLAHHNAHHG PHYBLDRAFT_169345 MTSTAFFGLDEMHLLGHGTGQQLYVALGGKFCPTINNSRRNAHG IHLQDRLKHHRYPFALDVSLEDINIAICASRANIPADFMGIIAQQWRITAANIQEAEE AIGHWHASLHCEIKEKRLKPTVFVINQHMLVHFDYMMWEMGPLQAYSCRPIKRTIGVY SVAIKSRKKPGKNMENLLLRKAEINHCLGCQPVICVTNDRRTSNFEVASNDVAGPQLW SRPTGSSLAELAAAIGIECQDLIRSLVPFWAREGIVSFEENDEVVCANKMWKDLVGFI LKFFSQTVNRVTRLFATIDCFSDVQRVNQDLFPAWDSLAPGVVKVVDVKSIKGIAGLV HNPNDETIWHIIWPSPKYNQ PHYBLDRAFT_71578 MPIGFTINLIVMRRTWSSLGSLLKILSNEKTSQPAQKRVRTTKS IKIGCPASIYKHIITDGTVCIKYNWQYPNHNPFKIEEISLSRLPDELKQWVEGLVSQN MDWKSIKNMLRMSEDRLLELEQAGDRSSFPSSLLIDYQYVRNVINARMMKLSRKHVDH YKSVKLWVQELNESENTTLFTVHKNGLFLVLWVSEWQKEFLENLEEWCIDSTHKTSKA WEVNVKKHIKVQNSTHALNIACNSVYAVLSNMMHATTSVAYNTLYNEFLVKFGEYENF ILFFNRMWVRKKELWCKTWRLAATFHTNNLIESYYNQLKTFYLGRARFLQADKLIYLL AKVLTLDYRQENVKTLYEF PHYBLDRAFT_169347 MYNPSKHTIKRRQRTAVPQFLLDYFSEDVPISGLNYIPTENPSV PEAEFSSPRLKYTYTCKKRARTMAVSPIETPSENIAASQTDLDVKIDFNTYEASQTQK RSLYNKCKDGCLDKKVIAPLSHFLCSCTTFSKKNIYMFFLHFSETFEIVFCGCKSIPE QLVEMGMLPASLNNVQYAIHFGLLEFMRDMRDVLTTSGQGLADLYNKINLGAERQISN AYCQNLLHVFIRLTIIVEAKVEKHLPGFWESNCCPACPDVDSNHWKNKKNTIALSNEK AIHNMFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEAAMRNMDVDTEV IPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIEIEDFNSEDPF AAPDMPKNEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQDFQLPTSLAGL QKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACNCNLTKSISSG ALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHNLFLGTSKRLMDRWIDEK TIGPEEFASMEKIAETMVQWSLDNFTPL PHYBLDRAFT_113073 KTKATIFAERIGVKDFNASQGWMEKFGKRHCIKMNRIHGEAGST DIESLQIDKAAIKEKIEGYSARDIYNFDETALFYAAPPRTTISHQKFSGWKDNKKRLT VGLLCNADGTDKWSDVLMIGHARRPNCFNKNNKKQEASDHGFSMYHYNSNAWMTRSIF HVFLRRFDHAMKAQKRKVLLILDNFSGHIVDYTPTNVELLFLPPNTTSHLQPLDGGII RAFKAYFKRKQYAKAYQYIGMIQNGNQDKIGPIDKIFEIDQLWAMKWIREAWESVSAK TIENCWNATIFRFIEDEDSEGSSKIIYWS PHYBLDRAFT_146407 MKADEWKSWVLVYSPVLLHGILPPLQFKNWMYFVDACRYYVKPS ITFDEITTAHSLLEKFCNACNVDYTATILTCNMHLHLHLHECIRDFGPVYGYWLFGFE RYNGILKNFKTNGKDGFEATYMKNFVQNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTS IPAATVTTLSSRPFRLQAFVQGYTDPYNPPKGNEPLPPSTFPLKYKKPSVMDDSDYLH LLEYYQVAYNLPDLASYQDTSYNRPALDNQIIKLKSIDILGQHYRGTNNSTISRGSLV QAKFVGSNGNIILGFAGQIQYLFTHSFQLPPTHNLHLTRMVHDHQHVFAFIKWFRTSS DRSREDDGVEFCLPTFSPDSYHSIIPVHRILLEVATATIATSRNVSKMLVIPLPKKLY A PHYBLDRAFT_159087 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLEN LKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTRSRRAGRARDVSLISF TCIHRQQRSN PHYBLDRAFT_169352 MKTKDKTSNDIDFAIYDKIYEIIQSSVRYMLQDDDDGKGLSGTK DIFSGHPMTLMVSFLFSIAFQGKDFLDFPVSYLSLNKLIKRLLILFLKSLAAMVVGCI SHTMTEK PHYBLDRAFT_71574 MQISPAKLFVTFANCIVFLNDAANLPTNAVEKLRLELQHRKEFE IRFAKEFNLQQKVVENMAEAAYNFSNTEMKNKRKLDRENYRRKEIIDSYNSMGPLEKG RYYEMTKENSTTLHQEFSVPDVNRAAKSILTIMDTMKLLMNYDSLFLFWKSDASSSRK IDRLLSGDMSSIFFKCIEKDGALKSFLEALGNVKRR PHYBLDRAFT_146411 MSTVNITPMNKKIYTLATISEALEYSSIPGVMTLRLNNTIRVRT SEWKECLVELGESCAIKWTICNSNKRCTDITAEEAKNSGIKMCFSQKYSYHCSGTYES KTEMRVVQKRTKKNKCPALLCVREFFKTPEWYEITLTKDHADHTPGDICEDIHTLSLA KKYLHELSQQLEQSSKSASQIRIDMLRAIDRYGRSSDCKVNYYDIWNLMNKGNMSYSP NTNAFAYGFMSPTQQIKMRNAVSFCLDATHAISGKIDEILYTLLVRDKEIGRGWSVAF MVTNDRGVGPIVQWLQFLKSSLLLINPQQITIDCCSAEVHAIQTTFPSTQIQFCIFHV TQA PHYBLDRAFT_146412 MSNNGTMSSFTRQQRIREMEAEEVNDDARETMIVASVSAEDSSW QVQSFVDENTAYVVEVTDRLIMKCTCFDFERRQKPCKHMYLLKMHTAFSLHFSTTPLN STYEHNVIPIPQPITTNNCSSLFFDQCIQTNQTLHQSHQDLATLAQYTTDNEAKHIYD IQQQLLRSIQFLKDKYETFKSYEYRVINAAIYYSARSKKYEESGIRTHASEEITALT PHYBLDRAFT_169355 MSINNTQSNETIYTLATISQALECSSVPGVITLRLENIIRIKTS EWKECLTEIGEACAVKWVIHNTNKQPTNITAEEAKATGIKLCFSQKYSCHRWETYESK AALRVVQKRTKKNKCSAFLRVKGFFKTPKFYEFVVTKDHAEHTPGNMHSDICTLPLAK KYLHELAQQLEQLSKSASQIRIDMLRAVDRYGRKSERKVNYYDIWNLMNKINNKLYHF DKDQMTSFLIWMNNKLPALNFNIFKANTSYSPDLSAFAYGFMSSVQQEKMKTATSFCL DATHVISSNVNEILYTLLWLQFLKRSSLLVDPKQFTIDCCAAEVHAIQTTFPATSIQF CIFHITQAWNRKLSDSVKIPGSLPSEARILRGVIMKSLQEIIYEEDIDEFYHKIIQFK EDFDDQESFLDYFERN PHYBLDRAFT_146414 MKRSRNKRLDKLVFVLVHDVEYYLTQEYKRIISNNGPMSSFTRQ QRIREMEAEEVDDDDREMMIVAPGTAEDVNWQVWLFVNENTAYVVQVAEPNLIISCTC FDYQQRYKPCKHMYLLKIHTNRSLYFSPPSVTSTNVIQSVSISETATITPTISRTSAF IQQCIDINQILWYANQNILTMQQYMTEDDGQTLFDAYQRSLQVFQSIKNKYEVHLRRS HTQE PHYBLDRAFT_71570 MYANTKKGRKLTLHSREAEHNHPIEEDCRAYAMQCKLLPEAMAL VVKHLENNDDVSTIFNCLKINRYTNIVRHDIANIKQHFGKSEKEKEMFDFITTLQDLD FHVKYSFGNTEDNQVNIIFFVHQDAINEAQRMLETVIINATYKTNSHQMTYVNIVGTS NVLSCLRDAVWPNDNNNNNSNSSNNKQTILLPDVFIMDNEKALRNAINRVFPESKQLL CYKYIKDNFKKQLLPMMKGDESSKKRDLLDKLSEFLD PHYBLDRAFT_169357 MKDTKNWVNMYVYKHAHFGNHMSNCTESAYASLNSSLGTSLGKL KTVTLKVKKWYDELVADHKHRLMVESLEGTKIVFDKVNVTRLNDIHLKVCRFAMDQIK LELSKSIIPKKLAKECKCLIQYNCLLPCYHTLAKFNTIPISCIPRRWRKNYLEEENHL TIQNTTPVPPNINNIKPITPEFNYALKLICEHFINAQSKQEQINIYQLIEKTLKQIDA QKLKSLKGPTVVEAIKGRPKNTKCKMIALEHCINTEKEKITKKIKTEKEQKKQKIFSA KEQKAIKNIINLGSPCDPTLLTNLTIASKHISTIFSPEADGNCGYRAIAMEVYQDQEE WSKVKDKMLETFLKHQNNYYHGRMEHGNMPASNNPLIHSLQDKHSPLPQQHWFGIIDH PQLVADTFSRAVTVYWNTPIETGNCLFVSFSTLPEKVELIIIILDINHFLLAKRKNTR NFCWPKINPFHKRIIQKHGLEDYSLMY PHYBLDRAFT_169358 MKDKENWVNMYVYKHAHFSNRTSNRAESAHSSLKHSLGTSSGKL KTVTLKVKKWYDELVADHKHRLMVESLGEGTKIVFDKVNAARLNDIRLKVCRFAMDQI KLELSKSIIPKKLAKECKCLIQYNYLLPCYHTLAKFDTIPISCIPRCWRKNYLEGENH LTIQNATPVPPNINNIKPITPEFNYALELICEHFANAQSEQEQINIYQLIEKTLKQID AQKLENLKGPTVVEAIKG PHYBLDRAFT_146417 MNAVLNSTIVEVVSLIFILTPEVAVVAAPDVQVAVTPIDHVLTL LAANNNGLDLSNKTNKYLKNSVLQLMTSNTKIKKATTSQNFMLPSAVPADSSSSIDDD VVFQCWCYNYDIKS PHYBLDRAFT_146418 MLRAIDRYGRSSDCKVNYYDIWNLMNKINEKLPAMNFSIFKGNM SYSPNTNAFAYGFMSPTQQIKMRNAVSFCLDATHAISDKIDEILYTLLVRDKEIGRGW PVAFMVTNDRGVGPIVQWLQFLKSSLLLINPQQITIDCCSAELSDSVKIPGALPSEAH LLRSEMIRYLQDIVYEDNLDQFHQKLVEFKSEFSDQELFLDYFEKNRCTEEKFKIWSC AYHEWQFSHMLTNNYIELWHNQLKTVFMKRSRNKRLDKLVFILVHDVEYYLTQEYKRV MSNNRTMSSFTRQQRIREMEAEEVNDDARETMIVASVSAEDSSWQVQSFVDENTAYVV EVTDRLIMKCTCFDFERRQKPCKHIYLLKMHTAFSLHFSTTPLNSTYEHNVIPIPQPI TTNNCSSFFFDQCIQTNQILHQSHQDLATLAQYTTDNEAKHIYDIQ PHYBLDRAFT_187306 MPSLGIHLLGLAESWYGSNTPQTPTPIAGIEKPNNSPAGTPTEH SQFMKALLPFIKANEAIPITSFCTVKKSIVRLPTPP PHYBLDRAFT_169361 MSNNQKKDSYVICKCPDCTKLDSCGKKQKRQNAQRHYEKHIVPV AKDDAMDVPEEHFDDMEVDSIDSDNDNDYDYENEGEGEYEDENEEQNIEFDQEVDLPL SQEESIFTAEDTITGAFVVDGDEIKEGDTGFDFEQEENFDETSGTSIVESVRPSSFDN MPLNIRFVAVFIVIFHLIFLVESGGSILIEFCNTLLSLCDMSGALPLTINSLKHKTGF NMATDGMTVYIACLQCYSIYPPETSQRVCTFKKFSQSAICNNNLFKVSTGNCSLPAMV YPFNSLKYALQQKFSKPDFVSKINLWRKKENGTQWSELHRLSYFDPVRFTVIDPMHNL YLGTAKQMIQIWRKCNYINEKNQLTMQELANGIVVPCGYARITKKIADGFSFMKADEW KSWCVIYSPFVLKHVLPAKNLKNWILFVDACRLLTKPSINDKEIDEAHSKLQLFCTRF QTLYGKSAVTPNMHLHLHLGECVHDFGPIYAFWLFSFERYNGLLKNIETNQKGGFEST MMKRFLERTYIGSFIQSFVNHLPQFAIDFLHCISNSQDQLAALHPSSTASTFSLSDFV EYSLNPRHSALGCEPLPPSVFPIKLDQRITICKGHYECLLEFYRHAYGSHDLFGHYSN CESNQIFVNNRIEKMKRISLLGQEYSSGSYFRAYYLENNSEDKAAFPGRILYLFQHLI TINETVITHTFAFVEWYSSYSSGSYQPMLNEGIDL PHYBLDRAFT_146422 MLQHSQGTVSNQRPLAPKRARLNLEGDSLGRTHNIHDVYEKLDT MNGVLNTVLKNTSSEKAKATASNAVEQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNE NKNSEGNRLVLKSVTDYLRRQEEGKKVDLPTLRTKIVQHIGNRKLQEKKTGEKKQEEN RRACLRQRRVKLCERRQSALKANRAHFVNSFGENVDSILHADYMSDLESDDEREEEEQ DSSSEKSFFWRFHPSWRSEEGDRFFDELDADYEAAHDKKNNTRPFEHKFKGIRDKQLS KTKANKLPSWSKKQ PHYBLDRAFT_73885 MKKPLTSLSVDFTPPYEEMFLPPNLLTLTMPATSLWHMPVASTW GNRTTTHLSPNCTNSRTNSRTNSSTVVPSPWTWMRFKVAIITTNLAPLRNVIWLLAIS AAKLVTSRGTANNISETSEPEINKDVSEQYTNVTNEEPETMSEENCDPCLTADQHFLE DLHAAVDTDLPLYAAILDGQQILVLIDSGASANYVSLQISHLATHILDIPGRSVETAG GHSIKIHWKATLNLSLNGYTDTINAFVFPTKFDLILGCTWLQMAKPVPDWHVDSWSLH CDEQEFILRPHGPHPTKPHRNYLISAKQIEKLSRREEAKCFFKRIRGRFPRRFTWITA KP PHYBLDRAFT_187307 MYFGVLSLPTLNSCFNNDYRVFPYCETIKRFAWLKQGGSTTLKL FQYFLVTYEKDTDSYVTVDVSKIMSGFDLMTKPSSSTPSVSNVMSYTYQGIINPTVPP RSTFRSIYLCQ PHYBLDRAFT_159091 MFQQVVNSLWYNVIGTKTSPPTEYFSFCGVGSISLGENVLVNIC IQKMKNISLLGQEFFSEQKKYRSSIVRVMFFFGRI PHYBLDRAFT_181751 MTATPLNIDEGTFSISNRPIASMVQSYIHMQPEVEYVLSSVVEE KARRHLSYKIHSAKSMSEKLAGRNRFSRCRTRKIRECHFFI PHYBLDRAFT_159093 YTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQAFNAQLYIKG RRYTRIFKQFTKN PHYBLDRAFT_146430 MSKPVHSKNEILRSNTGLTINPSKAYSRSETRYKLTGLTIFQGG LFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLVPSVQVKHQGSDQSPWLSISIPSR SSFKVQVKVHGYQVKLQGSGQGQRIQVEVHGFSICSIQVKLQSPRQSPRFQYLFHPGQ ASKPTSKSTVSVSIPSRASFKVQVKVSGFRSRSTVSVSNPSRSSFKVQVKVHGYQYLF HPGQSSRFTSSFKVQVKVHGFQEKHQCSDLPAMIIVSAIQVKCQGPDFPAMAIDVRRQ GCDLPAKCSLIQVNQQDSDLPSMVIAIDVRRQGSDLPAMCSVIQVNQQDSDLPSMVIA IHVKRPGSDLPTMFTAIRVKRQGPDRPSMVIAIRVKHQEPDPPSMSPVINVKRQGPDR PSMVIVIQDKHHGFDLPAMVIAIQVKCQGPDRPAIAIDVRRQGSDLPAMCSIIQVNQQ DSDLPSMCSANHVKRPGSHLPAMVIDCSVIQVKHLGSDRQSMSPVIQVKHQGSTRPSI CWAIQVEHQGSDRPSMVIAIRVKHQGPDRPSMVIGIKNIMGIHSLVLSISSVQSSRSS NKCSVIRMKRQGPDRTSMVIGSDLPAKPPAIQIKRQGPDRPSMVISIKQHYGHILTSC NILLVFSLQVKHQGSGLPAMSPAIQVKHQDSDLPAMSPAIRIKHQDSDLPAMGIAIQI KHQDSDLPAMAIVIQVKHLGSDRQSMSPAIQVKHQGSTRPSMVCSAIQVKHQGSDRPS KIIVIQVKHQGPYRPPMSPAIQVKHQDSDRPCMAIAIQVKHHGSDRPLMVIAIRGKHQ GPDHPSMPPAIQIKRQEPDHPSMFIVCNHPGQASRLRSPADGYKSIHVKPQGSDRPSM VIIFQVKHQGSYLPAMSPAIQIKHQDSDLPAMSPAIQIKHQDSDLPAMSPAIQVKHQD SDLPAMGIDITKIDEQSHSALFISSVESTKIMLHGSGFMSTHPRQLSGSDFHELL PHYBLDRAFT_169368 MEEVIKLESLFRSCEGSQQVANLLNKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKTKNKKQNKNKQEPLDPVDATKNKIKQIKQEPLDPGCRLTRICFLVDATKNKTT KIKQEPLDPVDAPQKNGFKRPATALEDYQYDNRTSVGKRVKFQPGFPVSHEIIDDVKG GFSPTADGWCGFRVLAHLIYKDQNKFSLVKRDMLAALPKYKTLYTNTFGTDTSQLEKI IQHGSQLDYSNTSNTNTNFIPVCSDASMWFNTPDCAQLAADTYTRPVCVYSDNPNTPS TTFLPFALPNNKTKQRQPLIFNHVNSNHWTTVDLSRNISRKWPTVPELFFLGCARNKI DDNFDTYWNKFKEFNKHDRRNAMLSLHSDLDQPIDLTPK PHYBLDRAFT_71560 MSVLEMIFFKISTSICKCTPMNISLATSAVRELLIYLELNTATL AKEKQALVIRARHFSSWAQRFSGALRLLDLRTLAFTGLIKSDLSVIQQSYLVPDHPK PHYBLDRAFT_71559 MIHNTTKKEFDLALNKFNKVSDSTKVKNGKQKVPAAVYFNDKWV VCKEKWVGYLTSKLMHFGCTTSRRVESAHHALKRSISAIESLDLAFESIERYIESLSQ AFRNVEVRESMTVDPLVERNNLSSKLLMKVSKRALLLVDQEIRVVCDSSTYCKCMNKL QYGLPCRHCLPAGREICISDIPEMWLIEPRMAKQAKNTLEETIRVDKKPEVWMEEIIK LETLFRSCEGSQQVAKLLNKIKNVTSEFEGKTGNPSINFQAPEKIKYPDKRNDSARPT KQESLDPVSAPQKNGLIRPVTALEDYQYDKRTSVGKRVKFQPGFPVSHEIVGVVKASD TYIRPVCAYSYSPNTTSVSFLPFAHPKNTSKQQQPLIFNHVNNNDWTTVNLSRNISRK WPIIPELFLLGCVRNQIPNSFDTYWNKFKEFNKQSCLNLYKSYQSVSLRPHKLSITCE LNDSPLCFFIEIICGKYFTLRVTLISSELKSINVE PHYBLDRAFT_181753 MAVKESPRSHRVTIGDLPSPHSISLLTDSPESSPECSRSGFSNS NPTRTTLPTDLSYPNWPSNDDFKPKDPDFQLRQTMRGSPSRRNQTKMLLVALIEYFCN TYGDSPDANHRVFFLICQTLRSLGFIDAEFVDEVASVRSTFQQAFRKLFYTAVQTVNN QHVPRIGNDQRLTLPDTGARTDQSSNDRLNPLNFDTLSLMSPNSENGEPSNEKAVDLF SKGNVFYNLSVHNSRYRNDFVELGLLGKGGFASAWKARNKLDGIEYAVKKIRLGQDLE EEFGKDNPYEKIFREIKNLARLEHQNVIRYYASWLEYDDSNAIHKSSEDSDESEFLDN RTEASQYESPAGDSSCCTTGDDTSSVATFSVAEQQQHINWEEPSDMGIVFETGSAEPS VDAFSDSFNQSKSFVNNRNCSSGTYSLSSGTQASKCKKRKDQGSWTLFIQMQLCPTTL FDYIKTRNQEGSTDAIDCHKNILLFSQILQGAAYIHDRGLIHRDLKPSNIFLSVPSSH SENGRTSRRSSLTVPSEELPRRKSICSEDWVPKIGDFGLAATVLDETGDKTIVLPTSI VSEPKSQLDMDIPDGTTTSQTLLKKRPKLPMRTRTIGVGTRTYASPEQLAVPAQAYDE KVDIYSLGIIFFELYQPFTTGMERATAIDRLKDGLFPDGFVKRFPKESALILWMMDKD PAKRPSAHRLLEFELFAQATDMFDLLQTRFMKKSDALEIKSHEVELLKKRLELMEQEQ EKSKMTISEMEHRLGNMQIQLNKAISQGYNVE PHYBLDRAFT_134170 MSTDRRRKTLPVVKTENDIIEEVEQSRWQEEFTDEPFSLTADPS AQYEDLTHEDSNEFASSSQNSQYSSSRPNSPQPHWLQLSADVDETFLLRTRHSLGLDV PGAVHTIVPHKLRNERQLDSKLAIVMVGLPARGKSYLVKKLRRYLNWLQYETKIFNVG NTRREHETSQTEQNAKFFDPDNASMKQVRDELAMEVLENLVEWLKNGGRVAIHDATNS TLSRRKLLIDRLNMEPEIKVLMLESVCTDKNMLERNFLLKLSGPDYRGKDPARALADF RSRVANYEKAYEPIGDWEEDHDIQYCKLINVGKKVIAYNISGYLPGQCIFYLMNFNLR QIFLTRHGESTDNVLGRIGGDAPLSPRGKKFAKALARFIKQQRLAFALEMVRAASNSQ FTVWTSMLKRSTETGAAFDPDEYDVKHIRFLNEINSGCCEGLTYEDIQKAYPEEFHAR QANKLYYRYPGMGGESYIDVIHRLQSMIIELERMTQSCLIITHRVIMRILLGYLLDWT QTEMPHMMVPIHTVYEIRPKPYGTELKKWQYIEETDRFEEF PHYBLDRAFT_134173 MLKGDALFRATTLLAAIGFLLFGYDQGVMSGVVANDGFKATMGS QDNSALVGAIVALYEIGCMFGALSTGKVGDKLGRRKTIRVGCLILIIGAILQTAAVNS GMMIVARIITGVGNGMNTATIPVYQAELSPPKSRGSHVAFEASLLTVGVGIAYWLEYG LYFAEGEVVWRFPLAFQMFFAIILGAASFFLPESPRWLQAHGYEDECKEVLSRLWSDC DINHPRCVAEWEEIRDGIELERRENISSYKDLFKKGKMNNRYRVLLGMGGQIIQQFGG INVISYYLADVFKQAGMSTEMAMLMAGVDSIVYFIGALTAIYTIDRLGRRWLMYWGLI GQAVTLFVVGGCQYAVENGNTKASPGVILFVMLYNFVFGAAWLGLAWLYPSEIFSTAL RAKGNSMSTAANWLGNFVVAMIAPVLFEYAKYWTFLLFGILNVIFLVPIYLWYPETKG KSLEEIEVLFSTQEFQNDAKSIASHIGSVSLYDSKHDAESLAQRPRSSRVPSLASRPV SRRMPSNFSVQQEKVSPDTLNPNSAATDIKKNYQDSDEKGPNFK PHYBLDRAFT_181756 MIKDPLVWIDCEMTGLDIQKDCLIEIAVLITDGELNVVAEGPEL IIHQPRHVMDSMNEWCIEHHGASGLTRSVLESKISTAEAHQRVVSFLKEHIPAGVAPL AGNSVHADKRFLEKEMPEVVEHLHYRIVDVSTIKELAKRWNPDVAAGVIKKNGHRALD DIKESIDELKYYRKYLFIAPQ PHYBLDRAFT_169376 MGLAQDQILSNLLYRTALIYAVQNNIYIKTTNHWKYTLAHSFPL TAIINVSYKISNIKQHFLALASFINISIGKGIIHLYPYSTNTLINISLHCRIADVLYL FAAYILEIVLVYLKILSDESLFVQKPLFFLLFVQHEDLINIII PHYBLDRAFT_146437 MSFTETTVAWVNSTHSLLSKTNVYILCLILFGAYLILVRSLRYK YINNLRKQYPDPQVVFEDYDAAEAIFSHAFRREFPIISRESLEFALFKTFVVPSISKT LVASTIFEKDCAKRADDTELILGEIADPYPRIQNHMKICPFISEMDIMNQRGRATQAI NRLNDIHAKHNIRNDDHIYTVGLFVAEPIRWIAQFEWRELDEREINAMFVIWADLGKK MKIKDIPATPQELTAFRQHYEKTVVRYSKSNWKCAQHTIDHLLSRIPKLFWPIVYKII PCVLDEHDIVAFGIEPPSPIFKTIFWYTMRLRANFIRYLCLPRTDFVIRTPYSPNAQG RFTPVYDVYKSIYTTGYNIFELGHIKGESASKCPMSR PHYBLDRAFT_134181 MSLKTFTREEVGRHASENDLWIIIDSSVYDMSRFINFHPGGAAP ILEMAGKDATEDFYGLHRQEVLVKYSKYKIGTIANEKAQITIRQNGDFSKVPYGEPSA WMGFKSPYYKESHYKFRAAIRPIIDELAEEARHFEDTETKPTDEFMQKLGSYNLLAAN VGPGPWLRNITLPGGVTGEEFDYFHELILHEETARWGTRGAGDGIFGGMVISIPTVLN FGSPALKAKIVPEVFSGKKRICLAITEPYAGSDVASIRTTAKKTADGKHYIVNGVKKW ITGGMYCQYADYFSTAVQTENGMSMLLIDRSDDVETKAIKTSYSSSAGTSYIIFENVK VPVENLLGKEDRGFMVVLSNFNHERWVMITSVSMAARIVIEECFKWANQRKVFGKRLI DQPVIRNKLAVMVAELESFHNWVENITYQMCHMGYKEQSEKLAGPLALCKYQSTRMLH NVSDSACQIFGGRALTKTGMGKHIEMLQRTYKFSAILGGAEEIMADLGVRQAMKSFPA GARL PHYBLDRAFT_181758 MLVDVNFDSATMLVLCGKASAGTGFKKENDTVVIGFNFDSAAKL LLCGEASVGTGYKKENDTVVMIVDFESSVKRLLVQV PHYBLDRAFT_146440 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGYGTPHPQRPLFSHTSTTDTNMPRLHT HTLNISTSTTHTSTTHASTTHASTTHTSTTHTSTTHTSTTHTHISLIINS PHYBLDRAFT_159098 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGRRYTRIFKQFTKN PHYBLDRAFT_65718 MLLVPSVQVKHQGSDQSPRIRSSFKVQVKVHGFQYPIHPGKASM FRSPSYGHSFYLLLVSAIQVKCQGPDFPAMGIVIQVKHLGSDRQSMVIAIQDKHQGSD LPAMAIVIQVKHLGSDRQSMVKGIKQHYGRILTSFNLVLVSSHPGQASRFYSPVHGVF QIKHQGSDLPAIVIDLIYLQSPAIQIKHQDSDLPAMVIVSSYPGQASRFPSPRHGYSS SLRPSRSSFKVLISRYMVIDITKIDEQSHSALFISSVESTKIMLHGSGFSPWL PHYBLDRAFT_169381 MFITPCQGNLNQETPPFEDDEGCKLLDSFAIIVQFTLAATALLT LFVKRYRERPQRPVPVWALDISKQFVGAVVIHFLNLATSYLAGRPKDGQKTNLCVWYF LNVAIDTTLGVAILWSWLHVIHRVLRYFDVKDVRSGYYGPPPLRRRAIPWIKQTTVFV IAESLMKLCVYGMFRAFPSLFDLGEWVLQWTRDNYRYQVVFVMLVFPLIMNAVQFWVV DTIVKVHPIPLDSPDLLKAIDESPSPYTPSGPPHNGKQTSKVLQNERTPLLKKVSSQD SLV PHYBLDRAFT_65715 MPFYFFYLLIFSESDSTNWNQIELFHAGKKVTICGPVKSKFCLP KISVGKKTDAVYFQAVPPDYTEEIAALAKATDERLPLQATADQIWIGQLRSEAGLQDV DSSISNFLLETIEDCCLWETKWLNIFYRLPMEKKALLLKPKHQERANARDTVDYNKSL KSAFQACKLKPGTKKEELVLRIYNFMWIPHWRAQPSTILRVFYTLALPPSPPHIDSYH VLGGVLAAVWKAYWRTIFDDVPFVPANVVVSVNKSLQFFFQSSHFLD PHYBLDRAFT_78169 MSNDPIDSITLRLGDLTTAKPTQTAPGAPPSGPAQAIATKPPQA QPKAPVKQDAQDVEDSSNLIENRHTVLVKLADQQADPDSPLYSVKNFEDLGLSQELLT GLYEMKFSKPSKIQERALPLLLANPPKNMIGQSQSGTGKTAAFVLTMLTRVDMNVKQP QAICLAPSRELARQIMDVAQQMSKYTNITKALVVKDSIAKNQPVNAQIIVGTPGSVQD VIRKRLLPINNIKIFVLDEADNMLDQSGLGDQSLRIKSMLKSNPQIVLFSATFPENVT KFASRFAPNANEITLKREQLSVDAIKQFYMDCDSEEHKYEVLTNLYDMLTVSQSIIFC KRRDTADEIARRMTEQGHAVVSLHGKMTPEDRDRVMDDFRRGEFKVLITTNVLARGID ILQVTLVVNYDLPLDQQNEPDFEAYLHRIGRTGRFGRTGVSINFVHNEETWSKMQEIE RHFERPIVRVATDDWEAVEKQLKSYI PHYBLDRAFT_146446 MYYSFDFAAQVVHLCMDEIRHRGLEERKLLRKSVPNSVLFIKVF KRHRCSAIDLTCVDIHSVATLMQDILVCCQERIISKKAWRIINYETCTLSGLSRLLTE QGEQLLIDLLDFLVELMHYKEKNGMDAYRLGEAMGKVTLGPSECNPVMAEKAGHFLTR MIIEHSKLLTMRGHKRLRRIDSGFERGHELSDGYHKKTKTKTKTRDKDKDKTNSHGSY GPSSNSTYNYYCDRIKPMTKREAGRAKAKYYDRLIRKTKSTTADWVLNLSGVQAMLDD TYADCGPDPPEKPWLSIFSTTEELMVNRDSASQPLLFRILMEANKPVVPVPANPFGQS YLFSASQAYQVESCLGEAFKEFAALCYSHPMSRQWVEKTTSPLAKLNHSISNLKLNIR KIRSRHDIGEEDIGGVDRFVGVGGTGTGGILGPGGFVGVPSIGTAGTAGSRSGSGSGG GGGDDSLSRDDATYIGHGESDGRSSTGDHQKKPKNMKRMMRRVIKMGGNMVLKRDEDK SL PHYBLDRAFT_9322 IKKRRRGNLPKEVTEFLKTWLVRHKKHPYPTEKEKLELAYRTGL TVNQISNWFINARRR PHYBLDRAFT_159099 MSAAARPVINVYSKTGKDASATLPLPAVFKAPIRPDIVNFVHTN MAKNKRQPYAVSEKAGHQTSAESWGTGRAVARIPRVNGSGTHRAGQAAFGNMCRGGRM FAPTKTWRKWHIKTNLNQKRFATASALAASALPSLVMARGHRVEKIEEVPLVLADDVE TLTKTKDAVALLKAVNAYADVVKVSNSRKLRAGVGKLRNRRHRQRRGPLVIYNEDNGI VKAFRNLPGVEVVNVRRLNLLQLAPGGHLGRFVIWTKSAFGLLDALYGTYETPATLKK DYVLPAHIMTNPDVARLINSDEIQSVVRPAGNKHEKRPFTQKKNPLRNQGVMNRLNPY AQVLRRAEIVADLKRKSGKVSKAQPAKKSKRTKHVATKFLETLHSA PHYBLDRAFT_177688 MRLPHVLQEQFLSLARPNTLKNIETCGILAGNLKNNVLTITTLI LPKQTGTSDTCSTENEEDLFEFQNKHDLLTFGWIHTHPTQSCFLSSVDLHTHCSYQLM LPEAIAIVCSPSQTPNFGIFRLTDPPGLDIISTCRAERAFHTHPDKPIYTDASDTGFI EMINFDVNVVDLR PHYBLDRAFT_146452 MFTALSSSLTKDLEKLSPLQAVAELCGHDLWSDQSIEAQRAERW KLAESFLGLERLFDDNEGWNENGMMAKL PHYBLDRAFT_181764 MTISKIPPEILTSIAMFLSKRELRQSSLVCKQWRQPFYEELWRT VRLYNEAAINAMLCLHSQNKYMTYGQYVRNLFISGETPVSRGNILVLQQLFPNTRHLD IALSYPETTDISINWSVWKSLTELAVDFQYYIDSSVMTSCSVILSHLSYLTTLGLVFG DTYTSRIGDFEAIHYYLPYLKSFSIKLKSVRVIEKDIKLINEAVPANNVWKLSITMDM QDLQWLYYFAVKYPNVREMTLYVIGERDYLKDCNLAVAKSRISSLPHVFPSLDKLTLD NSAHATLFPLAFYQCFYDKTLSIKEFIYQFYIMPNEERIVERTTREFMRVSSKTIESM TLSENDYMECSNPRDLTTLFTDCPRLVCLHFDNCGSCLKFDTIADCCPSLKELKLYRG GVYIGPEAIKSFKPHGLRILNLKEASIDPDTLKYISVRCVSLSHASFHRLVVFGTISA DTGNLLIDMSNARLTELLIDGLFYRAPEAVGLWYPITKIDLMKLVRLTKPYPQTGERY EQTDQYSANHVSIYETETTWYNVFTCAYWSAPSDVYMLKQPEVDFIKEYFENYRDNML PDKMQDICISKKNQYGIQYDVYPGRGYVTFMCGYAENSYINMEYRRSLDGFKWNSTKN MVEYLGKSFS PHYBLDRAFT_92600 IAKKLNVSPYTVSRVLKHYKETDSYESVKNSGRPKKLDARDERE ILREISKDPKQPMSVIRRSVTTPTSANTFRRVLRSHGIYSRKSIE PHYBLDRAFT_65704 MRAEIPGYYFNEEMNRYFKIMPTGPHSLAAINQARQVVEREKVV SREIKKQKKNTMKVPQYVRWRQTMNRSYSPQKMIAIGHASLFRQTTPITSITLPHKGA SLSSSQTCLDVTSSDTGEIVCSFSNSGMSRYGYQVTPFFHVWGTETHQRALNISSLQF ANTLLGPDRGKRTLVGTFFGGSGTPRQSPELWRFSIDALPMIDEEAAEKLILTKPRPK PKSKSIRGPGPLNHGRVCQVFSDQQSRPVSMSKVCNFGDESLWSSAVDDTNDTVVVGG DRFLYCLTSEFVSLQHIDTRSSVFTVRPSNNNPKTSWYGCRNGTIGLFDARCNRSNQP ANMFQQSSSVTQLSPLENLSSQHTVLAAGTGGLINIWDIRSPFPIKRKGKNICNKPVR SFTGHQNEHTHSLPVDTDLQYNVLLASGDDSRLRLWSLLDSSSNEPIWTSERYENGPV SAAKFVVDPPKLQDIWKSKSLIDTPYSKQCYPGILTCVPTENEATAMEWLSWSRMIQQ PWISELSYRQCFKQGHCSCLKLTRVHYIDTAS PHYBLDRAFT_187321 MFSDIAKNLNYQFETFEPLKTLIEEKARQQGFSVAIRSSTPGVR FYLKCIHGGEYRNKRGITDESRKRKKAIVQCGCKWALLAAFSKKSQRWGVRYVKGHSE EVEHNHPMEAHPPMPRRVQRKIQQPTMPTLSSVGCISSKLDAALRSMFFARSEKQFWL SVDQCRAAMKRTSKAEELCHLLEKQLTKRVFWGGPWAETLPHMGYRMTQSVEGTHAAI NNILGQHLGLLESIKAIEPYIASSMIDEQRLFAVKCLDTPINIQEHPVSLSSIMNSIS FFAINSIKSELTIASWFAPKDMSQLDCDCPLRVNFLLPCRHTLHLNVQIPLMAIHPRW RVLSSEDIPFCLTGNQGLTF PHYBLDRAFT_65702 MSSTLDIHSEIIDSNSNRQFITNEKKPTGILAGSYSSLPPATLW TRLSNRYRYGRLGLIISGILGVFVVMFIIIGTLGVFKNELYRTRLGLPSVGKTKYKGS STGTATEGDFNISGEGDDPGVGITACGTQFTAQDYVVALNHVDYGVYANPNESPVCGA CIEVTGELGTVKVTIQDMCPGCEQGSLDLSPAAFSKIADISEGRVPVSWTPC PHYBLDRAFT_78162 MSETETLLYKRTQSEAPKPPLPKTELPCMFDEPTNASNWHKHVN WPQSILLLGTPLIGLYGIFTTELQTKTLIWAVIYYFITGLGITAGYHRLWAHRAYNAT VPLRILLCAAGTGAVEGSTYWWSRGHRAHHRWTDTDKDPYCAHRGFFFSHLGWMLVTR PRSRIGYADVADLKADKILNFQHRHYPYLALFMGFLFPTLVAGLGWGDYRGGYFYAGV IRLIVVHHATFCVNSLAHYLGEDTFDDHHTPRDHWITAFATMGEGYHNFHHEFPQDYR NAIVYYQYDPTKWLIILCSWLGLAYNLKTFPANEVSKGIVQMKEKKILAAKRGLDFGI PIHELPVFTWKEYQNLVVNENKKWTLIEGILYDLEGFDHPGGEKYISSGIGKDMTTAF NGGVYSHSNGARNLLTMMRVGVLRNGMEVMHENE PHYBLDRAFT_65700 MNLFKRYWLAICLTIALLSVIDVTCSTVTKSVPTPKDILRWTRY QLSSYLDTHKILYDKHADDASLIDTVKAYKDAAESNAKFFGERVEGIVDGIKIQLEKE SGLKKVAGEALLSHLQHILRRLELKGELSWDRVQDELEQKQVEFIKHRIMTDAQWKKF VLDVSQSFQNQTWYQKLSGKYPTDFNTASSSLHKWLHKIGNRLAARKDMTHDQIFEIL DHIKQAVVSNDTNTLGDKTWLEELAHRIESMPEVAKENVQSIVDSIEQDITAFKIFAS DYIQNTIQETNQAAIDIKDSVKSYLDSVRHRFHWVLHNVESFIAPHLWPIQSHEKFKN SRAPFTTTITSAKVISTVLTLSTTSTAPIGAVVPTPIGSTDLGCKRTFANYWYDVSWD ALRQLGYTEVQINWIQRNLALAFQNSNLPMTDERIDQALELIRIYLTSNQVEPPHGIR SQIEKIRQLFTKWTHLKCEKDSNNQYIFNH PHYBLDRAFT_169397 MNVAMNVAMAMAMAMAIALDKGLLLHVMLIYPVIFVLISTLLSL TTCITLMLGWVLLDNDPQLFSVDIMKSLTKQFEGFTIKKPLFEPEIRNSPENMETRFE WFMKWKNFNLGSYKELCFH PHYBLDRAFT_113756 QIKKLEADLSDKTNLNNIVTILEFTHDEDAQTAHAAIHALHRVF TKLLMNGDLKKPKTQDTSPKAKVSLWLREQYIEYLNHVRSLISNEEPGLQVPALNILM SLLRTESEFAFMESNQYSFPNSFYGLLVDAIIRNPNFSRPLFAAFVDKYVNVYDDLRH YFYKDAAEIMEAELKKTEKQTPTKKKLKGPSTDEQAIMAQNVFSILDAIKSMPTEESE IDEFWTQNPEGEKEDKDERKAKHPLLQLKVHKRSFSLCWIAMLKLPLTEEIYKKTLLV LHKRVLPHMAEPKMLMDFLTDSYNQGGSISLLALNGLFTLITEHNLDYPDFYQKLYNL LDRDILHVKYRSRFFRLLDIFLASAYLPAGLIASFIKRLARLSLTAPPAASVIVIPFI YNLLQRHPICMKLIHTNSAVGEREDPFVYEEKSPYECKALESSLWEMDTLAEHYYANV STLAKIFKEQFRKPKYNMEDFLDHTYATVSEI PHYBLDRAFT_78161 MLTSILPLELIFHIASYLSPKDKLRCILVARSWRNIFQDSLWET MEISHQKILDSVCHLPLSNQNVYKRHGQLVRKLCIQEDVVTTAQNISTLQDCFWNIRS LYVQRDCLSETCFGDNVNWSPWKSLTELDLELDYLTVQTPEKKILNILSHLPRLVRLN LAQFWQGRTIAFSVQDLETLHAHLPDLAQLSLKVDFAQFSTKDLLQSTSLKPAKRVKE LTIHSRITDHRWFYYFTRKYSGLTTLKWMTRGVKKIQDDHHSEAASMLSVLPCAFAHL KTVDISACGDPRRSYLIFRDLFCALKVPIKQLTYNVSSSLDEHELIERIVQLSTNSYS DTLERLTIGNSMLRQRPFSVVTALNRSPRLVHFDFSSPNSLVELDVVLDRCPSLKTLC ISQGSLSTKPSPPITPVSLQHGLRLIDLNGVKVKSSALSYLSSRCRSLNYMHLKNTSI YGQISEKTRNLCIDMSFSRFELLRIHAIKVYSNSDLTSTDPSIELITLARSAPTPPPR KKARSSSTSSFPLSLYRKGTHVQNWLHSDCEIELAIGGACSMQMLDKQDIDHACVSLI DRPYNKEDQGKMVNNSLRRINTSGTSGRSNPTWPKSLCLGYSTLKCGIIEVYEIDSIK SHTDCFWKNLKN PHYBLDRAFT_146462 MYPFQTNATPFYYPSNEIEYMDIVDDSYENHVNSQLSGINYTKS ESANDDDVKMKETRARRQQRQYTEEEVLLVCKLYYVDKLSIEESGKAVGMPKSTAGGI IRRQRDKLGLPARRTPQKIQEATTKTTTGGGVKSFDDILTDKLKIMLYDKEHPKTSK PHYBLDRAFT_169401 MHTSGLISRKRKLETDEDISQINNDPLAKGFLESFNERRKRVRP NDDIRPTSTFTSLWRTDSNKKLPKIIDFSSETYVCFKENCNSRSFTWSDLCLHVQEQH SEKCASCGELLICQAQIDHHRKIHESPKGFVCGEDGCEKIFSTRLYNREGIFEPELQT FANFYFTRRIKFQYLFCKFHGGGHLVSI PHYBLDRAFT_187324 MGRIRIQLARGRSVAPVVPKGTKRKLDELEELAELAMEYRRVYK RTAVNKPFKKSPLGCEEDGDLGQAPKKPAQVLSVGAVTTGTKRGCPEDFDEDERVPKR VLRQILADYEEPVQKGKTGLPLSKALYRRVLSQETQAAAVLAITGCLHPRKCEAVKPE EDAAAVTAVFQVPPSAASSSAAASSAAASSAAAASAAAAASSAAASSAAAAAASAAAA AAASSAAAAAASAAAAAAASSAAAASSAAAASSAASSTTSTT PHYBLDRAFT_169403 MNSLDSNNLQQLIINIQALEEDEGINISDTGSRSTMISNIANNM NSIVTPLSTDLDPSPELLLAIPGMSGNFFERPLDEENRRQFLRSCPRNILRKYMPPSF DLSHFGTNTRQTDLRLFAIQARIAEITRPIDWFVDGVISQKSVSLEQALDFAQCIHEL LSQTATYITKMRLDNAR PHYBLDRAFT_146466 MEAVDTNEIFKHNIFPRKVFLCSGGGSGIFRGMTEAMVRHGAKA VIISRSKDKLEKPAKEISEMTGGHVFAVAADVRNPA PHYBLDRAFT_146467 MYSATLHYTGVPYQQHPGAAKAGIDALTKLWAVELGPHDVRVNG IASGPIMVLGSLDILIHTPR PHYBLDRAFT_177690 MTFQIPVKRIITKEHLEEFLKSDAYSTYIDYILRLNESVKGQKI DKELPASDNVKKILKILDQLAQWVTDIPPVENSKSRFGNPSFRVFYDKVQDSLNDLMG RLVEEEAIKEVGRYFLDSFGDRKRIDYGTGHEANFMAWLLCLEKLSVLTKEDDKAVVL KVFVKYIELMRKLQFEYWLEPAGSHGVWGLDDYHFLPFLFGSSQLIDHKYIRPKSIHD SEIVEEFSKDYMYLACIHFINTVKTTASLRWHSPMLDDISACKLWSKVNQGMIKMYKA EVLGKLPIMQHFMFGSIIHFEGGSINAEGTQGDCGHVHHHAHENSSPGIPSGDVYALG QEPPPCCGIPVPSAIAASAIRNRNNNGPRPIPFD PHYBLDRAFT_187326 MSELSSEKIARALKGAGLSSKQRIEAATEAWLNDDLFFPNKDDF LFEWLCNLLIRSNLKNPNESPLLNIAYWQLLNKLMEHYDARVKLDRKRTPPVIRAYLV LAISRVLEYLYTKLKDDVKKRTEMLTELNHCVQLLFSNSFTYSYRPTFEHMAIIVDQI ATGLSIQIDLTKKGSLTEAKSMENLARLSENILERYDSQIIQAGNPRKIYTSIIGGSF RSLLAIRRRVLTLEDGQTYIGVSQRITDVLTNAMFHPDNISEYVSLLKDAFANVQNTN SLKQIPYVKSTFDELTSMVTQSKDKEVLIDALDVLPTLFSSFVVGFRTKREMQISSTM QEIERTVEFGFFIEALSILNKARGGSPKFYLKSLGGLLKQLLQLNVYSARNDDITKSE QTVLNVIGDDMVTYMSDLKKYDQGLIFDVADTLLQIDLSFIESRITSFWPGLLNPVKE AEKSCLTFTKSVLQVYSASRQIDIFVNDFLVALDAIDFEDAYTILAKPLFSREFLEVF AMTVNKHMPAAQALAIFERFATELYTAAKQVSQTPAPKKHKRSQAQKANRKPVARFII VYLVEYVGALRLSQHQRRSFVKASKDLFEQFIQPSLEQWMESKTDIECTALPAIQIHF SLTNTLFDVYWKHLEEDQREWLATVLKEVFKSNFKRKTQSARISVVTSVDALLQHAYF ASLVSENTPARQTSELVNTALDAIVDTKHEEEVSWDGTLVHLDSSQKVNLACWKLITD EWFDVVCRFVSNEHAEKISSITLLSLVHTPNSENYNPNVLTFQVLNKTLLRSANFYEA RCLKDHTIKTLVKGLIELFRADILEQSSNPSTKLLARSIIDLKLGFENPLNLSAEDIS RLRDTLEPLDAMDEEINDASTKCVDQIAMFMKLFSLFPMEYYDKAYRRQAMALSFYAD AWVISNKSADSGSRIKASLVCRNLQARLISTHTVKPFLVLDPKFLNWFVHSINELPTN NDLSVMKQLSSLKKLTSDVNRDVLSLMLSHTGKKSQDASASDYVEQILKLSVEPLKKH DKTRTIGASIWMTSIIETLNSYIRTRVSSLVHNKMHSSLDINDTVYASKTIASVGCYV IESLDKAKEEVSEMLELVRIIEDENEQNKIIMDFTTEFIKANHVLVLAHLLQDYVHNI GSAAESVVDTAQLSKKLVTLSSPFIQFMQASLRPSTLLSAELKTEVLNMTTAFIAAFC MTLSRYQQVHTTKRVIAVIWFVYSMVSKSGDQASIDSLSATFSAWIQTLSKEEYEILI KSFIEESEEETAQKDDIDRLHSHQVFLSLLKLVVLNSTDAQKNNLKKWIPKFVLKQSL IVGKTRSLELLSQTILLLINVTYDNEYSFSSFDMSLILSCLLQIASPNAPERFGDQLN KDIVSALFDNCCDVLANILRNHRDSLIDVMPTFLGIIQSLLHCFKSTHLSLITKKRKS IDKKNGTDASESLVAHGKTIALFAKFAPLDDSSAEKFARLLSLIPQKNPSNQKSNSSV SLALWRSMPRYVPYVLMEYFTIQSNSTMSIARPQIKAILTPSLYEILDMCTDADRSLI MTALDGSGKSLFKTFFASWKDTHKYTGQ PHYBLDRAFT_146470 MDQTHKRTLGSTHRRTRVRHPFHSSAIHLLVRTVDEPQPDGQQC DRTGEHVPSVQESHRGSTRTRVSFAHLHNSEEDRRPPACPQPTTTQSVHPETIVQDGI HQARLPVDPAWRLPHQRRPARRFSAHPDRQVLSQVSSVLLERSHLPVPRFAVWPVLVP IGIYEDDSPSTSLGTATGDSSVGLPRRLDRDCPIPSTVPAAHTASSGQITVTRFLDQS DQISSNSLGLHRTPRICDQQQEYDTNHPSLQVEKYPERSISPSPQPNNHLKTAFLVHQ QGPDNHFCSPSRAPENPATDIHTQPSLVPRIAMDQSDTSLFHGSAGTSVVDRPAEGME WTLVPPRSTSSRSIHRRLGDRLGHRVRQHRPVWHMDHRPTDRAHQLPRIADHCLCHQA STPSRESPSHLLRQHDHDCLCQSFWRNPVCQTHESGHGHVEAMPCHWHPCPPGVHSLA LEPCRPSLSQLDSAARVEYLSSVLSALGLTVGPPPHRLLRIEPEHPASDVHDMKMGSA SICDGRTFCTLDNLASPISLSAVEPTSPHTAKTTAREGSRYVDNAQLVERPLVSSPPA VVPPANPHPSSPGPSRTRLRLPRSPEEPTLKHDRVGHKLRRLEDQGFDDNANTIILNR DRNHSRRSYNRIQRSYIDWTHHHDVDPFIPNPVHIVNYLAYGATHLKWKASTCQAYCS AILDLYSDKDSIVKDSTYIEFFSALNEQNLLSFHRPTYDIAPVIQFIHNLGPNDTINA IDLTLKLCWLLAIFDDRRTSRDNGILRLVIVAPKEKRSGRRIERVVAIQPHEDPLLCP IATYLAYKSNIAFSVCVRPHPVLSQVTLQRLKIPRPSGALLPKARTLGPTLALASGAS VEDILVHGSWDSSAVFDTFYRLSRQTVSNFSTMTLTSSSGYLDTQPESLANEE PHYBLDRAFT_146471 MEALEARSAAPPVALDGSPADDDDTMLPTDHIVERPIASELTPF PELIKAIPRMERDFFRQPLDEASRRRFLLNCPRNVLRQYQAPVLNYSGVGTHTKRTDA QLADIQFRLSGLTRHIDLFAHDVLVEGSIQVTQALGFANTMHELLSDLASVVTQMRSD NICRDANLPISPIVTNSALEPKPLLDSQRIVKQAKLQRALHDAARPSRTRKGKCTGQS NRTNKPTQSVPTSATPVPSYQRSSHPHDAKKVFHSRPQLSKSQTTNTPH PHYBLDRAFT_65687 MAIYIQLHSKIYGLLYCKLPFEVLSNIAEFLSLKDKIACTYVCK LWKDPFQVASWSYLKIYNSSSIYAITKPKTNEMTTYQINGKYAKGLYLYRTIRINSDC LQRIQQELPNIRNLTIGFVCLRKCNYGILSNWRNWKFLDTLIVEMDDFLANPPSGFFD IFSFLPSLKKLELVEVTPKVLELKVEDFDALQVHAPLLENIHLYGVLTTLIHKDIKNI AKTVPANNVTEFELNCLNNDQRWIYFFARKYPNLRKFILGTEEISSLPVVSCEKAVSL FASDLYAFSCLEELSVRMSGSSDDSHISFWKMFSSCNIPVKRLTYSPRFYQGTSDNIS GRAIEASVKFFSNTIEELYISYFGAYKNIKDVTSALSCCTRLVKLNISTFRISIKLDK LLNGCKALKNIMLGEVDIDISSSAFNTRIPHDVSTISFVRSTITADTFNYLSPRCMKL QIMCVSESVISGSISEESGKLAIDLSSTNLKYFHLNGSEIKASNNLSDEPISINIINI HRPISRHSLGLESDINKEAKTGPIYTSNEKSMENIDASWVHIYRLFDGSGHWNSAKRV LGKKESKRARKYYSNFQIRNKAETDNEYLRSWRGQVLKKKWRKDLCRGYAEIRLGYIE KYDIDKEVWCQYERDLYRTFL PHYBLDRAFT_65686 MLASELPFEIISHIASFLSFKRKYICTVVCKSWQAPLQESLWSE LRIFNRKKMEKICDQSTDEYQSYHRNGQHVKTLFLEGELRASDGELHTFQQHFQSLNS LTIEQNGLSNDNFAATANWNLWGKLTELTIHLSKWNSDSSEKPFLDIFACLPCLTKLR LSQGWVNGSMYFTWNDFETIHDYLPRLESLSVNMTLASLSSNDLDLIQDVKPANRLVI FGPEAVYHDYRWLCYFALKYPNIHTLGKMSFGNDRLVEDCPSSMIPWFESISFVFQYL KAITSVIGGHEGKPNLLFWNVLCPFHIPIKRLEYDVHVSLITPGTFESIVKEAVNPFS KSVESVCIRTYNYIRGLWVLTATLDYCPNLVDLNLRIRCVIIEMDILLNRCVALKRLK LSGGSLIISPEAPKTTTLHGLRMMELTFIKTSSKTLNNISFRCRRLNYMQLTNTSITG SISSTTGNLCVDMSYTRFKVLLLRNVRFNISEIHSDINNINFMVLSRPIIDQQLRDDT RHRRRRRVSSWYRLPFFAAQSDALLSSKGHPKNGVEATWFYTPHKYEGVEGWMSQTWV LTETEVKKALDYYNHFNHGESTALKKQYKPADNIRKKRSDWKGDLFRGYATLKCGYVA EYTIGSGWFTDDYVWQRLFVSLN PHYBLDRAFT_169411 MFTASIMRLRKHILKIRHKHSLTHEFTLLIIVSQSFRTDTLDKQ LCRGPVHVSQGPPSIFYAMEFEKHEVNMSSRIQRIRFSFKGLDSRIMTLSKFQTTMIN ERKLLGIARAFHSIIADISIT PHYBLDRAFT_146474 MLASQLPFEILAHIASFLLVNDRIPCVQTCKSWKTPFEESLWDT VEISSRLKLFAVCSSANPSQNIYQKYGHLVRKLDLYGNFILSDTQLSVIQQRFPNLRH FKTGKKNISKTSFGRTADWNSWTSLTKLCVDTTRLGYSHAEKNVLEVLSCLPSLTELE LEQYNWEKIMIFELKDFETLHMYLPRIEHLSIQLKFASLTPEHLSQMEKATPAISLKS FKLGYGSLDLRWICYFARKYPNIRLLEWAINDDEDLEYEHEDETMRLLTSLPYVFPHL NTARMYSSGNPDQLHLTFWKLLRPFNVPIKDLINEFCYHKDEKARLERNITECVNAVS ETVEIFNVIGYDFRDPQIISTGFNNCSRLVDLNIHECNTHITLNSLLDHCVSLKRLRF SLGSISIKQKKIDYSITHGLRILDVTGATVESETFNYLSLRCRQLNYMRLNGVNVTGI VYQDTGCLCLNMPYTDFKLLHIHCVQFYQQSVNSLRNSNLNLVSLSLSSSVAHNDQTK IKNRSTDIRLYNNIYSRYLASSIWFHTFCEDLTIYRGRSKVRILEEEEVSYAYDYYHS GHFHDSKNTESSEEVYRSNEGNVAMDKWHQDLPRGYAELKCEYIAKYDLRLEKTYDDR FWQNVYNGLTKS PHYBLDRAFT_65683 MSNNNSFNSNNSDNGIFNRSISTISPLPSVGAPVVPKGTKRKLD ELEELAELAMEYRPVTTGTKRSCPEDFDEDERVPKRVLRQILADYEEPVQKGKTGLPL SKALYRRVLSQETQAEAVLAITGCLHPRKCEAVKPEEDAAVFQVPHPVVQKQKQNPKS GSVLGSVLGSVLGSVSESVSGSVSGSVSGSGSVLGSVSRSRPMPGSWIRI PHYBLDRAFT_146476 MSSFYNHNIHNYKNQEGNPSEEGIVQMTVASRVGRHTKCGNTII KMLLRLIIEAKFHVKHVIGDSTRKASLGSNLHAEFCINPNMICFADTSAGHQFSLKYR DLKCVKERF PHYBLDRAFT_169414 MKGFSVPSVRAEHHYLNECIDAMDRKLVVIQKPFLFGNSWLDRC SSASMALVAVYDYKKGNQKQYIYLQDYMCIIFGNSKKYLEELLRADETNPQRMRMRIL RAYTETAFEGRAKHYEANNLHFASLSSLAIISLLRRSLLEICFLARTSSLALLSLDAL FKRRASKNDTTKASFTYSNYELGEIKASSKISVSLSELLKNSDWNSSCVNLVFLVSDV NDDESDNDGAGIDTDADVEGRRNENWARKLGVGICDSIFLPDEYYWGCICLN PHYBLDRAFT_169415 MSTQSFVSRQTSNRVNNAAREAAVITLTLFTRENEKPIYTRKSY VSKQKLFECQNNYENGYLVIEAKLLRFLDEVVVSRGNLKKGPNPDGTFQELKMEPILQ YIKAVVDLYASQASRNLSSEPSVRGKALQA PHYBLDRAFT_134195 MSKFYTLEEVAKHTAANDCWVIIENKIYDVSGFLDDHPGISGGK KVLLKASGKDATKQFQSFHSPSVLQKFGPELLIGHVGTAEDAQLAIEEERSNEEESEE IERGPLTVGIPFGDMVPFGDPMWYQDWYSPFYNESHRKVRKEVRAFVEKEIMPFTHVW DEAKKIPAEVFGKVAKAGLLASCCGVVPPEFITFRLPGGIEPEKFDAFHRLIVMDELS RCGSGGVTWGLMGGLGIGLPPVIHFGSKYLQEKVVPGCMSGEKFICLAITEPSGGSDV AGLQTEAKDMGDHYLVNGEKKWITNGVFADYFTVACRTGEPGFGGISFLLLERGMPGI TTRQMNCSGVWPSGTAYITFEDVKVPKANLIGQENKGFKYIMYNFNSERLGIVVQANR FARVCIEESLKYAHKRKTFGKLLVDHPVIRNKFAHMIRQVEATHAWLEILIYQSMHMP EEIQPIRLGGPIALCKAQSTQTFEYCAREAAQIFGGLAYTRGGQGEKVERLYREVRAF AIPGGSEEIMLDLGVRQAVKVGAFMGAKM PHYBLDRAFT_125429 MLSAITRANVKPTRLAQRALVSTWTNVPQGPPDAILGVTEAFKK NTNVKKMNLGVGAYRDDAGKPYVLSSVKKAEHKLINSNMDKEYAGIMGLPDFTAAAGR LAYGDNSPAITENKLAITQSISGTGALRIGAAFLSKFYPHSKNILVPNPTWGNHIPIM EHSGLTLEKYSYFDKQTNGLNIDGMLEDFHKAPKNTILLLHACAHNPTGVDPTPAQWD EISKVVKERDHFVFFDMAYQGFASGDCNRDAYALRKFVDEGHSVVLAQSFAKNMGLYG ERVGSFSVVCADQEERARVESQLKIIIRPMYSNPPIHGARLVSTVLNTPELKEEWLGE VKVMADRIITMRNKLRGHLENDFGSKKNWNHITNQIGMFCYSGMTPEQVDKIKEDWHI YLTRDGRISMAGISSDNVKYLAEAIHDVTKD PHYBLDRAFT_146483 MKVCADLTFMADCIIGAPGPLLFGDRFLRFRCAVCTQGEEEYER QNMSWMTIVHLVIYNLMRRQGIEATKIKDRDHKFFRWKEDVCAFIEDHWHYLAPDKQR SLTWHNTIASVLSTHSNIFQSGFEKFHQSAWWTLKKEEPPGQETKPKVVKMKPNLKKP LKRARNETEVEEPSTDRILRRRTSSIAHPSGSEGPENGKAPVSKSQRTNELPKIKEEY KEPPLLTPKEEEEEEDIFDLSSLSDISSDSDLFSEEDEKPLKDQEKPTPISPQDKDKA TETWVTHVKEEVEEPRYIPEEPPVSEIDATNPNKIYEGFQPISTPNVIPTPEPPQIQE NLQKHTNERKPAENNCIQKYFLPAKNNTKVSDAKPDDIVDTHTSNPIASNPTTPNPTT TTPITPKPSTPKPNLPEPIQSPPSENLANTQIIDIQHTSNSQTREDKHDHKKETDVNA TPRIPPTPSVLSQHEEWILFQKLENSARKLPANAARYRRKLGVRRLKRNLGLKIFDID NHVAQHIRQKLELEPMSKNSHVKPAINQLTSQNPDSLLSSSASSLENLTSTPYVSSFA SRLYGQPRQHATLTRDEPWLSLWNGRKLRPYIRRDFESKPARMQMLWQIKTCSGRPIP RREQITNQVEENSSIDYVYFQRQHLEQANAMLSRCFWEGIDVSESLLFPEFSVIALYK RCVIGCAFMTPEAYITYIAVLPGWESAGIGQFMLYHLFQTAISKDITLHVSANNNAMI LYQKFGFKPEEFIVNFYDKYLPSDSVLSKNAFLLRLRR PHYBLDRAFT_146484 MPSTTPYLGPNKKTRRHSVGCFPFTRTIRWSRTRSQILQRRYTY YPRRSYRASECLHRTSAKGWDILHELPQTTDHKSRHTRNLSMETYFSCQTQWDTQPRT WKKCKERRHSIQSELTLCSQPLTPAPRYTPTRRPATFSFFRLPERSPDPGSIDSALIA FETSNALLIPTESARPAASTRLSFFRNTIATISSLTSASTRTNLENSNPRQSRLPTLP DYCHPYDIEQLSQQPSSWVSMSPTYFSGPIPVFHVQSLMFLFGFLFFPCWWIGGFLVG SKTEEIKRLVNVTNTNTNTNTNATTSPTTSPIYPLPTLLVVHPSMLANGRTASRLLWL DEPGTPDRPVVARTVSSTTLVPSKSQYSRSQEFIWRSQYLKELSMFRRWNRIMSFISL ALIGVVVAMILWYSAGIQYSWWQPI PHYBLDRAFT_98632 MPLDYSKWDNLELSDDSDIECHPNVDKRSMIKWKQESIHHERAE RKAKIEHLEFLIAQQKRLAARLEALNGTLQKGSDEEEEAKKGDFRPTIPNPNGNGKMP DNIGPDQVFVMMMDQIEGGLKNHSPAHVRKVVSGQLQQTLEATNTNIQASSKELAALH KEADKKLTSENMFKETANRTILNTKSSSAPKEKKKEKVIETLNPSAQLKDLTPANSTS TQSGYEGDEEDEDADDEDDKDIELSPKAEAFSKLSGFGPSFKYISANPEIVNETISDQ ILAEAFTAQLKGRTEYAKNCVTQSLTLQYCGNLGKDGVNLFFMRMNGPNAQPRNMFGD DVKKTYERIVNRCAEILEERENEAPVETIQLQPMSDGSELTVRIPDPNNEEDKGVYEV FEALPEEFRKALATADLDKVNKVLEKMKVEDAEMVIQVCSEYGFLDVGSQVVDGT PHYBLDRAFT_134205 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEETRSVLKVFLENVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G PHYBLDRAFT_146487 MLASQLPFEITIHISNYLSTKDRIECSRVCQAWAIAFQESLWDS IVISDKTTLNNICHPGSAIYQTYRTNGCYVKSLDLGLRLFATDKQLHTLQNHFKNLQY LRTQCSSLSATDFGTNAPNWSMWGTLTKLEMNLGFIKTPHIKAKLLDILACLPRLEQL HIAQDYTSKTVQFTLRDFETLHASLPLLEHLTLDMESQLITTSDLKLVQKNITPATKL KSLVFTVNRSGHRWLYYFAIKYPNIDGLATISFDASEGIETTKAELMTLLGRRTPEIG LGLGPGSLFQKLDKIQTTICQQSSPLHFWIWKKIYSDYHLPLKHLHCAIEEVYDKSNV VKNTFEDCINPYSTSLETLFIQVYENAITTAPWAITDYLVDYRNLVYLRIYTSDAPIL VDIILDRCVALKRLGVSTNWLSVGQSALSSLSTRLTLHGLRMLEVYNSTVCSKTFKYI SIRCRELNYMCLDYTGVTGYVSINTGKLSIDMPYTHFKTLLLRHIRFTSSRDVKECDR NVNLMALSFKNNKDNNDDELATQGVQEIKKKPKKKLTVCLSSRFPFVSTKRKVVENIK SKKDSKVEDCGKSSWFYTTYSLNSYSLWESNVSRLNEKEVERAREYYDRYSSEIRFVQ ETTIGAGGNIEGTREKWKKDRFRGYAAIRCDRIDEYIINTNLRRDCSDWDYLYDELDQ L PHYBLDRAFT_65671 MLPSDLPFEILIIIANLVSLKDKSLCSRICKSWRAPFQESMLKD VVIHTKEELDAICDMSTKNPRIYQKNGQHVRHLFLYENSHPTDQHIQILQQHFPYLQH LHIKGEHFSSTTFGTAVNWNLWSSLRELWIGMETLVTENSEKMFLDIISCLPQLRRLE LARHSWYTKMWFTLNDFETLHKNLPRLEHLALGLDMNELTTKDLVRISSTPPVSNLSY FDIDTKIMDYRWIAYFAHKYPKLHTLHWRTDGSGMARMKYQKEAASLFKSIPNAFKYL RTLRMKSERSQDEENLSFWEIFCPSTILVKSIKWQPYIFYDRPDIIERIIKTCMHSFS ETLEILSIECLFNLDHPFLIRNAFIHHCPRLVDLNIHHCNASIELDTLLDVFPALGKL RLFGGRISVSPQASEKISISKRQLRSIEVHQATVSSATLEFISSCSRRLDNLHLSSTK VTGSISQKTGRLCIDMSRTRFENLYLGHVRFSTSEDEENEDHNLNLMILARSAVDIMK IKDNNNNPDDPMMLDNDSSNTDSNNNISDSSSSSSGSSSNNSSKETKSNTVKYTWIYT SHEKARNQWWTSNTHKLTKAQANRAHQYFCDFERERYLAMQRGPRSDDKEERTLENWT KHLYHGFTTLKCGYIEKYTIGS PHYBLDRAFT_169424 MVGLDYKSVQRMCVWRVVASEISKSYENDISWWGTTFLSNQINS LRSLVWALLLCPHTLCLYISSQESTFPSFKKAYKYQLNGSLGTRHDYSMMAKKGHDLW SGRNKMSSPTRKCRAPKNLHYRDIKKKDKVRTIVDRVILIL PHYBLDRAFT_65669 MIPLYPFIRSVRRYLHPIPLGRRIHTAPHKEAYLQYLHGPSEGI AVLNLNRPAAKNALSITLLREFREAIKEARFIRQPRVLIIQSLVEGVFCAGADLKERA TMSPDQVTEFLYNLRQAYRELETLPMPTIAAIDGAALGGGLEMALSCDLRVAGPRAKI GLPETRLAIIPGSITSAGGTQRLPRLIGSAKAKELIYTAAILNSKDAYNYGIVNHADE KSAYEKALSMAKLILPQGPIAVSMAKMAIDKGMAVDIDSGLEIEQAYYARVIPTQDRL EGLQAFREKRPPVYKGK PHYBLDRAFT_187333 MPVNTEENNTQELVPTYIVKAISIPSQIQEFRAQFRRPDSIRLF HKKESNETNDESSSDEECINLKNGITISKIVEKKVEDNGRVYYIVVWSDNTTSKEAPS VISKIDHDAVEQFEHKIARKILNL PHYBLDRAFT_113755 MSLSTVPLRQDTPLFGQPPRIQDLSLPTPTPSLPSNIAATSSTD VQCSSVFNRSTLNFVPATPALLKKSKLPFSLIVEPFPSHVNVPVVTDTIVSRCTRCKT YINPFVKFVNMADWQCNMCGLDNEVPRAFDWDEAQQQHTDRWSRLELNHGCVDFVAPA EYMVRPPQPPVYVFVIDTSFQAVQTGMIGVIADAILASLDKLPNEDGRTKVAFVTADD AVAFYKLIGEEPEVLVVGDLSDIYLPRASSDLVVNLVESRSVVEDLLGRMKTMYQNTH SSTNCLGSALQAARKLLSPTGGKIVCFQASIPTVGDGAVKPTPDQKNSVTDGPLMVPS SAFYRTFATECTKSQVCADMFVIGGHYSDLATLNVIPRFTGGQTHFFPQDKIHSQADK LKLTMEIIAVLSEKVGLEAVMRTRTSPGLVCHAFHGNSTLRPPDIMALPNVPRDQSYC VDLILEEEIKSSVVYFQTALLYTTCFGERRIRVMNLCLPVTRIAAEVFTGADQFAIAR SLCHQAIDKAVTSKLKDAREQLSKQTSDICNAYAKEVVGTGSSTAPLSMPLHLALLPL AILSIIKTDAFNDSPLVHIDLRSQSTVLLRILAMDAWVKYIIPRFYALHSMSPVTGSV DPTTGKFIMPTNLNLSSEKLAPHGCYMLENGQQIFLWIGKDAMPSLCVDLLDVPKITS VKSGQITSLPTLKSALSQQVNAIIKYLRTEGRKNTYYPSLYVVREDDDPVLRSLFLSH LVEDRQPNGPALAGSNQPMVSSGMSYFQWLGHVRSKCQP PHYBLDRAFT_21052 MASVTEEAPIKKEPLLKTFEIYRWNPDTPTEKPSLVKYTVDLNS CGPMILDVLIKIKNEQDPTLTFRRSCREGICGSCAMNIEGGNTLACISKVDRNVSKST KIYPLPHMYIVKDLVPDLTHFYKQYKSIEPYLKQNTPPPADRENLQTIEDRKKLDGLY ECILCACCSTSCPSYWWNQEEYLGPAVLMQAYRWMIDSRDQFGYQRREALQNQMSLYR CHTIMNCSKTCPKGLQPGAAIAKIKLLMATE PHYBLDRAFT_159114 MPSKPIVFHCQIGVLGCGDCRPSLKCPPHLSIVFPALFYELKED EHPTPYVGTVDLTDIPDRPAGYRLPPKGQLQIVIKNPNKTAVKLFLIPYDVSDMPRNT KTFLRQKSYVEDHGRNHLRYAIHVQICRHEKRIYLYNQVRVVFANRVATLNEKLKVMC EGPKAPVYVPLSKAEK PHYBLDRAFT_65664 MKRFSEIVSQLWSNKAPLEEEQGRQEIPIRILGARGAGKTTFLY KLYFKYCAEKPSTFQVFPTESHNVEVIPYRQFAFQIWEFADIGASLNYIKDTRVIIYM VDAVEQSKPVVASKARENMSWILKTFEEELRDAIVITVVNKIESEGAVDIQDLGQQWI TDPLLTKGLRNHQWRIFECDAATEKGFEKVLDYLSQKIEMKDALTVDVDRSSDYNTLG PTSPSILPRSARRSDFFLRRQSDANSLSNPHTLSHSQSHSHLHEITYRRDLYPQARMP VAPWEDLPNPHHFKDKEFCEWFLQAKAFLFFDHYSLLRIAYLSILQDKQDTRRLLYSL RTILRGIELLEQDALRDTGLANRRPEDLLHESIEYSETQTLFWIQMVSFALLRHPVLD GEERDFESFLMGCPELWDGQGWKKYYSPKIYLSLKAAQEFIPPDRKPLPNAFKASSLA LRGSGLKIDYQVL PHYBLDRAFT_39069 MPMFVGKRASVLAIDGDYIHLMPPEHKGMFDSVKTTSFHVSAIR TCKVSKKLPSHFKIVVMKERDYKTYALEAESAKEAYDICSRIKFLIQVTKET PHYBLDRAFT_125447 MCYACLALVYNSYALYKRGIWGWLMQFRRRGIFYFFLGFIDVEG NYLVVKSFQYTSLLSAMLLDCWSTPVCMILSFIFLKVRYRWVQYVGVIIALIGLALLV VSDLITDKNYTASNPVKGDLFCLLGATFYGFSNVGEEFMARKHPLYEVIGMFTFFATF INFVQLMILERDEFHTFADQPEAIGMVVVYTVCMFTLYSLAPVMFRLGSALLYNLSIL TSDFYGLIFGLGLFGYKVSILYPFAYVIVIIGICIYHI PHYBLDRAFT_113679 MATPAVQTPSVPTPHQSTPFALPSTPAPVDAPTPSRDLNPYAVA GITPTLQNIVATVNLDCRLDLKTIALHARNAEYNPKRFAAVIMRIRDPKTTALIFASG KMVVTGAKSEDDSKLAARKYARIIQKLGFQAKFTDFKIQNIVGSCDVKFPIRLEGLAY SHGHFSSYEPELFPGLIYRMVKPKIVLLIFVSGKIVLTGAKVREEIYQAFQAIYPVLT EFRKP PHYBLDRAFT_113625 MTEHIQAIFIRESETRSQPKPHTVYKIEVHAAVRNWIVWKRYSE FAKLNSRFLTIFPKNRPPVPLPSKHIFPSTFSDPDRIEDRRRGLEDYLRGMLSSRDDR WRQTELWKEFLAIPTGRQLDGQAHYTSESWLDEYNAMNSTAREIRSLINKRATHMGRD EISASHNCTMQAKKLLITLSSRTSALESGLTGLANGSFFQGLMSDGELRRRQDMLSTL KDERDTLMRLVNTGRQEQELLYNKPTSQSPTAALQQQKQQQQQRAKETEWTRGLDNGG LLTQQQQLMEDQDQQVEQFSSILSRQRQLGIAIGDELETQSQILDELDGDVGRTQTKL KFASKKLGKIK PHYBLDRAFT_181785 MTSNSTNSNDPKLPLIAPGFAIGFSEDRNKRCRRTMEDAHSLVT DFDGVPCQGFFAIFDGHAGKATADWCGDNFDKTLALCLKEFKDQPVAEILNKTFLEVD LQVNEKEGKFSGCTAIVAFVQVKDSKRILHTGNVGDARAVLCRDGKAVRLSYDHKGSD AQEAKRIMDLGGFMMNNRVNGVLAVTRSLGDSVMKEFVVGNPYTTETELTDKDSFLIL ACDGLWDVCEDQDAVDLVKQIEDPQLATRTLLDHALANFSTDNLSIMVIRFT PHYBLDRAFT_134221 AYYPNYDNLELGGGQKNDVKINSNGVTNITFPFDISIDATNGEY TPIFNDLIAKCGLTGGAKENIVVNYVVVLTLRILGIAIKPTIRNKASFPCPLTASDLA TLPGLSDIITSVASNFT PHYBLDRAFT_65657 MAGNHTKKNNTERRDSLKPSPPQQRKNSNAKKDSAPSSSPRPTS ASPRHEMKSMGGGVLPPFAAMAAAAGANQAPIKTDGFNGAEVITFLNLRYSDSLTSFH DTNLDSLHRPEMYEVPEPAPVQPAWGGRSGSPWAKNITTTPTPTATATSSFDFHTDKH TGTHKLRHSFHNRISCNLPSSFLSKLRFLAQYMAAHYMEVLAWYSKLLSLLEPE PHYBLDRAFT_78144 MATVGLSIELAANNISTHNFDSLILHLLSQLHRWQDSKAEIVPT SAYNALFLTRVFAKHFAGNLTNQQVIEQFEGRQQAQKNNGGWSPGHSSQASLTLDVQK LAIHADVETDSRPKGEQLLGSLLSILINTDPNVSYSTYEFYTEVLNMLLVLFSTQLHR ARLSEANYFLDLLSEKFSNRSSTIVAKLLENFVEQRSPPAQTSSVVYSAYNYFFSRSG SGSDADAFPVADRSLLLLLLLGNQAKHYIPVSKEGPPIWSSAYRNALSSLRDQNARYS DLENGNIKVHLISFKDLFEIFCRSLGVEERMLLFYLILVENESFRVYVLSRTDPETIY IPILKMIYEAIEGKTNYSQVYVLLIFLLIFSQDDVNNETIQKISVGNLAWFTERPLLK SISLGGLVSLVLIRTLQLNLSHQKDIYFHTNCLAILANMSTSMLDMHAYVAQRIISLF ELISKRHQKVVNKSQASGEALPYNDITVYEDLLSLVLEIINSVLTHRLKHNTQLVYAL LLKREIFVPFRLHARLSEPINNIEHVINYFHARVEEANLKAPSSVEVLDLIAQSARTW SPNRLTVLPDLKFQYEEEQDAHEFFVPYVWALIHRRTFIYWSEEKAHILDEYRQLNDP SDDPDLSTERAER PHYBLDRAFT_146503 MYFLEPSHNDLKQFHFDILSCLPNLTHLTIGDFVRKSMVFGIQD FERRHTILPYLNSLSTHVSLDKIPQNLSTVCQVGTAPKITSFNCTATDNGEIWMFYFA AKYSQVFDMTLSVWSDNKTCISKKDSLMDGKVQISRVSDTNCRNQLIRPDVCRIIRVD LLKSSLWKVKAPVKNIQYTAKKYITQPTFEQRLNLLLDMSMATAETVKCHFEGKGVSL GLNDLTTVFSICPNLVSIDLKHCDVYLAVDTLLYGCGSLKNLTLLTGGLYLASDPMKF TNEHKLKRVDTNSGTISVDALDYLSTQCPLLGHLVLSTVQVLYIQDLTVWELADHQDG WYPETKQVTSEQKEAIEYIETYFKDFETKRLLGQMRISGSLDETSPYWKHSLFRGYLE LKFGDVSNYKIIWGDEDDKEYKDREGSEDLKNMYDALDDLP PHYBLDRAFT_146504 MTATQLPHEVLAHVASYLSVNEQSRCNTVCKKRNTIFAQLLWSP ININIVAKIHVFYDSCEENYLQWGHIVNSLRVATDDIVTDKHLANLQKCFPNITSLSI WRLITKDANKKADWNN PHYBLDRAFT_65652 MIRSRQSKQYVCFIIIHRPIILVHYLRLPTWTALTAYGTLYVNP DCIRALVSKNHDSLEYIVGNQFRETGKNWYLTRVVPEHLVVKRLRKENRGNRVDVWRA EKPTTSRILNKHSQSFWLES PHYBLDRAFT_169442 MPTETIFIESANDKVLIETKQSYNGIPCTEAPTAILAHPYGPLG GHMNNNVVSSLHRGCGESKGRTSWTGMAERGDYQAVIDHVLHSPDYASKYPKPSRLMI CGYSYGSMIASSIEAPCSLPCFYLLISYPLSVTWALALFKASFFRSQITKLLDHYRNQ EDAEGGVLIIYGDRDQFTSSKSYERWLENQIGGHVKAEVVKGADHLWFDYEQLLVTMV NKWLNGK PHYBLDRAFT_187343 MNVLDQQKDIGALLEVCQTQWETLQSHGKYAVDESIRQCSRDLH EGIKLLVNLQDELDEVGQEEVDSAWLGRYSFTMEEVQKRLQSAMDKTKKKSVTNLSPI FTNSIADMATTASSTPGLTKHLKQPHGQPTKDTVIEEQTCYVKAVPMVTQSAPLKLPG QLSSSLRSTSSSSQNTCLLNSSISEEDEDEDEDDDYNDEDSYTQDTPKNSQEALVPAP RFTISSPEKQFVGYPFLNRVSEPVLEDMDQEINNYGSSPLESKQFDNDYQQYSSAISL PKIPKSNSSVSMYSLPPRFIDSPARQENYNIQPPVFPTASESTERSFGRRSLTIEEFP ELKVDTERRSKLLIPLLKSKNSSRSTPLPGAPARINFYKNDENSDSKDNEIFASDAIV NHPLRIGIGYGSYICYSCTVLSNKGASIIVRKRYSDFVDLREELIKKYPKMKKNIPKL PPKKVVGNFTPVFVEHRRRDLEYFFKYVVLHPSLGNSSIVKHWIAP PHYBLDRAFT_187344 MASMMDLDSSLDDIIKHKKGGRGDYKKNTPKTNKHPQQGTPQTA RRAGVRTKVPINTKKSIFKPTQRAPAKVTPSKPLFTSKSFTTTAPKIDPSAIVITKSV ATIPISGSPTRTPGRFQGRLGKQPSQGRQQQQQKQQQQQQQQQREPDVDIRQRAPPSP PPVVERRPLYQPRSEDRNFSIRGLSHANTPSSGMSIRGESGPSIVLISNLDPEANTED VRTACLQFGPVLRCEVLTDRMGRSFGEAEVEYSTKTAALDCIAQMDNEVADGRVLRVI LRNKQAGTPAPVQSTFAAQTVRSTIAPTRSGYTSAPSSGKLYSDQMIPTGPASNAAPN YARYPARRY PHYBLDRAFT_181790 MSTVETFSEQVRLAATTHNAGLFSDLFQLEEYNPGIDTVRCESI VNRVLEDQSSALSEFVCAYLVVLRNLKDPSIIDVYDHYANFYSTLVPVFNGADTFYQV SLVRRLSSELVSLAFLADNHENLKGKARKTNSAARLLSKIFNIMLADRAPMEESKRQG IFHITNLAFKAYFKLDSIRLCQTLISNIRTGNVNIDIYPIAQQVTYRYYLGRYYLYSN LLRKADECLSFAFDKCTFQQWNNKRLILKFLIPCRIILGKFPSMQLLTKYQLVIPFAG LIQTLKSGNLYEYLNHLDVHFGFFYKTFTYVMLRERGTVLVWTCLLRRLSQIVVAERN VMTFDHCHLALSKSTQDPTLDMQDTESILVSLVSQRYIRGYLYHQKRLLVLSKTAPFP PISAIRVYTEKYDDQKAENHLSNVPSGPTEEVQEMVSGMGDGY PHYBLDRAFT_65646 MNKDLSMLNGVISTKNSLTAIQESVEIKDYQSVGEETKNTKSLK HCIFPGEARQNFLINKREDAIRVNNRLSLEPLVFSSYGCSVESWIRRMKLYIHFNNFS KEDALHIIPAHLEGKALLWYDAYALKNKYDTPEKIYDGLLRQFSYVDVLQKYGIKK PHYBLDRAFT_96101 RKIYVNMPLPANEYDDKGKLIKGNYVSNRIQTAKYTPLTFVPKN LFEQFRNVANLYFLFIVVLQCIPLFGVTEPAVSALPLIAILVITGIKDAVEDWKRNQS DDKVNKSKVFTLHHWTNTNIPRVEKGPWHWLHIILGFFSSLAGIENNFAHTYRSIKDR PVVLPRLDSDYRTLSHRTAVTATGNKLLGTVKQKSGTLRSELTNIFTPSERHMPYRPG SIPHSVLYRKPTNQTSQTDRRPSTIHPGGEPCADRMAGEPEAPNCKVCWQMVQWQDLN VGDYVMIRNDDNIPADIAILSTSEVDNLCYVETQNLDGETNLKVRQGLQATSGLRNVH DCERARFYIETEPPHANIYQFNGVVRWNIEQPDDNQSTVSHQKTEAVTYNNLLLRGCV LRNTRWAIGIVVYTGVETKIMLNSGKTPSKRSKIAKATNPHVIANFVILAIISIVSSI MASVQFNDTGSSRFFDFNIEGSNPTYAGFLTFWVTLILYQNIVPISLYVSVEIVKTFA AYFIFSDIDMYYEETDTPCVPRSWNISDDLGQIEYIFSDKTGTLTQNVMEFRKCTING VSYGMGETEAMRGARKREAGVSEDNHEETGPEQENNELDQARKTMYEKQASMFTNKYI GPNPTFVDPNLFDDIAKDDLQSTALIHFFLTLGLCHTVMAEKTEDDNIEYKAQSPDEA ALVGTARDLGFVFLGRESNILSIDVMGELQTFELLNVLEFNSTRKRMSVILRPTDSDK IVLLCKGADSVIIERLCTDFGDQNDLKESQENVKEATLQHLEEFANEGLRTLCLSYRF ISTEEYKPWNRRFQEASSSINNREERMEEASEEIECNMLLMGGTAIEDRLQEGVPDTI AELAKSGIKLWVLTGDKTETAINIGFACNLVTNDMDLIILKATNREDTKKQLEDALVS TDKDSDVKRALVIDGITLKYALEPEAKESMLDLGMRCASVLCCRVSPKQKAEVVMLVK KGLKVMTLAIGDGANDVSMIQAANIGVGISGVEGRQAVMASDYAIAQFRYLQKLLLVH GRWSYLRTAEMIMGFFFKNIVWTFVLFWYQIFCQFNGTILFDYALVTLYNLIFTSLPI IFLGIWDQDLSAKISLAYPELYRMGLRNDKFKVWRFWLTIFDSIFQSAVCFFFPYMLL LGGSIDPTGRDHNGVYELGTIISSIAVIVANLFVAFSLYSYTWIQLVGIAGSICVYYV FVAIYALFNTFIFAGQSNVFGTGLYWLSLILTVVACFLPRVSAKHYLHQYHPYDNDIV REDV PHYBLDRAFT_87438 IHQATDAGHDFIVTPISQPRFERVLGIDQPVNVIQTWRDSPAFE RDDLVLKSADWSDVVVGSLSPWLNFEDPAFRLNSELALKQEIAWACHLSLLAVIFPTL SGGIADTARALNGAVTSMSYTQMWVQVPLTLENEITPNQSWRNWNQLRTLTEHSPKIQ VALELTSKLPEDEQLLDMWLAEPIKAVIIPANVFVSNAKGYPVLGKRHQSFVKKLMSG LRPNIIVTLPSTQLHPSASPESYQEYIRFLNRNLPELNDVERFSIGYQDFLQAPLQPL MDNLDNQTYETFERDPIKYQQYEKAVYHALLDRVKAGSDIETVIMVVGAGRGPLVNCC LRAATKAERKVHVYAVEKNPNAFVTLQNAKADVWHDKVTLVFADMRKWKPTRKCDILV SELLGSFGDNELSPECLDGAQKFLKEDGISIPASYTAFVAPLASTKLYNEVAAYKDLA HFETPYVVMFQQVCELAASKPLWTFEHPNKTPDVPVDSDPINNLHNLRYKQVSFEVKN GMVMHGLAGYFESVLYKDVMISIHPETHSPGMFSWFPIFFPVKVPVQVPQGAIVTVDF WRQTDRKKVWYEW PHYBLDRAFT_125461 MKLEICSFSGHKIYPSKGKTYVRIDSRSFRFINGKSESYFLQRL NPRKIKWTVIYRRLNKKGITEEIAKKRSRRTVKHERAVAGVSWDAIRAKRNQKPDARA AARQAAIAKSKEAKKAAAATKKTTTGSAAQGAKVSKQGAKGFAPKAAATSR PHYBLDRAFT_78138 MASRFQNLYVARLTTQERPCFVCSKFTSVVLTSADNSNDDWLYV CRSHLGDTNFCDKLGGKPAQPVSPRLKKTNLEDRKPESDSVGDLVASIGSVWKSWRGS KEEKDDKGDKEKENKDEEKKKEEEVPATPTTPTTPTTPTTPTSSTAPIRFVLQKDYFY LRQREYIKKNEKKQAKERLAGLSFPEVPKQRPGA PHYBLDRAFT_169450 MSPENPIPCTLRLITQFMHSITKYLDKIGSRTETSRRSSYPRSG EPMKKQPEKHLSIPPSSKELTNISWDQALREEHLLYHEPLIFYGQRFWMNSWVRQMRR YIELNDIKDEEVMKIIPKLLGGEALEWYNKQAEWVDPEQKIKVAKLSKLITGNQLKLL QICLGRNYNICQLFY PHYBLDRAFT_65638 MTCQENSNLTETTFLSNRTNQSGLHVRGPVSFSNPSGKNASSAE DHKELLSLECNAILLNILLFIGLLTRIMYFSLFCLLIFPECNTANWNQIKQFHAGKKV AIYGPVEPKCCPHNQKMSSL PHYBLDRAFT_78137 MRALLVLKDPEDNEKYEQIFLTDEGVWNFLKDEINEFDNVCTSK QLAKWIASSRNWRQLDIRRLNNVCPRGRNLYLLKSQYRTQPNMMLKLGKNSQTSKFIE LLECDGTMEGRIFQRTKTEEFDSLLFIICLSLKYTVNGKWTNNFCPAFDGNLLGIVNI IFFFVGEFPKVVEGHDPAIT PHYBLDRAFT_125462 MATCLIHIRITFHRLNAPMSISDEGIIEDEDPLPPQRVTIQDFQ FIKVLGKGCMGKVLLVRHLRTSRLLALKAISKEWVITQSEVEHTRMERNILTTIAHIR HPFLVKLHHSFQDASQLFLVLDYHVGGDLATQLAKFTRFTPDRARLYTAEIVLGLQEL HRLGILYRDLKPENILLAADGHIILTDFGLSKQFTPGINNDDHRTRTFCGTAEYLSPE LLRGEDYAFAVDFWSLGTILYEMLTGVTPFWADTHAEMYNRIVEDPLEFPEDFEPVTA NFISGLLQRDPANRLGAGPEGPITIRSHPYFDTLSWSEVFYKRTRPPYVPLVRSETDF SNFDRDFLEMTPRLSPPNEDALLTQSVQQAFHGYSY PHYBLDRAFT_169454 MQEIVKLEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQAPEKNKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRARTRDGKP AATQKTKNNKKQNKSKKEPLDLIDATKNKIKQIKKEPLDPVDATKNKTKKIKQEPLDP VDATKEIGFKRPATAQEDYQYDYRTSVGKRVKFQPGFPVSHEIVDDVKGGFNPTADGW CGFRVLAHLIYKDQEKFPLVKRDMLATLPKYNSIYASTFGTDVKQLEDIIKHGSDICI TNSNSNFIPACLDASMWFSASDCAQLAADTYKRPVCVYSDNPNTPSVSFLPFTLPKNI SKHQQPLIFNHVNNNHWTTVHLSRNVSRKWPTIPELFFLGCVRNQIPDNFDTYWNKFK EFNKYDRRNAMFSFLSDQEEHVDLTIT PHYBLDRAFT_146518 MRFSIIASVLLIASSVSAGPVWSNPSSSNLAPLYVSNEAETVSD SYIVVLKDHLTANHVEQHANWLQSMVKTKDRDLWLNEDRQSNTIRHVYETPSIRGYSG TFDNHILHQIRQSDDVAFVERDSMVYASELQRDAPWGLARVSHREGLTLENKSTYDYS SQGGEGIKVYVIDTGINVDHADFEGRATWGKTVPRGDPNADGNGHGSHCAGTIAGKRF GVAKKAEPVAVKVLRSNGSGTMSDVISGVDWATGEHIKAGTAAKKAGKTFKGSAANMS LGGGRSPSLDRFVNAAVEEGIVFAVAAGNDNSDACDYSPAAAELAITVGASTLYDDRA YFSNYGPCVDVFAPGMNILSVWKGGKNAVNTISGTSMASPHVAGLAAYFLSLEEGPVT PKEIKDKILQLATKDALSKIPKDTPNLLIYNNYKPDEFTTQGLKAILGDI PHYBLDRAFT_146519 MAPFKPAWTYLVSKDLVSANDAIVYSQPLSQNSQLNSIKQESTL QPVTSLKPLSDNTLLHTQLINNNTTLQLRLLGTTQPERVVNIEYHVRLLHLIIITTTP NTVCWLLTLDGRLIRQELPPNEIWAGTMTAKSTICPLQAKTEHASIYNTNLQYCHPHN IFKRFTKADKSWCEYKSESKHTFWPLLAFQSFGSWIGWQAAEGTDKASQKHAMILASH RKNAQEEVSIALRKDRRLEVCVSGPSALQKIAQPLPWLQEDGKLEYPEEPDVLESFPG GLPNERRADSLNSLASFAEHPKMVLVPTGGGLTLVVYSDIGVPCFLVYTLPKDSILME LTQTVPHPSKDTLLDMDVRIHETTLDFELVGLWKHAKDDRSAVRTVRWSAADKGLFHP RWRTVMPTCSTNTFDAVRYLKDGTVVLASATSVGSVFPLSYLEASFLYHTKQKEDSLM AECFSPLEDVVADHEIDTPSRQELASLFQQPPTDPEKLQEMLRTTYTSPISDLSSLGL KTPTSPATVVALRSLVHVLVSPNTNLASKSPWFNLWRPDTAKFIIQVRHRLLLSVVSI VTTPQTLAIQQAYDCLEWVTRQAVYPALVDSVDYGVDVVHALLPIYPRLPVSLCLKIE SLGDAPTATQLANEFLDPSVAKECLDLTTAEREFLALRHVQKCIPSRLEEAMETLVAM PLTPQRQVWISRWLEAAYAAEEYDLICSSNIEPVNKTGGSGRLTWEELSFAYYALRQQ DSKANQSMKEYLNKARPMDDHLKTFFTTPM PHYBLDRAFT_146520 MAKRTNNYEDQRLQESNSKIIRWFGFDQFVPEQAVTSYWVSSKT FLLIRVPILLYTTIVIWTDLGVSAAEGGGSRFFGYFTTLTFVGLHAYIVTSVVHHVRY LMQSPHRPSSFLDQPAWINYLYVWLYHTVVTFNIVTPVVYWSLLAGTMLEDGATPLNW WLTGSVHAVSFFLMMTDMIFGRVKFFIRQVITVLCTVILYMFLSFIIHASTGWWVYPF LDWSNGRFTALWYFAVAIGIVVIFFIQFFFHWLRDRIALGKPRSSTLDSEANDIQEKD PHYBLDRAFT_65631 MAVVRAWIYLLFTLICFGRVWYLFRLSTASLRAPRIPTTHTIPA DVDRSIPISMGAEPKGIFYFVQISDLHISKFRPKGHTHYFLHFIRSILPVLKPSFVVV TGDLTDAKDMRRVTSQQYVEEWSLYNYAIDQGVQGTRWYDMRGNHDCFDLPSWKSRAN LYRTHGQSASLIAEGGGIYAWEERLEYGRYKFIAIDACPKKGPSRPLNFFGYLTSKAM DQLASHVLSDSYNHTFMFSHYPTTTMVFGVSSQGYTYRDLARHTSAYFCGHLHRLIGG LGDILQAYHPSTQSLELELGDLKEHGLYRIVAIDHDLISFVDTPLPSAIMKSRPERLI PLDNTTVLWPHAVDIAPVVLITNPKEARFSIPAKEPLEQIQKSTHLRFLVFSSLDPSQ LQVSIWIDNIEHIHPAEFVGNQEMPLWVAKWDPSSLELKELHTIRVQVDTPTMIGSSS IEFRLDNQRTKVNGGTGEWIISTHMTSTLQCITAFSFLFMLILLLVPVLHTQANIPTR SPIEIWSKKLAALDRQDPPRIYGSVQTNVLSSALQFYQFPQEQPIVWHGFLVGCLVLL TCPWFRAEFLPSAEGNERYGNFYLWGIMFGSVWEPIADTWLFGALTVVLDVLVFFVVF VYRLHLKGSARFKSGMVVYWLWRVSELLSLAMFYGGWWPSLVLNFLVAWLCWIGVVLV GGIGGIWTQIHVQQQNIVPDKEAQWTQEDENGSSSSSSSIEPIVCGVHVKNRRKV PHYBLDRAFT_57333 MPERPISSAFTLGPWRKFSGVSVLLLYILLITTVLYLFHFTPES CGSRHSNPCPYEPTIYNVPFVRPPQSQRKFTSPAVEEFIVHVTGKMRDGDLKTLLENC LPNTLDTTVEWFDVDNSSSSHDPRAFLITGDIPAMWIRDSTNQISPYASIAKKDPRLQ RLILGVLNVQATYLYYDPYANAFLRPWDAPKQDERYGADDRVIPNYDATYVWESKYEL DSIANFFQLANNYIQATQDYEGVFLSSEWLKAVPRVLIVLHDQMKDGYRFRRTTERPT ETLGENGRGGIARECGLVKSAFRPSDDATTFPYLIPANAQLAVQLQMLSDHLQHVPHL LTPLLIDIEQRTRKLSQTVRTAIEKHGIVEHPTFGRIYAFEVDCYGSYLLMDDANMPS LLSLPILGYVSRTNTVYQATRAFVLSLNNPWYFEGTFASGVGGPHTGQDMIWPMSLLV RIQTSVDEVEIRHVLDEVKRWSARTGLMCESFDKNEPSQFTRPWFSWANGLAGTTIIY LLDHYPHLV PHYBLDRAFT_169460 MTAPSPTSDAVSTATSVALVSLQHCCKHTMLIYHQWLQPMVNVS TSKRIVTFSASRYLYNVKATRILHHIVVALESIVLFEPANLPKSSLSYLNEFQRVHIF LLETLDQDLKALSVWLYGIKRSLKN PHYBLDRAFT_146523 MPTSKNREESFILYLPTLSTTALQQPCNASSATMTHFEGNYERA WWVDIDPKLWEKGKPWFADGLGYDWTGGKRLVMEGSSGQHEEKIPKTVDNSVKQVHSM IEMLKCIANTHTNSSFSTFLLTKVFDVQTMKTTIILSELQTDYEGKFIQRQF PHYBLDRAFT_146524 MLASDLPCEILINIANLVSFKDKSPCSLTCKAWQAPFQEAMLNE IVIYDQKQLEEICDEFITNDIVYQKNGQYMRYLYLYGEFQASDEQLHILQQHFRNIKY LYVKQARISNFNFGATANWRLWDALVELQIVLDDVRIENAERKFLDIMSCLPRLKRLE LIRHTWLPVRLRFTLEDFETLHEHLPQLKNLSLSTDLTVLSAVDMIHITDVSPAKGLV FFDIDSKTTDFRWLSYFARKYPALHTLRWATCNNEITLDEHQDEAVALFKAIPTGFQN LRIASIRSEECPKGEHLIFWRSFCPSNIPIKHLTCRPYLSKNTPEVFEEIIKTCMRSF SKTLESLSIKCRFIFNTPFTITNSFNHCPRLVYLRIGYCNTSIELDVLLELCASLTNL RLSRGRLLVSSTASKNVSMCKLRVVEIHRSTVSAETLDYISSRCKKLDDIQLKNTKVI GSIFQNTRSLFIDMSGTRFERFCFENVWFSTLEDDENGSSNLNLIVISHPTIDQQTTD TLNTIPSEKVSPKNTIEYTWLYSSYKKDNNNSWSSVNWRLSKGQANWARKYFRNFELK RTLAGTKYSFDSTVEITGENWKEHLFNGFTTLKCGYIANLDVAA PHYBLDRAFT_187354 MYGIPTWTEEARTLHDDICRARTSESSVLASDLRAKLQLSKPKL LRLLDDDSRNTAHRTNLNQGKAYINGTLQKIAPEFAQKAIFLSDQLDINEYTAATLLK RGLEEVSYTEDNAIDISIVLYHQEHEYLLECFEVILTTAKDASVNNDIRLVCYDFMND IMQTRLPQEQLSTVTGGPGGPSGSFIAKLIATVKRYQEYISSIMDTGALSNAQPTPAI GLANGVGQGKLGEEITEYRMHHLVEERTGLIRIFYHLGSLFWPEPEDVLSFLGMLENA NLKDYATPYMIICMIAILSPENHVNMDDDYQRKDLSKNEEFLKKFHERIMNQSWTVLP IKATIFIQWVILLEHASLSKPGLKERVTGTGDFTELVKDAISAKAFVFMNNYLLQFQQ EYGKNNVYRGVLKDQIDDDGNMVTDRAVNLGDFTLFNAFIREDFHIYVLHELDILAST FIRNMKSVLQTLKYREEDSNPATLPTQQSSIIYTDLNNKDERCHDLEDFFSFLASVYR YRIDAGFQFWTNDEGGLYIFTQWAASIRVQSTVKAVYDFLGSISTGERCARSCFAYLR RYTTTYESGVNNFFSWASLVQTLQYYAPLLHTSTEDRINRLPPNEENLLKTFLYLLKQ VVLYYVDARKFLWEDKILNVQNSLIDMINSPTSTQLRAALYGALAAFCSSGGGDINGV GRSHALEMWDVLEGGQFLVYHPPKEGLTRAQAMASKQVGFMKDLSLEQSTGTYPETLA IIELLTSLIHPQNERNELIAGYKTTGFSIPSKLGYNRKNPGAEPYISLIIENVFLQLD SFKYMHSECKWQLTEACLKLLENCIMSFDLRPLVYWWRGDINYQQKTGAEEILSSLLR YITHPGFEVFVRLFSDSRLTKKLFSILEHETEGSISWRSMPTFSRECVARCLSIIDKA LEVQDAFCNLLVPTILRSLTKTSSPDIVLGDFNFKPIPSITMFSHLMLHHQKVLINIS QLVNSKDYEEICSLSAKILQTLSADPSLNDMTVKSEQGNYVHLDTGGLGKQLTMVLQA SSEADAIIYGFSERLRLDYSESITFNDYMYDLNNIPFWRAETVADNIHQLNETSPRRI QSSIRLEILDLLLNNSVKSKSSPNLTEFLLGFETKNGKGLVSIKDPLSSNAIPTSFHS ILDLLRSGVSAKGLPLIATHPLLAEKCYQLIYQLCSKKSTSEPVMRYLRTRENYFYEQ FKAMPSGLTSYQSTPVHMLPGTLIYPDGTKNSIDFFSLRSQLLRRAWLLHSVALELHA AAVSGRTSDASRLIELLYGDGELPEKNASPQATRVLQQPLVKILEIVSSLNFIWDDHT KDSPKDFCLDFFTGFDDTRFEVTNEHGCVVYDIWSAYKFLRGIQHMEDPSDTNKWNKI ELEIRRIIHVMVIQNHKREIAHGKLCCLEAWKQVVEISVLECHELFRSNRRFKVIYGL LQMLLPELPRLADKNDAMLQGMSQVILTLMDQINTTPRREKIVMIKPGTNAVYSLPNF SDMDLSEIFIGIVNCIQDSHGSVMVRGNMYITLSKYLALITPPTQQKDTLPLPLTKLQ HFISDSVQNSHPSFLETIYYDTIYSDDVWKPTALAAMSVLYELTERAGTYTVLNFLER KNFICHRLDAIFEGQTGEGQFKPQDISPTSIALYNEKMNLLLRIASKKRGTEVLCAHN VFDELCRFNLNKLPREGKKETELFDIIVTPVLRLVLILILNKGQYCEETRRQAKRWEI SHEDVLQYITAIKRPRTSTSEIQLNLTKDILHLLQPKPESFSTIEPKQPHAHW PHYBLDRAFT_65625 MSILKNDEVCSGTSEPTMNKVNILLGQHSCAGKVQEQKKTILDA SYGPTTSFELSYRLGKKQQYFLRFTPNSIEVKVTVLSEKPMSNVRTAQNGYELVAVNS KNQEWFIHSTNDTGTGTGYYYQCLFTDYIIYLSIPRRENRKMYRLSVLEEYYSTQNYY NLTVNCLHIPGLYNIRIYHLSRKAKLLNRTVLTPTVEVAPLGITEKSTRLSENTSTGD IQLVNSVFLTNVNSTNGRKFYKSSKKPTMVLSNMNIVGQHLKKPWSRKTAGHKPDCAI VLAITIRNVRLTGKSFKLYILLSFTLKTINEATPFRALTVEASIKATGWNPDFLRPLR VLFTKVHTIVTHTALNNSIFLTELPRDPFFDLDEYITISIFWFFYASTKSRTSHQYLF RKFHLSSYINHSKASKCLARKISAEFGWPSVLMKTSKRSSSGGLLCETKTDRNSKNIE IFPPVYRLRFTQDTVEHGSEGQYHISCFSFGNCPIEYKATFDFIGLNEIKTNIQTDLN LKKISKTLATLLLKTPKGLLMLNFKKFHTSTP PHYBLDRAFT_169466 MEDSKTQPPLRNHNGISGSIVAGLCLNKHGYSIVKPPTNRHTIR IANLPPFNLSHKSSIESDNTRHSPSDITSKLLFTRNMSTKIQQHQSSQLDSDINTERI ATLDSKFPSHKLLDACQNVSFLSILIQSTLHKCLDFCVSDKLQSLMADSLQHSRSFTD KLTMVLHQIDYQMVRQAPKPALEFDLIKAARACINSLRELCTQIKDCLGLLVNILDPK NARYLVMNLHCAAVDLKEAWEIITAQTPPVHILSIHPTLSAPTGLRKNTKHVPYLPRA RSASEHQPGTHYIAYLTTSSTKDSTRLFGHLRLAVTGSLHVIDLLNISIKAVTGTESL NQKLYALSQQAQQAIYMALCLAESLDNVMLKESDSYRNNNYDSNSLDVIPVAFSSKEV PTLVSPKDMLVQKDTSRKLWEDTTAYLKSILLVMSSIRSISTEEDFSWPKPIKQGCLH VTRVTAEVAKLWNSYSVFAEHGYYLGKGDGVNRK PHYBLDRAFT_65623 MSSDHEKPEVLSLSAIHTGASYGDMNKRASITSIETASICSNGS VEDDKPLIEPHMGLLSSVNMIVGLIIGSGIFASPGPVTLKVHSVGPSLLVWAIGGFLS YIGALCYAELGTMITKSGGEYQYLRSSMGLCMGLTFTWSNLLLTNPIGTASIATVFAQ YILQMAYFDKENPTGEGLVLPEYATKLVTIGCIWVVVILNAVARKASAYVSNIFTLAK LIALVMIIIIGWVWLGKGHVSNFENAFAGSSTNALDYGTAMYMALFSYNGWNNLNYGI SEVKNPKRVLPLAITISCMLVTSVYVLANIAYFATLPISVVSTSSTVAMQLGLETMGV AGGYFFALMVVLSTFGAVNGNMWASSRLVVASAEEDSVFMPTFFAHIDKKRGTPIRAS ILVGIISTIWCIPGNFTYLAKMYSFTGWLFYGFSVIGLIIMRFKKSTKDLNRPFKIWL PLAVFFVIVDCYLVVAPLIDAGSDGVYQYIICIVIGLLAIPIWFVRVRKPQLGRILFG WIPRYQDAGILAKKEAQLARRQMRLANAQATEEQVETDREKY PHYBLDRAFT_187355 MIKNLIRVEDASSPSTGKVFGFLQLYKLVMDLELCIYLPGMKLL GIFFSLICLLTWSCSATFDDSIDDVQQLNNVLDIKDSLRVQRDISCNVTINHYLWPAI NLTSSYFGTNFSKMQLDSIDALLELGYMRLEFDIYWDSTYEIWELCPVEVSDKNSTED QTINQFTCTIHSLEIFLGSINRYLVSTQVPKAPLKTNLVMLILHIHGTDNQSHPLTLL SEIISKTIISSTAYTSRIYTPNDFIYDQKNKPSNSTWWPQWIQLVERHFQLLVGFGEI QAEVNSSNKTMSNEGILFGAGTFGVPTYFENSTFVQDTCYKALENPLSEPPSWSLVDK KNQSLNSAEISQVIHCGQSPMLDISVSSTEYRDLLQKTIENTLWSWDEGQPPKDNKVA CAAIQQSNGRWRVEDCSEQLNVACRHRNEPGKWVVANTTASYDQAFLVCPDNYLFDTP RTAWQNQQLFYTIQRDYIDPSTEEKSQDRAVWINLNSAISAQCWVVGQSDLCWWLNKA ILILFGFFTWVKCARLWRLRRSNQRKRIAQHMLARRDYVTVPYNLLVRGPL PHYBLDRAFT_88880 AIREAFELSPTKFEALVKGFQQEYNTGLTTSSAEIATMIPSYVT RMPNGKEKGTFLALDLGGSTLRVSAVNLLGEGEVKVTEVRRAIAVADPLRTGTSDAFF DWIVEAVDELLSKINTHTPLSMGVCWSFPIDQTGISTGTILRMGKGFDIKGIEGKDLN ILFQEAFKRKAINVTVTAILNDTVGTLVAHAYTHPQARIGFIYGTGVNAAYPEKVSRI QKLKNHNMGPDVEMLINTEIDIFGNESYLPLNRFDLALDATHSQPKFQLYEKMMSGAY LGELTRLASLELIASGDLFKGNIPNQFKNPWDFSSASVSILESNAVTRWEDQKVAVET LLTFENEYQLSATDLSLLIQVAHLISDRAARLAGGAMVSLLEQQKINLSETDPIVIGV NGSVYELYPQMPERIQQSLIDWFGPTISSRLQISLATEGGSIGGALIAM PHYBLDRAFT_155623 MRLDIQEDTKAVVDYTATYINNCIIQFKPTSRHPFALRFLTGSS LISGYKILAIFYQDGELSFEHVVTFNTDEYVGLSSSHPKFYRSFIGYAPDLDRECSRY EAVIRRIGLIRLNGHIIFNEPDMAKLPRVALTVGVAQVVLIEFIAINLIIHIRIYPKG LIVCYEDETLELHVKTVKYFKPIEHIQQEIIKSCSLILEDSYSIIKH PHYBLDRAFT_20999 MGFDWKFWKSKLPLDTPRLIYLHQPELNHTRFVDNKVSTAKYSL LTFLPKFFAVEFSKSANMFFLFISGIQQIPDISPTSRYTTLVPLVIVLLITAIKELIE DYGVHRSDDQLNARACKLLEGQQFVEKNWKDVKVGDICRIESSEFFPADLVLLSSSEP EGLCYIETSNLDGEVNFKIKQALPETAWCISPAEVSRLQGMVQSEQPNDRLYNYDGTL YLSPLTGLGQPKQYPLDPSKLLLRGAQLRNTSWIYGLVIFTGHETKLMLNSSKKPTKA SNVTHITNRNILYLFVLLVLMSIFCSVGNLAITMRDGFRLSYLLQATTERAREFGFNI LTFMILFNSFIPISLMVTMEIVKFVQSTMIDNDLDIYHAKTNTPAVARSSSLIEELGQ IEYIFSDKTGTLTCNEMEFRECSIAGVSYTSHADPDRQPHTEYNSSEKYAFDRLEYHR THSAHASIIDSFLTSLMTCHTVIPERKDGSDKVIYQASSPDEGALVQGASTVFGYTFY ARRPHTIHCEIKGVDKKFDILNVCEFNSTRKRMSVILKDPDGKIILYCKGADSVILER LAQNTPFVESTLAHLDTFASEGLRTLCYAMREVPIDEYTKWADMYDKAATTLVDRADA LDKVAELIEQNMQLLGATAIEDKLQDGVPDTIHTLQEAGIKIWVLTGDRQETAINIGY SCKLLNEDMDVVVCAAEDHTSTKEFLQKKLEEITAQNDGQSFAFVIEGKALTFALEKD NERLFYNLAIRCKAVICCRVSPLQKALVVKVVKRYSQSILLAIGDGANDVSMIQAAHV GVGISGMEGLQAARSADFSISQFRFLKKLLLVHGAWAYQRLSKMIFYYFYKNVALYLT QFWYAIFNGFSGQTLYESWTMSCFNVIFTILPPMVIGIFDQFASARLLDKYPQMYLLG QQNEFFNQKRFWGWIMNAAYHSAILFFVGMIAFEDDAVFENGWTGGQWWVGTTIFSAT LATILWKAALITDIWTKYTFIAIPGSMLIWFLFLPLVSYVGPHLPWAIFTEYQGIVPL LYGNLNFWLFFFLVPLGCILRDYIWKYYKRMYKPESYHCIQEIQKLNLPDYRPRMDRF RQAVNKVRRIQRLKQPRGYAFSQNDTDQSKIIRMYDTTQQKPIG PHYBLDRAFT_65619 MAVITREQTIYMFSCEEYTKKNVVELTKNAVFIKKKVWIAINYS DGSIFSSPLYLHFYVKFTPPCSCSQCKKPFQTPSINYILAISYTRDCIKNMIDSKDFT LRRECVRSLRKPKNWPLGHLQEAIIKYKALNYLMFIQYQRASVAVVF PHYBLDRAFT_181799 MDDSVVYHFATVKQRRDNRHEIDYQLSLRQQPKQSRMCGVGEKA DRRPIDPPPIVQLKVTDPSSPTADRNSYLQNPYYFMYASLMAADLDEELHLLRDGKTR STTGSVVSSLYHLKDIDNSDAGFFVFPDLSVRMEGNYRLKLSLFEIIGKDVFHCKSII SDVFVVYSAKKFPGMEESTYLSRTFADQGLKIRIRKELRQRKKTGRRNESEDEAQGYT DTEPKMWKRTRKSETSGQDNPVEDRVRSPVQFSESHTSMIPVKERQLGGPFNPIVGLN SMGSNTSPTISAVSISSTPTNQFFQPGANSDSGFNSTNPSSYGNSTEIHPLRPHVPPS RLAVQSLAPPYSSLTSKKRQTAGSTDESQWHRTNMLNSYEPTYYPDSGADCGDQEPHL RQETDVSPYRSPGNAHQSRQTANGVPQHNRNDTYTYSTPQPQSLHHHNKHQQQQQQQQ QQHQEEQQRTVTQNYYHQPQYTPTPTNISLSSHMNHYSSYATNRQSASELDGEPVENS PSQAHHGHHRSLDIVPGLRRPHISRARGIHNQIPHTQVEHSSSQSPTTDTHWTEDVKA AAMARLGS PHYBLDRAFT_113520 LTYRSFCTTQELFEELFMRYMLTPPEDLTAEELTVWQEKKLKLV RLRVFNVIKSWLDTYFNEEEDQATLPTLAMFTEDYVRVTMKFGYDQLIKLIKKRMDSE ENGQIRKMTLNIRSEMPQPILPKNMRRIRFLELDALEMARQLTIMDFKLYNRIKPVEC LDKNWGKGDSEHIAVNVKASIEYSNQVTAWVTDSILSKDDVRKRAVVVKHWVVIAEKC RLLNNYNTCMAILSSFDNSSVGRLRRTWELMNARTNQTLASIRRLMGANRNFTEYRDI IHHANPPCIPFLGIYLQDLTFIEDGNSNYLKKSDNMINFSKRMKTAEVIRELQQHQST PYLLQAVPDIQEFITTHLQSSRDEETLYNLSLALEPRYVLI PHYBLDRAFT_155625 MLLTEQPLAKKWKNMWIRTITTLLMVGTFFAILASGHLFVILMV IAIQTLVYSEVIEIAQVPAREKNMRWYRTMSWYFLVAATYFLYGESIIYYFQEILLVD AFLLPFATHHRFISFTLYVIGFVFFVVNLQKGLYKRQLAQFGWMHMALLLIVFQCHFI VDNVLEGLIWLVLPASLVICNDIFAYVCGFFWGRTQLIQLSPKKTVEGFVGAWICTLV FGFLWASFLMRFDYMVCPVKNLSTSAWSAVSCEPKNPVFTAVPWELSQNWSSLLSKIT GRHVTEVWIAPIQLHALVMACFASLIAPFGGFFASGVKRAFKIKDFGQSIPGHGGWTD RMDCQFLMGLFSYMYYQSFIKTHTASVGSVLATIVNNLSLGDQLELLERILTYFVNQG ILDSSVLKNKQNKCLNNDFSLK PHYBLDRAFT_177702 MNTWRQLAASSRVLSNSAKTAAYATKVSLRLGSSTVRPFLQKHA GLFSPPAPPVEKPMLGPDNLFHKLSESPIPELRERGSYISKYGVCPVCDLHDHEHKKP PVYECPDCGYPTHCSDDHYHKGREAHKEICSILREQNEDDHDLRSGRTMKEFEFPSSQ GFDEAVNMSNWDVFFYTRSFPSMDSDRSMRHVSKLLTYPVTVASILHQSCPYKLGKEI TPEGMRSISALRSILYPRNEVAKDGQTMIRTETINLYVVGARAEASLPQHIWLQLAYL FPQTPFHIHFVGPDALPLNKEPYTTSLNERVSFTYDNSLYSDYHEKIDKFDPYTDLFF MFSPGIGHSTARDGWKESITKALETKCSIFLTGYDQADMLNDIEAVEQDYQGEFDWVL KPTTNEFRSLKRDVNLADLRQTIFANWGIWGIRGKRYDVTHQPEED PHYBLDRAFT_65614 MTSPLFRHQYRGLYSDFDLQMKLWSFMLETWVGGSQKILLHCTG EVVKKATYLDESNIIEVKWPIIQIVGPNAYLCTMRLDAKQLYVLEEVYAFPFSNSLFE IRYGGINSTE PHYBLDRAFT_159125 MPATETIQWSPQSQWEIAFVFAFTTTFQPEARQAGLYPFPKFQP EDLEHALLQTEESDLMDQILCSFLSNALNRKKGVEISGCSRTLQELITTKIKAFECDL TENPLLNHNNFHSLAPEVKLNLLRLLVEWQLQDCVNIHSVIDTYFKNVKKNQTNPLEF VPFGYDSKKRAYFQFGDSAWLWREKTGIKNGFQWETVAKDIEGLQNFLSETEVSKNRA ERALLQRVQELIPIVEERQRRQEKKDRAKARQLALESAFVPRELRQRDRKRPVRYNYD DFTDKDDYDQEENYERVRPTRVSSRLNPGFSQPEEEEAEEEVEQTNNVEEKEDQTKVN GETEKNNEDVIMLDAPNAILNDVRADMIEVD PHYBLDRAFT_187359 MGISRDSRHKRSATGAKRDQYRKKRKFELGRPPAMTKLGGRRIH VVRVRGGNVKHRALRLESGNFSWGSEGIARKTRVLTVVYNASNNELVRTNTLVKGAVV QIDATPFRQWHEAHYASSLGKKKANAEEVTVEKKSNSVQKKIAARQADAAVDPLLDDQ FTAGRLYAVIASRPGQSGRCDGYILEGKELEFYVKKIKSKKQH PHYBLDRAFT_134245 MSAEQKTVAVNKMKALHIEKLVLNICVGESGDRLTRAAKVLEQL TGQTPVYSKARYTVRTFGIRRNEKISVHVTVRGPKAEEILERGLKVKEYELRARNFSE TGNFGFGIDEHIDLGIKYDPSIGIYGMDYYIVMGRPGNRVARRKHCKSKVGATHRIKK EESVEWFKTRFDGVVSNK PHYBLDRAFT_159129 MNVPGYREDASAVPADQNRDGHSLLVDGDNNIIPIETNSRHRRQ GRPESISSIGSEDSVDLQDLINANFTVDMDDETNLTDLAELGLEDSEDFLKTYEVSSK ENKGVNV PHYBLDRAFT_78125 MSAKNKKGPSQAELMVKTCSEIIAELVEAVDARKDINLNGLKNR IASKNKLQNQPKLVDIIAAIPEQYKAALLPKLKAKPIRTASGIAVVAVMCKPHRCPHI AMTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPFEQARGRVDQLRSLGH SVDKVEYILMGGTFMSMAEDYRNWFIANLHDALSGHPSNDVDEAVRYSQQSQTKCIGI TIETRPDYCLKPHLSQMLRYGCTRLEIGVQSVYEDVARDTNRGHTVKAVSESFQLAKD TGFKVVSHMMPDLPNVGMERDMEQFKEYFENPAFRSDGLKIYPTLVIRGTGLYELWRT GRYQNYTPNALVDLVARILALVPPWTRIYRVQRDIPMPLVTSGVEHGNLRELALNRMK DLGTECRDVRTREVGITEIHQKIQPDQVELIRRDYTANNGWETFLSYEDPHQDILVGL LRLRKCSPQTFRPELTSAGQTSIVRELHVYGSVVPMHDRDPTKFQHQGFGTLLMEEAE RIAREEHGSVKLAVISGVGVRHYYQKLGYYLDGPYMSKMLV PHYBLDRAFT_65608 MDNHKEKETDSSFHPEPLSFSFKPNPTRRLGTSLGSQSSYERSA SSLETKPSVDSMESVETARRSMKILHRPILPSNTNGTNLPSLLPILSKRQDPPLRNSS CFPPQNKTLDMLKDFSQNHSAVNVQEQKKGATSIPPSKIKNGHEIHIQGVQYPDKPSQ NPVKSSHGNEQPSMSPIILPKKATKYIKPDERIIQTLPNPELDFPNPKKAAPFQKNTK TVYPIEQQYTRAGTKVASVDNFNTEIDSLAGLCKQILAQKETAITESNQQIQVLEKKI QWQSEQIQEYITKSTKLEDFFNKSFRQSDQTKICSFENLSEKYDIFFSTLQGLESFIK TEKSQKESGTESKESETAVSLVDQKSIKVIKKSK PHYBLDRAFT_169483 MCKAQDRDYIEQINGLKTHIFDMRSSIDTSILRSRSIYEFIEEI KKLCQTIREDMILMRSTISELETTLEIYEQSTADVDKATKSTVNSLLAQLEMSKVNYN EMCKHYERTIQDIQKNTESKKQELREYRNSKADEIDALKEEVSKYKTQAVTAETLLTE LKERCKRLEEAQHLIPTTQNTGSTAKNSRSLLSTASYSRQVVFSFAKIRRCRLEIRYW KSWILMTSQKERNSREKKL PHYBLDRAFT_169484 MLLSELPFEILSKIASELSRGIVSQCCLVCKAFLEPFQESLWRD IRICNQDDLDIICCPETPKAYSKFGHKVHNLCLITHGTMTDHQLKYLQNLFPHVKSLY IHGVNAGPFDDIALTGLGPWYSVKNLDITFLGDSTTAIKQVYLALLPLFPNVIDLKLN CRFQAGVAFYVEDFEIIHACLPQLKHLLTSVRLGMMTTRDLLRVPEVPPAKSMLRFDA GLEDIDHRWLCYFAQKYPNLQAIRWVIDEEYESDEEVYNEMDIVPQETNNDYGESIVR FHDRYHSIGEDGFYHRLNRGVLYNKEEALDMFSRLPRVFPHLETTDFYSTGETDIIGP LIWKIFTVFKVPVKNIHYFFGHYLEEEKLLHNTIHNFTLAFSQTIEELFIDSVLYFNS RQEITASFERCPHLTQLEINKCNVSIDVDILMDRCISLKKIKIAGGLITVSSDTVENP PSHGLRLLDLKEIILVPGALDHISKRCKRLNYMALENVQIFGQVEAEGGLSIDMSLTH FKLIYIYNVNFSSSFEFIELRPKDIGITIVSTPHYNIKWNDKPGQMYNKYKKYDTEVS QDHTEECTDSKGPNSSKLLILDKEDSQNAYVYFHKLKTVNKTHESDLIQECYKKKSDI TPKKSENLSYAIMKCGKIDAYEIRVFGEGKNVDYWKDCFNTFGTACSRNDMSSIFDFR V PHYBLDRAFT_65605 MKSKEDQSSIAKDKKPQQNQMDNDYYRKPVEIAEALKVCDIETM VRALGKLRAQLSNAIRGRVDPMVKSTRPLVEYVQGCSDCGDLTRLWDYQSTHVHSTLH DTYYSGFWSSTHSDNILFKYIKPICADYIVYILYILNPLLIYYILEKQMKYIYRGISS MRIPQCQSTFKLLTSICSFNQSTARDLFHSFNFQTEASTNIPKIRHMRTLYINGFLRA SRYRQNKKNNNVHNYIYDLRTNYVELVLAFLRNGDADIKKQLLGIKGFVSGILSGIEN DAYPLIKETLSVLYEYLLMDFKISRLAKTHFFSSYMLEQVAKIYARNEPEQISSTDTG IPADLAHKFLIDACTVPGIGVCFRDAGWYPANTLVDGNVEEGVKGGKIQNRVLSKFIL SLKPADDIRQQELLLKILTACPELIESYWKTTSMTLEPRISSKWLANASLLQKTIQIS PPSFYYGDTQLYRATPPEIETILGNTIPNVFGRSFSTKGLLHASPLVRYTTMLILAAA FQKHAKVTKAINQVISTLEDSEESSKILNDTIEELPSRQWQKCLEGIREGLRRRVPEL YVFVAIHSQTSGKKKEKAPEGVDEQEFDAQNEMLGDSAFRLIRYYQEFLPETIMESNT DPSNFVPSDILSVRPSSLVHLLELLLCSPDFKWTNKAVLKKNYLSVLTRFIAADSFSH ITTLLTLYLRTPYKHIRNLTGKLVHKTLADSFMFKHDPEEVELWLDALPHNFVRSGNE DVSLSEEQSTVLKFLDGGIIRFGKAQYKYSDQLAGLVENTNKSALENGEISKTTSNAL LRSVISTNDTSSMADDGTNGFSEYTHPFSPLLLTLFEYFNLISIEKRPIVRFLTKLIT LLLSKQKAPFYLQYFSEKLAETCETKTILNPQEISEWGVNEMITQTQQCLEQKTKEPC TEASGLDTELEGELIALIKDHDTVPDMQKKMVDMLEILPVNVFSKNLINVVTLCGQNL GWSSYEPLVAYISERYPLSGSLFDNAEVKKLTSIDSPEHEAILGLLKAIPFTTLFYNA WAQKQTSRVVLPLMQNAMEKMSPTKLSHAIHLVLEHLTMLLLSDTKEIKSPLFLTFGI LRHALNVLEKSHDLKTRHYLKNLVLDHPALKDVFTKVISLIKQLQNEASFPDVFDTCI LDVAVAYVDILGDGLNARELMNSLVRVDYDALFAHCKKSNILFFETTIRLLIALVGDV RRRSDSDNFVIPSETFAVITKLWEKRPSPALEADILSLLELSMTNAQDREALSVLLDV CCAPIIGHILSGKDTKTDMAVLRESCQRSSVAVFAIILNSLKDSLPLTPSLVELIQMG YDLFPETAEERTDYVQQVLEHILKQLTAAADANKMSDVEPIENFYDKLTSLLENSLED FDWKILDPEVVRDFILTTLLDNIADAEAIRFTTALTKRVYENYEKNEPIETYVRRVLD HDQYQNLTTPTIQKITDSEEPENETQRKAIISLIHALNEIQPNVLSKHHGLLDPLLTS YSATTSFTDRLILQILMTCERNGRETILPKLLMWGPGSDKTRQAHAQAGTLLQANTIS LETLGLVDPGLMKYTFSHFPVDATLSDPKIPDENEQKQVTYDPDFFLPLFANLISSGA ADCRKFIECNALGFVSVSMSSLDENVRRIAFQIMDQFYVILDHAKFREQSEILFLLDV FKNSIVGRSSNDVPPRIPAAVTVCVAHALSIILHPGHYMLPYLAKWMTQSAAFDFNYV PLFGLLFSSSSENHKKERLWMLRVLSSSMRTYEDYKMFARQRIWDMIAVFYSSALADQ ASKKAIIEIMEQATSIPSVSGSLIKYNGLLAWVHQILALSPDVEEIQQWKDILSAAVK TASTHDKLPEHVKEILADEYEVLNDLY PHYBLDRAFT_181804 MDHPDTPSPPSPLSRNYNGNTGPNLTGLPLNKQGYSIMKSSSNR HAIRIANLPPLNLSRKSSLEYNYTSHSPSYATSAILSSRSMSTDTHPQVPDPNPSNQK LLEACQNVSFLSTLIHTTLYKCLDFCVSDKLTGTLLPSLQNSRSCTDKLAMALHQIDY QMARQPSPSPAFEIDLVKVAKACIISLRELCKRVKDCLSLFVHILDPKHARNLVMTLH CATVDLKEAWEMIISLPVAVHTLSLQPNLSAPTDFHSTNNHHPSLPRARSASEHHAAS PAMSPLASPCTAEDSTQLLGHLKLAVTGSLHVVDLLNLSIEASTGTDTLKQKLHSLGR QAQQAVDMALCLDKSLENIMAKGNGLGMNSLFTSSTSQLPNIVSPPSPSLHKDSSRKL WEDTTAYLKSILSVMSSIRSISTEEDFTWPKAVKQGCLHVTRVTAEVAKLWNNYSIFA KHGYYLGKGDNSSKQHATHRSMSGIDTSQAYATPSFEPTSTMRRHKSQTSYGMRSMSN KTET PHYBLDRAFT_169487 MSHTILSSNTHKIYNSHVWFTSITIVAIAGTILYQRRRKHIART TEQQVKDYLLKTREPETSQTKSTENSEDEGGLASYLTLPSIESADEFISSLFSTYYLP YIPSVAIPNMPSLEDINNRISDMYPGFMGQFEAIRESYTNFWEFLSLEDFRKMVEETR KDDTNASVFPEIEKDASVRMGTGLSEDEISFIKARKLKIRDAFAHFIGVDPSLVEEED VPVIGIASSGGGYRAMVASSAYMKAMDDTGLMDCVMYMAGVSGSTWAIAQYFSSLTNA SFSALQDHLKSHLHTHIANVSNFLTVLYASAHNTKVLLRGVIQRYYQQEGTLNLVDVF GLLLGGTLLTTMEEVSPSDKADNDINEDQAKEYTEKIEPKEVSKEQQRGTEKGTIKED DGAEKRPRLIGRADLKLSNQRHYIDDGSQPMPIYCVVRHEITYATEDKEDSNSEESKN DVEKEIDSVYQWFEFTPYEMGSEEISAWIPVWSFGRRFDSKNNTERLPEQTIGILMGL FGSAFAASLAHFYYEIRGFLPSSALQTADDTILRYENSMSTFHPISPATFPNPFYNLP SREDDNVIRSESLVSSDKLSLMDAGMDNNIPFYPLLRKGRDVDVILALDLSAAIQENS HFERAEGYVKRRGILGWPLGAGWPKNNISDELGSKDGGADNMDATNEGETLCGTEKLN MSKDHKQAREEMKEAIEESAQAKKTRYPLGTCAVFATSTSETTSHEETDGEPSTRTTY PNHSNPITLLYFPLIQNEDYDDGFDPQTAEFCSTWNFVYTSEQVTKLSGLAEANFKDN LAKVKQTLVAVWERKKRERLGKL PHYBLDRAFT_146546 MRHSAQLLKAIKVLLLWVSLLGFPVTCIFPPRPTVASRSTLDIA RQTMIPLDKNLLPPTRRQDTHWSPPPFPPTPSLNQHHKHQLHSDNLHSFSARPSPSAT VHDQVTTIFVDITTIIIMASPDPNKVFHDMDNDTYAHQQQQNGDSNNNNSNNNNNNSG SDNDDPNDFSGTNADLAKQLKDDQEALRRMVTILSLVGSFGFIAVVATVVIFARMRLR KKAKAEEEQIAAEDLEDEHRDQGTDGIGVGVGVGIGAGVGKGSGRSDHDSDDDDGTPF MPIPSAPPAPMLIEIHQQHQNHYHEHSIDDMCLPSSSTLSPPPDRRLLSVHSQTALAP SAPPAKELADQPEQGCRHRGDGLSVEHGSSKPLPDSTPCPNCHHHATVSEIPPPAYTP SAPPMYAVPDSP PHYBLDRAFT_65601 MPYVFLLLLFASSVAAFPSTNTRTTAIVICVSTAVFILVIGLVG LVYYLYSQKCKRVSDSVKDSYGLDDPDKESKLYIGQESSSLVEVHSVPSSPTFSIVQT LPGTSAVQTVPSVPSIPTVPIVSAISAVQVELEIPQHIFSDNHPIPAKRRGSTASLLL LGSRFLRPSKPLRTTVESTGVDRCVSHRKTSFGLSCISLLEKRGPKEASVRKKYRRKK MTVNSLRGGMGSWKAACSSLSRKSVASVQWVSFPGLENETQQTLSVVNGESTIGKKRR LHKIPIQTTPKDN PHYBLDRAFT_134252 MVPIKSSPVAAKKAKFVIDCSGPANDKIFDAAAFEKYLHDRIKV DGRTNNLTDSVTISRGADNKITVIASIAFSKRYLKYLTKKFLKKNQIRDWLRVIATDK QTFELKYFNIANEEEADEE PHYBLDRAFT_169491 MQIKTIPILHSIANELKARECTFGRKYALQDKIIRQQKWNTKNC YMTFTTIGQEASNKLSVLMSGTNLLQEVGTICTGDIYLFNVGPKLGSLNLLAFRKMRF SSKVYYEQNDANLVRNLRRKFGSDYALVPSAWTAPTVKLYEPIISTEKHLYELQSTGN RPACFSCFTDSNSKLEFKRRKMVPCQTPRKPNILLESYRSENLT PHYBLDRAFT_65598 MYSLTLVLLGGFSVCLALILICQPPSIYLLRGITNGPLVSHSFE KWESHLLPVNPLPRQHGYFLHITDMHVDENYVSGATVESACHKLAKESDVTSQVAGPL GAPGAKCDSPARLAQETLEWVARNWRDKLDFVVWTGDSARHDYDKSRMRKRKDIYTLN RQVTDMVKKAFWSPHHPVLPVVPCLGNNDVFPHNAIDEKDHDLLSFYEKLWRPWIPTG QRKSFRQGAYFATQVAPHLRVLSVNTLLFFNRNPLIEDCGSKNHPGDVQLAWMEDQLR LARREKAKVYIMGHVPPSARDFRPTCLDNYMATVSQYSDVIMGQFFGHLNMDHFMLYD ASQPTNQTFRQTDEDKGGDLHATRDITEYVSWLRDMYSALDPVTSDPNKLPDYPASPL VVLQVAPSVLPIYWPAIRIYRYETNPSASNYGTLLGYAQYHANQASYDAVPGKPLEYQ LEYTTDTLYGMPDLSPKSYHALAKRMVMGDAIGNATWTAYIRNMFVQARNNEFS PHYBLDRAFT_88673 ENNTVFSIEGKGLKLNTAEDVKLFCDTISRMDNLQKIILSGNTI GVEAGKALAAALSTRKTLKVAILSDIFTGRLLSEIPAVLKALCDALEKTQLTELDLSD NAFGPAGAEPLIEFIAHSKTLQTLRLNNNGLGIGGGLMIARALQASADQARLENRPSS LRTIICGRNRLENGSSQALANAFAAHATLETVRMPQNGIRPEGIEILMGGLVQCKNLR HLDLQDNTFTAKGSRALASALVEWPLLEVLNVGDCLLSKKGGLEVATSLNRGNNTGLT HLHLQYNEIQANAIEVLAKAIRSHLKDLVHLELNGNRFDAEEKELETLVEALKEWDHE EALDELDDMEELDSDDEEEDDSEDELVEEAEKAEDSEPAVKGDDKKEEEDLVKKLEKT HI PHYBLDRAFT_169494 MATTQSIALPLDFQISFDAPTDSFSADLMHQFDTRTSIIRNQVF TLADIPVLQPEEDRQIELPRVEEKEKEEEEEIKEPTVLKLKESIVVFEDPLADFLSGP DEPIRLFEPIQLITTPCPLENKHTRLTVHPTPVEEKHIIPQEIFSANDSNTSSDTNDH EEIFHMAVQEAPLTMLRKSSNFFRQKIQQIKSKDDSSLRSRRASLVPPLSSSSGVSQA ESGSDLSSSPTVKSSPQISTQRSTLSSKRRSMFCLFLLK PHYBLDRAFT_146552 MSGLENNLFQLKFTAKQLNKQSKRCQKEETAEKLKLKKAMQDGN TESARIYAANAIRKKNEALNLLRLSSRIDATASRVQTAITMRKVSASMANVVKGMDRA MESMNLEKISMVMDKFESQFEDIDVQTQYMEGAMAGATSTMTPQSEVDSLMQQVADEH GLELNQQLAQLAPSQVIQQQVEEREPSQDEILTQRLKALRQ PHYBLDRAFT_134258 MSKEQSHPGLFKVIHRKGSFSSQLIAEKDFKAGETIADLTGLTP GPKRYSSVQVSCTEHVELNSDLLYLNHACDPTTYLDVDRFAIVALVDIKAGDELNFFY PSTEWEMAQPFECWCGSSKCIRLVSGASSVNRKKLEKFKLSKHIQTLLDERDG PHYBLDRAFT_169497 MKSFEESSLADADLTSSMHSSLPTSFLPTLSSYHIEPDRSTAPT TEQGDNGSATAIRSPSNPPSLAYSLLPSVQTRAGSSLDEEDEDKIQSDKVYLTLLLVS GSRHTFSFEPTNTILQVKAHVLEHWPQGWSDHVQSVASLHLVYLGKFLENDSTLESNR LKSGQATIVHLVYRPYTTKVNEEWTKCAKVQS PHYBLDRAFT_169498 MLLCIATYIGVTSGASISIFITCILMKLKAFQAYYSNVHFTMVI NGSKLKKPTKYVHLYSINAKYVIYVSGHFDYKIHQALDYDMDLLGLFGLFKQDHLTLE LEENKGVWFKTYSSQAGECLGALLWQSILAISTITAKILRGEESQFTLKGVTNLNVFI IHITPRQNIASVKG PHYBLDRAFT_65592 MLFQKTILASLFAVLAFASATSATPFGLSKRACDYSCPDNSCND KCQAAAGGKAVAPICQDDKLTLYCSLAMGTISGYSTTNNFKNIETSDYVNFFGLVIPC KSWSNFIAEMGSIFRYYQKFVQIVTFDSFQQKYYSKSLGSLTLFNSVLQNCAIVVYNL PHYBLDRAFT_65591 MLFQKTILASLFAILAFASATSATPFGLSKRACDYSCPDNSCND KCQAAADGKAVAPICQDDNNKFGDLHTFMPGVLKLESYIVIHDIHIVLVKTFGTLQIL EIMSGLETSLISSLTQKLYFIW PHYBLDRAFT_169500 MIDALSDQYSSSASLIGYREPYTSEVYYSKKQGEKQKKGETMLV LYPKYRHLGFQIKLANISRIYSNNVTRTYMGLQGAIAIGGKIPVMRTGIRNTYSSSSK CSMFGKVSAIEAVNFFYRRVGSEQQGTRCLKYLDHVCTQISYLGEDCEKRDKIQKQFH HLKERCAVSPFMEKTKVEYLFVGKLLRQKNGSRCTTDTASNTITQKN PHYBLDRAFT_146556 MLFQKTILASLFAILAFASATSATPFGLSKRACDYSCPDNSCND KCQAAADGKAVAPICQDGIIPGSIVF PHYBLDRAFT_65589 MIVLLKRWSNKKKEQYYQKAYSNVEVVVDNGFPLIKIIRHVSIC AIWNTCRLKFLGASQKTVLFLSTPSVNQPLYNRDLNYRSFNDIHMIIGCTLSVIWSAH FRLVFDNLVFVPNPIAALATLHI PHYBLDRAFT_65588 MYLQLCFIFVSYSYFKQNWFKQKRVDNCFSKIKEININGNHIFN KVNNSSSKPPCAHFLEGALVESIFLVPSKKVFNLLLDLLRTEATVAGTILANGGNGFA TSSSLALVIARVVGARVITSTLGEAKGSGRGGRGKGQDGEEGGKDGLLEKHVDEDEDE ISFEN PHYBLDRAFT_146558 MLFQKTILASLFAVLAFASATSATPFGLSKRDCNYACPDSSCND RCQASAGGKAVAPICQDDIMPVYFIYSLLLFWFEGYSYENQTY PHYBLDRAFT_65587 MSQFTIPFEIFEVIAGFLDLKDKIQCALVCKQWLPQFTEFIWKE IKISSQRKLATICDLSNPKNKVYITNGHHVHILELQVCVDATDIQLYALQKCFPNIRR LVLEYEAFIGEDFGKYTNWSLWRSLTEMSLNLDTVDIFIADLEFQKILACVPHLTWLK IVQNKAGDVKSVNPECLEILHKYLQKLKYLSLDLEFEDIADASLGFNPKLTPITSLQT LITPICAMEYRWMYYFATKYPNLRTIKTNSSGPTRQFRMSPVHLPAISTSQDLFKQLT SLDISISNGSIFYLAYWTRVFSLGIPLKHIELNVTEVGTNDPPFAENIIKQCINSYGK LLESLIVNVYGLHSKYWDVLAVLDSYPHLVSLTLRTRHTIIPLDTVLDCYSGLKRLLI DSYQLTTDESLAEIVKPHGLRILGIKNSHFYYNILCYISGRCKNINYMSMSFVHITGP VSPKTGNLYIDMSHTHLKAFVHSNVRYNVSDAVESVENSINFIHLNASSTYPNRRENT KTTPVLKSLPWYKKIALYPRYNNPFTSKSHSKVESDAIWFYSSYLSNWNGVMGDFTWQ LEQMEIETALQYFENFSLRKIPVNRGFGNSENINDLRERWKIDLYRGYVTLKLGSVIH YSFPFELGAIDYNWDEEYNALI PHYBLDRAFT_146560 MKKFRPGYRLGPTQATAGSEDAFSEVLVKPFVNIVARNLEPEDC KAGFFKGQPILESMTSQLRLQKVFVDLKSQYKNDGLVKLFGLKEIGVFLGMFGGVAML KSIVDHYSCASIESFENVKVFFLNAVGKQLHLWSLSFKINRIFDMWIEGSLLLIPDFS ENDDFVPDLAQFCWNMKAKRFIIPFYLATLGCGAALRALLTQMLSMMAQLCCFCIFDS LQ PHYBLDRAFT_181810 MESDFGFDEYIMPTTYAGVPKLECYNTQLYPSVGYYGNDTVVFE NTAMPQMMPSSMLYPQPSSPDSVYSRLSPGAPSPLMSCSPPAAVPLVSNLAHAITEKI AKANTLPESFLPEFHQYSKETYENGGSKKRRRVQKQQQQQQQQQQQQQQQQQQQSSSS SSCDFESSSSDQEDDIDSVSAAEVRRQIHIQSEQKRRAQIKDGFDELRQHLPGCVNKK MSKAALLHRTTQHLQHLKNNQTSLLAELERLVNENEQLRKFQESVLQKQTLERMYQMG AM PHYBLDRAFT_134259 MPKQLLVTFTPIRSCLVHLPSPWANALIDQGKLPQNVMVELSWP SQGTTQKAYCGWSGEASKPLDPNAVFKYGNPPPDVLAIDPQFGQAIGISEGQLVNVEF CRNVPECVSVHVEPHTEDDWEILELHAGYVEDNLLSQIRAVYNNQLMCVWIHGRTLVR LRVAEISPASPYVKLTTNAEVIVAPKVRQSTKPADIAASKILSAQQQQAAPHVCLRNL PYTLPDAHGVWIHPDDSQESGLVRISKVIPAFAGRSQPSEDTQSEPHTDKDIKAVYAS ITLSTLVPRNHVHIESYLSDNDPIVLTHGMKIRLQVNGKSVACVIQLSPQKGLFSSTQ TTAEQNIYANFTRAAVQKASINVGEDISKSSITKEEQPPTKPKKLITMGGVDTIYGQL HRYTHANLAERDLKSQLNVPGSGGVLVTGAHGAGKTSLVKKLMEDVYHDPGCLVYTIT VQCSELVDERVPVFKDMLQKWFDEAAWCAPSVLFFDDLDRLIPAEVEHADSTRSRHLA ELFSRMARTMTSRHPIMIIATSQQQQSLHPTMITHHVFTELRHVNPPTRDERKQIMHA IMSEGLDVLSQSLPNIDLVAVASDTEGYLAADLTALVERAVHESAVRNIRSYDATNPI ATERLELTQQDFVSARQGFVPSSLRGVKLQSSTVQWTDIGGLHETRKALLETLEWPTK YAAVFSQCPLRLRSGLMLYGYPGCGKTLLASAVAKECGLNFISVKGPEILNKYIGASE KSVRDLFDRAQAAKPCVLFFDEFDSIAPRRGHDSTGVTDRVVNQMLTQMDGAEGLDGV YVLAATSRPDLIDPALLRPGRLDKALLCGMPSFEERLDILEALSHKMTLASDVDLTHY AQNTEGFTGADLQGFFYNAHLEAIHDSMDINSFREQQKKQGPKEEKNGFVMIGKAAVK TPLTLAEKGHISQRLAMIQQGEQNHKQSALKDEPKQEVSISNSHTAAIQQSHLDKSLK STRPSITADERLRLQLIYDEFVTGRTGELKSGEGAKGVGKRSTLG PHYBLDRAFT_31259 MLINSGIQNTMLRLARSFTTESCSILPKDSLPIGYLSLSGLIPY KAGLDIQQHLVKRRHAVNRGQSTDDPKDIICFLQHKPTYTSGRRIRNKTVEDEEKRLR DLGAEYFETMRGGQITFHGPGQLIAYPIIDIRDYNLNVRCFVSRLEKTVIAACAEYGI QANTTENTGVWVGQEDKIAALGVHLQRYVSSHGLALNCDVDLTWFGHIVPCGLADKRV TSISRERGCITNVENALPKLIKSFEKTFHKPLVPLAQDSRLMIEIQEIIDKNQQQS PHYBLDRAFT_187370 MYYHPSNIAEPLDTYDIRNQAEVGDTIDWNTYTLDGSQVPFENT FWPQASMFPHDNMGFQRRRSSSVGAAPFQHAEFLSQYQQKIECITEEEYLPVPHVTDP SLSGSPFSSASSDTHMTSPPQQHQTLFPEIVQPNTDTPINRRPAVGKRRRSSSVPSCF QRRPPARMEPLVFAQMQVTDPRPPAPPVLHHQPPVPIERVQRMPPKPSTTDPEEEQRK KDHELLNTDFDDITVAALKELLRQRKLPSNGKKADLIDRLRQERTRVLISKLMSPSPL VPKQANSDNHCTYNSSYHTPWNQEMLNTFLEKL PHYBLDRAFT_134264 MSGLQQPNRLTKEEYRKQKDLDAARKAGTAPAEVDDEGNEINPH TPQFMLKAPWYVDNGQVSLKHQRAPEKRPGTKFGAEDNFWYARGQRAGPAATKYRKGA CENCGAASHKTRDCVERPRKKGAKWTGKNIKADEIVQEVDLDWDEKRDRWNGYDPKEH DKVIEEYNKIEEARRAAKATELDKEGPTTTSEAKKIAGMSDDEEEDDDDKYADQADMP GQYVNQKTRMTNRNLRIREDTAKYLLNLDTDSAYYDPKTRSMRDNPLREEQGADDVPF AGDNFVRYTGDAPAMAKIQLFAWQAADRGSDVHLQANPTQVAILHQEYESKKDEVRGS TQKSILEKYGGAEHLESVPKELLLAQNENYVEYSRTGRVIRGLEKAKTKSKYEEDVFI NNHNSVWGSYWADGKWGYKCCRSYVRNSYCTGQAGIDAQKASQMASHGAL PHYBLDRAFT_181815 MTNALEESTNNKDTSSILLERASVYEALGQSELARKDVQLATEK DTTNTKAKEIADRLSKKSLATAPTPKDSRVDRFRSFLERISDSTKTTEIKQFCSSPEF VSVLTACGEEDTPTEVRAAAYMFLTKLLNPGPNATQYPMSFIIEQCAKCFSHCMDTGK NVDKLLAFRTLNALFQTNITVGAAILAQEGVVEEIMDVIEFEVLDVQIAIANVLAIAS SDSSCQKVIVKYGSGWLAKTASRSSTDERLKAIIGTTLTKLQAQGASATAQKVPGNTE TKDESLEDAMRHMNLKNADLTESMKNVLKNQAQDGSVVLNAVEGLAYSSLESNVKDSL VKDGKFMKSLVAVAINAANTNSNPLLFGIGTILANITMYRPVLDEQQQQMKKLRDLAN AKSSDKAVPSDDPLETDNAVETRIKLAVDHSSALALMVMAKSTSSNIRMVAAQTYHNL VTPQATRGKLLQQGIVKSLLPLAGSQKDPQCAIVASQALAKLAITTDPRLAFGPEHSL DLVRPFLSLCKDDSNQLRQFEGLMALTNLASVDDNVRQAIFQEQGLVIFENLQLSNNT MVQRAATEMLCNMTFCDPVFDLYSDSNKPGTQNKIRLLMILSDHDDEATRRAASGTLA ILANAKGTCEMMARIDKGYERISRLMNEDEIVDVQHRGIEIVRCMIMHLEKDAVVPLV AEEVDKKLVCIVKTCQTNVVRSAAMDVLKLMVAHGAKLKP PHYBLDRAFT_146567 MAHIIGTTQRRLETVLDDFKRARRYWKELNSEGFPVANKLVNAV IQSRYVDDSAYWHPVLIKAFPNVVQKYDGKMTVVIDGHSQKLENIVQKMATQQRKMQQ HVQEMEAISNRTLSIGVQQAKTIVSMYESELVTKQSLASIKGFRHIKHREESLTLLSI WLNQPSLIDETLIQFEDMCELEMSVVGISEISSVFGLTGVVNLHKQY PHYBLDRAFT_65579 MDGIIKNINLDVLFPLFEVSGSPNCPTNSYSYYKGDTNQSSRHP NRVPRQDRIMLPGPFAITPLIGSSSASIYNPTNKDSLPSLSVKPPRNTDVVDPAEEKT LFDKTANVADLEDYTMFDAPPEKANAEQVRFHLESDSNKFNWNVWKLLLSGLFDNGVN RFPEPITSAAGDDLLQNLPDNLALTGLTQQCLTPKFSLDIICQGAAKSSNSSSLGPDG LPYEIIQVILDHPEFSTLVSRVYNEALSDGVLSQKRGDPSFLGFLSSVLDTVAILHPI KLLAYADNLPVFLPDPAHLARLHTHLTAYSAAFNARINLHKTQAFSLSGSPLPDCSST LRGHNITSWHDRTSSLPLRYLSFPMIYSKAQRNSFCSSLLQRIEDRSNFHSSRSLSFW GKATVLTSLILSQLWNGLRRSLCLCASIRRPGSSWVAFFNAVPSLWFFQILFASPSDT LPLRCLQHLVRSPQSPPGFVPCWMSGLPQVSIPSISPRFSLVFPSMKPSGWQDLVSPL NLIVAAINLLRDFYNGVVNLTTRLDLPLSANNIIQGSYLYNPYIFSHFLRGIGSSILI SLILQCFYLRLRRC PHYBLDRAFT_159138 MAKGKAKQEKKEIAKTNKAQKNESRKLKRSRNPGLYEDGFGDLN AQLRTLQLCTKDIAGDGNCLFRALSDQYYGSDRKHKEIRQEVCRYMCDHEDDFKYFVE DDRSFKDHVRCMESNGTYGGNMELVAFARLFNVNIKVYQPGLIDYLM PHYBLDRAFT_125495 MRRRNYNKVNMNEFQENYEERRKLYSELLLLRANHNELSKAIKL SINSSGDERQRLISQSKNLKAQVRFQEERLQKVESALSSQALQIPNDSHPEAPVGPES QARLVKIVGTPRKDTADKILEDHISIARRLNILDLDQAALVSGSSFYYLQGMGAFLET ALIQYAMHKAAEHGFLGVITPDIVRTSVAYGCGFQPRSGESSQIYNVSTAGSDENSTH LCLAGTAEIPLAGKFAAKIIDESDLPQRLVGYGRAFRVEAGGRGTETKGLYRVHQFSK VELFAVTTSDNSDAMLEEFKQLQEDIFTELGLCFRILDMPTEELGASAYRKYDMEAWM PGRKKWGEISSTSNCTDYQSRRLSIRYRPTHHTGQNTYFCHTINGTAIAVPRIIIAIL ETFQNSDGTVSVPQVLQKWLPGQPSVLF PHYBLDRAFT_96999 LDGKWFVNRGTGQTVLFRGVNVGGGTKLPIGMPSHERNGFWVDY DRKVTFVGRPFPLNEADEHLDRLSQWGFNLLRFVVTWEAIEHQGPGIYDQDYLEYVVE VLKKCKNYKLKVFIDPHQDTWSRQCGGSGHPGWTHPLVGLDPSNFGPTAAAIVQNTYP TPESFPKMIWNTNYQRLAAATLFTLFFAGKHYAPLCIVNGVNIQTYLQSHYFNAIKQV AYRIHDNDLEDSVVIGYDSMNEPNQGYIDIPDITKLSEDDIAFKMGPMPTAYEGMRLA SGIPTAVQNWVFAWNGPRKDGTIMLDPEGREAWLSEEALHEACVIFDWKRDPAWTSGC IWDIHGIWDRKSETVIQPEYFAKGQYHKYWIEFLQNYTEAIRSIHTDAIIFVQPPVIE APPLIPRSLERLAYAPHWYDGLTLVKKKWCSYNVDVVNLNRGKYGTGPLRFLRALRVG EKAIRQCFVDQLQTIQSEGQANVGDYPCVIGEIGIPFDMEQTSKSIHSDSSISTPNSD QNKAMDANMNAIESNLLNCAIWHYMPDNDSFWGDCWNGEDLSILQLE PHYBLDRAFT_65575 MLSPEVERCAKQIYTHLSQRERIANLTEPLESLPEEIQKMNQLH SLKPDTLNIVARHFLQWKPKDTQKSIKDLISRLDSVISGLRLNDTLPSLTLQPNAVHV PQPLEVTTSSLSNTKSIYCSSPTSAHTIPTINGCNILCSPETNVLASLPWILKFDIGR FLLLKKLTFSDIPNTVIEEILSVGKKEPREVFSVMIKWYETRKLTHPDTLSYAKVEQC PEVVWNCTETYVKNRSVRYFGTVFLSSKTPALTLRVPKTTASNRLFRKFGDDRFLEFK LLKNSRPTTIKDSLNYFLTPLLFMNRVFRFLFIKEDRLVFFATEGEGLQPISIRQVVN WHIPLKENAAMTISKFASRMSLGYSNSTSTVVFQADMIKYIDDIHAQAEGEEETVMTD GCGIISCAAMRKIMGSQYIEELPCAIQGRIAGAKGVWILTPEIEFESGEWIKIRASQN KFKTCLPQADMDYQTDPIHFTFDLVKTSICIYPSKLNTQFIQAISAGGVPKDAFTKIL HEYLQKLVTIVLESKNIKVLRDWVIKTGAVMNARWEVEAVEQDVWRDTLSDTWLTDYA PTEDTLSPDILTASSGKANYWRYNAYSGLPASLYDSAVRMIDSGFDLTNPFLANKITN IFRDTMRMVMSKYRIEVQQSCTLTCVPDPTGMLEEGEIFFQLSRRRVDEKSAIKSSIV LGDVLVTRNPCGLKSDVQKVTAVDCPGLRMYTDIVIFPVKGLRSLASKLSGGDYDGDI VFCCWDERLVKPFTNSPILPTPEKAKAAFEQNMNTISQVVFKHTEDTFQERMLQENFI SVAIPDGILGKYDNWRTNLAEDTSFDNEDVNYLAHMCARLVDASKQGLTIKPSVLQKD RSDFLKIPQSRWFSDKVSRQREKDIHTSVEEVQESNVSNRPSTTTMDFLHETLLAEVE KFTRYSESVFQEKDVKLKDSALERPWLEAMAMAIDDKDAELQADLKAIQSCVDKNIHT YTCDAKQLWLRRQQKFTAKHDPGRKSETFYEDEDQFNTAFELEEHAAKEFHHFPDPVT FASSVFHYDLEINHGMMIQKIKASYAYIKTIQSGKFSKYCYVVAYDALRRIKADSCGN NSRGLCESVVPSIFQALNVDKYWI PHYBLDRAFT_65574 MLLNLPILVALALMDCHMKLFKSYLTTLNSPLLSRVCDPSFLGF LSSVLDTVAILHPIKLLAYADNLPVFLPDPAHLARLHTHLTAYSAAFNARINLHKTQA FSLSGSPLPDCSSTLRGHNITSWHDRTSSLPLRYLSFPMIYSKAQRNSFCSSLLQRIE DRSNFHSSRSLSFWGKATVLTSLILSQLWNGLRRSLCLCASIRRPGSSWVAFFNAVPS LWFFQILFASPSDTLPLRCLQHLVRSPQSPPGFVPCWMSGLPQVSIPSISPRFSLVFP SMKPSGWQDLVSPLNLIVAAINLLRDFYNGVVNLTTRLDLPLSANIIVPAF PHYBLDRAFT_187376 MQWRPFLLLVAGIATIYLASLLQDTPYPHPAKILISEQPKTRYL VDETVLTVANGYMYQTSIWFEASLQTSRGMKHGTGRMKTGVIGHLKQVRRPLGELLGK EDVLEQGWVETFKHQLRGPISSISVVPPSEIADEANELVFAVLYHALEDEEAQHFVRV YYLPKLTATHIPTFEYKDILLPGNTWVNAFSLEHHSILFSRDPDNYRFRVVSFPANIT SKPHSADSEIVKLRDSKRGDPFGSECVIIPETYRVFTMDFHQTTNSLYENITIVDDQK TITQLSELRGSVPEHKWNWRDMANLTYDNYVDDTSEYIGFVEVAKISQHERLKTDFPK LCFARSSDAKTVVFPLVGSEFLIIDYTDRIDLLEKDKKERKHLYKNDEGTYLPEYYYW SKTKLSTNVYDIQITGFSLNDRGNILALWTEHENIYIYKRGDADLHDTSKKQVLSIHE EDTVLEGGPKVVSQDGISTSGTKESSDRFLDEWSLRMVITPNERARGYSISTVGFINN TNSDNGATGNYIFVGLNNGMVNSYSVDEAEERKEVNFVTFVTDRWDMLIAMSMIVSVF VFNECNHFGS PHYBLDRAFT_169516 MPRHTVTPEERAERRRRYMREYQRNRRQSLQVETSETETQQRNS MSQAEYMREYRRNRLRTAEMEVIATVEAENINTSRAEYMREYRRRRQQITEIEVVETV EAENISNSRAEYMREYRHRCRLTAEIEVVQAEVVRAQVIQAEVVETEAAETEEESHNS RAEYMREYRRSRQSRAIEENERVLISHNVQRLRAHINQITSDQVYLTSDIILCNETWT LPNNEEYDIPNFTMISRIDSHSTNARVSGSCCYIRSSLLPSTNNSNDDSLVYTTSSRM FIDEAGGSTSISLFILSSNLYCSIYVSPLCQLNTLMEALEFVVSHTYMHITIAGDFNV DFTKESIKKTTLLQFMNNRNMTTTLPNTIQSATSQNTLIDNIFSTMPVLDSGRYISLT SYHSPLWAKFM PHYBLDRAFT_146578 MPHITHCIFDMDGLLLDTERVYSEVTQIVLDKYANGARFTWDVK STLMGRTSLESAAMVIKAYTLPMSVHEYLTIISQLQESLFPLVKPLPGVERLIRHLHA KGVPIAVATSSTREKYELKTSLHKELFELFDHIVCGDDKGIVNGKPSPELFLVAQQRL GNPPAENCLVFEDAVNGVEAALNAKMHVVWIPDANILALGKDNETHGATVVLDSMEKF VPEQFGLPPYTE PHYBLDRAFT_65570 MKSKNEQMFVHPRLFGLFPKPGLGWRVIKIDSKNIAGVFPEASL KREVNEPLFRYTQKRFHNGFHFANLEHLHNLPIKNGKMFLNGLYLDGYTCLVLFCRKF QPALPVEKVSLELKDFSDEEVNEHFRPCAGYIGRKYAFVSYHGSNDLRSLSSKEYYIM GSTIRRQGKEQEHKKKLGVEKIETNTPTPKTTSCQRYISHIDYMFRHTGVLFNFDGFR IVAIKWRNYIGPQKNIEYSVDILLNR PHYBLDRAFT_169519 MQSLESSDSLQKRVTVLLMIAADGSRKHHRSHDSDDEQRRSHSK KSKSQKPPSISDDLRDQIKPITEEDYFEKATEFRLWLKEEKRKYFNELDSSDSRYYFK KFVKAWNRFELQEKYYKGLSSAQLASSDTTRYKWKFASKVDQYELDTIRDSVDTMTSR GVVKDESNKGRRRNVGPAMPTSPVIRQDRETAEERLEAERMRRKAESKNLRRKRDSYL DEVAPKETGREAMLEKRKATNAFHKRERSPDVELSEADLMGGDDFQSRLAAEKQREER RNTRKAEYQKRYAPPQDRVAEYKAKENATIEMFRKMAEEQKRRGGL PHYBLDRAFT_146581 MPKWQLYDYTDMDMGPGDGARMKVYNSKEMALRNCDSTITTLGL ILGVTSMIGLAVSLLTLLPMNVYNTVIALKSLKDLLQYEYIKHGQLGSLSKEVQLCLA FLAGSIVSSLTTVIMVFGVCFRSTKSLARVDHNSTPPVPTTPKGYVGLISRERELDLE SANRSRQEKSYDRPPSKWVCLTKRFMYISQIIWACFGTYLLFFMDLPADALPDNVFGS AVLSLSILWLNYAILSVFTFILLFISFLLILGGGRRDKKKSGVSRARKYGIQVSHERT PLLKESPIS PHYBLDRAFT_159140 MAKKKQGIPGANQQQLQTYQRMNFLHQAATLMSTIILPTQNQPT TLKNKKWSNTKSTHTLHPLGQYYNSTMKKIGRRMVFRLDPNVKRTICKQCDSTLVPGL TSSIHVTSRPETAVITTCKVCGTTKKFLARKKHSLFSNRPENLVDRANPNADKNT PHYBLDRAFT_113765 MIIRVEDPQKRSEGTSSYVAYLIATNTTVQTFSSPQPRPVRRRF HDFIWLHDVLALEFPASVVPPLPEKHRMKYVKGDRFSSEFIERRRLGLQWFMDRIARH PYLQQSQSTRLFLESADFKNDQQAQSRRVPRVTSVLDTLSDTLLNAFVKVKKPDERFV DMKENVDKLHDNLDTVEKLYSRISKRQQGNNFSASIKGLSMIEKDAAQPLQQFAKSIE EYSIAMASMNNKEELHFLNDVHELLAYCNATKEVLHERDQKQVDFEELSNYLQRAIQE RERIMYPGRNMGDGSGLNITEFMADKMNEVRGTDSNRAREERLLRLQAKINELQEEVA RTNDANNYFSSQMVREFDIFQGAKSRELKQGLASYADCHIEFCQKVNTEKNKLL PHYBLDRAFT_169523 MGCKNARSILTSKMARREGGKFCAKSPIITIDTEKEAGEKELYD WELLDLDTDMMIAAYYNSFLTWRPDAGKNLRGLYRGDSRSSIMCNKRKMKEELEANKD KKVRTLADFGFLVPVAPVSPVTEALTVYKQLKDEKLEEIREAYEKISEMIKPPVSSDS ELGKFALFEVSKHIVLKEYFRRLLNNCKKIKASEKAAEIFWTTPSKYCGEAVCGWAKE FLQFGKISEHQQGKHAKRSSIVDDEDLKKKAIVWLRAQKAER PHYBLDRAFT_169524 MRAWGYVHRKNNQDVYYDGHERQDVVQYCHAWAMRMMGYKQCMS DFTGEDEEIEVTPLLLENQKKLVMVTHGESTFYAHDGKVDMWLEEGESHIRKKDQGRS LMVSKFQCACHGTMRVKGWVSRRIFNVGTAYDDALVATRMVLKPKIVSENDMFIFKDT TFLRDGHIISQSFYETVFEAGRKGKGPVEKRQFVGIQQILQERGLRWRMDCNGEEAEN HCCCARHLLASQPDFSEQKTAIQEVVEEAGHIFELYPKFHCECNWIERYWGAAKRVAR LNCDYSFKSLEKNLPSFLDSASPVAGSPSIIRRFYKKTWRYIEAYSKFLDAKDADAEM KKFTSRISKSHRSIGIHD PHYBLDRAFT_169525 MTPPTSSITKPKRQELDAFIRGRIIGQWEKDATYEEISKALDIL KSTVGNVVKAFRDKGVSKPLTRLGREPNVTKRTQSVMVRSFCSEPFVSIAAQHQRLVD VEISICMTTFQDHPMTYYTRVYKFQYFSFFQKLVDI PHYBLDRAFT_146586 MKTARTIGIIKDKLLEQFPGLQDVGISPQQIHKHIYTKVGFTLK RTKPVEEKRNTPNVIKSRFEFASMLQQLGISYQTNCIFVDEAGFNANLIRERGLSKKG SNAVVRTKTKRAFNITIMAAISFQGVEDVTAKMVAGSTNTELFLQFIKQIVASLDRDN AAPHYFIMDNPAIHTANLPLLEPHRGMFFKVEGFS PHYBLDRAFT_169526 MRSGPMTAAQRQQRKIEISAEAVPAYMCANIIVSPSKAMSLNAT LDDTDDILEDGFWFINSFTKDSITYQVEVNSNVILSCMCYSWARYMKPCKHMHLLCIH MSGFAFLSVAPVNNVLPITISGEQFINDNTMLMDDTRESTGRGYAIEAFEYAKNCLLT TRHNEQDLYQLMQYATEEEAEVIRAAYAAPIKAFQEIKAKYEAHFRTLNT PHYBLDRAFT_169527 MSEVTLTRREQQALRKAALEAVPVRTLRDIGSALEPLTIPNVMK LKSNIVRVFASEWELCKQEIEEQYCVKWIISRPNRHSSTVDITKKKRVLFSQVYSCHR GGSYESESREKHPIQHKSKKVGCCVDDIVAHLTASPDSSARKIRLEILCDIDQQEYSL NVHKINYFDIYNKILADDFKSMKMWFTKKLSPKGFIIFEGNLQTYLNDKSLYACGFTS PFQQSKIKAAATFCMDATYSITQRLDDILYTIVIRDQELDRGFPCAYMLTNDHSLGPI VQWLKHLKDNQLVVNPRQFTINCSDTETNALMTIFPGCQIQYCLFHVSQTWYRQLNLK VKTGNTAAQNHLIRREMMAFLKHNMYEEHIVVILDKIADFIGRY PHYBLDRAFT_146590 MNINSFLNDAANLPTNAVVENMAEAAYNFSNTVLGPKKIKKNPF NLYTKEMENKRKLDRENYRREEIIDLYNSMGPLEKADITRWQKKIPLLFTRNLLSLMS ICIEEYGALKSFLEAIGNVKRRPMREIQLATIAANDFKNKMNKCLISLFNKKPGKQEN LSLGKQLKTKKAPSEPLDGQKRCLLCHSVL PHYBLDRAFT_65557 MNPINKEICFFNGKKHYPVQQPLPVSPANGYSDMTMAISKMQEY ALANNFALVTRDSKPTRVYLKCAKGGHYKNTRNIEDSERKRIPSSGKTGCPYLLKISY KKAAKGYLLLKATNEIEGYHNHPLDDDCLESTLKGRLSKVTVDDAREIMKLVETKTKT REIQKAINEEDNVSHKLYVNDINNIKAAFALKATFLYEKIFYYHFAQKQVVPPKEGEV YAWRQFDECTKFGSNLVS PHYBLDRAFT_169530 MKQLQLKTLSCTIKHNLIDKDFPPLSKEWKGIPVKHWKYYMIQL ERMAKNNSFAIYKCKNMWCAKSLLQEASKQDANDSSLSSDNISETDGGESPIMVDVLC YILFFNQIQLDFILAKKNSLKLLSILHKETVYIEVLKTHEMLLR PHYBLDRAFT_65555 MPFPFVWMLHMLSLEKLMNFYIPFLFVTKKLVEDDLLLSCKLLL IATLQKYMQFRPLSLPHRFNSASFMSLKHGTESCLTLLRFQVLCLLRLIFFKLKTVFM KRSRNKRLDKLVFILVHDAEYYLTQEYKRVMSNNRAMSSFTRQQRIREIEAEEVDDDA RETMIVASVSAEDSSWQVQSFVDENTAYVVEVTDRLIMKCTCFDFERRQKLCNYMYLL KMHTAFSLHFSTTPLNLTYEHNVILIPQPITTNNRSSLFFDQCIQTNQTLHQSHQDLA TLAQYTTDNKAKHIYDIQ PHYBLDRAFT_65554 MKAIGNVVVSGTGRSVCLGKSIFPDSISPESAFFYLYILLLNMS TVNIILTNENIYILATISEALECSSIPRVMTLRLNSTIRVRTSEWKECFVELDHTDHT PGDIREDIHTLPLVRKYLYELFQQLEQSSKSASQIHIDMLRAIDKYERSSDYKQEIVS F PHYBLDRAFT_169533 MFAIANPNEVCCKCTRCNRNSLGYTMTDKKTAKRHAQNDNNRKI DKTINVLTAEVNTGETDMDIDQIEEYVEDNNHSIGAPSPEQYVHTYLPLLVEESLFGT EEYTSEYESEYELSDEIEPEEQDREEEQTNLPENFWHRVIAIFTVMFILTFIVDDSAV ILITFINTILAHYREDFQLFISIPGLKKMTGYNDLMNEVSNYVACSDYHTLYDYRNTT QTCCNFRRVSSKTFCGNDLYKHINVTLVSLLS PHYBLDRAFT_146596 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEEANWRI ETFPTNTLRLLSLPFANTIRKPVSIFREITLKHIFKMISEDLGIEVTSNEKATLNMCT KLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCARHANIMKDSGIDFTRCLGNWAST ARVAHLWRDCHKILQSCK PHYBLDRAFT_169535 MSSNSILDSYQCNQCKERHTNLKKAKSCRAQCFKNRHRRHNDIQ TSQTTLVPGQVSVVLNTVSNDTIDRERADAIKDQIMDTLNSEDNNDPIMNIFSNDDND ESMYDAELGNDMDIIENETSPLVFDFSQPAPTPDKDDAKNLEFLKIIKDFSISRNAHE MIVKHFNSILETSTCITYRACTPHLSKKLLKRFSGVEETVHDICQRGCMLFTSLSQTE CSNCGQSWYKTRRGETESGDLVATATMIQLPLARQLALATLPSTNIRTLESFQNFSQA SASSCKGLNGQSPLVTLKVFSGPLFFALDEMHGLCHGISKQVWGLVSGTYGTDHCFAL SSGVRKEIGTAMYKTRNTIPTSFHGDWRDVYKNPGSFKAVDWADFLLFVVPTLVAERI GDATARNALLGLVQACNLLMSWELSAEEQTSIKSKLEIWNMYLESLLTSGKIKINIFT INQHLLQHYPLMIDAYGPPRAYSARSVERAIGEYSRAIKSNSAINVNAGNIMLGLAQI QQAEAGATVMITEARTARHLQYEDSTAGWPLTDEGERVGAGSDSEFWGPLRNRTIRDS FEGISCLSKLLEDFYESKGEECSMIEAAIQTSCKAFVNGCVIDSALNQNCVREAHNIR LQIQVDENRNINSAYSPVYKDFFGKVVVFFEHKLNNKRWLLALVEIAAVRLVNSIPVV NNGQMKPKVVHLADVKELVGRKHSHKSKKPPFFPVVRPH PHYBLDRAFT_146598 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSLGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEVTASNTV EQDMLPGRQPILDQLLRYYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLRCQEEGK KIVRHIGNRKLQKKTGEKKQEENRRACLRQQHVKLCERRQFALKANRAHFVNSFRENV DSILYADYMSDLESDNKREEEEQDSSSEKSFFLEIPPKLEKQRGIGDRFVDELDVDYE AAHDKKNNTRPFKHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_169537 MMKRFLERTYIDSFIQSFVNHLPQFAIDFLYCISNSQDQLAALH LSSTASTFSLSDFVEYLLNPCHSALGCEPLPPSVFPIKLDQRITMCKGHYECLLEFYR HANTLLDLISTPIILRITEDKAVFPGHILYLFQHLITINETVITHTFAFVEWYSSYSL GSYQPMLNEDIELWNEPSSVLNYECIIPVYHLYSPITIAKYRFTITSEFKHLVIPLPQ KIEA PHYBLDRAFT_169538 MQWVNNKNNSSCTYVSEEHFDNMKVNSIDSDNDNDYNYENEGEG KYEDENEEQNIEFDQEVDLSLFQEESIFTAKDTITEAFMVDGDEIEEGNTGFDFEQEE NFDETSGTSIVELVRPLSFDNMPLYIHFVAVFIVIFHLIFLVESGGSILIEFCNTLLS LCDMSGALPLTINSLKHKTGFNMATDGMTVYIACSQCHSIYPPETKKENGTWWSELHR LSYFDPVCFTVIDPMHNLYLGTAKQMIQIWHECNYINEKNQLTMQELANGIVVPCGYV HITKKIADGFSFMKADEWKSWCVIYSPFVLKHVLPAKNLKNWIFLLTHAAYSQNL PHYBLDRAFT_65546 MTDKKTAKHHAQNDNNRKIDKIINVLTAEVNTGETDMDIDQIEE YVEDNNHSIGAPSPEQYVHTYLPLLVEESLFGTEEYTSEYESEYELSDEIEPEEQDRE EEQTNLPENFWHRVIAIFTVMFILTFIVDDSAVILITFINTILSHYREDFQLFISIPG LKKMTGYNDLMNEVSNYIACSDCHTLRVSSKIFCGNNIHKHSIRNAMIPKRIFIYNLL TASLKKLFMRLSFKKNINQWNRKPKVDGTLFNVYNGKLWKKFVDYEGA PHYBLDRAFT_146602 MANVSQPAFNAPSEFSKKASNDVYAHIRNLMWDPKLKTRNQADI LANESKPRWNTNVFFYKSPNKELVVRLLENLKCKFTHEGFREADLREKLYKNFTSWVS KARKTEEKIKATNTRLRRAGRARDNHTCRLLAYTDNKEAIDLQMKRDCDFMMQMAAMF DGESADEDFENRTKSIVKIFNTLIKLVDEYVIEAMGSSASQMKKRVFTSVSNTAVPDD ITPKFPQWALRDGY PHYBLDRAFT_102373 RFKPENIILVGLMPGPKEPKTDEINSYLEPLVDDLKQLYVGMRI PTHEFPNGVSVRAALLMVTCDIQATRKTSGFTVHNSTCACYRCSWHGAESRLHAEEGK DGSTLSERHQLEVENGVRWLQLQRLGYFDLVCGTIIDPMHNLFLG PHYBLDRAFT_169542 MKTILFWMTESSREFQKEALIELKESIDSELENIQIDKIAVLKL AHEDVKKDIFPYTCAGPSSQSVDAFELCKLKSVECYLRYRISGTKTMEASEKASMEIW LHKNTYRPADIRKPESRSLIEVKKYIDGEILPRKLGIPGNTSTKMGKKNDGVQEENDN FENEETVVLPVLRSDEIEHVLVTQDESTFYANDGKDTMWLMEVENPIRKKGPRMSLMI SEFKCVCHGTMTRGACLSREVFHPGADRDGYWTSADMLKQLKNNVIPLFELIHPGCKA VFSFDQSTNHKVYGQNALISSKMNLNDKEIEDNDFCSLWDTVFVRNDVEEKSRQWVQN KIKYVKGIRHILEEHGLWLEKDPYNPIKKWQLDCKSKDISEDSKCCAHHFLASQPDFM SQKTALHEAVEDSGHIFELYPKFHCKCNWIERYWGAAKRKARLQCDYHWKNKKNTIAL SNEKGSGNVRAFFQLSHFFI PHYBLDRAFT_102112 NLPQSVRFKKENVILVGLMPGPKEAKTSEINLYRRPLVDKLEKL YKGVRVQTYQYPNGTTIHAALFIVACNIPAAQKVCGFTSHISTNTCHKCNHQFSRLAG TSSVYYSGFDFSKWLLCTKNDNCKDAEIWRSATTEAERHCLEVENGVCWSKLHCLQYF DVVHCTIIDLMHNLFLGTAK PHYBLDRAFT_146605 MFFVNVCRFLTKPNVSKDDVQSAHIALEKFGKGCERLYSKDLLY PNMHLHLHLRNTIKNFGPVYGYWLFSFKQYNSILKNINTNRKSGFEMTYMKTVIEDTR KGDFVCNFLKTSGPFNFSSIFNKLVTGYSPADSTTSTALYNWFSLPDFLDAADNPNLS IRGNEPLPPSALPLQKKAYEMMPRQEYDCLVGYYQAVYNNPTISSCKDVIQDTAFVND WIETLKSINLLGQTFKGSRGMNGRGLYIQAMFIEGRNGEKYTYVREIQYLFVHSFSPL VSTPHHRTPQSSQHTFAYIKWYKASKETSRKIAGVEIWDVMFSLPDFQSILPVHQILL PVAIVDHTTLQNISKKLIVPLPKKLYF PHYBLDRAFT_146606 MPSNATRKSGRKGKQNARGTLSHVAASRIEQCEIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMIYNCIVEANTRIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMHAIVLNLINGRMWARNFRSDDPELVAENESHRQWNTDERID HPDNVEVINYLRQYIIVQPRTAGFWEDMIVQKIKNNYEACFRAVNATPEQASSKRRNN PIDTEMGYKPGNPDEMAYLHLLEKSVMSDGELEDEDVTPIIRVQVLQVACPSWRSAEL NRLIQFIDFLAAENDKKIAISQSKQRMPR PHYBLDRAFT_146607 MSPKTIKQSRKKSPKLSDYMKGKIVGAYDFGILIAKIACKYKLS YMTVTTTIERVKKTSTALTKKHKGRSVLLNDCDLRALRNIERNDPTATLDMIAAEI PHYBLDRAFT_169545 MPTPNCRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDARI GVVITGNATAINGIDALSALPASAHVPTSVASTSAALPITESSDTNAVFASIKIQKTL ANLGYIHGYMWNPKLKSRDQAEIQANAIKPKWAVDVRFDCSPNRELVKQLLYYLEKKF AGTDMRTRDLRKCIYTNFCSRRCQQRELLETRRALNTNSRRSGRETDNYTRRHLAYDA YKADIDLKMGRNCSGLIQKSVMSEGESDDDMSPSQPRNEIRVARPSWRSDELNKFITE IDSFVVKQLGANSRQLLKRVYDRTVESTVPTDLDPALPQWALKYGS PHYBLDRAFT_169546 MFRNWISFVDACHQLVKPSITFSDIDDGHKFLQEFCTEYQRIYT PTILTCNMHLHLYLCETIRDFGPVYGYWLFGFEQYNGLLKNVNTNRKDSFEVTYMNSF VQDTFKDYQPSSKHTQTLLFQFLAMNLFLYLHFLSISNPSAMSDVNYPRLLDYYKVAY CMPKLEGYQHPSSPFSFVNNQIIKLKSINLLGQVYKGCKYASGRGSFVQSLFLGSQGN NQLAYTGQIQYLFLHSFTPPVDNTELQALIIYQDKHVFVFVK PHYBLDRAFT_102450 KELKDQSGVLFVDEPCLLMLTMNIDWFQPFDDITYSTGAIYLAI NNLPREERFKPENVILVGLMPGPKEPKTKEINHYLKPIVDKL PHYBLDRAFT_169547 MPSIPHCRNVVCRCAQCNRNSQRYSLVTSRTAECHIRKDELERI ERLDTAERLANTVQEEQMMDVDTQYDQAGSPDSNAATMADNVSVDDEISEVNGNDSDI ERDTNSDSGSGKEEGVETDIEEFVNEDPFDAPNMPENPVHQFIATFAVLFILRYVVNK GATVLIEFINQLLKIYSKDFQLPTSLIGLQRMTDFSNYANGIKKSVVCEDCHKVYEQD VSLPTHCDFKKHGS PHYBLDRAFT_65535 MLLASAEEDLNTKKGNYYAALGHYLGVSKRDPISTAAKSASKGA KEAQVLFAEAEQILKDLKASTAPASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRDVF DSVYDFCQEFTTVLEAHSLSLDSCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESI LLDHYDTPFRKFLNMGRVWCMKQGKGESARSFGAKFQKFRHQASLDDGVQLVLCFWWN LRPEVREACLIPLSANYGTKMPSKIEDIILLVSVSTSDSTALLHNPANGTAASSWSSF AAHNGASSLSAGSHKGKKRSFSRKRGSQSPKKSWDFKKAMKNGICFSCKAPWVKGHSC LEREKYLTKVSRMAVRSSAGRPARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNL KDMVIKRDFKNMSTNITFPILANNSIRTISLLDCGATFSSVDKNFCLKNGIAISYINH INKDLLNKNNVHKYFIRLADSNTQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYD FSIGTDYMSSLGIGIYGLPLSYNDADSSEERREADRRFNNKSDLLESIERENERKENN PAVGPKQFEDAMDYIRPFIKDNQDIPKGSFCTIPESVVCLDTPENATAFRSPYPIPYK MQGVVDEQVKEWLDNGIIERASANTQWNTPLTVVKKTNGKGEITGYCVCHDPRLINCL LKSIDRMPLPLIGELFEDLKVNVARLVNTCVEIVIFFNSVCFFEVQIKDVVLVGFIG PHYBLDRAFT_146612 MSRNLNNNSVNNAFGKEPSVGSPPRNTNDIRTIMLQHSQGTVSN QILLAPKRARLNLEGDLLCRTHNIHDVYKKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMLPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLILKSVTDYLCRQEEGK KQRVKLCERIQSALKANWAHFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEK SFFWRFCPSWRSKEGDRFVDELDVDYEAAHDKKNNIHPFKHKFKGIRDKQLSKTKANK LPSWSKKQ PHYBLDRAFT_146613 MQELANGIVVPCGYARITKKIADGFSFMKADKWKSWCVIYSPFV LKHVLPAKNLENWILFVDACCLLTKPLINDKEIDEAHSKLQLFCIRFQTLYRKLAMTP NIHLHLHLGKCVHDFGPIYAFWLFSFKRYNGLLKNIKTNQKGSFESMMMKRFLERTYI GSFIQSFVNHLPQFAIDFLHRISNSQDQLAALHPSSTASTFSLSDFVEYLLNPCHSAL GCEPLPPSVFPIKLDQRITMCKGHYECLLEFYRHAYDSHDLFVHYSNCESNQIFVNN PHYBLDRAFT_159147 MLTLNINWFQPFDGRTYSSGAIYLSINNLPQSEHVKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_65547 MQWVNNKNNSSCTYVLEEHFDNMEVDSIDSDNDNDYNYENKGEG EYEDENEEQNIEFDQEVGLPLSQEESIFTAKNTITEAFVVDGDKIEEGNTGFDFEQEE NFDETSGTSIVESDMN PHYBLDRAFT_187380 MNLTNSYEYDFSIGTDYMSTLGIGIYGLPLSYDDTDSSKERREA DRRFNNKSDLLESIERENEQKENNPAVDPKQFEDAMDYICPFIKDNQDIPKGSFCTIP ESVVCLDTPENATAFRSPYPIPYKMQGVVDEQQRIGEAPESIKDINKANKRLLKDMKV VNSIPKEKSGIKRKNYAKTALQKKKRIKQLSLQEQMNNLFILLMIQQKAVLMLCRDVG KMNYVYCRRGMLLTWGTMISNEYNVDEYINKRKGRSIYSGKYPRLSRGRPGFNSRSES VLMLSFLIWVGFPFTITKSKSKSKGQTHWGSESIFKKYILLWWLKLKKFLRGRIEDLR LGTKIHKNLCRFCLLRKDFVSKFDKVSTLNYYCYLYYFGLGKLYDWGQSQ PHYBLDRAFT_159148 MSSQHSAANSLPREEQSPLTEVGIPSPVLNEQTHLTEIGDISPL LGSTALSIDGDIEMIYTSLDSLPPLSVVGSVPLTPVPTVPSAVNSNTSSSITLEMLLA SAKEDLSIKKNNFYVAYANYVALSKVNPMSDAARHASSIKKEAQELFENAQKTLKSLI PCPWTHAGSVCFPYA PHYBLDRAFT_146616 MKDKENWVNMYVYKHAHFGNCTSDRAESAHASLKHSLGTSLGKL KTVTLKVADCKHQLMVESLGEGTKIVFDKVNAARLNDICLKVCRFAMDQIKLELSKSI IPKKLAKEYKCLIQYNYLLPCYHTLAKFDTIPISCISRCWRKNYLEGEIPPNINNIKP ITPKFNYALELICEHFANAQTKEQKAIKNIINLGSPCDPTLLTNLTIAPKHISTIFSP EADGNCGYRAIAMEVYQDQEEWSKVKDKMLETFLKHQNNYYHGRMEHGNMPASNNPLI RSLQDKRSPLPQQHWFSTIDHSQLVANIFSRAVAVYWNTPIETGDCLFVPFATLSEKV EPIIIILDINHFLFAKRKNT PHYBLDRAFT_39018 MELEQSLGQLFDHPSQLRLVNAAEQIRVSTNVEMTLTALTFLRL KAMQLDTEAKTKLSTIYAEGQKDVIEKDQDEADLWSRSVFDKQLNQALVISTDDLITI GKQQPPKVALSTTALIMAGAEQGVPTLCYLAGIMLTKGVGCVPDIDRGLAFLERAGEQ KQPDAAYELGRFYSDRYNYSRPDLKQSLYWYQRAYENGDTRALVDLAYGFSEGDLGHD VPRDDDRAFRYAEQGAKTDDKYCQYILGHLYLKGRGVVQDSEKAVHWLSASAEQGFAV ALEEISAIYLKGHGDVPKNYQLAHDWCLKGVSTTAYCQTSLGDIYRNGWGVQRDYQKA FQYYQTAAIQPDSPHHYAQHMLGEMFLNGEGVPQDLAVAREWFQIASGQGYEPSRLKL QILAATKSIVPAESSPRNSSSPNGSTRSSLADIPPTPQPVQEKRSSRWSIGFFNKKKT SS PHYBLDRAFT_146619 MPLFKSRTIFVSASLFFLPVMVLCAVLCLPLIACIVGAMYLIAW IVYLQLAQTHVEFNLPYNPSRVLKINLIILDLLVGWIYEIPIIVSFIYWRYWTMRNQR FQHIVKRDITYSDADPDCKLDVYSPSASPLGDKKKSPIVVFIYGGSWSSGSKYIYTPL ANTLREMGYVVVVPDYRKYPRVMVDEMYADVRQAIKWAYKHASEIHGDPELLYVMGHS AGAHLVSQVVLTDLVDKASYAEAARDRTANHNSHGHNHTSSINSNNSSNSNMSSLISE KHDPSSIIKPMMMAPPHHTTSLPPPSPPLPLYNHSHHPIHGSIYDFLPQVEGLLLLAG VYNIETHLEHETSRGVEKISAMARAMGSSVDGYRANSPTELIRSSQGLFATSTEVIDF MPRILFIHGEIDTTVPKEQSAEMYNVLGEVLSPERRVEVDIRMLFYKSMSHSQCVTAF MPSCLGKDRLQKSLARDIQEFINVPVDEDE PHYBLDRAFT_159150 MATEAHHDVTLHSDHPELDTEGQHIDRVVFVCIEEKSGHSVLNW AVKQFIRPESDLVVLIHVRPIDIPSAPYMNTTSSGQEVPDIRREESHKMLREFAHELV SKKITCKAVSMIGDPKSEIVRKVIETKADVLIMGSRQLGAIKRAFLGSVSDYCAHNCP CTVVIAKTADTVESEEA PHYBLDRAFT_125504 MSTVEFVNEKNLQKDPFTGEMISKSEIKRRTKQREKEAAKAAKA AAAPVVEKTKSAEEGEDELNPNQYFELRSKSIRHLRETQQPNPYPHKFQVELSLPEFI AKYNDIPTGERQDNQIVTVSGRIHNKRAAGAKLRFYDLHGEGVKIQVMAAAQDAEDES KYAEIHDLLRRGDIVGVRGVPGKTKRGELSIFPKSILLLSPCLRMLPKANYGFKDQEL RYRQRWIDLIMNNNVRDKFITRAKIISHLRKFLDTRGFLEVETPMMNQIAGGATAKPF VTHHNDLKLDMFMRIAPELYLKMLTVGGLDRVYEIGRQFRNESIDLTHNPEFTTCEFY MAYADMYDLMNMTEELLSGMVKALFGSYKVEYHPQGPTEPALEIDFTPPFRRMDMIQT LEEKLNVTFPAGDKLQTPEGTKFLSDLCIKHNVDCSAPRTSARLLDKLVGDFLEVSCI SPTFITGHPMMMSPLAKKHRERAGLCERFELFVATKEVCNAYTELNDPFDQRERFAQQ ASDKDAGDDEAQMIDENFCQALEYGLPPTGGWGMGIDRLTMFLTNSNNIKEVLLFPAM KPEEN PHYBLDRAFT_187385 MTTLTWNETHTLNVQHKYCYCGQDRNLLEICLQCKFCRNWFHSK CTQVSNPPDLLFTTNYSFTCLNCNSENNQKEIFERTTAGWKDICSTTIANLILEDILA HVGTDRPELFDSKHSRLMAQWYPEQYYFNKKEIIPYVDKHWDSICTERIRTPTWWATL GSCLYSSKETFVARDERQRSAASDFCLADANLWHIRPIQAATKPVQIPRMTKQRMRSD SDQRAIASTASTLLHQSTSSSSSASLAITSPPSQSSQSQSQSLQLPSSPALRSGRDGS NVKWRSATPTPSPGSASPVLGVKTVPTVFSSTSTDHPCNRFGFKYMPCEQSCLPLVAY QQADNVLGGCTISIADKSSYVSVAKDGLTVTTDRGFRMCRANVGVKEGNWYWEAVIQR ANGSSGAQGPHVRVGWARREACLNGPVGYDAYGYGYRDLTGDKLFCSRPSPYGEPFAT GDVIGLYISLPPRTHFKSPARKRIPIAYKDHLWFEEKDFRQSKEYEAMADPFRSTDKP LDPPPRTIPKSHITVYKNGVCQGVMFEDLFDFEDFGSLPDIIMARRNKRRKKQKKETV NGIRMRMEEDEFDGVRAQQWTEDPPLEDDGTLGYYPAVSVFQGGMVTCNFGPDFQYPP SPTTTKGELWKPMSQRYDEYMAEECVWDLLDEVSRSSRKKETPRQNR PHYBLDRAFT_65522 MSYWLKKCSRFAVVTKEGEEAEKDWNWIRDFLNTLDTKAGILST DAVKSRYIELVDEYKETHDREVAKRGYFEPRSIFEGFLEALMIEDEKMKEEERNPEFK KKRQAIRKHCKARSRELLDRMAATGSMSLRGDDYEEAIYDESKRKEEEKKKEKEEYKL LRSKFDSASPDKKDGTASACVEDVKKIRSMICRAFEDELVATFSRYDLTGASKAERKA IFSEMDELKESLQEIEGSVCKALGTIDKCQSILSKRLSR PHYBLDRAFT_169560 MSKLKGRRAQTDRSKVPYAKPTKDAATKKPTKSMTKSSKFKDES LTDQLDGLMGDLSSHLTKKKKKNEKAPQKTKAEVEAAQAVYEKTQNDMEAALGLLTRL PHYBLDRAFT_146625 MAAQLPNEIILEISSFLLTKDRLSCSLTCKRWHYPFQKKLWRDV SIKTNLRLKSIRYNIERSKSTLPSLGHLVCSLSFEITRSNDTWKSLESLKIQIPYSEE TKSTAEFIGLLATCGKLHTYELFKGNYPVPAKFEAKDFDKLHQNLQYLKSFKACITLD MDVAPSQHISINRTPALALTTLDLYTCKWSDFGMYYFAHKYPNLNTMRWSTLGTCSRT VSPNNMQQYSAIFDFTTKVLQHLETFEFVTVHVPGSHHSDFWEFLSSLRAPIKNLKYK ISISEGRWSTITALSKKLIELFSETLETLSVNNNAPYKSMYKPQLKISSCCLFLVDLK LRCCGMSIGLQNLFDSCVALKRLRIYNAELSCFSRTRNQGQDLKGQKHQEQKHGLRIL ELHRISTSRKVFSYLSFRCRRLEYMKLNSTYIKGTISGDSQSFLLDMSYTSFKLLHLV DVRFTIFNDQANENCIISMLLLSQQNGLSSTDKNKNGVDPNSTTVPCPSQSFTWFIFF RASYEEEYILWLIKRQDQGDLTPVEYFQMFHFENCPLAWKTSRLLNKNTDIDTTEHLF SVLYRALRNRQKSRDLLVADVDELGTSKEKIIYHLCNQSILANILIDFTSNPLLPKLR DDVE PHYBLDRAFT_146626 MNKPEKLCIGTWTWIEAKLRTGTRLWIRTSTLATMDTLNAAGAC RWTFNILNSYPQTHQIANKKNRDSL PHYBLDRAFT_146627 MIATSPCVWTQMMRTNACPDSRSYYEMAKPKLICVEGYAFKTDR LASRKTCGQSPSHPHLKRTLINMVCRLQLATGNAYTQGNKTRVEGHLRTLSFVKEKQG YFRQTYPNQYQSEVKDRHEHEHEHEKKGYRAFKHLNFQAQQAVSPSRWVGPKAHQESR LGYGIWDDRVYIMDREHQQEQVEAGRPVTGLAILQPFRGYQRELVSVGLYQKGSWCGK PRSGSSSAIRWTNPFVVDPIAASWHIVSAGSLVVYCWWSRIPPAGGQGPIRPVIEEHF AVPSLSLQMMLPIPRQVPLPIFGLSNSNNAAYSEA PHYBLDRAFT_146628 MNTVPHSIHSPWLKHKTQATKTQNPANQTRQANRTTVAPKTTQN QGLRKPYSYRNHHSQISASDLRGSQQEPYEQKVQANPTAVQAPPSNVYKRQIPEANRI ENQSSTTTGYTHM PHYBLDRAFT_169564 MNSKVYNALCAAFALAYLLAPALLFIPVMLWEASPMPSAFCMAK SAKRRRPSMAGVGATPFVAVRPDGEDQIEAHKNVMPQTILGMLSNWVGSLWSTGSQTT GEPSGSLLSKRHGGIPGHMSVNDSSSEAEDVVCVGKSSVFFGAGLRGYVPHLLPLHKL GRGIATMVFPVNGVAGTCGETHADLDEGVSSELVDECDEAEVSVRLRFICDRFFSEGF AKPRLSARFLDAMLEEELNDSANKAHRDSLTEVAEPKCGLVPKSAEETPVQRQESIQT PSGLDNAGFVVPKPKFRTVEGLNEAFALSSWQSANGFFGECLSTGLPTPMEIDDDLAW SEAEEVEDPMEIDDVAEVMVLDADCGEKLMAHTYNPTVTDLQYVSQSANMDCDTNDLP ACVPQQAADDKMEDDTEKIVLPEPSSLKKYDAPKPRQKVGADEVKGSKEPSMAVPRPK EKSSKLPRPASQKAIEEAKTAIEGPESKMASASCDLPSQLPKPLFGLRTERAKLVSSL ASEVPEPVTTASCDKGKAPKKKAKTQGSTATPAAQVSVPVAAPSSKKEESIKEFKSDL PSASRGKKVKTSGGDEKADLIIEPIGKEIGEPQIQPFPARNPASKPAKSSSNRGKEDP KSLLKYVLRFKGDPAVNKEGVVKESAKLMIPKTWTNFCCPVRFTKRTSCLSVGPGAKP TRSERKVDPETSGKLYGDTGIPGPFTVLFFGIICVVIRVGSLG PHYBLDRAFT_146630 MKSMLDENIIRKCWKRRAASFFDIFTLKHFNEMIEDYIHDAQQN QTSYDPEYDQEIYNDAFLIFNVLSAHKITLANYERFFLPATNSTESYATTKTRRDFGI ALAVASNGTAALLLHGKRTVHSQFHIPLFIDANSVCTITPTSTTGKLIKLSRIIIWDE ASMIN PHYBLDRAFT_146631 MLVSELPFELLLQISSQLLPKDKLNCVLVCKGWEVPFQDSLWKD MEVRSSESLKRVCKVAKRSASGLSYGLAVQNLRLNGEYSLYDVFKNNLFKIFLNLRHL DIAALGLNDKTLRMLNEHGLWKSLVSLKVTLDASSSEELAQFLLRLLRNTPSLEELDI SPYSESRHLSLTLDDFRILHTHLPHLKSIASKLSFKTISQDEVVSVPSTTPAPLVKSL ELNSVDWENLWSYHTWMYYFSYKYPNLQYLTWKIGHEISTPLAQDYQEMNEEFLQLTP KVFSHLETVDFSAMYTSALSHAVFWDIVCLSRAPIKNIKYKIVNRIWEPMGLVEKIRQ SAQSCKETLGTLFFDGHIDFEVRQVASLELPYCPRLVELEMNNCSVSIILDNLLNSCP MLRKLSISGGELGVSTDANAQISRHGLRLLKINEMVISITAFNYVSLRCRQLEYLYLT QSQVSGPFYSLARYTDSRTAINLVLLSHLIGPWQRSLSIQDINKRFIVYPIGWFRLFY GLDDMFDCTKTSRRLSEQEADFVENYYRGFFINRLIPEGEDSLVYSVLQYFEDDWAKD LYKGHIELRFDYVAEYFVPLY PHYBLDRAFT_146632 MPASELPYEILSLVADYLYKDYKFECIFVCKGWKTTFQELFWKD REVRSVKKLEDAAVAVKHSTSDFPYGVVLQTLTIVEACTLHSLLQSDAFETFYNLKHL VLEHVIIDSTDIKKITYSRPWKSLISLKLVIKIAKQNSLTPILIRLLTNYPSLKEIDV SPHSSMNSLNFNLKNFNTLHKRSPQLESIKGCFNLTNIHSNSVNTIPTTIAALHVTTL DLDLLDWDYLWLYYFSYKYPNIRTLKWKTMCESRERIVKEYNRNKAELLHSLKNVFPH LTTLEFYTEDRSTWSHALFWDLLCRSNVPIKKLTYILRVCFSSPNFQQMLIQRLLQSF EKTLETFFVAGNVSYDIRYIVRLNIPSCPVLVDLTLYDCGIYIDLDNLLDSCPALRRL MFTSGQLYISPEIREDPGKHGLRILGLDNVDASAPVFSYMSYRCRNLEYMNLDSIRIS GSISEETGSLLINMPYTRLKKLDFHRVLFYPSNDHMNEKKVINLILLSQLKSSFPSDE NEDHVDEIHDVDHLAWFHLFCKKITIGFESKIRKLPKEEIATATEYYKSTPATERVNL SEERKSFQGLVPKRFWEKDLWKGYVELRCGHIASYKMPLLWVDEDDY PHYBLDRAFT_146634 MDFINYIPDENYNNFMTERMEVDEAAVEFGEIEMLRGNEYFVED TPQSTADEKMEEMVLELGEMMITKTKRYKKYGPEQIARFINILQETGETVPKAAEACG IPTSSAYRLLNQFNDSNRTILPGSTSTQKGKAKPKKLFPIHTAFLIQLFDANPSTVLE QAREELMKQFGLSVAISSLYVHISEKCCLTLKQASKYTIERDAPRTLNLRYEIINKWI EAGVDFQKNCVFIDEAGFHSQLMRGRAWSKVGAPANVKVHTQKDVNLSMIGCICARGI ISFTKVIPLKIGDAELIEKEFHSEVSAKKKKAQH PHYBLDRAFT_65515 MTNPCRCNSKSHIKTACQNCPLSWRSMPNNKEKPSTCIFKCQIS KCSRENTDQSVLRLNLKGSTDLLDHSIGHQKTFQGQLHRSLLLEQQLDIASISDVLYE WVSYACSFETQTGRDERFAAQRRCKCKGVQGTYYDLQSSIELYLQKFDLERRHMPMRS MMLMSEKKHFFCPTLTCHSLPLLLETTYRPTVLDVTSNRFIASYISSKEKWIQVEQMP GSVGFRYGGCICVKLDKDRYVQVDALDFSRATVGYEPGDQVRLWIFLGIYLDRSEVKK KIIRVTNEIQNEVFSERYIIDGRILKVVCTKCILLRLLSMISFAYDLQSKRDNLQRLD DGETSDKEKASEFWKYDSCCNIKGRIKERKNLVQTIFDVGGWDQVLTIHRLKIIHYNI LEQSEIMNGKDTHEMHKKLINVRSVLGNRKDISLLHDNAQPHVAKEVKKASVTH PHYBLDRAFT_146636 MSMNPFDEHKTNLKNYDFWASIEEEEFDVTKYDEFLCKQKVINK QTCHDYLTTDIQKDESNKAFWSDIAPRLMRVNYEKPAAEAELMVAGIRKAADLIDAST SNKRENDISVGTIIKRTALQYLHESKTRKLSARERKIMTNGLSSVLDLVDHSSSQKSL FSNEAWTQITSQFESELSLELLPMPHILTSSWLIISTAAVSSNNITLGLDYIHRIYSK QNKQNRNYLKLFEHVLNLIETYPHLLKKNKTRNSDYSESDVLRIVWSPIFELLFPPNQ HNLIVKTGETISVMSQEHKQDTYGDSSNVVAFKIDIRVIFKKHGKEIDVVCGEVAKND EDSKVITDEGKLSRETKDAVDSILTLVETTAQAFSAQISGSTCLIATQQLIRNGLYVY HPAYSLSLPSCIEELGSFKSSMQKLLSFQQHVITISNILEKAGTKKYSLNQQFGKHDE ADKDGEATSILNWRRDTFYTPPRNKEARVPLVLFGLPSSSLVSRLLKTKYSEGEECVV YDQFGWGKKNDKWHNKVTNETQDEYLYSEE PHYBLDRAFT_169571 MLASELPFEILSLVGDFLLTCERFQCIFVCKGWKVPFQESFWKN TEVDTLEELESICTIVKYSTNNFPYGLIAQTLTINGQCTLSNMMQSNAFKTFQNLKHL AFRCISLDNFDIRNVTYNYPWQYLISVNLRIETSKLNVPTPILIKLLTNYPSLKEINI STHSSTKLLNFNLRNFNTLHKRSPQLEYIKGCFSLTDIHQNSLKTIPSTTPALHVTAL DLDLLDWDHLWLYYFSYKYPNLHTLKWKTMCVSRKRIVKKYNAEKMVLLNSLQSVFPH LEMVDFYTEDRTAWSHTLFWKLLCRLNVPLKRIRYMIKFSGCGPSFLGIIIQRFFQSF STTLEKISIIGDAYFKAKNIVRSEFPYCPRLTSIELTDCGISITLDNILDNCPALRQL KFSRGQLTNSPEAHKESNKHGLHIVELDEIVTSASVFNYMSFRCRDLQYMNLSRSQIG GSISAKTGSLCVDMSYTSFKLLQLSHVRFYSSENAMDKDTAINLTLLSHLTGLDMTAE TEDLNKALEHSVENLAWYHLYCELGGLFDFTLEVRQLLEQEVCDVVEYFQRSRVKNMS QSSEADRSFDGQVSQDDWKEDLYRGYVELRCGHVAKYVVPSLWVSENSFWKRLFDSPV KI PHYBLDRAFT_169572 MNQNDYNRLWDILWSVIVFIILVTKKISCISQEMQFTPSVLRNP QFFSYKNRYNSLFPVFPPLPPGFLSVVLGFRHVSSVQFRFAFKHKYFLNPTQLSASVQ CPVPSCFLAQVLFKPSAAVY PHYBLDRAFT_146639 MNSKVYNALCAAFALAYLLAPALLFIPVMLWEASPMPSAFCMAK SAKRRRPSMAGVGATPFVAVRPDGEDQIEAHKNVMPQTILGMLSNWVGSLWSTGSQTT GEPSGSLLSKRHGGIPGHMSVNDSSSEAEDVVCVGKSSVFFGAGLRGYVPHLLPLHKL GRGIATMVFPVNGVAGTCGETHADLDEGVSSELVDECDEAEVSVRLRFICDRFFSEGF AKPRLSARFLDAMLEEELNDSANKAHRDSLTEVAEPKCGLVPKSAEETPVQRQESIQT PSGLDNAGFVVPKPKFRTVEGLNEAFALSSWQSANGFFGECLSTGLPTPMEIDDDLAW SEAEEVEDPMEIDDVAEVMVLDADCGEKLMAHTYNPTVTDLQYVSQSANMDCDTNDLP ACVPQQAADDKMEDDTEKIVLPEPSSLKKYDAPKPRQKVGADEVKGSKEPSMAVPRPK EKSSKLPRPASQKAIEEAKTAIEGPESKMASASCDLPSQLPKPLFGLRTERAKLVSSL ASEVPEPVTTASCDKGKAPKKKAKTQGSTATPAAQVSVPVAAPSSKKEESIKEFKSDL PSASRGKKVKTSGGDEKADLIIEPIGKEIGEPQIQPFPARNPASKPAKSSSNRGKEDP KSLLKYVLRFKGDPAVNKEGVVKESAKLMIPKTWTNFCCPVRFTKRTSCLSVGPGAKP TRSERKVDPETSGKLYGDTGIPGPFTVLFFGIICVVIRVGSLGLDYRFPLGLTPCSAC PHYBLDRAFT_169575 MSVTCGGTRLVFNPVCLWVLVGFLSGLRPRSVSGGFCTSRASGV LDSGSFLGPRWSCWLVWSGWLDFRLSFVLKPGRMNTVGHGVHYVVMSGRMGFASLPDS FLGSLEGYCQSPPFSFLIVPRGFGKTSQEHRVPTKIDEVFPHRHDGISVIMIATSPCV WTQMMRTNACPDSRSYYEMAKPKLICVEGYAFKTDRLGISDVYQSEVKDRHEHEHEHE KKGYRAFKHLNFQAQQAVSPSRWVGPKAHQESRLGYGYNKNKFLVIIQDYWLSTAKRV LGVVSQDLVALLPFGGQTLLWLTPSLQVGTLFLLEVWLFTVDGLVYLLLVVKVQSARS SKNILQSRACLFR PHYBLDRAFT_169576 MVKPRFAYMSKSNSKSCPSPSQSPNVQKLSNRDEFLSKSKIWAV PPSLKAKSISKKGSNAKFQKQVPKEKPKTKNQKPNPRPILSPVKKNGHILSPPFQKDQ TKSDLEAYTKSSTPKGSNPKTEA PHYBLDRAFT_169577 MNKPEKLCIGTWTWIEAKLRTGTRLWIRTSKSRKAKFIKRIIYY VFINCGRVYILADLKLPYPNGFANNCGACISLNCGVYSTSREIDKVRDQMAYHIAIEF TQENFWL PHYBLDRAFT_181830 MSASDLPFEILSNIARFTPTSDKCCCILACKAWKIPFQESLWRK IQVYSMKELENISDIINSSTNKTMPFNLMTTDIRFTGIINFTGWQKNNVFQAFPNLEY IDMGSLFFSEIKMDEIKSNLEWSSITKLKTKFKHTRSRNSTIKMLGILRSTPNLRVLD IGPHYRRVPLELSLQLYNNLHNMLPSLTSMAANLALDDINRRDARKIRKTEPALHLTS LYLHLTSWDSMWLYYFAYKYPNLRTLKWRAPCQTERGVIIKEYGEEEVSLLRSIKKVF PSLESLDFYTEETTELSHAILWEFICRSSVPLKTLHYKLKFGASGAIFLGTVIRRLLQ SFIKTLERISVIGDFCFEKDNIVEPEFPFSARLVSIEIKDCGISIALDNLLDNYPALL QLTFYNGQLYISPEAALRESRQHGLKLLNLNSIEANASVLSYTSFRCRNLQYMDLGHS EIHGSSSTENGSLYIDMSYTSFKSLKFSDAMFYYSNDDDYNYDDDPSKNTAINLVLLS RLAGNCPATGKQDIKKSEYSVEHLAWYHFYCELEYVFDFTLKIRKLSEQEVISAVDYF KESQLKKDRENISEVERSGFGLVDKDYWKEDLCRGYVELRCGHIAKY PHYBLDRAFT_78099 MITIIEQTRAMVQDYMGKLDPSHDMLHVDRVTRLALHLSKSCIE NEKKKVDLELVELAALCHDVGDRKYYDGSETGGELIYTFLTKQGYDHTRASLVSRIVD HVGFSKELGWDDLKDDPEEVKWRRGCIELHVVQDADKLDAIGAFGVLRCAAYSGAQNR PLYAPDQDPLNNMTKAEYEKQSKKKTGTAINHFHEKLFRLKTMMRTERAKVMAEERDK FMRLFVQQTENEYNMLT PHYBLDRAFT_177712 MLIQYGADPGLVDSHGFNALHLAVQSPQPMLVLYILSLGRLDID TKDGVGQYTGLMWAANEGNALNIKLLLQFGASVDAVDQRGATPLHWAVTKGNRMCIRK LLEYGSDPTAKDQGGKSAVDLVHENKLGRIWDQAVLEATVLESSDTLQKKTVNRSIYL LPYIVLPIVLKTLSSFPWFTGLPLALAEFIVMHLIVEKLLIVVPNSGELLKTPYASSI FQASAWWLFLTWAWVLVSATPNIIILHILFFVTFSIAMACFYRCSVANPGFIENANSL DSIQETAVELADSHQLDIRHFCTTCMIKKPLRSKHCKVCNRCVARFDHHCPWIFNCIG LENHRVFMMFMLNMVICITIFVSISAQYLIANATPIGDMPPEELKCLLGPTICNYFAY DTWTLVITCWAAFHLFWSTCLLLMHFYQIAMGVTTNEAVNSHRYGYQDYKKFAHNNAS TNQPEPDATSSIAATMTSSSSTSLPNERSALLCLPLCKNKHAVRSTSKSKGCSISNCC SCANSIMSSHSAHRKYRQPLNKRSGNPFDYGCRTNCVDFWSNNGAVNWYEAYDIQHVN NSPLSGTKFYSPVARHSIEL PHYBLDRAFT_177713 MKVIVTGASGLLGRAVYKQLKAANIDVVGTAYSRAKNGLVKLDL ANESELEAFIAEQKPNAIVHCAAERRPDVAEKDQEGTRNLNIQVPKRLGSLCKTNNIT LIYISTDYVFDGTSPPYEVTDKPNPLNFYGETKLGGEEAIREVNSDAIILRVPILYGD VEYNGESAINILLDSVQNQEKVVDMDNVGKRYPTNVQDIARVIKDLLVKRVDLGEDLK GTFHFTNTECFTKYTICQLFGNLLNLPIDHLKRQDEIPASAAVSRPKDAHLSNKRLEA IGIDTKSVPFVGWWTEYLSK PHYBLDRAFT_181834 MSNSVDSSTDASLKFWNEFKLERQGIADQLERSKTIPTSQLPEH FNNILQTINRLEKELTKATEYIPPYDERQYSMQIRSLVQDLETERTNLTPKPKFSFKS RKTKAKTPTTTPTTTDTPTTDTVAVKHEPGWVEDETMLRFSDISNQWLTLEDSRPPGK QSVDVSLSNLHRCVVSLLDDTIRVSALHVKNVTECVILCGSIEGSVLLYGFSRSVMAI DCHQFRMHDAVNVDILLCVSSRPIIEDCDQVRVGPLKNNEKKEPFVNYFDQMEDFNWL RKQASPHWRVLTEDEATKLNTSVVDDLEKLTSLPQKEHLDAFLEFIPKP PHYBLDRAFT_146648 MASRLPFEMLFQIADLLETKDKLSCALTCKNWRYPFQKSIWRNV RVETLLQFKAICNIIENPKRIPTPLGQSVLTLQISPNVSIPRIHQDVFFKFLPNLKHL DLGRTRCEDIHKEMAKCNGTWKSLESLTIQITYNEIAKSTGKFIELLTNCHKLQKLRI FKQGIYQPVVFNAKDFDNLHQKLQQLTSFDACISLSNDSETEQHIRLGTVPVLALTTL ELRMCEWNVLWAYHFAHKYPNLRFIRWNTINAQYYRISPNRTQRSPHILDFNTGVLQH LETFEFTTSDVLETEHHAFWGFLCSLRIPIKNLKYETKSDKVNRLFFKTIIKQFMEFF YETLETLSVKGDIFYRSMLNSRLEIPSYCPLLVDFEINSCNVAIELRSLLDNCVALKR LRFSNGAFVLYSEPMIIRHTDLKNHQHGLQTLELNQVSASFDMVNYISSRCKSLKYMS LESTCISGFISGKDMSMFLDMSHISFKILHLANVRFTAFNVDKNENTNVNLLVLSQLD NSLSSYKKNENTDSKYTLVERRFQHLAWFHIFTDHDDDMSYKKGLNKIPEQEANTISE YFRYTDPKRRTEKFKADILSNKYDVIEEFKSDLCKGYIELRCKHIEKIVLQTQRADII DQIQRRFDSLFHNQTAPPPIHRPHAVRNQHISNLGAVQGFSYRRNTNLEHLLAERLLS QLLLETEYVDGNVNKSEVYTSTLYTQFTEVGTEINAQWREAGEGSNNYFYLCLKELRQ EQYIHPLWDGNRLIHSPGNMKMRSRSIFGLTMTDNMEAIKRNPHSSPGNRVLRQGALL SRLLFNPALDPLLRTILANIAIPRFALSYSFPSQSLFLGQSNHTELLALKIKVSPLGT TKKIQSVIYLEFSLTNPKKQLSMAFVDILIKIRTHVQLLSQRNFSVLGRGLIVNPLLL RCVWHFIRVIAPTAVCLAKCFN PHYBLDRAFT_181835 MRTLIKHNLHSFGIKTQSFSTLNTKLPAKTPFSIYIHWPYCESK CTYCNFNKYINPRDPPHERLVKAMTRELDFYLTDPRFGLKNKRLNSVYFGGGTPSLAL SIESAKLQDFAKAGVNRLSLGIQSFNDKDLKVMGRDHSGTDAIRAITKAKQVFHKERL TFDLIFARPGQTLEAWDDELKQGLELAGDHMSIYQLTMERSTPLHKASVRGELPPLPS PDEAADMYEQTLKRTSEYGFSHYEVSNYCQNESAISRHNFSYWQGMDYLGVGPGAHGR LTRTEDGVRVRTFGEFHPNKYMALCESEGEGIRKMVPISARETIEELIVFGMRTRMGV PRARFQAMTGLYLDNVLDKEMLQTYIEAGFLIDEAGVMDQAMNKYVPNELLSEWDLHG GIRPTESGLERMDSIICSRFQKFKKSMFPGSSNITVCSYANLNWILTDSPSEFFFIGT LEISALNTWSTVTKRTLINRPAITLEFKQHVIAYIIPACIIPACVSQQMFC PHYBLDRAFT_134291 MSHPSTSQPLSHETDDWKKSITLPAKDTRPQTEDVTATKGNEFE DYFLKRELLMGIFEAGFERPSPIQEEAIPIALTGRDVLARAKNGTGKTAAFVIPTLEK INVKKPKVQALLLVPTRELALQTSQVCKNLGKHLDVQVMVTTGGTTLKDDIMRLSEPV HVIVGTPGRLLDLASKGVADFSEATTFVMDEADKLLSPEFTPIIEQLISFFPKDRQIM LFSATFPLVVKHFKEKFLIKPYEINLMDELTLRGVTQYYAYVEEKQKVHCLNTLFSKL QINQSIIFCNSTNRVELLAKKITELGYSCFYSHAKMLQSHRNRVFHDFRNGVCRNLVC SDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLITYEDRFNLYK IERELGTEIQPIPPIIDKRLYVAPSSLEDAQVQQPDVEAAAATARQQRQQAGLPHEEY GRQQGHWRQNGRNNPNGGGGRRVSDGGGGGGGGGGGGGGVNGNGGRRAPNPMSTARPK PKPKPF PHYBLDRAFT_169588 MQNTEAMEVASGVNNPKIKYKKNARHGHGKDVVDDNEIRQVAGC LPIDPINKRILLISSRKTENAWVIPKGGWEEDETQEHAAMRETWEEAGIKGVIVRHLG VFAEMKKKKAKAHHWIYELHIQEVTKKFPEKKKRERRWFNYEESLLATTAHPYMQAAI RMSSLSPDYVAQDRNVQPQSEQQQAQAQAQAQAQAQAQQTQGQGPTPEQLQHQQRLLE QQREQLLEQQKLLEQRQRELLEQQQQHKQQEEGAPQSSLSQLKKIFGSKN PHYBLDRAFT_146653 MQTIASYRRSWATLVQPSFTNSLFRRRHYHQRVPNYDGWWTHIM NQPGAQERLAPIAEQQVSRISPPESVAAVTGQQEAITSFSAAAAGGDATQSTVSATQE RKPPKEVVLLKGEPIPLPDKPEAPENCCMSGCAHCVWDMYQEDMEDFQAKREAIRQRF EEAGEPLPAMLGRSNKSAAREVEEAMDPTMRAFLEMERKMNKNKKS PHYBLDRAFT_134296 MESLDIILLGTIGLGTIAWFARHQIANTFKSSKDTVIDDSESSE KPTPKAERNFVKIMKEQGRQVIIFYGSQTGTAEDYAARLAKESSQKYNVSCMTADLEL YDLSYLDTLPKECLAIFVLATYGEGEPTDNAVEFWDMLNDESVEFSQSESQSESDSGS PLSNLRFIVFGLGNKTYEHYNSVCRTVDKRLEQLGGTRVCERGEGDDDSSLEDDFLAW QETLWPAFCEAMGVDENPTSSGPRQPTYKVDELDSFENDLVYMGEISERPKDSTSAIV YDAKRPFAATVTSRELFFVKDRHCLHMEIDLGDSNLSYQTGDHIAIWPTNNEVQVKRL ASILGLQDKLDRVVNVSSVDAAASKQHPFPVPTTYRTIFRHYLDICAIPSRQTLMSLV DFAPNDASRAFLQTLATDKEAYKSQVSEPVRNLGEVLELVARAGKLDQDQDQDRKTNT EANGNHSTKGAFEAVPFDLVVESISRLQPRYYSISSSSKESPRSISVTVVMLDYKPQK SNNERTVYGVATNYLWSIHAAVHELEKPVGYPDYVVAGPRGSYMNDDKSVVKVPVHVR RSQFKLPRNPTVPVIMVGPGTGVAPFRAFVRERAAQKLDKKPVGPTVLFFGCRHSQQD YLYADEWPGLFETLGEDSRIITAFSREHAEKVYVQHRLEQEGEEMWGLLERGAYVYVC GDAKSMAKDVQHTFTVIAQQYGNKTEEKAAEFIKSLRSTGRYQEDVW PHYBLDRAFT_181839 MTMTGLRGVYVPIPTFFKPNEDLDLEALERHVAYLANTGIAGLI FLGSMGEAVHLSEAERITLVKEGKRLIAKYNPDLTLIAGTSAASARQTIELAKDAASA GAACALILPPSFYRGNVTSDALFAFYTRVADQSPIPVIIYNYPGVCQGVDVDVQTITR LSHHKNIIGVKGTDGNIGKVGYLAEHTDPNEFALLAGSADFFLPALTVGAVGLVPGLG NLLPRACVEVQTLYESGKLKEASALQKKLVKPDDAFARWHGLPGVKASMDRLLGYGGV VRLPLLPVTAEQADVITKALSEGFEIERSLKN PHYBLDRAFT_65494 MHREWRCSNQGSDTANYLTTAKQTPVVAQCRNLVKCRYHFFFKK QPLHKLSRIFVPLPFLNFLFKQQQQQLPQLQLPKHPESLLRIKINLLHRPMLILIRPI TFGLTRKDSDLLVIMGARVFSIRTALV PHYBLDRAFT_146656 MSSLIAVFSCACFFSVLYAQRPFHCSHGEVLHVKFMYQCPVSSA VLLLSTSTFLNPTQLSASVQCPVPSCFLAQVLFKPSAALLTTILLMVSSYHVWSTECV QSMLMERCTENQLSILHPSQLTARTLDIGSPDFVLWGMSRLSQKELDMRDEPEAKLRC LSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMTRSNNGHHGYQFMRN PHYBLDRAFT_146657 MEQVNNLLVLKDYNEYQAAKKSPGLKRSNAKIWKFGRPLPLTTL LSQFPAVPEGLKEPKDPKLSG PHYBLDRAFT_169593 MSYYIRLIYILFYSLPVEKSILLTIKEQFTPSVLRNPQFFSYKN RYNSLFPVFPPLPPGFLSVVLGFRHVFSCACFFSVLYAQRPFHCSHGEVLHVKFMYQV MSSVQFRFAFKHKYFLNPTQLSASVQCPVPSCFLAQLLTTILLMVSSYHVWSTECVQS MLMERCTENQLSILHPSQLTARTLDIGSPDFVLWGMSRLSQKGAKLDMRDEPEAKLRC LSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMTRSNNGHHVGLLSPNNLTQSVLDKIGF RLHSFDISIPGHRK PHYBLDRAFT_169594 MSFRKRNVDQQPKLPAGSRLSAYNGQLLISTGVPSLDDILGGGL PVGTVLLIKEDRATTYAQLLLKYFMAQGIASGHHCALATRDEDPGELMHNLMWLATTD ADEDEDHDKRKQAQQSESDRMKIAWRYSHLKSFDSSLKAKPASPIAPASPSSISLKDL GKSGSGLTKQSQPAAGTTEPPPQQLPFCSMFDLTKRIPQQILEEASVSLIEPPPEDGS MDDYHVLLESIRKIIIEGNFSSALPAPPNTTRKALRLGIHSLASPGWQMKSPHDLYKF LHGLRGLLRFSFGAAVVTVPAYLYDESPFFIRRIEHIVDAVIEIESFAGSSVHNDAPY TQNYHGFFHVHKLPVLNSLLPPSTKLSVLSGGGSNDLAFKLRRKRFAIETFHLPPEGG MGVRQTEPTPTAVKKATVKEKLCGSLPGKKDLLEF PHYBLDRAFT_187399 MPVDLPLYKAEPNLSTSKKPRSRKQLLLGLALVGVLIVLYLNAR INIPGSMSNEPSLHTDLDSPQAVPPNLSQPGDSSPDSSKSQKTSPESSSSSSQPQQPP PPPQAPAPATPKPVSPHSQHHNALPRPFEDEGEVTINPTSHYTQVVIIASEAEAIGRR GLIREKYFGLRDNMQPCMVYNADIYYKFWIHGAQPEADTPLRRSYESEKMEWNDMYES KKSFEQINILAWAETTLAKQGITYDYLIVQDINTFMQLSTIKRELDTGVISENTESPF TLNTDAPTNMVWGTFGGEPRDKHAFVVGSAAVKLALGKQAEFVTVSNSTNILTAMYDF YKRFGGALEKAVDESLEPEAAAEMQEQAIPEFVREDGPQDTQRFIRWENNIESVHAED IVVTHVYQDTEFDALAYWTYLKPLLVCHPKATAEARTKQNKPLIALVTSSFIYDACME PSATLSALNKHAYSLEHGYSFVARSAEFAQQALRNDRKTVWGKIDAVEKVLPKYEWIF WMDMDAVVMNPKQKLEDILKDVRKRYPKGPAVFDSSIDLVIARPGHDPMINAGVFLMR NTPWAMQFLRDLQAMTNWYFTGPSYEQGAMWELLHRPEHAEHVFLLDRDDHTFNTFPE FYQPGDFVVHFAPDRCPNDATLFGLDAADRIRAGETILTLEDKKIK PHYBLDRAFT_169597 MNYSGPVWNHKKLHDPNVLYLLKELPTMGIIFSFHNGILPVHYS SASDFACACASDLVSIADYQCSQMSVDMCARVLVSYSDSANFALSCPALPCFALFCPT LPYFGLLYSALSCYGLLSL PHYBLDRAFT_181842 MQIETIFVVYGVLGGFIVFFGLFSLFIKERLYLSEASIAIACGI IFGPVCANFINVDEWGDKIFITREFTRVAIAIQVMAAGVALPKAYLRKEIGSLFVLLI PVMIWMWIISGLCVWAMIPGLTFLQSLMIASCFTPTDPVLANSIVQGKFAERHVPIHV RNIISAESGANDGLGYPFLFLAIYLMHMDVGPAIGKWAYWTVCYQILLSIAIGFVVGY VARKLLKFAETRRMIDKQSFLVFAIALAVFLVGTVSIIESDDLLACFIAGNSFTWDDW FRTETEDAHLMEVIDLLLNMSVFVYIGATIPWASFQSIELDVSLWRLIVLAIMVLLFR RLPIVVGLYKFIPTIHTFREAVFTGWFGPIGVGAIFYYTVALENIDAVGQDSRAHQVI EPIIYFMVLASVIVHGVTIPLFYIGTFATRTITIQSTNAKLRRPSKYSANSTNAMSGV TARDEELGLPGGGGGEKPEWTNVNNVGGGGPHGQQVYTKETYMETDVNMNMDMDMDMD MGVNQQAVFDEKAEASPRKTTIKIVTPVNGKAQTMTKNAPLDDDISEHPNQKNSFDIP DLEKCSNETKTSFDDGGSTLDSR PHYBLDRAFT_169599 MTEADTTQPPPAVIDQTQKHQDAEEPTNDNTDPSHPSLLLATDA RQRGLTTGEPASLVPPISSSPIPTSGHGSFSANDLERMNANAIFIKNELKAAGPTNLT LEEQEEQDKPVIEGLQLLLDNRFMSAKAAFSKKANVDPLHALALSSMAFLKAVMTSAE HDQTTALNALNITYDIAKTQRSFYKTPPAMTGYISRYYNFIGGSEEDTSADNKSQAAQ KPKPKPKTSSIPPTSTSETAAEEEEKEEEENEKDKEAPAKDTTTSPTQTVATSEAFPP NGLLRAHVIEAECCLQIAILQLIQGSIIDYVKCGLNLRRAYSSYVFVWEEYTKMGPEH SKYMDANTVSCVQFGVGAVHLVLATLPVKVLKAVSVLGWKPDKQLGFGLLNACAEGNG VRAYMATMMLLSYYTTVTSFTPQILSSVYTKSAMETLLEAQRGHPNSALYLFFSGSVA RLAIDLPLSTKSYLYASDMGKGDWAELAFTNTSRYEVALNHLITGNWDHAATAFGYLS KHHYWSAAFCKYAEGACLEMNGKRTEAILTFADVEALVDKKKGSSRMEYMDAYTLRKI ATLQESGYQDMGMYCPILEFMFIWNLFHFMDHNLLKECISRVDDALEFIQLKEEQAYQ ERMIELAPDTDLPDYFDERAILLLIKASIKNVLGQTSDIAGHLNWIIDHRESFGSESW VVPYAFWESGVSCWNLDDKPRARRVWEMALTCSKYDFEYRLSVRLGLALTRAEELGFT TPIPEPEKNKRSKIQTKTFKRL PHYBLDRAFT_6241 MTDKLPPNLLKLFAPRPPLPYVKPLDKAPEKRVGAQVSGIASLV PMLRNYDPDYVPWKSTEEKRREKLKERKQMKILLKHFLSVNDPENDDKMEGNPFNTLF IARLSYELTESDLMREFELYGPIKNIRLVKTPEGKSRGYAFIEYEREKDMRAAYKDAD GLKMLGRRVIVDVERGRTVKGWKPRRLGGGLGG PHYBLDRAFT_65484 MFRVFRQLSSIRTSVNYTLVPCVLVLLSSFVVNSAAAPLTIENF VSPTKAAIISIIQTVVLAYSAHAATIRPENTSTIWPTVVKRTLAIAWPVSGVHEAISS MIKAYKGYKILNKSNFLEKNLVKNDEALGEWMNEMKMKVFDMERISLLVERRRLDRRL EVIETDIVTMKEELSRSAPEPSSESLDDANMRLYIRNTNIKYLESSLKEREVVLFYQT LTLRSEHAIFSEHAKFRAELYHYPYETRINQFKIFAQETEDLKSFRSKLTIHDEDVLN TSGLKKEGTKLLINYKSLPSSSIENPIQSTASKEAHGLLNNTESGVTEKGEKYTVMKA QNLYSYDRELPVEDIYETDDELKELANLFYKYQIPDKDESNIDWTYKYNNGAYLSAIL ERMDSSVATTTKGFMINGNIYIGIHDFSVGLFDQDTYEWKYQKAYQRTDEMDITGPGT DYDYQVAIHPAMFCYLPPDMLDQLNNIVALGESQITSQLFTLVQLGYTVYEIVLGTGD KWSKLIMGVFMIMSVLQTVSLMILPTQSMSYSIKGNPIHSSLHEFVPEANPKMHSYKF KEFDPEIFNGVDESEIHYISSAMRTLALTGMPLDDLEDVMKNALAAKTSFSFKNSGVW EYFVVFGGIAIPLILGIAAGYGAKSKTEWIVISWIVGSLPFIIFRIYFLDRYANLDLL YLILLVPFMAFPGIALVLAATIIGYIPEFSN PHYBLDRAFT_181844 MNNSMLSLSTKTYFYRARGNISCLWVLLSIFVLRATAAPLTLED FVSPTQMAIISILQTVFFAYVSHAVTVRPENTTKALPSIAKRALAIAWPVSGIHEAVG CIIKSFQGRKILQEMLAEDKGQKAKDTSMDDWLIDMKLKYFDTARIALLIERQELNDN LTLLKRRLQIFRGRVSQHEERLQAYKDRKSDELNGKDSSEYEKRLSTTSEYLRDDLEK LLDLRSANLRHSYYLIKREDLFGTRKAKFQADLDRTSIYDEKSENSGSQYSLDDNQAE SYYSDSDELSTESYVSNDSSQIATEPSGSEYDSDNVESSVYSEEEDLQVYDPANDPEL LHSTFAFDSNMADLQNTDFAVCSNWEEMQDQNKERYGDDDIYSSYTRNLYSISEENDI PDDISEELQSLLDKYEIQDKAQFNWKFNYDNSAYLDALLTKMGPERSKSVKDFIINDD IFIGMDKEDVELLKPSSYSLTSETNVTGPGAHLPYQVPVHPFLFRFLPPDMLDQLTGA GPLGGSSALGKIFTLIQLGYTVYEIIDGEGDSWSKLIMGIFMIMSISQTISLVALPPQ DVSYSLKGNYITGSCYNYFRIQENEVLGIVKQFFSSKRHKTMGRSDFHSTSNTFCILA LTGMSSKDLMGVIKRDDFDRKTLTHFPSGIWEYFVVFGGIAVPLLLGLIPGYGERSTT EWIVISWIVGSLPFIIFRIIYFDMFTTMKTSEMILLVIVGALPGIALVLTATVIGFVT V PHYBLDRAFT_78086 MPESDLPFEILSHIAIYVLNDDKPACMMTCKRWKIPFQESVWKT IEIDSFEKLEEICTIANNSTSRLPPYDLTTEIIRIHRPCNIRELQKTQAFRTFQNLKH LDIGAISYELADFGFTRYVPDSTSLVSLKLKIKAIDGIISTPITIGILGHMPNLQKID IYPELSIYKIQFKLHQFNHLHTLLPKLTAIKLSLSFHWIHPKAVPSIPQTDPAPSVTT LDLEIIDWDHIWLYYFSFKYPNLRNLLSFDIKNIVTPKLSHCPRLVDLEIKCCGVSIA LDNVLDNCTALRRLSFCNGLLYINPETNERPIQHGLRTLELDTVTTKSFVFNYISSRC RDLEYMALIQTQIYESVSEKTKGLHINMSYTNFKKLYLDHVLFYLSDDFMNMNITIKL VLLSQLTDPRKTNKDPEHGNVESFATHIAWFHLSDALKNNTSLNSLDITQLSEEDGYD ITRQFLDFQLKRNYNSQEAKTFIREQTSVHPWKKDICEGCVEFTCGRINEYRIL PHYBLDRAFT_169604 MNKPEKLCIGTWTWIEAKLRTGTRLWIRTRVLSYHIGQINNLFQ LVLSLPYVPKICLLVKKNYNNLHQEKTIFLFQKHIVLLTKPYSILCRVDVVREICEVA AVCVPSWLLVKPSDNIYNIQYLLPTNLPFEILSQIAHFVSADYKFSCILTCKAWRYPF QESLLENIEVSSMDTLDNICAIVKDSTGNYTPQELITQSLRVTGKTSLADWQETRVFK TFPNLKYLDMGSLSFYDVDIKCTKYGPPWESVTSLKFQVSPTSWRISNEFILEILRKI PNLQSIDISSDSFYYLIEFDLSQYNSFHIALPKLTSIKARLGLKDIHPNAVPSIPRTL PALYVTNLYLEHTYCSYLWLYYFCYKYPNLRTLIWKSVHKFGNIVIEEDDGRKISLLR SVKRLFPHLETVDFYTEEPTEWSHSIFWNLLCLSDVPIKKLKYKIKSRDSDATCFGMT IERFTRSFSTTLEKISIVGNVYFDVENIVKLECIYCPNLVSVEINECGVSIALDNLLD NWPALRRLKFSNVHLYINPESQRESAQHGLRFMVLDNIVASAPVFKYMSFRCRLLQYM SLSQSQICGPILDETGSLCIDMSYTNFRLLQLDHVKFYSSEEDISKDTSINLTLLSHS AGNQTLDEAKKKVNANANENRKSTVEHLSWYHLYCELDCPFDYVPKVRQLSQQEVCTA IKYFEDFQLKDRTNIFEVERSCNGQVDKEDWKEDLYRGYVEFRCGHITEYAVPLFWVS ETSFWQNLFDSSW PHYBLDRAFT_169605 MVKSRFAYMSESNSKFCPSPNVQILSKYCSSTSPITQIYTAKYT STQLENRGAFLSKSKIWAVPPSLKAKSISKKGSNAKFQKQVPKEKPKTKNQKPNPRPI LSPPFQKDQMKSELEAYTKSSTPKGSNPKTEA PHYBLDRAFT_65480 MNSKVYNALCAAFALAYLLAPDLLFIPVMLWEASPLPSAFCMAK PARKRRPSMMGVEPSGSLLSERHGGIPGHMSVNDSPSEDEDVVCVGKSNVFFGAELRG YIPHLLPLHKLESGIATMVFPVDGVAGTCGETHANLDEGVSSELVDICDEAEVSIRLR FICEDFFSGGFANPRLPARFFDTMLEEELNASENKGHCDSLTEVAEPECGLVPKLVEE TFVFGQESVQTPLGLNNAGLNDPKPKFGTVQGLNEAFALSSWQSVNGFFWECLSTGLP TPMEIDDDLAWSEVMEVEGPMEMDDDDVEVVVPTAECGEEFMAHTYNPTVKDLQYVSQ SANKDCYTSKIPACVPQQAADDKMDEDTKKIVLPGTSSQKKYDVPSPRRKEGADEVKG NREHPMAISRPKKECSMIPRPVSQKATNEGSKIKLASASCDRPSQQPKPVSGLRTERS RLLSNFIWEASGPATAASCNKGKAPNKEVKAQGQATTPAAKYSIPVAAPSSKEEQNVK AYESDLPAAPSSKKVKTSGSGAAKAEFKAPWQVTPPAAKPSTPVVAPSSKKEENVKAE ESDLPAAPSSKRVKTMGGTKAYSDPIAASNSKEEKCSEAAELDLSSAPSSKKVKIMGD EKTNLVADPISEEIEQALIQPFPTRNPASKPAKSSSNRGKEDPKSLLKYVLRFKGDPT VNKEGVVKESAKLMIPKTWTNFCCPVRFTKRTSCLSVGPGAKPTRSESKVDPEAFGKL YGDTGIPRPFTVLFLGTICVGWLEPFVRRVLVDFLTGLRPRYVSGGFCTSRASGVLDS GLFWGHDGPVGWSGLVG PHYBLDRAFT_146670 MIEDYIHDVQQNQTSYDPEYDQEIYNDAFLIFNVLSAHKITLAN YERFFLPATKPTESYATTKTRRDFGIALAVASNGTAALLLHGRRTVHSQFHIPLFVDA NSVCTITPTSTTGKLIKLSRIIIWDEASMIS PHYBLDRAFT_65478 MLRKLSISGGELGVSTDASAQISCHGLRLLRINGMLEYLYLTQS QVSGPVCQRTGSMLIDMSHTRLKMLLLAQVQFYSLARYTDSRTAINLVLLSHLIGPWQ RSLSIQDINKRFIVYPIGWFRLFYGLDDMFDCTKTSRRLSEQEADFVENYYRGFSINR LIPEGEDSLVYSVLQYFEDDWAKDLYKGHIELRFDYVAEYFVPLY PHYBLDRAFT_65477 MLASELPFEILSLVRDFLLTCERFQCIFVCKRWKVPFQESFWKN TEVDTFVNLRIETSKLNVPTPILIKLLTNYPSLKKINVSTHSSTKLLNFNLRNFNTLH KRSLQLEYIKGCFSLTDIHQNLIKTIPSTTPALHVTTLDLDLLDWDHLWLYYFSYKYL NLPTLKWKTICAPRKRIVKKYNAEKMVLLNSLQSVFPHLEMSFSTTLKKISIIRDAYF KAKNIVRSEFPYCLRLTSIELTDCGISITLDNILDNCPALRQLKFSRGQLTTSPEAHK ESNTEIYNINLSHSQIGGLISAKIGSLCIDMSYTSFKLLQLSHVKFYSSENAMDKDTA INLTLLSHLTGLDMTAETEDLNKALEHSVENLARYHLYCELEGLFDFTLERSRVKNMS QSSEADRSFDGQVSQNDWKEDLYRGYVELRCGHAAK PHYBLDRAFT_169610 MSEHFNLPTRSIPTFGLPDFCFKPLTDMLNTAVAQKQPSISILR VVCENHLQIDPVVPQTVSNSVIRKIDIVREYICVFEVRDLKNFNTSSLRCMLQQYTIS CISQEMQFTPPVLRNPQFFSYKNRYNSLFPVFPPLPPGFLSVVLGFRHVSSVQFRFAF KHKYFLNPMQLSASVQCPVPSCFLAQLLTTILLMVPSYHVWSTECVQSMLMERCTENQ LSILHPSQLTASELLFQAYQQVSSLHSMEKVTGGTLDIGSPDFVLRGMSRLSQKGAKL DMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMTRSNNGHHGYQFMRN PHYBLDRAFT_65475 MAKGGVGSHRSYLSENTHQILIHKTFDQIHDLLLSKDFDERALL FCPIDVTSTPKHKKRVIYYIRSANHESFLKAQELTEYSNSCTILSQEHESEIVPLDGL LYCSKFPSCSLSLYLCLVLVIMLASELPFEILSRISDFLFVNDRLNCVLTCKYWKTPF QRSLWKSITVRSLEHVCKLRDAVEYPPNGLAYGSLVQDITFRMRLGLIMVQMNNFFQM FPNLKYLDMGHISFAPLHAVVSKHSDSWKSLVSLTFQYPPSSRKVPVSIFFDILTYFP GLQTIDIFPQHDGTKYFLFNLDNFNTLHKTLPKLKSFKLFLKLADIQEHDIQMILKTK PESSIKTLYLNLGQWEDLWLYYLSYKYPNLRTLGWYVSSGTTSMPIGDYSGREVLVQS SIKCHFPHLETIDFCSSEYTAKWDNIFWDLVFQSNAPIKHLKYKIDHSNFEAIFLETN IRQLLPELSKTLETLVVVGYKTLQTQDIARPEFSYCPHLVEIKIINCKVSIALVNLLD SCIALRRLEFCGGQLCIGSDTDTDTYTDGKPKKHGLRTLDLNDIVADASVFSYISFWC RDLETMELAKARIYGSKSNETMVLNIDMQFTSFKLLRLDHVKYYLSDDLMNKNTTINM VLLSQLSGIKKSKTSQENVNIKSDVDHLAWFHIFSKVNDADNNSADIKQLSEEEAFKI TKYYNDIHLKSNNDVQEAKRYIQGQVHKEDWQEDLSRGYVELKCGRIVKYSIPLL PHYBLDRAFT_146675 MQLFSIQQRIFAKCQQRQAHCPTTKETENAESKSKSRRSNCLQI LASIKKALRHNKTKEPVSLPSMISPSSSSSSSISYESCLSEPTEDELNLRSYRLSPKT MVLDALIFDHPSLTVCIRPASYRST PHYBLDRAFT_38989 MASKVNVGVIGFGFSSQTFHCPLIVSSPHLNLAAVVERHGNKSK SVYSDVHVAKSTDELFDMANIDLVVITTPNDSHFSLAKSAMEKGKHVVVEKPFTVTLA EAEELAKVSKDTNKICSVYQNRRWDGDFLTVKKLIANGHLGRIVEFESHFDRFRNFVK GGWRESDAQPGSGMLYDLGAHLIDQSLSLFGLPISVYATLTNQRQLKESSVIDDFTII LQYAGGFKAVLRSSMLARQSPVLRYNVRGMNGNFVKHYLDVQEDQLKAGLVPTDAPYG VESSERWGSINTDISGVHIVGQVETEKGAYLSFYNNVGQAILKGDREYLEVKPEDGVN CIRLIELAQQSSDEGRVINL PHYBLDRAFT_187405 MKCTAFVTALANRSGGSCVASMEKIILSLSLAAQKEIGTAMVST RRSIPTSFHGAWINIATRSGYFRAVDWADFILFVIPTLVAERVRDQAARKALLDLVQT CNLLMSWELSAEEKTLIKTNLVAWNAYLEASLAKGEVQLKVFTINQHLLQHYPAMIEA YGPPRAYSARSVERAIGEYSRAIKSNSAIGINAGNIMLGLTHIRQMRVENSITTTATV TATTLLQYDDPSAGWPIDREGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKF YESKGEECSMIEAAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGQS YSPVYKDFFGNVVVFFEHKLNNKRWPLVLVNVYAVRLVNSIPAINNGQMKPMVVHLAD VKELVGLVKSDATINTITTTATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_65471 MHMNSGLEKRGEDTKRALASPPEPPRCFQYQEVQLQPEDGIGHE GLLYKDQKRNLSVPFSDNSRIPRITFGTLGLVIVTGQSLYGVLSNFPQSPSDEDVGNV ISTIAVFSSWLYAFVLCLFSQNHQFPNNWGWTLNVHLFILYLVTFSLSVYSLVISIWN FPGQSFYQGVPLLLCALISTDLVYVTGMAEYGPPFIDETNREVTSSQVCSIASSLIFN WSYSVIRTAYKKNSLSEKDLPVLEASDRGRNLMTIFGLHPEKKLVHRIAVANSGSLLV QGLAAFVSAFLFYIPAYFVNRILLLVQDISDGEVDDTTMGKTFSSVFYLALSIVILGI ITSQLWYWVRIKGMLDIEIYKKTLSRMDSASTVEKDGTEDKAVGTGGNPKEEPGSTAL TGSIINLMSSDSARIAKVSNIWFTLFTIPLEFGTGILFAYQLMGASCFLGLMVLVIAL PINHYNAKMYNRAQRNLMLVRDKRIAMLNEVFQGIRQIKFQAWETNWEKRIMKTKNFE IGYLKLIYLSNVLFNFVWKGSPLLVTLVSFWSFTSLQGNPLTAATAFTSIAVFNELRY ALNALPELFIQLGQISVSIKRIEEYLNEEEITQPPLNSIVPRVYQKDLSRPTHCLKAL FSRILISSSRKNALTLISGATGAGKSLMLLGLLGEATLTKGKVNSPISSILRNIQNES SGQSFSPENWILESSVAYAAQTPWLQNASIHDNILFGLPFSEKRYNDTVKACALVKDL LYLEDGDMTEIGEKGITLSGGQKARVALARAVYSRAKSVYMDDVLSAVDAHTAKHLYE NCLIGPLMKNRTRILVTHHINLCLNGSAYLVHIDNGRADVFESPEELRRSGDLYNIIK SEEQEKNIQDDEEQTVEEIVSPDSSVASPSNENDTSKTINNPPRVLVEQETRAVGHVK IRHYIKYLKMVGSSWFWIVYASIVLGCRALEVYAVWWVKLWSQAYAKEKHPEPTLMMV SPSNTLIPEFELLPEKPSDDNVDMYLSTYMILTAVTVICITIRYGFLYLGTMQASKSL YAELLHCVFRTPLRFFDTTPVGRILNRSSKDFETLDSELPIDLANFTIQATFVISTLL VVSLALPWFSIPMLITSAVTCFIGSRYMPATRELRRMVSVERSPLFTHFTETIVGVTT IRAFGATRQFMSEMLIRVDNCLRPTFHRVAASRWLSVRFYITGAVINLFTAITILLSM KYIDASTAGFCLAMVLEYADQMYILVLRYTSVELSMNSVERIIEFIEIDQEAPAITDI RPPIEWPSKGEIQVDNLEIRYAPELEAVIKDISFSIKPKEKIGLSTLAQSFFRFVEAS KGRIVIDGIDIKSIGTEDLRNPTLFFGTIRSNLDLFKQFDDQAILTALKRVHLLPPEE DLEENAFSETASNNVNVFWNLSTPVSEGGKNFSQGQRQLLCLARALLKRTKIVFMDEA TASVDFNTDKAIQKAISTNFADCTIVCIAHRLHTVIEYDRILVLDQGNVIEFASPLDL ISNPNSMFYKMCHDSGEFDGLVSLVKTKAQLVGIS PHYBLDRAFT_65470 MEPVIQNQPTRCPVCNGELNSFTVDFQQSTVFMCINYKCSYPFE QHTLGVYFKPSKVKQKKGPRKRRGKKTKIVRGTCSSSSINMDTSVTFPDTSLVVESPH LQIPETSNISPIANNLFDYNSVTSLSPTDIGKENPLGDISVVPLVNIDWLSDEINKLL SDEIPTVFPLNDQNTTEETLQTPH PHYBLDRAFT_181849 MKLNIANPATGCQKLIEIDDERRLRGFYDKRMSQEVSGDALGDE FKGYVFRISGGNDKQGFPMKQGVLLPYRVRLLLSKGHSCYRPRRTGERKRKSVRGCIV GSDLAVLSLVVVKQGEQDIPGLTDVTVPKRLGPKRASKIRKFFNLSKEDDVRKYVIRR EVQPKNAEKKTYTKAPKIQRLVTPLTLQRKRHRTAIKRRRAESSREAEAEYKQLLAKR VKETKDRKIARRRTSSIQKSASA PHYBLDRAFT_14035 AICPRFVKQQCSKTEQNCLLSHTPTANNMPHCLYFQRGRCKNES CIFPHVSVSPDAPVCKLFALEGYCPKGLECHSKHVHVCPEFAETAKCSNANCRLPHVA QSTSKDKHA PHYBLDRAFT_169619 MSQLNYEPYSSVSFHHKQPTSPYPYEDDRSKIRQSLMTPMDSRS RLFLRLSNWWHRQWARHPLLICLIGFISIIFCLSFVSTIQIYGAFVRIKLENTLFDRG WFPCGVLRKDPVLFVQDTHHIQVVWETNCGTDTKDMIISWYNKEDAHHLRQQQQQQHQ VIAPLILDDYHTLYKATIGPLNTTSTFAYRIEYHTRDGSKQTRTLVSDQEFKWHVTGT KEPIRIAAMADNQFGLKSFVTLLRQISRHKAPHYLLHAGDAVQKYSSLRQWQTDFLAP LTYFGLGQRAPMIYAHGNHDHDPRFEYQYTRTSSNKDPWYAFSLANGAIRFCVLDSNL DWKQQDEWLQQELASEESQSAAFRLVVVHVPPFLEYWEPDAWFNLRQSEWGAFVKNRF VPLFEKYNVDLVISGHQHNYERGERNGISYAIIGGAGGDLDFERVVEWGMYEASILDF HYVLLEFEPPNQLHDTWSLSWNTYDLEGHNVDTMTLNSKPSPHNTTLEHPLTLQ PHYBLDRAFT_159160 MFPIIPRKPFSPKTFRTLCTPSPDNPVPPLHTHQWRTFWSAPIH HSVRSLWFRALHNKLSCRSVLHQTVPTIFPDGSCPICGDIKESTSHFLFTCPPKFSAW TIFWSTHFGNVPSTQDIHSALFSFRLPPSLTPDIPAVSLVSCILLAIWRHHWSFVFDD APFLSTSVLVTAASLVTRFHAELSLPLSD PHYBLDRAFT_146684 MMMNLNITGLPRLSRPCLNELIRSSLYSFGIIKEVVIYLENRFF TGSGYVYLERPPNQDRVYSPLVHKIPCEGYGHVFGTWAKMGPHCRYCKAMGHVLADCP TRPIESRTCHACQAVGHLQATCPRINNPHPNNNTSNKRIRKQPRRESQSTPARTQTLP PVLPALPKRKKKSAPRTPPANQFDILGKSAAEIEAELAQLSTHDPYR PHYBLDRAFT_146685 MHISKIPPEILTSIALSFSEKDLPQCSLVCKKWREVFYVEPRGT VKIYDSVLGAMLYRFFKEKHLIYRQHVQAITGFEECPVSINNLLILQKLFPNTRNLDI TISHPNTRNTDTKWSVRKLLKNLGIQFDQSVDSSLKKPYYVILSRFSCLTTLDLMFSG SYTSRVGDFEAIHNYLPHLESFSHRVELASITEEEMKCINETVPVNNLSKISIAMDTQ DLRWLYYFAVKYPNVREMTLEAMGIRNFLEDCNTAVAKSKISSLPHVFSSLKKLTLEN SPHTSSFLLAFYQCFYNGTLSIKEFLYKFYISCKERAIENATAEFMRVCSRTIESITL SEIDYMEQPNPFDSTHLFTDCPRLTNLEFYNCGSCLQFNTILDCCPSLKKLELYTGGA YIDSRAIQSFHPHGLRIIRLDQATIDPDTMKYISARCLSLSHVYFHKLMVIGTISEDT GNLLIDMSNLRLKKLIISSIFYKLHVEVGLWYPWTKIDFMKLVQLAKPYPRTIERNEQ NDQHFANHVPRYETVTIWYKVLTRSYSVKDMALPATQQETTPQGSPHYGDNNFPPLSP NIHPSTQPNAPTHALPTTTPITFASLVDPEKQRSLTRIERVLGSDDPYAIPTECRFGT SPHSVFYDLPQSDDSFMAAFWTAIHAAFSEEEAFAEVTSVRNNTHIVELLP PHYBLDRAFT_169622 MCAVGVLDCQDITVGVIWKGLAEKLKQRKSLRCVPLHGYFIKPS SIHSGLGRCGCSKDFKDIDYFGINKFSAVEDHKEQIKKRYSTTLGYFYSYVITSKLTA MSDTRNTGG PHYBLDRAFT_146686 MSCTNFKRLELQHVEFYLSDNFLNTKSTIKLLLLSRLTYPQPTS KDPRDGNVGCLVSHIAWLHRYEVCEDGPRNGSFIRRLSGYDGDAVTRHFLGFQFKQDC DSQEAKNFIRGQTRIHHWKKDICEGYVELTCGSINEYRIL PHYBLDRAFT_146687 MPESDLPFEILSRIASYVFNDGKLACMMTCKRWKIPYQESVWKT LQIDFMEELEEICTTAKNSTSRFLPYDLTTEIIHIYKLFSINKLQKLQVFQTFRNLKH LDIKVICFDPADADFTRYVSGLSSLVSLVLQPTQTTQRMFIPLILEILGHMPNLQKLY IGLRFPDYEIQLKLHQFNHLHTLLPKLTISVPGVTTLDLAIIDWNHIWLYYFSFKYPN LRTLRYLYSQCYTEWEHAIFSDVLCRLHTPIKKLTYRSIGANCIESFWERMIQQLIQS FSRTLETPEVSGDAFSYTNSTFTPKFSYCPILVDLEIRRCSVSVALDDQVDSGDSMDY TL PHYBLDRAFT_169625 MQASDFPFEIISKIANLLLKDDKISCILTCKAWRYPFQESLWEN IEVNSMDTLEKICAIVESPTGDFIEHDLITQSLRVTGKTTLGDWNQTRFFKNFPNLKH LDIGPLTYSESQIENTEYGPQWNSVNSLKLQIDQNPGEITTGFVCKVLQKIPNLKSID ISPDIFTSSVTFGLEQYNTLHTVLPRLTHIKAQLYLNRMRRNEIPLIPLTLPALCVTS LSLDLRHWDHLWLYYFCYKYPNIQNLIWRSVYRFGNSARRAYNEARIELLRSIKKVFP YLETVDFYTEEPTEWSHSIFWNLLCLSDVPIKKIKYKIKSSDSDAAYFGMTIQRFTQS FSTTLEKISIAGNIYFDIENFVKLEFIYCPHLVSVEISDCGVSIALDNLLNNWPVLRR LKFSNVHLYIDPEAQKEETQHGLHFLVLDNIVASISVFKYMSFRCRILQYMNLSQSQI FGPISNETGSLCIDMTDTKFELLQLDHVKFYSSEEDMNNNISINLTLISHLAGHQTLA EAKEEFDENENQKSHLEYLSWYHLYCELDCPFDHVTKIRQLSEQEVCVAIKYFEAFQL KDRMDTFEVERSFNGQVDKEDWKEDLCRGYAEFRCGHIAKYNVPLFWINENSFWQNSF DSSW PHYBLDRAFT_146689 MALPATQQETTPQGSPHYGDNNFPPLSPNIHPSTQPNAPTHALP TTTPITFASLVDPEKQRSLTRIERVLGSDDPYAIPTECRFGTSPHSVFYDLPQSDDSF MAAFWTAIHAAFSEEEAFAEVTSVRNNTHIVELLP PHYBLDRAFT_146690 MMMNLNITGLPRLSRPCLNELIRSSLYSFGIIKEVVIYLENRFF TGSGYVYLERPPNQDRVYSPLVHKIPCEGYGHVFGTWAKMGPHCRYCKAMGHVLADCP TRPIESRTCHACQAVGHLQATCPRINNPHPNNNTSNKRIRKQPRRESQSTPARTQTLP PVLPALPKRKKKSAPRTPPANQFDILGKSAAEIEAELAQLSTHDPYR PHYBLDRAFT_159161 MFPIIPRKPFSPKTFRTLCTPSPDNPVPPLHTHQWRTFWSAPIH HSVRSLWFRALHNKLSCRSVLHQTVPTIFPDGSCPICGDIKESTSHFLFTCPPKFSAW TIFWSTHFGNVPSTQDIHSALFSFRLPPSLTPDIPAVSLVSCILLAIWRHHWSFVFDD APFLSTSVLVTAASLVTRFH PHYBLDRAFT_187411 MDIQNTFNMQFRTTSSVWSQHCGLVCLTPMISIVNPLTSVCGRC ISATVEHANNNFSPFQICVVYAPATVGQRYKFLSALLANSLLLPTHPSRFILLGDFNH SYHTRSPRPRLAPHTWLQFLSDHLFDCVTMPDSTPMPTFHRGTTSSTLDYIFSSSDMF SHRISSSVDYIHPQWSDHFLVSASFLFDSGTVLGKGLWRANPRLSYNQHFCLQLDSHI HSLVHSLPTSLSVQEQWDSLKTDVIHFIRSYCRRLRRNLTTIEAHSIAQRDAFCSSLL TTIQSSCAIHLTRSLSIRGRATVLNTLILSRLWHVLRVISVPVSFLDKVKSAMGQFLQ HRMFPPIKLSTLCLPLRSGGLGVLDPSIQQGAFQLRWLRPLCLSPHSTSGLVPPWLSF LLRYHTSGTDPQLTLLFHDLRPPDLTGLAGCFRNIFSAIDRLPHDFSSLAPNIATCLA LPLRSVCLPATSTTSFPPSWQHLRVEDAFLVDPSFDVLCRRAPADFPRNPLILRKFFK RVDSRDILLQPFLVRAFLPSHILQLNYPSIPSRSGSSINASPFVCGLLPGIPWSKLKP RMYRSLCSSSVSPPLSSTLSSSQWRIFWNLPIHHHVRNIWYRGLHHKLSSRSLLHRIL PGPFPTDSCPICEASTDTPDHFLFSCPLKIDVWSTFWQDVFGSHPTLPILHDAFYNLS FPYTRSSDIHAASLFSCALLAIWRHHWSTVFDNTPFVSSTVLSTASRLVAIFKAEKSL DDLACSLAT PHYBLDRAFT_169629 MALPANTPPPLPRDPSPPPGSPTPSLNTPSTPTGSPPLSPSYVA AAVKSVDNSCTSRIIGSIAGNGAPRIWKEGSSPFSVFYEVPAEGNPLRPLFFEAINTA FPSGVGRGLTYASRTSRTSFEFHLVDQEVCSRACQVGFPFNGRTVFASPAIPSTFKLL RLRVSRLPLHGYADFDELAENLRRCLAIYGQVQEISLNLKYNYPDGTGTIHMLRPPNP DLHLRHLEHEIKYNETTTFLATWARMGTHCTFCKEMGHEKEACTKRPKETRTCFRCGK VGHLAHQCPRNEEAESKRPRKTARSPTHAPAPPAAQMYHGLLPSETIYGSQHAPQNIP LPQLATESLSRPRAAPGAIPGILPANHPDFLIEAVASTGMDDNVQLVKTSDGELGNEE TKKSDDEEYYSDDDIDEVAKYFAQMEDDPMDGENDGGQDPPNPALTL PHYBLDRAFT_181854 MADQETHANNVAQAEQQPTQSVAVENASIEEGKTNDQENDSMKT VFHDREHYNVKHPLQNTWTLWFDNPGKKANVTSWSQNLNEVVSVSTVEDFWGVYNNVA KVNHLEISSNYHFFKKGVRPEWEDPANSKGGMFSIQLPRNRTGEGVNEYWLQMLLAVI GEQYKYEDEICGAVVSVRKVFYRIALWIKTSDNGDIVKTIGTQIKEFLSVPSNIKVEF TPHGDSATKSSPNRRII PHYBLDRAFT_187413 MPLQSKLAFKSPDSLKYKSVPKIENANHVVHTINTSSKMLMWIC KSWEEYPENTAAITKHSRVKNACEGCHKQKIKCEDIKPCTRCKNKKLTCEINVPPGST NNPLSFITSSNDMNLPVDGDPRSPTPDKSPQIVFSSPLRLSTAIKQNLVHTTHGVAPQ KPNISANDKRHLLKVYFNRRDPFYPMFSLNYLEDQLKKCENNERSFLSLFFFYALFSR ASIFCTQRLQALEEQLLDYAIYLKSLYITTIDPSNMLALVILANHKSHRQLDNDFALV KTLSKEAVEHTKLLIEHYYEQNGTFPPSYYEQYKRSIWWVFIMDRVLHIEQEESFSLE ECKVPVDLPEKDIEIDNYYDDDNDVDHTNIMDQWLEGFRFIIIMTKALGRGVNFYRTW IIKKEVTSNYNPYIYTLDNWILTEYLSLKYNPPHLKCIFKDKATTAKLSSTDSTSQTS TSLEGLTENDGLNLIYFLVGFLVLHQPYTVSQYGIGEDISKVSKRGCKAASAMIIKVA IWMGQDSFSSISRSPIVMFALFSSLQLLAQFGIDKDHDKPQSTHSVFDNGLNFATNLP LTTSSKRLQTKLEDIYAKYSAMACGSNPRICKQTKNISRSSCRKPNDSHQDENLPEKA GNKHKNSTSITNLSVFDAKCDKDSRKKQSKRNNTQDKQRKKKLKKPNDQQSSRAVDDG VSSVETPATMPTDSFPLPEYDSERNGNICAVEHDLQCQEIPQPAIIPPIAPSNFYPVH TFAQPPQPPQPPPPLLLLPHQHQYCQGISTLQPLDIVTLRFLDMSIRQLLDMSTLQLL GISIPQLLDMSIPQLLDMSIPQLLDMSTLQPLDMVTLRFLDMSIRQLFDISILQLLDV LTSQLLGKSIIQLLDMLSSQLLYIPTLQLDMLTSQPDMSSPEFLKQEEVSNSPDQPPM DRYTYYNPVNRSPERNSENNDVPENNAPHHIPLFSNQLFSSNMT PHYBLDRAFT_181856 MSTAEESKPGQFDGLESEYKYTRGKPIQFKKLTDKKLKTKFKKQ EAQIKEAAQAAARNELLLGEDAGFLESEGVEKTFNYRQEQLAKETDINTSKKIFSLDL PEFGPYAIDYTRNGRHLLLGGKKGHLAAFDWQTGRLHFETHVKEIVRDVTWLHNETML AAAQKKYVYIYDQTGLEIHRMKNHIEVNKLEFLPYHFLLATVGNGGYLKYQDTSTGQL VAEHRTRLGPCKTMTQNPWNAVIHLGHANGTVTLWAPTMSAPLVKMQCHKGPVQAIAI DNSGNYMATSGLDGQMKIWDIRKFDVVHEYFTPRPATSLSISQRGLLGVGWGSHVTVW KDALRTKQQSPYMQHLQPGSSIADIHFCPYEDVLGVGHDKGMSSIVIPGSGEPNFDSL AANPYQTKKQRQEAEVHSLLDKLQPAMITLNPSHIGKVTKLTKEDIIKQRQEAAEAKA AAEEPEKERKRMRGRNSAMKRHLRKKRNVIDDNKMALIEKIQANKDAREKQKQGEKPF TALDIFT PHYBLDRAFT_113773 MPAISQQPQKDQSMALGKDREISTIPRAESSEKLWVYPSEQMFF NAMRRKNWNPEEKDMGVVVPMHNAVNEQAWSKILEWENMHKTQCNQPKLLKFQGRPKD ITPKARIRSWFGYTLPFDRHDWTVDRCGTKVTYVIDFYTGKRDPRRPEAVSFYLDVRP AVSPSGVWDRLKWAWQKGSFV PHYBLDRAFT_134315 MGKGKRAHTISIPSINLRTPLSSSDHSFSVLPPAFLQSSPPVQK TNQSPALTRWTPPRKPQRKYDDLEHKMEAAPLYVLVCTYLNYFVLILFGHLRDILGKT FHPQAYSHLKMNQGYAPLVSDFDSFYTRRLYVRIRDCWNRPITGVASRTVKLLDRESR DFNKTFKLTGTITETLNFASYNYLGFAQATGPCATEVEQSMLTNAIASPSPRAEAGTT TIHNELEQLVARFTGKEASMVVSMGFATNSTTIPALVNKGCLIISDELNHSSIVFGAR ISGASVRCFKHNNMQDLRELLREVISQGQPRTHRPWKKILVIVEGLYSMEGTVVNLPE IVEMKKEFKFYLYVDEAHSIGALGENGGGVCDFYGVDPANVDILMGTFTKSFGAAGGY ISGDKSVIDHLRTTNHAYIYAESISIPVAQQVISSMKIICGEDGSDEGRKKIKCLAEN SLYFAARLRQMGFIVYGDHGSPVIPLLLFNPAKISAFSRELLKRGVAVCVVGYPATPI ISSRARFCLSASHTREDIETALNIISEVGDLLLLKVSQEPRE PHYBLDRAFT_146698 MELNHYNTKKNTYLSLKRTTKKNYSCQLCEITTVYASLNGFQKH IRKFHPDECQKEYSKFFRKKRKPIFSDSRSIFSTVNLNNNTSSSSNDSTNSNRSTEIN FIFERPEDNYSNNKSSDNEFESEYDNNEHGLTLEDAIIVEDSTNERNDTNPLTNVERY SVEEYAAAIETHMRVAESHDSVNQYPHPNSVEYTRKQAILQSVEQILKASDDSDLFKS KVELILLTLFRDIEGLSAEIQMNRIMFAMELLLEVREAVGEKLDFPRPEAVISHHLQL KNNIFI PHYBLDRAFT_65452 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAINLIIKIQQETPIG PHYBLDRAFT_169637 MPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKKSKLISS MPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIRFLVESF HTANKNIFARGYLVRAISIVCYGVEVAVTDFRVEQISHVDTTPVERDHYYSISSSLTR LSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTNDTSGNRSKQYN PFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMF SAEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKVFVKDLFY FCECHERRTREHYVLANSSPGRDTEIPNAPKIGMNTPANKISFRDRSTGRLLELQSFD PEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTGLSRKFT RNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLIIPCFVELGRLCSLVFVRQVT SDFDNYIIRVDNAVKCLIRALFDYDKGTKNELHKAYCTKPKVYYLTHLKEDIIRFGPA LNYETEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWINSSGNRE KSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFVFKNDPI SRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLDLHIFRN PFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_65450 MSFDSRLLFCQGESEDQLPGFLVQNEDTDTAEYSGRINTVTEAT ILSQENIILQPNDPLQNQEDLTQQNLTHTLPSGQLQNNLSELLAYIPAAIILQGPLQT SVYGSLSVQPLNNSFTASPQGYSGNDYQPRASKQQQEYDLSKSVFIHYNPNGPSSRDT RSLDPSSVKSYSCLECSYKTNKIFNLKRHLNVHLGNRYGYGCYYSTNTYHTISNTSKV YTISLPEIFVIILGENWHLSNMIGLEAKIL PHYBLDRAFT_65449 MSKLDFFTTVIWLLYRSEPLSFSVERERVHRFGYLSFFFLHFSP NRQIFQRGTDCLSTFINFCHQSSRTRLGHVSQLAPTGLPATINWLMLVPPSHLFSNDN FALSGLLPFLTRHVMLGFLVYTTSFCAMLFYTASFLQSYPLLSVQFLRGPLRRNRILF LLVNIWLEFFGTVPLPSVLSATFQFLVFPPTLNLPISSVSVVVLSIPPIWDHHWSFHF NSVPPLPSAALTTARKSIFRLCSEIELDSQDVSPI PHYBLDRAFT_65448 MTLSQIQIRGVIAKKAKALHINMSYTNFKKLELQHVEFYLSDNF LNTKSTIKLLLLFRLTYPQRTSKDPRDGNVGCPVNHITWFQRYEVYKEDGPRNGSFIR RLSGYDGDAVTRHFLGFQFKQDCDSREAKNFIRGQTRMHHWKKDICEGYVELTCGSIN EYRIL PHYBLDRAFT_169641 MPESDLPFEILSRIASYVFNDGKLACMMTCKRWKIPYQESVWKT LQIDFMEELEEICTTAKNSTSRFLPYDLTTEIIHIYKLFSINKLQKLQVFQTFRNLKH LDIKVICFDPADADFTRYVSGLSSLVSLVLQPTQTTQRMFIPLILEILGHMPNLQKLH IRPAFLVPPIPQTIPVPGVATLNLAIVGWDPIWLYYFSFKYPKIRSLEWTNCSSEDEE AATEYTVEKKELLRSITKAFTCLETIDLYSQLYMEWEHAIFSEVLCRLHAPIKKLIYR SLGANSTENFWERIIQQFAQSFS PHYBLDRAFT_146705 MSFRSGQIVWISEINNDPSAHLNTCVRVTGFLSSLDLDTKRATL IHNGHSIILDVSLVESFQYPLQTLVQCIGDVAHYNEELSMATVLVKVSRDLNIVDLEL YERAVQYRRSCEASIL PHYBLDRAFT_125548 MSSPLVMTSTLEANCGKDINTLGQFDDVYQFPRHRLPTAMKDES KIPLIIVACGSYSPMTYLHLRMFEMAQDHFNERNEYELLAGYYSPVSDAYMKEGLAKA KDRVKMCELAVDSTSDYLMVDSWESRQVTYQRTAVVLDHFDQELNIKAKGIQMKNGEM RQIKIMLLAGGDLIASFGHPGVWAPEDLHHIVGHFGCVIIERTGTDVYGFLLSNDVLY QHRMNVIVIKQLIHNDISSTKIRLFVKRGMSIKYLLPNPVIAYICEHKLYSPPSLDS PHYBLDRAFT_65444 MKLSLFIACTLALLSVSVKADELQDQIDQAQKKFCGGVALSNPS QGQVFSDPTKVTVTVTRVPDAQAKVVYSIADNGQVNVDISKTAGVTLPSQFEFRVWVH NAAGPDCTLMSKVFKVTSPTHTNADTEAFDNLNVDVGRGCFGLDITKPELGEHVKAAP ASLVQIGSDSASHVETYKQLELFKVNLDSREAVKVNDVWTGQENAHQLFTLKTDLSNV QSESNTAYYYKLTADTLHSETCSFYSHPFYIDSA PHYBLDRAFT_125551 MTNLPVVVMDNGTGFSKLGFSGNNEPSFVFPTAISTRETPSASG RPGVPSKPSFLSNNLASKRGIEDLDFYIGDEALAQSKTMALNYPIRHGQIGNWDHMER FWEQSIFKYLRCEPEDHYFLLTEPPLNAPENREMTAEIMFESFNVQGLYIAVQAVLAL AASWTSNKVSEQTLTGTVVDSGDGVTHVIPVAEGYVIGSSIKSIPIAGRDITSFVQQL LRERGETSIPPEDSLRVAEAIKEEYSYVCPDIVKEFKKYDQEPGKYFKKYEGVHSVTG RDYSVDIGFERFLAPEIFFNPEIASSDFLTPLPEVVDTVIQTSPIDVRRGLYKNIVLS GGSTMFKDFGKRLQRDIKRTVDSRIQASETLSGGDMKAKPMEVNVISHKKQRHAVWFG GSLLASTAEFYNCCHTKAEYDEYGPSALRYNRVFGSML PHYBLDRAFT_181860 MLESLVATILNRFLGNYVSNLNYDQLKIGIWNGEVNLKNLKLRR EALDKLDLPIDVLEGYFGELTLSIPWANLKTKPVKVFVDHVYLLAVPKSEVSVSAEEE DQRAQLLKQRRLATAELMEDSQVQEDQTKKGEEQNDGFMGQLTTKIIDNLQLSIKNIH IRYEDNLSDPGHPFACGITLKELSAVSTDGEFKPTFISEMTNTIHKLTTLQSLSVYWN TDSPSLSGLSQDDALKTFTELIPSPSNNDLKHQYILKPVSGTGKIKLNKKFDNKVAKT DVTLLFDELAFSLDDDQYRDAILMVDLFHANLKKQKYRKYHPATGTPKTNPRGFIKFA GDAVLAEIHDRHYRWSWDSFRKRRDERKAYVEYYTAVKKQKATPEQQAALDKLEHDLS FEDIRFYRSIAKSRIRRSLAKLAEEKKNNQKENKGWLSSWWSGETKTEETAEDTSLVM TEEQKRELYDAIEYNEESDTIAASIDMPMDTMKFSLSTKLNKGSFSLLKHSKTKTKEL AALVFDSVTVDVVQYVESISLAGSLGDLQVHDGQTKGTLYPQWVGVKKRSILDPLKKG GAESIISIDDSLEDSPFFSFEFQHKPLNKVADNALSLNMRHLEIIYNPTVINGIADFF KPPNTKMESVNALMEAAGDTIESFKQQTRAGLEYALEKHTTISLDINMSAPLFVIPES CLRTDSPALIIDAGHINVDSDLANQELVNQFKTKGDKKYSEEDYIKLEGLMYDKFHVQ LTQTKVLIGTNLEECLRQLYTKAEPNKDVHMLEKIDMNLLVELCILPGITQFTKFKVS ANLPLLSVNFSDSKYKTMMRMLDVVIPPNPTPDTQEVSILSTTAQPNNKVTTDGQDGV RANNVLSQKLWGEKNTEILVDGRSRRIKSAEDSSDTDGDDESVVQETFSTASMVPMVN TKQEQFKFSLKVDKVSVAINETGKDGDTLLAEVVLEHFDFCFLSRPYDMQVELALKTL SVVDKMEHGNEFHYLVTSDNIDRNNSRLEATERDLVRVKYLQVNRKHPLYHDTYEGYD QTIDVALSTLNIIVTRSSILTLYNWVLSTFTGPANPEKALPQPPTSNGSSNESMHIMS SARSSDQISVTPKKAPVQDGTMKVNIQLESLDLILNNDGKRLGTGELSSGSLCVSMLP NTMHVSGKFGNFTLSDDREHSNVYDLSRGASKIHILSVLGDGLMDFTFETFDPKSDSF PGYDQKLYMRMGSFQFLLTESTKPVMEFLSEFLAMKTVYDTARTAAFETAQQIQETSS RFHFDVTIQSPVVVFPVGENPATDRIVARLGEIRAINEFKTATRHPKDDIDGSGSAHE VWLNLIQCGLYSVSLKSTAVIETADSGQETRELPIIDDLELVFDIQSLEKPDEDGCGP ATQILGKVSDVRMALTERQFKSLLEVSELLTATFAGGSDSETEDADGANQQPQKTRKS APTTPSSVSVPTLLSSAKKQVQVDLAVSVKTISLEILHGPDLAYYERSKQTLARIALN NLDLKLQIMLDTSMMMELSMQSMCFADTRSNSVSLFREIIPVSGRDGPQLQVKVKSAI QADNSSLMDVTVALDSPRIVLSLDYLLLLKDFFAAPFVSQPSDVTTEAQEYAKTQRNR LTNEQEPGVVLSKDSAGKNVTVYATEIPGTPSSTPQQVLHYNVNVVDVEIICLAKPEL ASSEAIVFSFDQLKVVQKQNLDFSVDSIGMLLCHMDNRELSSVDFVEKFDINMTMECS LPSPGHNITVIRLDVEPILLRLSYHDAMLILEIINKATELMGNAQTIEKENEAKKINP TSSDGHSIVALSDPPCPPNDSSVGATTSSTLAPKPAQAIEPYIVLSKESLVANFDGLQ LTLIEDVHDLPFIDWTLQPFTINAKDWSRSLEVNVDFSMYANNFNFKISHWEPILEPW EFTVKVNKDAAIGAMHIGMSSEAPLNINITHAFLESALSVSETLSEIQPLPDNAQDFK RPYLIRNWTGYDIKFWNMSDDAAGQDTESYLLKDNEEQSWTFRDAIKRRERSNLGKNY LGVEVLHGGWERLLNLPMDQEGEVPYRLKPELDEVSHRLVVEIKLENNVKTVTFRSGL LLHNQTRSALEVQLVKYDMNPLCDKWKLETSEKKAVPIELAYDNWIVVRPSDDYQWSN RLNWADIISPKFPKDIVCKAVNPAKSDYIIQLGAKFDKKSILCRQYPCMVVQFGAPIQ IDNFLPYDFSISLRDTKGDANLSINVKKGKSAYLHKIKSTSIISLKLDVHSDYYTTTE LVTIETKPYQSSLSNPLILTGVNGNQITLGMNITRLTNDNDSLWISIFPHYLILNKTG SQIALKARMSSRQNKAVVEYIKGFGENEPITPFLFSYHSIDHHNRALLSVDGSKYSDD LSFEAIGSALDVTLPVNSQTVIHTGVRVEEGPGKYKLTKMVTISSRFIVANHLRHNIK FRQFGPDEKETHVGEGEKASIYQTNKSELKWLCLQLEKLHDFWSAPIDIQEIGTTHLK LSEGDSRNHILVRVTVHLQQATIFIMLEEADEWPYKICNYSNEEVKIYQENTVPEDYH LKSKQKDALGKQRYFILAPNTEMNYSWDFPIAKKKHLILEVNGKMRTVDFQAIGAQIP FQYKKASHGSGNKNASSMSIDVVARDSALVLVLTQFNPYNSLYRAKTIAASSASAISR EGTARDYFEEIDVEHVINFTFELSLERIGISVINKQVQELIFTTIKGLDFKYSDSNLY QSVRASIKWLQIDNQLQGSVFPILFYPSNLPKDTNDISIHPTLHISLDKVKDNSHGVM YFKLFSFLLQEVTFEMDEDLLFALIEFSKFSVPNDGQDDDTLLFTQEIPEPELEQTQA LYYFEEFCIQPMRLNISFVRTERINSEEEERQDIRDNRSCDDSGRSPLNYVFNVFTMT LGNINDAPIKLNALVVENMRVSYADLTSRVMFHYQEQVMYQLHRVLGSADFLGNPVGL FSSLSSGFGELFYEPYQGFVMSDRPQDLGIGIARGVGGFMKKSVFGVSDSMSKFTGSL GKGLAAATMDKKFQDRRRMNLTRNKPKHAMYGVTQGVTYLGTSVASGFAGLVKRPMEG AEESGFGGFMTGIGRGLVGVVTKPVVGIFDLASNVTAGIRETTTVFDESEFDRERLPR YIGKDNIVKAFSQREALGQMWLREANNGKYFRDTYIAHCVMKNDETVAILSYQRILVI HTRKLSLEWQHPLEDIMSCETGQTDQIVLNILVKGPPQTRSTRTIDTQDTVHVWFSEK IQEIINWYQEEKDRN PHYBLDRAFT_21047 MNGYLHIQPSTIKTINLKNYQLLGINWLLMLYRKNISCILADEM GLGKTAQVIGFLARLKEIGDNGLHLIVVPASTLTNWMREIERFCPELKVLCYYDKAEV RRELQDLILHNPDHGYNIVLTSYTIATQSKEDRSALKKMKFKSLILDEGHMIKNCTSA RYSSLMSYKIPFRLLVTGTPLQNNLQELVSLLIFIMPEMFAEFEDEVRTIFKIKSMTT AEDTEKEKDESNQSRTKQSSVQILSQKRIARAKKMMTPFVLRRKKEDVLKDLPKKTRV VEKCKMTERQKEHLLLSEIKHESDDEAPAAGENDENFSNISNMIIHLRKAADHPLLFR YIYNDKKIRKMAKAIMREERYWDADEDYIYEDMSYMNDFELSKLCEAHRTISSFKLKD EEWMDSGKVEKLKVLLPEMKAKKQKVLIFSQFTRMLDILELVMNTLEIRYLRMDGSTK VTERQTTIDSFNENPEFDVFLLSTKAGGFGINLTGANVVILYDHDFNPQTDRQAEDRA HRVGQTKDVTVYKLMSENTIEEYILEMAELKLRLDDTISSKAPREEDSIASNMQSLLK RTLMASTN PHYBLDRAFT_169649 MKKNERARQPQIRYDPGAQQKHLRRHLESLERDNHQSLNDVEGL ISIALDAQEEEGISLRKTRHKSGRASIYSSKTNLNVLLEDAHLEDLSPDVASYITCNA EPSRYPPRHFCSVCGFPSNYKCTRCGMKYCSVKCLGIHNETRCLKWTA PHYBLDRAFT_20911 MDIPTNQPNPPSNTTNSTATSTAAAAAAAAVAADEDAIRQQKLV EEFQYLLEKSQSLFSGLRDLPPTGSHRQWRPYFEKTFEVYTKLWRFQQAHRPILENKN NYGLKRWEVGEIASKVGQLYYHYYLRTSETNYLHEAYVFYEAVHERQYFKDILEVKNP ALMIKKLRYYARFIVVCLLLNKDDTIRMLTSELEGLVEEYAKSFKPPDAKEWQLVLDE ITTFMEAEKKLVPIRGDRTPVAIIHRLLPSPREKETGRQRLRLQEAILVGNYQKQIKF SELTLDMYRMLQSLERQPVQKTIRSNTRSMDQYSLANEKKEKDEGLAAPTAARTTIAQ NTNQNQLSVPSEKAIKRTNPHKYLLYRPPLSQLMVYIATAYKDVGENSALLLYLSADG CTYPEMRMPLYSGGVTTAQRKATADRVDTALSIHCLNPADLLPFTRKPLFLIVDSDNS VAFKNMPNLFDQPVMCLLSPIEYPSSVQDKSEIGSLFTLFLHTPLLGFCSISDIGNLD QDKWDQCVAIITSMERKIGESLIAETGLDPFIKRFMSDEFLWTFIVRFVLCSIILRCH SSFKDDKSFPTSSPALPESIYVSPEILLMFHGLTMEADVRTYFTLPISTPPPPPTEA PHYBLDRAFT_65437 MVMNILYFTETCLSVGHNKSETSSDYVNCIVTSLQSSILFMSVN NLPFEILARIADFIPARDRSTCILICKTWLMAFLKSSWKEISISNKNLRCIGRIRMSD EKFDEKYGRHVRSLTLQPQLKATLKQLYSLQRRFQHIRRLSIQVGGLKFTKNNQIVDW SRWKLLVDLSIFVDNGYLEYSRTKILSALSHLPLLHRLTLQGKIGNTWTFLDWSDFEK IHTMLPKLEYLSTNIYLWEITATEVASLAKIKPANNLKYLEVTLINTEHRWLVYFARK YPNAHTVVCSTGCRREKNALFQDELASLLAGIPDIFPRLHTVDLVGFDMNPSCSVTLW SFFCNPSIRIKSSTAGVRINEPMPDSVQYFVDKSLNAYSKTLEDAQIVISSYANQVFT TLTFNPYPHLVTLSARMSCVNIELDLLLTQCPVLRQVHIVEGTLTLSPGASKICEPHG LYHFSLHNATIPPEAMNYLSFRCKSIRRMCLHKTVMDEGAFKDDGTVSLDMPYTRLWL VQRSPTVTYPQRYYVENKLLFEVERDTKTYDTRLKELDIDNILICIWE PHYBLDRAFT_169652 MQEIVKLEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKTKNKKQNKNKQEPLDPVDATKNKIKQVKQEPLDPVDAPQKNGFKRPATALEDY QYDNCISVGKRIVNDVKGGFSPTADGWCGFQVLAHLIYKDQNKFPLVKRDMLAALPKY KTLYTNTFGTDTSQLGKIIQYDSQLDYSNTNTNTNTNFIPVCSDASMWFNTPDCAQLA ADTYTRPVCVYSDNPNTPSTTFLPLALPNNKTKQQQPLIFNYVNSNHWTTVDLSHNIS RKLPTVPELFF PHYBLDRAFT_65435 MLASELPFEILLQVADFVLTEDKFSCTLTCKKWKNPFQESLWKN VEIDSMETLENMCTIVNQPSAESPPYGLIVQGLRFTGKSSLTNWQLNPVFRTFLNLKH LDIETISFGQVDLKQTIHGAKWESLTSIKLRIEPSEWTIPTTAIIQVLTNIPNLQKID ISFEYLSYTIDFELQQFNELHKALPHLVAIKTNLNLCDINPNEASDISNTIPAVCVET LDLTLFHWRHLWLYYFTLKYPNLRTLRWKASPASRELIDEDYGSARIAPFRSITKAFV HLETIYFCTEETTEWAHAIFWELLCRSNVSIKNLNYQIKDCSCGALFLESIIRKFVQS FSRTLETFTVVGDVFFNIENIARPEFSYCPLLVDIEFKDCGVSIALDNLLDNCTTLIR FRFSNGQLYLCPDAQIDRKAHGLRILELDSIFASAPVFNYTSIRCNNLQYMNLTQSYI CGPISDKTGRLCIDMPHTQFKVLHLNRVQFYSSVETIDENTAINLILLSQLTKPLPSM ENTEEVSDSLSVDTIGWFHLFCELDYAFDYAPKIRQLSKQEADDTIKYYQEFRSIENI DVPEVERSFNGQVSKENWKADLCRGYSELRCGHIADYRVPLFWTDEKDFWQMLYSNLP KIDIIQHFLDTRRVIKICILPVKLSIKRQSSTRYLHHINQKNDSALKHVLSEMRLLQK IFSNSIYQKAFNQGNTGFEYYFYRCSEACISL PHYBLDRAFT_187420 MWASELPFEIIAQIADFLLKNDKYECSLTCKRWRALFQQSILKN IKVDTMIELEEICNLIKNPTSNSPPYDLIVQGLRFTGPCKIDLQQSDIFQILPNLKHL FLESLHINQEDIGLASYYRPLKFLVSIKLQIKVVKLPMATDILVEYLENIPHLQKLDV TAYSSVSPLRFSSNSFNTLHRTLPHLAYIKACLILTDIHKKYVQEIPKTVPALSVTTL DLSIVDWDHPWLYYFTYKYPYLRTLMWRTSCAATGWIVKNYEERNVALLHSIATVFPH LETVDFYTEDCTEWSHAIFWDLLCRSNVPIKTIKYETKYSDCEASFLKTIIQRFLQSF SKTIETFSIVGNMRFKFKNIARPEFSYCPQLVDLEIKFSGVSIALDNLLDNCIALRQF KYVDGRLYIDSKTYGEPMQHGLQTLDTDGVVANAAVFDYLSFRCRSLEYMDLTQAQIC GSLSDDTRSLHINMIYTRLKVLNVFRARFYSSDERMNKNTIINLVLLSQLTDTGLSSE KRQLRNMGPNVKHLAWLHLYCKLDYPSDYAPKIRNLSEQEVVTITNYYQKRLFKESVT VQEAERSINGQTFAEDWKADLYRGYAELRCGFIADCRVPLS PHYBLDRAFT_65433 MPISKLPFELLSRIADFLLFNDKASCTLVCKRWTTPFQESLWLS FNAKSIGRVTDLCYIVINLTRKFPGIIIGQTLNLTGHTTLTNWLQADVFRAFVNLRNL SITSIYLEDTDMDLPKYSGPSESLTSLRLGVLTLPWTTSTPMLIKFLSRFPNLKEIDI FPNSSRGAINFDMDNFNAIYKAVPKLKHIKARVSLTDIHQNAVKIIPSTIPACFVTSL DLEIVNRNNVWLYYLWLCYFSYKYKNLRTLKWMASCNSDESNIEQYIEKKGLLLPSIT TVLPHLETVEFCTADRSEWSHTAFWDFICQPGSRIKNLKYKAMHRIHNESYPKTVISQ LVPFFSKTLKTLSMEGDISFDIDNTIRPEFCFCPQLVNIEIKNCGVSIALDSLLNNCT ALRQLKYCSGILYIDPGAHGTTMQHGLQRLELENVTTSVPVFNYMSFRCRRLKLMKIT HSKIYGTMSEETRVMCIDMSYTNLELLEFEFVQFYSSSERIEENAAVNLVLLSQLIDP LPQMETMDSGNVTSIIDHIGWFHLFCEQDFAFDYTPKTRKLSEQEICTTLDYYKGVSS TEATDMQEEDAYFDEQTFKESWKKDLCRGYAELRCGNVIQNKKKSFAAVIFSISCIWK VVILRSTPWEQIHQLKETIYLCLLTAYVLFGKDLFTENELLYTYMTLS PHYBLDRAFT_146719 MAPRNARSKIAHKRPRTENGKFGPKPPISIIDSENTIVEDDPVD ENNHELDDLNTDMMFDTSHKKFLTWNPDAGNSLRELKAKKELEANKNKTIRTLADFGF SNNFPPVSYADEPQPVVKATKEQNLEEICIAFNSISDKVEPRASSSSKSCMISFYEAS KHIVVKDYFRRLLGNSKKIDASQKAAEIIWHNPSKYRGEVVRAWGKEYLKFGRISESQ QGKHSKRSLAVSN PHYBLDRAFT_146720 MLASEFPFEILSQIADFLFNKDKASCALTCKRWKTPFQETLWKS IDVSSTKELEEICAIVNNSMINHRQFYHLVQALRFTGYCTIDWLQSDTFRTFPNLKHL DIQYVYSKYSSMNFPSSYPPLDSLVSIKLQIHAVVQEIPTKDLLDLLKTMPRLRKLDV CVYSSVYSFRITPSNLNTLHEYLPHLTSITLRLALADISQDSVQTDLNITPILSVTTL DLDILDWDHLWLYYLSYKYPNVHTLRWKTSCASSGWIVKGYKELKGSPLRSIKAAFPH LDTFDFYTEDRTAWSHAILWELLCPSKAPIKKLKYTIKKCSCSAIYTEKIIQRLVQSF SKTIKTLSIVGDVYFDTENIARPEFSYCPRLVELEITDCGVSIALDNLLDNCISLRRL CFHNGRLFIGPEIHGELIKHGLQSLKLDSVVASGPVFDYLSFRCRSLEFMDLARAQIC GSVSDKTKRLYIDMSYTSFKVLRLDHIQLYSSDKLMDKSTAINFLSLSQSTGPRLSGK RQQIQNDGPAVGHPTWFHTFYELDYAFDFAPKIRQLTEQEVLIATEYYQSSRFRESNS AQEVERTFNGQVFKDDWINDLFIGYAELRYCNMENYCVPLP PHYBLDRAFT_78074 MLLSELPFEILSKIADILINEDKASCTLVCKRWKFPFQDSLWKN IEVKSMEQLTSICDIVKHSTNGLSFSLAIQNLYFTSWCTITDLLQSNILQVLPNLKHL NLGDISFKTFDTEISIYGGPWKSLVSLEFQVCSTREETSQSILVEFLTQFPNLHDLNI FPCFPHTSIYFDVGNINTIHKYLSRLRSIKGAFTFPTICQSDIQTIPKAIPAYSLTSL DIHILNLNSLWLYYQWLWYFSYKYPNLRTLTLKALCETDESIIKEYNIKKGSLLRPAT TLFPHLETVEFNTEDFTKWSHTVLWDLLCQSNAPIKNLRCWARYRTYEEGALEKVIRQ LVQSFSKTLETMSVEGYLFFGTENIVKLEISYCPRLVELEITDNGSYIELDNLLDNCI ALSRLKFSNGKLNIGSDPHEKPAYHGLNILELSYVTVNTAVFSYLSFRCRSLEYMYLN RPKICDSISDETKRLYIDMSYTNFKVLRLDHIYCYSSDRLMDKNTAINLILLSQVNSD RLSNGTRQSEDIVSVVKHLSWFHVFYGLNHTFDSAPKVRKLSEQEVCITTEYYQNFRF RESTGIQESMRSMNGQTLKSNWKADLCRGYAEMRCGNIKNYCVPLL PHYBLDRAFT_159168 MLVSELPFEILLRVAEHLSKSDKTSCASVCKRWTPPFQESLWKN IKAKSLKDIDRFYNLSKHSTNKFPYTLNRSLIIGGKSTFTDWLKCCIFQTFPNLMHLD ILSMRMENKDVHESEYCGPIKSLVSLHLKFSTMGKPMVTPKIIRFIAQFPSLEKLNIH SYGPYYSMPLTTSDINTIHQNSPQLKSIKGYISLDNIDQDSVKTIPNTMPVPLVKALD LLITSQSCLWSHYLWLCYFRYKYPKLRSLKLNIVCKEGGFSFKEYNKRNGSFSSSATP VFLLLETVQFHINDKRKIPNAFLWDIIQQSSTSIKRLKYKTFSNTRKTAPIEEAEPFN INNIIIPNFSRYPLLVDLDIEYSNISIALDDLLDNCRALRHLYLSKVQLCTSRHAHNN PIQHALQTCDLEDIIIKTSVFNYISFRCRSLDLIKLTRLKICGSISKETGGMDIDMSY TRIKMLIIDRIKFYSSSNNINDSTKVNLFLLSHLPETSQPNENIKMKNVESPMSFIRW FHSIKNDEQSHNFKQDSRELSDQDGQEVLDYYHKHSYTKVSNGQEQKKYFERSLKDYW EKDLCRGYAEFRCGYIAKYVIPQLW PHYBLDRAFT_65428 MASELPFEITRRIADFIQRRDRAQSCLVCKAWLPVFQESLFEEI VINDYIRFAESVDISCSRNKLLQRYGKKTRTLHISENTLINGQQILALQQYLPHLHRF KWDSTCIDENSFVTDPGWNLWSSITYLEVNVENYDSSTLPHVYYSIISNLGQLKRLEF KSIFNAKMFKQTVEDIEFLNDKLPKLTYLSLGVQLESINQRREANA PHYBLDRAFT_169661 MVKGLKKNSLKKPLLDSLNGSFTIPYETRKQLRLPNILHLKTKH WSNIHHVIADFFDNANNWDSHPNSFYTILLNTLQKISLSKECPPALTSYAQATLERYN NSAIKKDFFNDYIKQERLATLKKEEEYAIQEGKITGAILSGQGAKSFRKKVNDIFQDN FEVDQERFQDEEDTQDEEEGSNDNICEFLKNFQSKYRLMRNEEKWELSDGVYVEDVLY KFGMRCSFEHLCHSWIIDPDDKTYSKYKVFSPQQLEEIKSRNPVEIPEIRDELAAYLG QFDKIQSTIDLRKLLVTREFYENYDNEKHADMDWICRTLDNLLPLYEKKNTFKKSNNE RWYQNRIWTMIDTLLETIEGISVVRGEACSASSSKRKNQERLPASVEKLENKKMGRRQ DLIITNNEIIEMGVGEEKSADNNTNMILERGLKCPKAMKDTLLQLYEVIKYNKDLISS LNVVGLTTFGLELYIDIMDNPVNYTCRITRSEKLTIPHNIEELPEKTLPLLSALLSLR LLVSKNSLLLKTRQDTKQELKSSLKRAFSNAEDRNIISKISCALTPEEQGRFKSPGKK AKRNIS PHYBLDRAFT_169662 MVHQKLARRGGEGSVRTFSNSLLVYQRSANRQTAIKEASFCCLH FAKAIARVSLCMVGDVLGVFFPEVQIYSRAFVSLVEISSPVFTLLPNDEKLEWKQALG VLCTKHGNLFKHGIGSKWRISSTNSCKPCGIRSLICPRIANRQTAIKEASFCCLHFAK AIARVSPCMVGDGNSCLMNSSKIEYANPAFVSLVEISSPVFTLLPNDEKLEWKQALGV LCTKHGNLFKHGIGSKWRISSTNSLYIMLALQNCVFVQCHSRLCLNLMCILTTLNSCM RFYHLSITCRFYCHFFVLTTKKLYLNPFESSQSSLAIPHYTSSISLE PHYBLDRAFT_159169 MIDTLLETIEGISVVRGEACSASSSKRKNQERLPASVEKLENKK MGRRQDLIITNNEIIEMGVGEEKSADNNTNMILERGLKCPKAMKDTLLQLYEVIKYNK DLISSLNVVGLTTFGLELYIDIMDNPVNYTCRITRSEKLTIPHNIEELPEKTLPLLSA LLSLRLLVSKNSLLLKTRQDTKQELKSSLKRAFSNAEDRNIISKISCALTPEEQGRFK SPGKKAKRNIS PHYBLDRAFT_181868 MAIEKKRPRGLKGSAAQKAAKKTKTEETPESSVPENAQTVVLGK VVEEGDEVGEAAALYESAIEKMENSPSEARALLRGTIHESDRILRNWDATKTMPLEFY FTYGSALFELGRLTEDEEFDPYLEAAEERLEDGLAHYETLENKDDSTAMMNCIKVSLA KVWLSKAASEVDQGSDVIPELAKRALETLEEVVPLASLSNATKVELASIVQNHGDLYT EWDSRTKFTNWAEKLLEEVLADSPSHSKALSEIGLCKLSLANYWLDQVNEDNDDEEEE EEEKSERTEEENKAFEAFGESKKYLEKAHANLKMMEQLEPHHSTDLAEACLNQANLML EEKERTDLYKQAIEYIREAKEVASKSDQDYELPDALESFLEEWEE PHYBLDRAFT_125559 MSEERDPLLTPDRDDDDISPSDRRQQSGNRNGGLGNGRFSFLEK TLFFLTVSLFFILCVFAGLYARRVYDEPNEKPTPVHPPKPPHDNTPEPRCLTSQCVLV ASDILKDVDLTLNPCDDFYEYTCSNWMKNNPIPEGQSSKSYFESLSKNNKEVLHSILT QDFEEFYNNTHNGKQDSELPDPEKLIDKQNFEKVKTFFDSCMNEVAIDGRSTEPVYPI LRQIRDGYPADVVNPKGNSKSSALVDTSRLTNILALLSKHSIGALFELVTIPDPKDPL KSSLEMYQSGLTLPSKEYYTQPELVQNLFDVVAETMEAVFSKNASEFGWNRWSANTTA RLVVDFEKRLAEISDYREYFYDTEATYNPLTLSQLFNISSSIDWGLYISQMLPVTASQ PDFILVTSPEYISKVSSEVLGKSTNRAIQSFLMWQAIKSYAPALGEEIRKPLHKFNAK LSGTNPKVTKPRWEICLSQVDDSTGFLAGRYFALSKFQGDSKKRADEIVESIKEAFVN RLPELAWIDDATRERAEEKVAKLIRKIGYPTEIPNAMSPVSLSDYYSDLKIQSKNFYE NFENSKKWASKAIWKEVGKPPNKAVWEMNIQVVNAYYNPSFNEIVFPAGILQNPFFRN EHPEYLNYGGIGAVVGHELSHGFDNMGRHYDPDGRLVEWWTNQTSASFDEKTKCFVDQ YSNFTVEGGDGKQIHLNGKLTLGENLADNGGLGQSYLAWKKRYESDKDSKVYNNVLLP GLDNLTRDQLFFVNFGRIWCSKRTKELSKQLVFTDEHSPGRWRVNGAVQNSKNFAEVF KCPAGSPMNPVDKCEMW PHYBLDRAFT_65422 MSNVIDAKAKILSQLLTGNGFEFSQRMREALEPGRSHKAPDRYM YIIDNTLHSYLYFGWLHHGPIEAIRPRLGKRRRLFKQNVSMSQNNTHTATHEEGPDED AILSRWSDLLDSLEKLTVQDSQIAHLFNRSSVLSSLVGLNLDELKVVCKHISFGDWPE DVLADLLGTVQNTPDISYSCATFLIRSSVYLRISSLKTSMSRLIATPLSNLGQSVGKV VLDAIVLPLLKQPSLGQPQTEVIHKLITASLVPSIRIMLLRTILDPTTQSPGTLVALP WADPVLNIASALLTTVPLLSLDATLARDLIQSIRLTVQANPKDKASMQLLLVLTTKYA HCLVEGDLITSIESITAMSQMFLKRSVQAQIGSIKKKLQVVESK PHYBLDRAFT_78069 MVNSTIELPDSSSTIQFEKDHDVIERLCAHLAAKPKELRNRPFT LQNDTWTSWTVRESVYKRNQGSFPIMARGLFTLKRDERHCIVARGYDKFFNVNETKYT QWTALEKETQGPYEITTKENGCIIFIAALSSQTVIVTSKHSIPEPKDNPQSHGGVGYR WLQKHLQSAQASEEDLASWLWSNSITLVAELCDDDFEEHILEYPPHKRGLYLHGANYN TAQLHTLPSQLVHQIATTFGLWPVSFTTLDTIAQVKELASKVQLTGKMDGREVEGIVV RCQRQDQDFLFKVKSEPYLVFRDYREVTKSVLENPDAAPKYTYPKAYYYWRWIQHRLK DHPEWFEKYTLSKGIIDVRQKFEAYWEKGDLTLPDI PHYBLDRAFT_65420 MIETRVVIVGEIVSMNALVKMKLKSKDDPRRINKIRYLELMDPS DEIAKKFQSFSFSTPEERLTQQMGSTHVAPNSVFDNPNLLKDYQPSGKVPQVYTPAPT ALETDDSWGAAPPCYTAILQGTYFGFSSLPGGDHLVSATNNSHSHNHNNNDNDNDNHN ISPIKPSQPFGRFINTPVKFTDASNTNSDKPDTKKS PHYBLDRAFT_20894 MSAPEHVHQPNNGARAAKTPETILKYYSHRLSVYERQEIKRCDE VYFIGQHSKKHPATPELPNMNYGFDDDAGDYNIVEQDHLGYRYEILEILGQGSFGRVV KCLDHKTGTTQAVKIIRNLKRFHEQARTEVKILQQLVDWDPEDLHHNVRMTDHFYFRN HLCISCECLSINLYEFVKNNGYKGLSLSLIRRFTLQLLQSLSLMYKHGVVHCDLKPEN ILLKHPSKSNIKVIDFGSSCMHTEQVYSYIQSRFYRSPEIILGMTYNMSIDMWSLGCI LAELCTGLPIFPGENEQEQLAYIMEVMGVPERYLIEKSSRKDFFFDIDGNPFIRPNSK GKKRRPNTKTLSHALRCHDVVFLDFIERCLQWDPERRMKPDEALQHEWVTQSTKHRTS SGHASPMPNIYR PHYBLDRAFT_169670 MPASPSLNRKPTSPSQSRHMLVERCSTIRACEPCKRRRRLCNGQ RPCAHCTDANLECVYSVVSDHPRSVFTTSNARRLSSGSACETCRRRKTKCDGSNPCAF CAANGLECVNNSERRKRAMATPPGDNEAIDRIEDRLRRIEKLMTAFTPSPLSQSTSFT TNSNGNSTASTGKDRAETQASGTLQYRKLSSPHPPSRPMAVRQHRHSVQGISVAKEQA ELRTAYAARRGSGMVSNEGGVSGYTSAKSPVLAMPFSRPPVDRYRSLSPPVILGNSSV ISASASASASASVAAAAIAAIASSPPPPPPLSPTLMMRQNSALTKQLSKRTFPATAME YPLTHYPIYPLTPPHSQPRTFPHSPDMTQ PHYBLDRAFT_169671 MYMYMILQSQPQLQLQRYQSKKIGMLAVCVTLRSLTEMWEAKRQ AHNTHREGEIEEKECLVLEESLAWVLDLGEVAPTDSLLIKYQKVYQATDKRFCGILLS YPMNQTSRKQVYQDTTCYEQMER PHYBLDRAFT_65416 MDQIIHGIYVCTLFIEKRLSSECDDQPVAIALRKMDVKDTRRLP SSIRGHIKLYDIVSKPTEIKSVKKAGNYIWQFTHNFSLAGTSEPTLIIVKFEGETDQP FCLSPFLNFSNHTRFSEFSDMIVKIVKSENEDDTESGHETRIFRCHKIILATASSWFK NLLTNGSYDSKDWTVTIQGINPDIFKKVLDFIYSSNCDVNDVDEAFEIIKAARLIELD SLCEIMFGYLRPKIDFDTFWPIFSLAVDYDCIPTIMAGKEFVKTNAKEFYSCAFSFER DAADILKSQSQDTSSSDISNLASSVIQLGLDETKQKKDKDTLEKLTKNAHDLFEFTIA CHTEMTYWKTSK PHYBLDRAFT_169673 MYMGYKPKTKFNYENVQPTRTLFLLSKTMRVLSQIDRSNSCASR LSKKSSVLSLYSTSGNTLSTLLSSSALADKDSTSVFYFFYFFFHDKFTDFMRFLQDIN CLLLCTIFWHIYHSSYFRKSRLYYCQKTNFLALLLARTGELHIKNRFGVIYKSIHSQD LNTCALQTKSICVTGETNLSQWIQSNILNVFHNVEDFDMGFLSLYRTDLQKANYILPQ WKPVTSLKLKLKPRDWYISAHLILEISRVFPNVRAIDISLCCHNSIEFKLDHYNYFHI ALPNLTNIKAFLLLDFIHPDEVPSIPRTRPALDVTSLSFDLIILNHTWIYYFIYKYPN LRTLTWKAAYQENLDSTIEYDERKASLIRSVEKAFLHLETLDFCISEPTEWAHALLWD LLCPLSVPIKDLKYKINSSDCGVPFLEMVIERLVQSFSTTLKTLSVVGSVYTNGEPIY KPDFLYCPHLVSVEINECGVSIALDSLLDSCPALRKLSFSSGQLYISEDPQEKPSLHG LEILILDNIVTNVSVLNYTSFRCRTLQLETRSLCIDMSCTNFKLLKLEQVSFYSSKED MSKDTTINLMLISQLTGSRMSTETKDNLEPSVEHLAWYHISGEYTEIFDSTLETRQLL EQETYTTNEYFQVSQFKDRPIFSKPEKSFDEQINKEDLEEDLCRGYVELRCGQIAEYI VPSLCFDYIKSIRSFKEFSSLEYLGNCGSCLLNCLNGGNLSTP PHYBLDRAFT_169674 MASTLLDFLYPVQLLKDLIPPTFLISIFISCIEDSEKCICARID GKIKEGFMAEELPIEILSQIAEHLSKKDKALCALACKRWKVPFQESFWKNISAQSIEE LCEICTIPKDSLHENSYALVKSLAVGGKSTFTAWLECGIFQIFSSLTHLDVRCLHIQT VDVYDANRSDSFRSLKEMEQQKVISFISQFPNLEEVNIYSYDGQRILTFTTSDIDTIH NNLPHLKSVKGRFSLDDIDQDTIDNLQNTIPALSVTSLDLIITKKDCIWSHYLWLCYF NHKYPNLRRLKLRLECDENKFNFEEYHKRKGLVSCPIVPGFTRLERFTLYTNDSTKLS NAFFWDFFYQSRIYIKHLTYKNFARISNIGFLERSTPYYVYNFIRTDFSCYSLLVNLE VKCRHISIDLDNLLDSCTGLRQLRISRGKLHSSNSQAYKDKAQHGLQTLELDNTVVIT CFFNHLYFRCRYLELIKLTRLQICGIISEETKGVSIDMPYTKTQKLIFDRVQFYSSTE HLNERTKINLILMSQLTEASQSDENEETAGVESSMSYICWLHRFKETENVNNFTQWYR ELSDQDCQEILAYYHKSSYKKKNIGTQEVRKGFGRKTTKKFWEDDLGRGYVEWVCSPL VDNNDSLSRLKDSNFYNPFARFLPLELLELIVVSTTPAQQSTWTSFILMS PHYBLDRAFT_169675 MLPSELPIEILSQIAEHLSKKDKALCALACKRWKVPFQESFWKN ISAQSIEELCEICTIPKDSLHENPYALVKSLAVGGKSTFTAWLECGIFQIFSSLTHLD VRCLHIQTVDVYDANRSDSFRSLKEMEQQKVISFISQFPNLEEVNIYSYDGQRILTFT TSDIDTIHNNLPHLKSVKGRFSLDDIDQDTIDNLQNTIPALSVTSLDLIITKKDCIWC RYLELIKLTRLQICGIISEETKGVSIDMPYTKTQKLIFDRVQFYSSTEHLNERTKINL ILMSQLTEASQSDENEETAGVESSMSYICWLHRFKETENVNNFTQWYRELSDQDCQEI LAYYHKSSYKKKNIGTQEVRKGFGRKTTKKFWEDDLGRGYVEWVCSPLVDNNDSLSRL KDSNFYNVFFWSL PHYBLDRAFT_65412 MIIFFETLSTTKKLPLDSVQSHMQSVADTFSSITMDQYHTHPKY QLYLKHGHLVRKLTLKPTRATDSQLLTLQKLLPNLRYIYFDWLNYKALSTTTAKKKSS SRRKYKSSKMWQHITTLCQLKQNSLIEDEHQHQYQHQHQHQHQRQHQYIPIWRQLRLL NTKIRRISHKVCPSYNELQGPELRQFQDGNREFISMFSSTLESLSIDAYIRRTLSTKL TNIIDYSPCLTELYISAFGITLKLDEVLASFPALKTIGIQHSDLRLGKNFPECPTNHG LTKIIAISVWTDVRTHNRLSLQCRQSNYLCFKGVRVEGSVCPTTGRISIDIAYTIGLV ALSVRSPKALQILDSKDHDNSRSQKEEEEDDNDNDNDESREWIWFHIQHTTRDPFSGY IVRQLEQDESQEVMEYFANYKLQKEQESNPPDRRIDFQLSPVRKWEYALRLGYALFKC GSIAE PHYBLDRAFT_65411 MVCTTLHYTVLISISISISISISIMHLSKNNKQERKKGCIVLYC IVLYYEDKLVEKLTFTALVGCSKDIFWEDRTPMMQGRGGLEEDGLDEEEEDSEADADA DADADADADADADADADADGDVESSWGWLGFPGQ PHYBLDRAFT_99811 VRIVPNIEDASRCVVFDIVDREFQPGTSIKIGRFTDRHTSSHMS FKSKVVSRAHCEIWSEVDGKLYIKDTRSSSGTFLNHIRLSSANHESRPTQIQDGDIVQ LGIDYQGGQEEIYRSVRMRFELNRSRNPRPMSYTITAFNNLQNTSNADASIEECCICL CAMAPFQALFISPCAHSYHYKCIRPLLKSHPGFQCPICRTYSDLE PHYBLDRAFT_65409 MALKLNSSDKRCLLKYAIRIMVQLPSTDSTICTNLKDKRKKVGK FYWVTLWTGCLKALIIVPDDQLHVKDIALVSSLELLFYNKYFMTFGADSILLSLLYFG GLLLYNNDISRFNINYNCYVGSESI PHYBLDRAFT_65408 MFKSAILLRPAAAFSPTKTFFYTGYNVTTLDQAREVFNKLGQYG EMIEYKFQRCPETQTYLRYGYVTYKHEEDAQKALVDAFVKVDSPLFKKALEVKIVKGN KKSSE PHYBLDRAFT_65407 MIMIVIVIVIMVMVMVMVMILVVDNNTSIIDIINIAAAAAAAVV VVVVVVVAVNVVVIVAAVVASYLVIWVTARVVTAVMARIQCSAGRNDLLFCWCGLVER ILRASEHDQDRMGRIEVSLESERNES PHYBLDRAFT_9763 ISHDTIQSCSTRFSFFQRKHHCRRCGSVICQRHSSNSLPLFHPH TFRNTGQWSRVCDMCFQD PHYBLDRAFT_169684 MLAADLPFEILVQLSGLLLAEDKCACALTCKRWKTPFQESLWKS IEVKSMENLIKLCTIAKRQASEFPYSVVTQSACIAGSCTLSDLFQNDFPGTFMNSKHL YMKSISFEDIDTHIPINNNPWKSLVRLRLCIQPMRYEISMPALISFLTVVPNLQEIEI FPVSPKSFLYFNSNDFNTLHKKLPQLTSIKAGLILKDIHEDDAYKYPNLHTLRWRISC GSSEWIVKDLNERKPSLSFSIANIFPHLETINFHTKDPTEMSPTVFWDFLCQSSLPIK NLKYRTRYSYSGRDFLEEILRQFARSFSNTLETLSIERNICFNPENIVKAEFSYFPQM VDLEIKECERHGLQVLELERVYSSSRTLSYISHRCRCLEYLDLSFSKISGPIACGTKR MYIDMAYTKLQKLKIHRVQFYSSSECMNETWLHTFRGFSSTFAYSQQIRQLSHQEVLN VIDYYKDYRLKETTNAQETERSLDVHGIIEDWIEDLCRGYVELRCRNITKCFTPSLLK YMHIDGL PHYBLDRAFT_65401 MSSPSSLTIRLIRSYKLKTRNTLKRHKLYSITTGCLNSINIRLA RFTVESNSVGVSDIIRAGKRFKEYVLGENGCRNKYFFVPKRQSQPTILCHIKCGEKTT HEILEFNHWTAQITVLNPTLKIFGGRSIDVLLIRSVSTSSICAFKDVIEHIVLGSLFD PKSSAKIRVSVNLLLLK PHYBLDRAFT_78066 MSETIVIQTTTTETTTTVEEIGSIPVTSSPFDEDAILENPQLAS AMREGTKAIHKAAEESVFTKKFLKGDITLDEYGRYINSLYYVYSSMESLLEKYKNDPA VENIYFPEELNRKEVLLKDLTHYYGKERLAKIIAPSAMTPAIKTYVDAMEEACKVNPA LLVAYSYTRYLGDLSGGQILAKRLKKSVLKVDEKDSEWDSTKGLEFYYFDHIGNQNEF KALYRERLDGAKVSQKTKDLIIEEALKCFAFNIEVFDEIHELSQANKLISISQKNDSV GPRPYALWGALATGVAAIATGVFIYNKQR PHYBLDRAFT_65399 MMGTMREGQLLYKNVTGSHLRINGRLWFFYNLPDSFDVPQPICS TIWYRFYRLKKLGYSVDRYGVFAVYEKMMQDSKGNNNHGNSFPILYFCKHHGKDKNKS IEAAL PHYBLDRAFT_78065 MTQVVFTHNRDQDLNDLTPLQLPCPRSGKWITYLYNDKHELFEL QQVTGPGRKTCWMIDNTIHKNGKFRLLTPMDPLFIALPLLEQARENTSNSGNFRKLDD IFSSENILNDIDEDIKMTVKNTGLHQLSDISGLKEGIKHLCDTQDVGEGAFFVYRFND QKALDWLRKKVDVLVDNFSTIPVLLDAVEDELESVNDKTDKTSVFQRESINILARYLK QAWRQKLLDSYNLIEEVKEVEQYTAQDSTHQSPSAFFKSASTKTEVVVPPKKTKKSED QRKAAKNTRPLTSFFTKL PHYBLDRAFT_65397 MTYLKQKEAKRKRNLTNIFAHSAKCTATHRNTKSMHMRRHASKE LNCLFFFCVCFPPATASTSASVFDDDIDVDTVDDYYFEDSLNNVPDDDLSIAAYPQDP PFSENDEHSSSSDFDDENEDFYEEMTDMFYALDETSAGFDTTANNIAPFDGLAGIEEI VSNLDGSENTADNDYQKVTRTNMRIGISGIFLVNTVNGTNSFFGMITRVDRDNYTIQK YGIETFDLAISSRYFCSYRSPLFYQFLITLDNNLFMKSIEETEFFKENIQLTQPFYMH SKFHPTGYAERRLLNVHKFGSLCLHLTIANERSVFEIWRNNYHKQIKSLWVWRRRLVL LKILLSNGALQQCCSLEDQVHKYLKLDSKLSNVGLVGLAFDFLKHLPNIKV PHYBLDRAFT_146750 MDTVRAENGNYMLPSARALLFSTAHQPERRLSAEDGNSMDRMVI DEPYESSETNLTSFNQENTPKPHLPPITNIQAYPSSAHSQIQPSPYHASTLGTHTSDE LLRHRMSDMSVSGSHHRASVGIRSPRGMSPAREAAIARALSPLEPNSQYDLYSRRGST ADTIFPSMEYRRPSITDIGSLPHPTSANVSRRGSVATVATEYDHPSRSPSPSPFGKRF SHEPADSGYYINRRDSLPLAPHPAVAQVQAYDPFQRRHSIATAEPAYSNGSNGLPNVR PPMNNPKIRASFRFPATIQETPHGPYSAPSSPPPSSSTPTLHDPSNTTLPQPPKTPEA ISNATRYPRHGMRHISSSGTDNHYQQQQQQQRNHAHPYAPTGNPLVHNRRKSIMTDEA ALDSSPSLGRRASMPVVTMRRHPSTQDLPHPHPYPPTPTRLQHKIIHDESPEPTDSSL GYYPDNSDSYLNHDDMGGDPSEEDKSGNKSETPYSRSPELRVSHKLAERKRRKEMKEL FDELRDSLPVEKNLKTSKWEILSKAVEYIAQLKHRDYNMETELAGLRREVASMKRDRG SSSNYGPSF PHYBLDRAFT_65395 MSKEQKLQGTVDPPSEKIVLEFKSKNETSETSSETTAIQQIEDV SVEGFSNDLQWTVEEERKVVHKIDFYLFSFLLLTSFVLNLDRTNIANAISDNLAGDLG FGITGINTGTLVYSFVFTVMALGTNAIVKKVGPHIWIPVLMSAWAVITWAHALIHNFQ GFIAVRTLIAITEGGFVPASLVYLNRWYKTNELATRLVLFWGVQSLASAFSGLISFGI FRLSGVAGLPGWKWLFIIDGIFTQIVGFVAFFYLPVNACRTAGLIRGKGWFTTRESEI AVTRIIRDDTYKKEQYDVLHWEDVKQSLFDSKMWTHLIITFSGLMPNTPIGTYFPTLI RGFGFPVTTSNLLTVPACFIGLFFSILVAKSAERHGNYSLHALFGCFWSMAGFLALEF LPDDSGRWTFYGVVLFVSSFPVWHGMQIAWMSSNLAPIGKRTIALGAVVGAANLCGVP GSQIYQASDSPRFKTGNWINFGLTTLAAALFIFQYFRYSITNKRRARVWSSMTTEERK IYSETTKHKGSDRLDFKFTL PHYBLDRAFT_169691 MNTSLIWAVMHRVPLVDGPKLFSKYYDIDEDICTNLNYLENMDG SEVMHRLWNRDLAAVLNFRHILNNLRYDGIIPVRFTRVIRIGRIRRQAEEDLQEGRRL RQKLTRIQR PHYBLDRAFT_65393 MLASELPFEILLNISRFLHTKDKFHCMFICRAWKIPFQESMWRK VCILDKKKLEAICSTSAIKDNIYLNGHNTRDLYLNGKIRATDSQLYALQLCFQNLRRL YIKRNCLNETDFGKQADWNLWKYLEDLCIVLDELRIEDTEKVFLDIVSCLPRLKRLDL IRHSWKTKLAFTMKDFETLHKHLPRLEYLTLSVDLGSLSREELLQARTVDPATNLTVF KVDTKIADHRWLYYFAQKYPNIHTLRWEPYDKNGVTDDYQEETSFLLKGVRYAFQRLK TLNVHSAGSSDWLHLFFWKILCPSSLQIRNISCRPYLQTRKPEILEEIIRMCMDSFSR TVETLSIKGRYRFNIPHKLTELFVYSPHLVYLNIDQSDASIILDELLDRCVVLKRLRF ACGWISVSPDSHNSLGRHGLRMIEIYDSTIDTDTFDYLSFHCRHLNYLSLTDIKAIGK VSPKTGSLCLNMSYSSFEILHIFGIQLYPSEDNINPNTVVNIMVFSRPIPGNKKPEND TSNATVFGSKQSKIFTESTWFHLYCDEKDMDKGAHTTRIMRKKEVNQARKYYRHFQRN KSAAKKLDDIRSIDGQTTRYGWKKDLPRGYAVLKCGDVAKYVIGSELTDAEIFWKNIF DSLT PHYBLDRAFT_65392 MLASELPFEILRNIGRFLYLPDQLESCLVCRTWKIPFQDSMWDV IDLQNDEMMDKMSDVSTRVHAFYRKNGYRVRELLIWPNIYIDDQEIFTLQQHFPNLNC LIISHSCLGGPNFGSIADWNLWGSLTELNVDITGWCHDNPADAFLNISLGLPNLERLM LNRFNGNQPVVVTLDQLESFHSNLPQLKYLVLDMRPAAFENEEDALERIVNVKPATKL KHLQLNVFNSLYQWLCYFAIKYPNLQVMVTLQLLDNGEFEQYPKEKAFISSLSPPAFQ YLEWIDVITKKNPKQLHLDIWKQFYFLNIKLKRITWAVWCDMTDIGYLEAIVKECMNL FSKTIETFHFLCDFDYRKSWDFTTHLEYFPALVDLYIKAPHSFIELDVLLDRCVSLKV LKVVDSKFFLSTNEYETPKNHGLRMLIGLDSITTCNTLEYLSARCRRLNYMCLVRSII SGEHSSNNSRISIDMSYTHFKKLQLNDSRFTLPSEGKDGNKDRNANYVVLSQPSSSYQ ITDSNHDHSAWDIYSDITMEDTWYFVTYKMFTSEGWSSTPWIMSKKDITTVVELLRRQ DSGNINTINGKNEYLDFKMVPEEWCTKNTPLAYVVLKCGSISNYDMSPGYEFNHYTWE MIYDTFE PHYBLDRAFT_169694 MDLTCLPLEITARIAYLLPAQDKAQCSLTCRSQQLSKGCINKEI GWGVWKYLESLDIQFDKTDGLAAKNNIFATFSHLPRLINLKTGKCIEMQLIPFTMEDM DFIHQTVEMRLFHINTDLIDYKWVGYFACKYPYLRMWNSYSHKSCADVNITAGDSDCN QQTRHFISLIRQSIDVFKLLETVHTHCKNCFQGNPFTFWEFLDPKTTPLKTIKCLVDE YNGLGSQIEFFENYVNPFSGTLKKIDIILNTDTKDISNHLSFSKIINVFPSLTKANIN FSGATLDIAIVLDNCLTLKSLKVSSCMISTELNQFRLSSSHGLRFLHAYHSKVTTNVL HYISLRCRRLNYMKLDTTEIIGAIVPTTGDMRINMAYSHFTALCLCNKNNINRAWFFL TYFQKINEPESSTFAYPLSKRRCIQVSKYFHRFKHTEDFSHMAWISSYSSFNNPSREH FWKKNFFRGYVSFESLSSQAEITVITKFGVQVF PHYBLDRAFT_146756 MLASELPFEIILCIARFLPIESKRCCANVCKSWKAPFKSMLWDS ITIKNTKEMKNICDPAHSRHKPFKSNGKRTRSLTLDRDVTTSHVQFTHLQKLLPNIRC LYIEHLCLSIPDLGKLNWKPWKSLTVLGLKLSQMESPGEKDRLLEILSFLPCLVKLDL AETCMPWGKNRGPNNLDKLHSYLPRLSSLSIYVNHTDFDDDDYDPTAMIEPAINLTEL NVTGPGIHLLWYIIRKYPNLRSLKTLSLEKGYSSNFDPQKLIYALGTLSHGFSFLRNI GIATKTSTKYHLEIWKQISLLNIKLRNIGLDLGRGDRYKEEDSETTFYRIVTPHANIV ESLCINMKDEFMSPRIFMPLYSLQCYPCLVSLYLEIHNASYCLDVILNSCPELRTLSF SNAWLYISPGGSANDPKHGLKHIDLMLVDVYTSVLGYLSYRCRDLEHMSLDSAIIFDT FSKGPEKLCIDMSYTCFKYLLIRCIGFGKPRSDLYKKGDVSIMVLSESTIDPQLKNKK RSKAIVNNNALSKAPIKNTWYYISYAEETSGGTFSFMWKLRKHEIEFARKHFNLPLDN KNSYEIDDCTGPMEHYPGRRAFLKRRRAKQREFLDPDSDIVECSRCYNNYECEGSDCS DNDFHDSSRKFLKKRDFYDITGSFKKGNQHTDRRERWEKDVPDGYVTFKCGYIEQYKS DT PHYBLDRAFT_169696 MLASDLPFEILVHIGKYLRLKHRLPCILVCQAWKTPFQESMWSK IRITDQKEINGICNIFDDKYITYERYGRHVRKLILDGEFRISNRQLFTLQQHFKGLKG LYMQKYCLSHVSFGSAADWTLWSTLRELKIRLDLIRVFDNEMELLKILSCLPRLENLG IDQGENPGSLVFTLEHFELLHQHLPQLRDASLALELDAISNDDLRRIRSTTPAKNLVT FNLSSDVKDNRWLCYFAQKYPNLSVFNWILIKNDNIQQSSNDAVSIFSGLPYAFPNLS AIQMYTEEDADLQHFLLWKLFRKFKVPLNNLKYTFSASHDSPELLPTIIHECTHSLSS TLTTLTIITAHQPAMTRDISAYFDYCIHLINLNLVVADAQVSIDVLLTQCPSLIRLRL SGVTLSVGSEGMKQQVQHKLRMLQIAYKTTTANTFNYISLHCRQLKYMNLTDVLVTGS ISPETGSLCLSMPHTHFEILHMHGVRFCSSNNGAHHGVPVNFIVLSQPTAVLQKPKPT KLIKTFFSGKPTTKRSIQYTWFHIFSNDIKLENDAYLMRVLDNKEAKYAKNYFRQFRR NTSTISAKVERSYHGQLTMCNWKHDLSQGYVNLMCAYIGECSVGYKNMFSKTFWSELA ENI PHYBLDRAFT_159175 MLWIQEAKAIHAHSIKDYATEADWYFKANEFKKAKYAILNNLVP TTLLSKNDDLGIRYLLKLDQSGQDGDGLIILSTYQSLKQLSQKVTFAIGNPVATNLVQ HEIQTRLNDLKELKDRYTHSPDLLVFIKRLCGKLVRLSTRFDNEFFERLSNNTLSTQH TSTLSVEEFSQSFFTSFATQVQRQQDLIL PHYBLDRAFT_169698 MKNELIELGIAEKPLDNDTNKIIDRRLRCPKGMKDVQLELFKKV KHDPKIMSNLNLVEITTFGKFFFSVFFLGCVFNHYTCRLTDLTVPANVEYVHIDLLPL MASLLSLKTIIDETSALLSQADKDANLRSSLKRSFSKDSNSKISQITCAKCQVTRKQQ NQTNKNSRK PHYBLDRAFT_96422 MNKRHPFGLPIWKPALYKKSRSVVRKANSSLHASPSSSPELFLN PGNIAWTLVFGWWLALVMFIISIVMIIIPTNGRAYALVLRELAAYLLWPFGRYIERHV EITPSDPRHPSASVDEESGLLQNQRADDTAQRNKPTLKQRISSVLRLGLAGWIYYVLF FVIAAPLLLLVSTICWLCVVTIPMAKLNYILLRHLYRHPLTLRFKSSPSGQSQMNATG KPIIILLCTYQAIGLQYYKYTYDGINIMFINLLPIVFFVIFDDHVLKKYLPDSIVTSP TAIFSLSLASVIPLSYFIGMGVSSVSAQSSMGVGAVINATFGSIIEIILYSVALMGGK SALVEGSLIGSILAGVLLLPGCSMISAGVKRKEQRFNAKSAGVTSTMLIMAIIGILTP TLFYQMLGSFELRCTGCPESSNGTGVIACSQCYYDQMNPTLDPVYQNSVKPLMWICAA ILPSVYFIGLVFSLHTHVDMVWKSSTPKAPKQPHQSYYQRLLPAHILSHLTHHPATAK DVAPSQTIPVTTSGPASLALYSATQNLPHTTSSPPLPSHSPPPTTAQIQDSTAGSNTT LYNPHNPNFIPIVGPNNLPATPITFIHAKEPDEDEDEEEAAGHDSPNWSKTKSFTVLF GCTLLYSIIAEILIETVDLVMDSLVVDEKFLGLTLFALVPNITEFMNAISFALNGNIV LSMEIGSAYALQVCLLQIPAMVGFSLWYNWDKEEVARYTFSLVFPRWDVISVIFSVFL LTYTYQEGKANYFKGSILILSYCVLVAGFYYIPPSA PHYBLDRAFT_97223 LYDTRRAPGDLCISAPTGSGKTLAYVLPIVDILSKRVVTRLRAL IILPTRDLVVQVKETFDAFVQGTDLKVGTATGQQSFAHEQQSLVGMTKDSYPGGSSRV DILIATPGRLMDHLKDTPNFSLEHLRFLVIDEADRLLNQSFQDWLNQVLQATRPGDPS QEPLEFKTNTYGVPEADAIAPSYLRSHYNLPKTDLDLTKAPAIQKLLFSATLTKDPAK IAGLHLTDPEYISVQVIGDDSTRPEYTTPAGLKEHMVVCNADQKPLMMIYLLHQVGIT SGLCFTKSVESTQRLQLLIEAYEELNEGKKTRVAEYSSDLSVSQRKSLMKKFRAGEID LMICSDLIGRGIDLDCVDTVISYDVPLFMDKYIHRVGRTARAGREGQAYTIVEKQEAR HFKEILRDASHLSQVKTLRVEKEDLARYEENYK PHYBLDRAFT_169701 MTPAGPVCASCKQLGQSRKSNLSCPLNPRNKTLLIPRKRTSDNL STQEEYQTESSRAGASRPRVETVQNPVVLTIAEIIALSCADQYSAESSRDLTAAFEAL QVSAVERVLDLTTTTAITTATVIPRCSSCNGIGHLRSNSLQCPNNRRHHTFVPGQFLR KKIRRYNSTISFTSMGVNIDQSVANNIGDAYNFRIHGTICHKTGSVLPTTQTQMDQPK FAQVYIFDPASQVDHRHCNAPELDRVILEKIQAVLMEVNPFVSLFRSMHQVARDNDGT ADMTFCLAESGSADQQRYNTPTVEKVAVLMSDTEHNEARDIILHTQTDYLHKINEYHH NYDALQYVLLFPSGDFGWTINRYTPTRSKISTMDWYANHLMYHPNFMHLLHRFGCLFQ QYIVDMYAKIEHSRLGYYVLNQKKMCAELYSSIQDAVHLNDNDMTNLGWPQVAYHVVM EAVDASSTTLRLYFVNGPGGTGKIFLFNAMLRKVHQQGKIALAVATSEIAALLLDGSE TTRF PHYBLDRAFT_159176 MWDDPPSQAQTKAQGHSSPLHNCIEFELLGAECNSLQEPNDGVL VRGDNPCGKFSNILPPRHKRLHKFLAEILSIICQIQDYREYLW PHYBLDRAFT_169703 MSSKHLNGKSPVLSAQTDLSRMDASANTQADTSLQAISCIHNVL ATCFTALADAIEQNLSEERKNQIRQTITTMKVIYCIPAYLLLSKKPVNPVLCPGNCPS SSGKETSETPLRRCTPLSRNALTNLKMYSICTVWISTWTGTTSCPLFYQESNNPGMTT TFIVPLSSPGLLHVMHLFVSMASTIWNARYQRVRQEAKIADNMQAAIAYTATLLPEMA HQVPLLQVNMYREKRDTIDKAASLARSIYNTVFPATLHNDSAPRTRTLGQAQVSHTLT HSSSACSSGFSHETTPSRERSSSKKCSLHGKGNHDTEDCHILKIALATKGVLRVLCLA LLRDLPPTLPSVLHTLLLATTLPRRQAPLKTTSPWSWTLNSAPHKKLWALVDTRATIS SVNTKLCSKFGWSIIPHKGKIVLATSNAIAHRLGVTKLIKVLYNNKHITHSFEFLDLA ENIDVSIGTDLMPSLGIHLLGLAESWYGSNTPQIPTPIAEIEKPNNSPAGTPTDHSQF MKALLVFSKANEAIPITSFCIVKESIVRLLTPPDQVVYRRQYPIALQLVPLMAKHINQ WLTDGTIQRAPTVVFPAICERIKATQAADKGKHDKDHKIIMEFPNDSNVMVKNILRKS KTDPRYEGPFTVKGRTTGGSYILQDKTGALLSQNIPSSQAIIDHCQEKGDYLYKVCWK NYVPDQDTWEPISNFNDLSIIDKYWQRRGPEKPTNKATIIHNKHTHPTQKPTRFSKRI RK PHYBLDRAFT_146766 MCFSQEYSCHRSGTYESKAEMRVVQKRTKKNKCPALLCIREFFK IPEWYEITLTKDHADHTPGDIREDICTLPLAKMYLYELSQQLEQSSKSASQIRIDMLR AIDRYERSSDRKVKYYDIWNLMNKGNMSYSPNTNVFAYSFRSPTQQIKMRNAVSFCLD ATNAISDKIDKILYTLLVRDKEINRGWPVAFMVTNDRGVGPIVQWLQFLKSSLLLINP QQIIIDCCSAELSDSVKIQDALPSEARLLCGEMMRYLQDIVYEDDLDQFHQKLVEFKK KFKIWSRAYHERQFSHMLTNNYIESWHNQLKTVFMKRSRNKRLDKLVFILVHDVEYYL TQKYERVMSNNGAMSSFTRQQSIREMEAEEVDDDARETMIVASVSAENSSWQVQSVVD ENTAYVVEVTDRLIMKCTCFDFERRQKPCKHMYLLKMHIAFSLHFSTTPLNPTYEHNV IPIPQSITTNNCSSLFFDQCIQTNQTLHQSHQDLATLAQYTTDDEAKHIYDIQQQLLR SIQFLKDKYEVYFRRSNNQ PHYBLDRAFT_146767 MSNNNINNTIYDISTVQQVLINSPLEGIKMLPLNSTILVKASEW EKCLERINVLCSTKWNKKHKYSGKGLVFGETKKCHRAGQYITNRQLRLAQKDMKACSC TAALKIIQHLDNPNVVTFCQTRAHVNHVPGDWDEVRTLPLPSEAIKIIEDQLKSGSSC RSTRISVLRQIDS PHYBLDRAFT_146768 MFASDENASISIWLNVKLAEQNYCIFEINLSVYNDGKKQFAFGF QSPMQVSIMRISQSFCLDATHSISSRSNEVLYTLVTRHPQTGKGFPVAYMVTNNQTAI PIKLWLDHLRIKSSFIPMNITIDCSIMEVNAIKEALPHATIHYCDFHVLRTWQHNLDS KIKLNASYTSEQLGNYKTALKNYLRHILIESNEDVFLRAIEDFKLMVQDQPQFLKYFK KWTENEELLRRWGRPYVSQQHQRYVTNNYVESWHNQLKTIYFGRARIRRLDRLIFILT NDVEFYFEQEVERIHFNNDKMGPIDNELARNSFVASKIQNDMLPSMILNPLGKTGNSM DDYNGEWQIRSFVTEDKWYTVNISNDLIQSCTCPNFLTRQIPCKHSHLLKRNCGAKFS FIEQREIAGVVLNRQDAVNANENEVEEEVEEELESGGTAEDRGVYVFDEIAAYSATMH HGFEDLQTLKTIPGLDQTKADLIKRALADTLRLMDEYRSENPSYFRNLNTQR PHYBLDRAFT_169707 MALVSIKAYRHTRFFLLEYVLTISFNLSKSSQLMSTVTVLTYIS NHSHRHTSLFEPLVTLSCIFPYFLRQRSAIKVSLSIKILSLFTTRANDQYSAAHFGLY SFNRQVQNLWLCSTSWAVVGVKDHCLTLIHMLQLIPGISILLSIVGHSYICLFSFVFF HLSFFTISTAKSNAKKLSLYQGLQTSPIIKISGSHIIYLHMYLFQ PHYBLDRAFT_146769 MVLLAFVDGDNDMVSRRILKKNLFTISLVLKLHEEAIRKKKKFK IPVFPSTKVDIQLPENNTMSAYINLPSDHVRFLAANPKKARNIFSLPDRTPNQSICLQ QGEKWRTHWYDQQPILTHNGVDFWSGDIVNFMNGSTPARFLVESFHTMDNSAVFVQDD MVYILEDGQFIGIKVESTSIKLETLLGFDSTSVDVALCYSVSPEKVFHLIASHKSLLE EPHFLKQHVLDETGKPIDLKLFYKSMKFAALFYKERSSIENIHFLSAIPKKKVASGIS LLPKIVEDFKRLENGLVMFSAKDNENVLVASPLLWIEADTPCHSELCGLCVPTSLYPC HKCYVRLQRSMPNLQSSLYYTGRHTARTKAHYLAAASTSGCGLTILDAPLTGNALTAS DLCFANRATDALLELQSFDSLTDTSVEVLHNILLGVAKYLVNDLIKVVLKKNPNQMAR LSKALKDYENSQGMSRKFTPELRHCGSFLGRDYKVLLQILPAILVTEFANDSILSLIT PSFVHLGRLCSLVFVRAVRFGTALHYETKKDVYLKFAKQSAMRHSIDGGSWMSKYKMT EKYGNSTAEFLKENFNDNVKNILFGGSRDFADNNDTDDITAKALRDNTFAVFMLKESR DQHAHPFIGKVPSLRVEHY PHYBLDRAFT_169709 MRHKVVFQDDGGHLLLEYYFLQPAFCQARYQICVSLFDYTLSDI SRMYLYSKTTGIVLIILKNMFISILCQVFSAFIPAIKRARSISDYNSYSIKTVAKLGF LSRKDFPIK PHYBLDRAFT_146770 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMVRNKAGRRRNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_169711 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSISGVNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFERLSG RECGNALFFATSRALTIPKKIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDP MHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMK ADEWKSWCLVYSPVLLRGRLPEAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAF CRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDG FEGTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFD INAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYEHLLEYYRETYDDQTLV HYRQAGHSDNFVNNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAG QIQYLFVNTAVNSFAGHASQHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSIL PVHRICYPVAVGEHLGLEGEVQMCVVPLPRKIYI PHYBLDRAFT_146772 MLDNTLHHESSVMQHIINYPKNSRVVVSAPKGPGQHNFVFDDIR KTCSLCGKDFDCLATHIANDISPQYADRSLVSERQTTNTAEPATSDHNDDSVNEDLHV KSDLEDDNSSNVDDMNSDGDDNVCEIELNAGESIIKMDEDTSPFESPSPGNCCK PHYBLDRAFT_146773 MTNDIHDTLQALLARMEALEARSAAPPVVLDGSSADEDDTMLPT CHIVKRPIASDLTPFHKLIKAIPGMEHDFFRQPLDEASRHRFLLNCPRNVLRQYQAPV LNYSGVGPHTKHTDAQLADIQFCLSGLTRPIDLFAHDVLVEGSIQITQALDGNLPITP IVTNSALEPKPLLNSQQIVEQSKLQHFCYHSPILPAIFPSPRLEEGFSQSPTAVQVSD NQYTTVGERLQLHSSPIWHTGYQSFQNLKWYLQPCLVDYHFKFFHIGYLYSILAKFPR KMSPNILNKIKIWALGSPKIKAIY PHYBLDRAFT_169714 MTPPTSSITKPKRQELDVFIRGRIIGQWEKDATYGEISKALDIL KSTVGNVVKAFRDKGVSKPLTHLGREPKVTKRTQSAMVCSFRSEPFVSIAAQHQRLVD VGISICMTTFLLTQQLASQG PHYBLDRAFT_169715 MTYYPRVYFATHGPTLQTNIGFNGLLNKGPGNHFGSPSHAPANP ATDIHMQPSLVLRIAMDQSDTPLFHGLAGTSVVDRPAEGMEWTLIPPRSTSSRSAYYC EYSAFYSGVLPISTGTKADLVTCAQTCYLQVVPRKLYFKLCFFAVIQSVSMLKVNNQG LRRMCIVELSLGE PHYBLDRAFT_169716 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSSTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNAHNRRSSREKEHLKRRKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLRLCRPGWRSDEYNHFITLVDNKVVADLGLNS HQLLSRAFGETVEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_159178 MTSILSSFISVTSTAVATSKCMRCTGLKFGHCCYGRKLERVTRA YQNCCSQYMFGQGDQLISIL PHYBLDRAFT_102238 NLPRSERFKAENTILVGLMPGPKEPKSEEINHYLKPLVDKMIQL YLGIQIPTYQQTDGATVRAALLMVACDIPAARKTSGFTAHNSTCACYKCDNQFSRLPG TSSVDFRGFDCDQWRHRSDRANRVHAEEWNSASTPSERQQLEVEYGVRWSQLYCLGYF DLVRGTIIDPMHNLFLG PHYBLDRAFT_146779 MNLHTRVFRVISPVPASDVHDMEMGSTSICNGRTFCTLDNLASP ISLSTVEPTSLHTAETTMREVPSGILVMYEKK PHYBLDRAFT_159179 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYTLYTLGIIHGDYNGSKNKIQYS PHYBLDRAFT_73326 MIIVYFRTVRREESNDENEADIVGVIVFKDTMDSDHVWIRSYEE NPFSSTPSVTNGLSYTCQAIINPTVPPRTIEKLVPLMVFIYSLLRKYRGTTFPQPQTE LLDEANEAFVNHTHYLMLTLNVDWVNLFEGRTYAGGALYLLISNFFRENQMKAESIIL FGVMPGPKEAENNQMGNFLENLVDELVELYGGITAKATEFPSGTAVHTAIMRVTSNIP AARNAVGFT PHYBLDRAFT_169718 MLQQVVNNPWYNVIGTKALPPTTMPLKLQNLNTMKLSTYLLVNK IGRIKSVNVWFYKKICDMPFRQNEVVSWVRSEFVGEWVYGEKCRVHRPNASSAFVFRV DYAQHPG PHYBLDRAFT_73328 MILKTCEMQGSLTGSIEVKFQIDNLLKIYRSNVIKKDCNEGLCF KQFSAQLAELVKDFLYTTNIYLIARLFDLVAKSEYYKSPEFNLLVFDSKAQHITYLKS LAVRFHVLATYTLLGLSKVFFFHESKVIPDFNLGDFDHFDLFIPKCSSHLLQLISHTY KQT PHYBLDRAFT_113606 MSSSSQTSRNEWTPESWKTKPIVQDVTYEDQEHAKRVLSKLDRL PPLVSAKEVLIDNLRQQLKEVALGNSFLLQGGDCAELFDYCSQDPIEAKLKVLLQMSL VLTWGARTSVVRIARMAGQYAKPRSKPTEMYEGKEILSFRGDNVNGFDPKDRDADPER LLGAYFHSAATLNYVRSLLDSGFADLHDPSNWNLNHVRSESVRSEYQQIVTQLTDALD FMGTIGADSRQQNNNALNSVDFFVSHEALLLDFEASLTRLLPCTIPSADNKAKKEKKW YNAGAHFLWIGDRTRQPDGAHVEYMRGIENPIGIKVGPTTKPDELVELLNTVNPDKHI GKVTLITRFGAGQVEKHLPQHIKAVKKSGHIPVWVCDPMHGNTKNATGGIKTRHFIDI IQELSEAFRVHKDNNSKLNGVHFELTGDSVTECIGGSMDLKDADLSTNYQTYCDPRLN YEQALDVAFLIGKYYQKERRGQVFPSL PHYBLDRAFT_146783 MPLIPTYSEPIYLQTAEDPYFLESYPDFLKYNSMIWLDTQVKPS FEFYEPPFMMAYIDTYHSDFSSYNFENTWQDTIPNTNPNTYTSSSSSSSSSPSPSPSP SPSPSTFTSTLYPTSLYTATDSDSEQEYDLEQEIKPSPAQNYHFHNIQSNLKILPAPS SPEETSSKRQRSNKVCAQAQRKKPHSCSHCTKSFSRRYDLLRHDRLHTGSKPYVCPCC LKEFSRSDARRRHFQVDPGCYHGAAVLKLIKEKVNFSL PHYBLDRAFT_96729 ILQIIGLGLFCKGFFPYKIQIAGHATYESTPSWPDDNSQSRPLV IEPEFDRLVFVVIDALRKYGFFFVFFLFNISVYIYMCVLIESKTAIPFTARATAPTVT LPRIKALTTGTIPSFLDAILNIAESDTSSSLEHQDNWVYQLKHHCNNTIHFFGDDTWI RLFPGLFTKQDGTTSFYVSDTVQVDLNVTRHIQTDMTVPDWNTMILHYLGLDHVGHLG GPKSPLMKPKQEEMDRALEQIYQLVAEQDSERIVKDANAKGTLIVVCGDHGMNEVGNH GGSSLEETSAALVFMSPRFESRPVLKKHSTRELPERPSAFGFPIIDQIDLVPTLASVL GFPIPKNNLGKVIVDLYRGDKDSSILRALQLNAYQLGQLLSKSIPEPSKNTEEYSVVF GDNSRNEQGYARAVALHKQALDDIKNEQATETALNAYLEFIEYAQSYLVDTSSDYGLG YMLTGLGLICISTILLVRLSLTPESENSCQEHKSWLHTKLFVMVSIVGYIASMFASSF VEEEHLIWYYCLQTIFVILALQRSNSWLPYFLCHNYHYCALLPHDRVGSFLHILLYTS TPILLLISRSQNAFLFSVYAVQFYLLEQWQRSSMPVPVPPWLLGAIVTLFSQAAFFCT GHSNSIASVDLSSSYIGVDGYDPLVIGLLTFCSNWAGSLWWKKRDADVDADAELRWWN YSVTLSAVSGSFLVALSLSVTLLREHLFIWTVFSPKYLYQVAWTCLFHWFVHMFVGSI VVR PHYBLDRAFT_146785 MAKEFDEQQAKKAIQALYKTFKAKASEDLLDSEPAVHVQLVIKK VSGKPKSGAKRLPMKYSPLPENADVCLIVKDNVEKWEDLIKTNEIANVSKVIDVKGLE TTYKTYEARRKLAGSYDAFLVSDNVTHLMPARLGSTFVKRNKFPNPVRLTPTTIKQQI EKVLRSSFVRDGGSTTTSAKIGHLGLTEDQLHENLVMAVPEHVKAVAGNWDNVLSISL LFPNVPALVFYSASFK PHYBLDRAFT_187435 MVDSTSRDKLTDEEFRQKLEAHFALYRLNLRSPLITNITVNQWY RADLLLVNEVGLFRRADIEPDGIVEIGCEILQSRHSKMAPLEADPEWKIEIRPAHTWN KRTNTLLNQFDEIPGFQASGRGALEYRLVKAQTKTKALEGSGEGSGAKVTSNLDNAVQ SQKPRHYLRFYPTKLDKFPQQNDKNPDPMDIILPLVVGPLYVEDNSNNSNLSRKDTSS SLPSSSPSVNTYAPPELWPDTTEMVYDTYRMFNTKNNVVIHESWDSGIPGKIWDSALV MLDVLKKMAAVKPEYLSSKHIVDLSAGTGLLGLYLASLMTKESDSQVKCGKITITELD EALALIDKNVDLNQYLVPDQPNDISSVTTTIRLATKPLLWGNLEQAAECGKADVILAS DVLYESEFFEDLVKTFVDLSTSETRIYIGYKRRGFEAADERRFWALCGEHFDVSFLTA DNSQDTDSCLVPFSALATGVQLYRLTVKHTSLI PHYBLDRAFT_169725 MFYVKGNSLFTFTPPALESTAQDTPRTFPSSDKVGFNLERGATM LPLFLALSTVLPTCVLFFIILLVPTLMSTTVAAVSLISTMPTATQPVLPLQLACTPLT PRPGPPESVRDLRPDDIRVVAGIGDSVMAGFGAKGVQTRFLSPKTLSEDRGISFAMGG DDGAITIPNLIHYYSHELYGSSVGSQFITVCFGPTFCPDGQYRPSIGKLNAAQSGARS ANLNHEIDYLVEQLDDAYKAKTIQPTDWKLLTFFVGSNDLCHSCFVPASMPQTFSIDV LAAIERIRMAVPYVLIQVVGMFHIDQIFVETQAYPKYCHPFPISTFTLQNEVCGCAHT AENRTILNDLLPIYNSALQSVVTYYKDPKFLSNETFGIFYQPLPADISSFPIDAISNV DCFHPSAIAHEWISKNLWRNMFLTSAQKPTTPMIFDRNETIYCPTDADRIQIT PHYBLDRAFT_113748 MKSYSFEIGPEQPNGGRIRRSTNAVDGLIRGPDKNVHTLYDVLQ YAVKKYGDADAFGYRKLEAVVEEEKQVTKFIDGVETKETKIWKYFQYSGYYYMSYKEA SRKAHDIGAGLYYLGMREKSKIEIFAPTSLNWIVASHSAFTQNMSIVTAYDTLGEEGL LHSMNETEVEAIYTSTELLGTVAKVAVKCPTLKIIMYSGEATPEAIEKAKVGNVEQVL SMDEIAAYGRANPREAREPEPEDICCIMYTSGSTGNPKGVILSHKNIASAVGSTHEQL HSYVSPRDSMMAYLPLAHVFEFVTEHACIFWGVTLGYGSPRTLTDASVRNCKGDIKEF RPTLMTGVPAVWESIRKGVLAKINESSPNVQKVFYKAFAAKAWLQEHHYPTYLLDTAV FNKIKDQVGGRLRVAISGGAPMSIETQRFLSVTVCPVLAGYGMTESCSMTTLMVPELY SVGNVGGPQPCAEIKLVDVPDAGYLSSNSPKPQGEVWMRGPSVTKGYWKREDATREAF SDDGWLMTGDIGEWNEDGSLSVIDRKKNLVKLSNGEYIALEKMESIYKSCLYIGNICV CADSLLPRAIALVVPLEPAIRKMARQKGVETNDWEELCTNEVVKKAVLSALNAQAKSG GLKGAELLFDIHIVSDEWTIESGLLTAAQKLKRQDIGKRYKAEIDEVNSKQIK PHYBLDRAFT_169728 MIHEIKFRILLSEFTMAPTALFSFSFLELVLLLCDSFTVRDGDG GIVQYLKALKIIKDNSEIVKIHVASKAWIMGYLEHVFIQIQQIGGVSSTANGRTLPHE NVNNHEKLHKTLETSGNLGGDVVETP PHYBLDRAFT_8181 DPTLFYKGLDVEPTWFSAQGGVYKCRERKKSAGRVAIKKYLVEE NQHEDMFVMPKELVENEIYTMTKCVHPHILKLYAVYLHQEFVYLVMPLCTGGSLQQYV FDHQLTIGQLVYIISSIASGLAEIHRHNYIHRDIKCDNIFLDV PHYBLDRAFT_159181 MPSRIGRLLSVKSDEKEGKRRPVSFAGTSAQQRPDGGLQRSDGS LLEPNRRYGHLIQPVATRTTPLPKQDVRVRRQSAPLVDRPEKLSGSNIGSGIGLGQRS AQKKNKTQDESKTQGQGQGQGQGQRNGDSKPPLSKNSALKGVKTVRVH PHYBLDRAFT_169730 MLASDLPFEILSQIAKLLLTDDKLTYILTCKGWKIPFQESLWEN IKVDSMDKLKDICAIVNDPTAHVFKTFPNLKHLDMGSLYFYDIDISDTTYGPQWTSVT SLKLQIVPETWKTTAPFMIEVLRNIPNLQSLGIYPYQRFDSMVFDFNRYNDLHLALPQ LVNFRVHLRLTDMHPGAVARIPSTYPALSWVYYFCFKYPNLQALTFSSLRQLGGISVM ETNERNIALLRSLETVCFYTKELSEWSHVIFWELLCPSNVAIKSLKYRIRSRDPDVTL LCRVTDRLLQSFSTTLAKLSINWGISFNTRIAFEPKLQYSSWLVSLKMRECGLSIALD DLLDHCPSLEHFEFSDGRLYNSSPETSGDPRQHGLLLLILDLVITNVSVLNYATFKCK GLEAIHLKSMRICGSVSAKTRSLCIGMSCLELKTLILDHVKFYSSSEENMSKDPDINL ILLSNLTSPRESAETIEKSNKDVNWKSFVEYLAWYHLRGKYDDIHDSAVKIRPLSDQE SWTANEYFQVSQFKSKTDVFEAEESLDEKANEEDWKKDLCGGYVELRCSHRTKYDVPL SNHREFYIWPKSFYGFGKV PHYBLDRAFT_73340 MSVTCGGTRLVFKPVCLWYLPFVYIRGWALVDFLSGLRPRSVSG GFCTSRASGVLDSGSFLGHGGPVGLSGLVASLFCTWVLGLCSLSFVLKPGRMNTVGHG VHYVVMSGRMGFASLPGSFLGSLEGYRQSPPFFSGEYLKIHCIKAKLNIDMNMNMNMN MNMNMNMNMKKRISSIQASKLSSTTSLTSRLQKGIWDVRVYIMDREHQQEQVEAGRPV TGLAILQPFRGYHRELVSVELYQKGSWCGKPRSGSSSAIRWSNPFVIDPIAAIWHIVS VGSLAVYCRWSRIPPAGGQGSFRPVIEEHFAVLSPSLQMMLPIPRQVPLLKKQILSIK PYLALATQIIRQIPKPESYATMTAVRTKKKIIFTIISLSQVETHSLAESLKWSSHGLL ICPSPIPSLVQAQVKVQMSKNCQSTVLIHTKVEANFYQSPKSGLYLQVSRPSRFPRRG PMQNSKSKFQKKNKKQKTKSEAYTKSCKKNGHILSPPFQKDQKKSELEAYTKSSTPKG SNPKPEA PHYBLDRAFT_73341 MNSKVYNALCAAFALAYLLAPALLFIPVMLWEASPLPSAFCMAK PARRRRPSMMGVGATPFVDARLDGENQVEAHKNVMPQTILGILSNWLGSLCSIGSQTT GEPSGSLLSKRHGGIPGHMSVNDSSSEAECVVCVGESSVFFGAGLRGYVPHLLPLHEL GRGIATMVFPVNGVAGTCGETHADLDEGVSSEFVDECDEAEVSARLRFICDKFFSEGF AKPRLSARFLDAMLEEELSDSANKAHRDSLTEVAEPECGLATKSAEETLVQRQESVQT PSGLNNAGLKDPKPKSGTVEGLHEAFALSSWQSANGFFGECLSTGLPTPMEIDDDLAW CEVVEVEDPMEIDDVVVVEVMVPDADCGEELVAHTCNPAVDDLQHFSQSVKKDCDTNK IPACVPQQAADDKMDEDTKKIVLPGTSSQKKYNVPSPRRKEGADEVKGNREYPMAVSR PKEEYSMIPRPASQKAIDEPKASNEGSKIKLASASCDRPSQLPKPLSGLRTERPRLLS NFIWEASGPSTAASCNKGKAPSKEFKTQGQAITPAANYSIPVAAPSSKKVKTSGSGAA KAEFKAPWQITPPAAKPSTPVVAPSSKKEENVKAEESDLPAAPSSKRVKTMGASKESD PIAASNSKEEKCSEAVESDLFSAPSSKKSFPTRNPASKPAKSSSNRGKEDPKSLLKYV LRFKGDPTVNKEGVVKESAELMIPKTWTNFCCPVRFTKRTSCRSVGPGAKPMRSEPKV DPEASGKLYGDTGIPRPFTVLFLGTICVVIHAGSLG PHYBLDRAFT_159182 MIRHAISGYRNKEFILRRVTFINNIFSDDGLGNLMYCAIERSTV LHGILTRNTDKSC PHYBLDRAFT_146795 MPCACSTYHQRRLYLLGCSTDLTALITSKLILRLHKRYGAIGVL DPSHQQFALQFYYIHPLFHRSIDHYFSIIRYLQYTMNTHWVEFSYEFPIFFFEVRPAS LKLPSSIFATLFRVFDMLTCGFRSWFPNNPTCLTFSCFAMWSRLVEGLMRSLGSCENI SFP PHYBLDRAFT_169734 MTAHYCSLVVQLLVMTQWAKVKVLCLECFFNVISIFLLGSNVCF LQIRQAIPYKLNSDVHAKWDPNAHGMSIYHSFLKKINQAIDRRRFLVLVRHLLVADHI LILLDHKVVPILVRSLPGTGQHDSIPFPPKIYTINSGPIPFSFKKIIIFVGSFNELRF SIFYNTVWDFGTKVERAQFPLIRRDKDLTIHFNRYLNFISEVLRDL PHYBLDRAFT_69950 TPPEDLKDTTPLIVSEPASGELSPSVSGKRTPQIENLEGTTPLI VSEPDSGELLPFGSGKGTPPTEDIEDTAPLIVSEPASGELSPSVSGKRTPQIENLEGT TPLIVSEPDSGELLPFGSGKGTPPTEDIEDTAPLIVSEPASGELLPSVSGKRTPQIEN LEGTTPLIVSEPASGELLLSVSGKRTPPIENLEDTTLLTPLEHASGEPSPSASGTGTP ASDLSSADPNLVMSELDGVSSFQSGSYTRTRSPSTTDLTTSGTSTPTTLSIPVIFVSR AMIDIVELPEDIHTRNTAPKDDDSTDQSPFSHNDSNY PHYBLDRAFT_159183 MIRHAISGYRNKEFILRRVTFINNIFSDDGLGNLMYCAIERSTV LHGILTRNTDKSC PHYBLDRAFT_169736 MLEEELSDSANKAHRDSLTEVAEPECGLATKSAEETLVQRQESV QTPSGLNNAGLKDPKPKSGTVEGLHEAFALSSWQSANGFFGECLSTGLPTPMEIDDDL AWCEVVEVEDPMEIDDVVVVEVMVPDADCGEELVAHTCNPAVDDLQHFSQSVKKDCDT NKIPACVPQQAADDKMDEDTKKIVLPGTSSQKKYNVPSPRRKEGADEVKGNREYPMAV SRPKEEYSMIPRPASQKAIDEPKASNEGSKIKLASASCDRPSQLPKPLSGLRTERPRL LSNFIWEASGPSTAASCNKGKAPSKEFKTQGQAITPAANYSIPVAAPSSKKVKTSGSG AAKAEFKAPWQITPPAAKPSTPVVAPSSKKEENVKAEESDLPAAPSSKRVKTMGASKE SDPIAASNSKEEKCSEAVESDLFSAPSSKKSFPTRNPASKPAKSSSNRGKEDPKSLLK YVLRFKGDPTVNKEGVVKESAELMIPKTWTNFCCPVRFTKRTSCRSVGPGAKPMRSEP KVDPEASGKLYGDTGIPRPFTVLFLGTICVVIHAGSLG PHYBLDRAFT_169737 MSVTCGGTRLVFKPVCLWYLPFVYIRGWALVDFLSGLRPRSVSG GFCTSRASGVLDSGSFLGHGGPVGLSGLVASLFCTWVLGLCSLSFVLKPGRMNTVGHG VHYVVMSGRMGFASLPGSFLGSLEGYRQSPPFFSGEYLKIHCIKAKLNIDMNMNMNMN MNMNMNMNMKKRISSIQASKLSSTTSLTSRLQKGIWDVRVYIMDREHQQEQVEAGRPV TGLAILQPFRGYHRELVSVELYQKGSWCGKPRSGSSSAIRWSNPFVIDPIAAIWHIVS VGSLAVYCRWSRIPPAGGQGSFRPVIEEHFAVLSPSLQMMLPIPRQVPLLKKQILSIK TSRIPEMVKPRFAYMFKSNSKSCPSPSPSPNVQALSKHCPIEAHFYQSPKSGLYLQVS RPSRFPRRGPMQNSKSKFQKKNKKQKTKSEAYTKSCKKNGHILSPPFQKDQKKSELEA YTKSSTPKGSNPKPEA PHYBLDRAFT_169738 MSASELPYEILSKIADLLLTGDRFSCVLTCRRWRYPFQEALWRN MHVNSMKNLETICNAIEGSRTKSSSYGLLTQSLRMDGYCTIPHWQQHAFFRSIPNLRH LDLGRTRYQEMNTHMIRLNNPWKSLESLRVEVHGSKRAVDTKSFVERQLFFFPIQMYS NIWKHSSFLLQPCHNGPILFSGNFFVHYKFLSNSLEMVMKRFMQCFLETLSSLSVKGR VGFSMSVFVKLDNMLGSCVALTQLKIRVGQLWINLDRIYQGREQHEQQYHQQRQEQKH GFRALELQSVVAIWVQIFNDLWREMGERETTVKLLSEIEIRTVLKYYQYIPSKRNPKE SDFAQLAKTIGQTIFGEVMSSLDIATQPTVLFMSLNQVTKDFKKNLYSRPF PHYBLDRAFT_169739 MPRHTVTPEERAERRRRYMREYQRNRRQSLQVETSETETQQRNS MSQAEYMREYRRNRLRTAEMEVIATVEAENINTSRAEYMREYQRRRQQITEIEVVETV EAENISNSRAEYMQEYRRRCRLTAEIEVVQAEVVRAQVIQAEVVGTEVVGTEVVETEA AETEEENHNSRAEYMREYMREYRRRRRLTAEIEVVRAEAVEAEVVGTEVVETEAAETE EENHNSRAEYMREYRRSRQSRAIEENERVLISHNVQSLRAHINQITSDQVYLTSDIIL CNETWTLPNNEEYDIPNFTMISRIDSHSTNARVSGSCCYIRSSLLPSTNNSNDDSLVY TTSSRMFIDEAGGSTSISLFILSSSLYCSIYVSPLCQLNTLMEALEFVVSHTYMHITI AGDFNVDFTKESIKKTTLLQFMNNRNMTTTLPNTIQSTTSQNTLIDNIFSTMPVLDSG RYISLTSYHSPLWAKFM PHYBLDRAFT_73346 MSYAIIMTASKLPSEILSQIADLLLTDDKISSAITCKAWRYPFQ DSLWRDIRISSMESLQHIYNTIKTSKNTPTFHGLLVHSLRICGDYPVPNTQQDELLKF LPNLKHLDLRHIKYKDINRIMAESNDTWKSLESLIIQDITTTEPEVATDFIESLKTCR MLRNLEFFTLKRNDPILFNMNHFENLHQNLQLLSSIKAHLALNEDISSTLGTIPDTTP VLSLTSLDIKLGQWDPLWLYYFSYKYPNLHSFKLDVSDMFPGWIHYDQMQVIISHFQS NPNALRHLESFEFITKAIAEWTHLVFWEFICPLRVPVKNLKYKAQRNNGDVQLYAMTL KRFLQSFSETLETLSVDGSAFFDIKRSPTLYLSSHSPFLKDLHIESCGVSLNLDSVLN NCTALKRLRYFGGQLLNNSNTTNQDTEERQNHGLQILELRQVVTSASVLGNISYRCRR LQYMNLSSLSISGFISEISGHLLLDMRHTFFKVLHLAQIKYYSSYKHDVKPAISMTLL SQVNYPSRSDKRNEENYEEADSKSPIISYHKIAWFHTFLDIECKKYTRIGIIQLSEQE SSAAMEYYQNFKSRKDSNTIEDDPEKGWKGDLCRGYGEIRCGHVEKYTIPELSTNDKD FWENLYNKLF PHYBLDRAFT_73347 MMPPNIPPEILSQIADNLSTEDRLSGSVTCKAWRYPFQDSLWRD IQIDSTAALEQLYNSIKSSTNTFTPHGFMVHSLRLISYYKIPDEQQDAFFSYLPNLKY LDLENLSCRDINLEMAKSSKTWVSLKSLIIEYNGSRGWPTTNDLISLLETCPMLKKLE FSTNSLRNASSFGLREFDSIHQTLQQLSHFKAYLYFEPEIQSTLDIITNTLPATTMTA LDIWFFQLSHDWLYYFTYKYPNLQSLRLDTSELYQRWTDHTRTQRVTYQYPPHSKGFQ RLETLELVSPNISEWTHLVFWEFIYPLKAPIKNLKYKAVCDHDDSWLDAQLYATDIKR ILQSFSETLETLSIEGTVFFDIKHGPALELSSYAPFLMDLHIKSCGISINLDNLLDNC ISLKRLRYTGGQLLINSGTTDQDTKEQHQNQGQHRRLRILELQRVVTSVETFSRLSFR CRHLKYMNLSSLLISGSISKKTGRLLLDMPYTFFKAVRLAHIRYRSPYKRIYGNTIIS ITLLSQLSDPLSQLPLSPTISDKAIQSEQEKGDPESLAVVTHKNIAWFHTFLNVVFET DTRIGIRQLSEQEAITAVEYYENFQSNTNGKTIRLGKALDGNNPKKDWENHLCRGYGE LRCGQVEKYILPSQSKHDRYFWDLLYENVFL PHYBLDRAFT_73348 MLASQLPLDIILQIADLLLTKDKCSCSLTCKQWRDPFQKLLVKN IHVDSIKHLKTICNTNATSKTKSRSCGHLVHGLRIGGCFILPNVKQDVFFRSLPNLKH LNLGNMRFQDINTKMTQSNNTWMSLESLKIKINNNEGLQGAPDLIKFLKTSRVLEKLE IFTKDREVIITFTENDFENLHQNLQHLSSIKACISLNFCASASQNTIPEITPALALTT LYLRLDEWDPLFLYYFGFKYPNLHSLRLDFSISNYMCVDSGTLQRITPLFDSNPKALK YLETFELITRDASESAHMDIWQFFTSTKVPIKNFKYKTVYGDSASRDYKVLIERILRA FPKTLETLSILGRASCGSRSTKMLEISLCPLLVDLEINECSVCVDLNNLLDSCIALRR LKLHSDKLSITSGTDNREPKQYQGRQQHGLHVLELHGVGTSTSVFNCLSFRCRDLEYM KLCYVSIDGTISEETGRLLVDMPYTFFKMLLLCDVSYCSSDGHGNDKTIITIFLLSRL NNPLQSNEERRKDERELESTTVEYHNQHDIAWFHTFTDGVYDWDNDTGIVQLCKQQVS TAVNFFQGFRSNRVPRASIFDSWGGYIEEGWDADLRNGYAEFRCGNVEKYSIYGVISD DQDNWDKLHSGFP PHYBLDRAFT_73349 MTSYCTIPTMQQGQLFFRYLPNLKHLAFIHLRYKYINKEMSKSN DTRRSLQSLKSLPIYGEESKSTAEFIKLLANYSNLQKLELPKSVIINQPYLEWNTADP EYYKISPDFTEQSYYILDFNKPIQIFGNI PHYBLDRAFT_73350 MLVNPEINSCDVSIELKNILDNCVALKELRFFDGELLFYTSIEN RGSKPKEQDYQEQKHGLRVLELDNVLTSAEMFSHLSFRCRGLEYMELKSTSIQSVIGT SGRIPLLLLDMSYSSFKVLKLFDIRCTLSEDQLLESRFIKLILLSQLKDSRSSNEKKK CTDSSSADITHPFRNLTWFKLFHEIEDDVDTDMRLRQLPEQESRIISKYCRSSDLRES DNFLKTNTWLNGELSQDLESDIQDGYVELRCKKIARCHTS PHYBLDRAFT_73351 MSASELPYEILSKIADLLLTGDRFSCVLTCRRWRYPFQEALWRN MHVNSMKNLETICNAIEGSRTKSSSYGLLTQSLRMDGYCTIPHWQQHAFFRSIPNLRH LDLGRTRYQEMNTHMIRLNNTWKSLESLRVEVHGSKRAVDTKSFVEFLKTCRKLQKLE IFQNAFDNPVMISSKDFNNLHQSLKQLSHLKACLYLNQIRQNAEPTIPITTPAPALIS LDLDLFSWHPLWIYYFGYKYPNLRFLRLDVSNVPDCWIPQENLQRMPSLFLSNPNVLQ HLETFEFLTTEMSQWSHIVFWEFLCPLQIPIKHLKYKTKYSKCDAEFLEMAIARFVKS FSNTLETLSVDGDVFFNAKYITKLSFTSCCPLLVDLEIKDCGVSIEVDNLLDICVALR RLRFSNGKLWVDQDTTNQERNHENYQQKHRQHELQILVLHWVNASAGVFTHMSSRCRR LEYMSLGNSDIWGSISKETGNLLLDMSYTSFKALRLKNVQYHSSDRDTGKNTAINISL LPQLNNSRSPKEIMEEKGNKDSKLSIIEHYQHHLAWFHTFFDDDYEYATAPCIRQLSV QEVNTALEYYQDFLSRESTNALDVFRSLNGQVSEKDWEEDLCRGYAEFRCSHIEKYTI PGLTPDDEKFWENLYNKLF PHYBLDRAFT_73352 MCKDLAKPQSNCRFFPTTIVIMIVSELPSEVLSQIADHLPTEDR LSGSITCKSWRYPFQDALWRNIYIDSEKSLEYIYNTIKPSKNISTSYGLLVHSLRIGG YYEMPDEQQNEFFRYLPNLKHLDLGHMTCKHINTEMTIANQTWIYLESLIINFIGRPG GPTTENLISLLKTCCSLRKLEISAEKRFCPVSFSLKSFDSLHQALPQLIYFKTFISHA HDLQPILDTIPDTIQSLTMAILDISFYQWSAKWLYYFSYKYPNLRSLRLDTSKVIYGW TEYILTQGVTYPYPSHSKSLQRLETLELITPNISEWTHLVFWEFIYPLRIPLKNLKYK ATCNRKDLWLHAQLYETNIKRILQSFSGTLENLSIEGTVFFDIKHGPALELSSYAPFL KDLHIKSCGVSINLDDLLDKCPVLKRLRYFGGQLLINSSTMIKETIQQQEKQPQHHGL QILELRRVVTSAEVFSRLSFRCRRLQYMNLSSLWVSGLTFEADGCLLVDMPYTFFKAV RLAHIKYCSPYERRDGDTTISLTLLSQLSDPMSSLPSITSTSDKLIHGEKEKVDRKSV AVVTRKNIAWFHTFLDVVFETDTRIGIRQLSEQEASTAVGFYQSFQSNRDNQPRRVDM PLDGDNLKEDWKDKLCRGYGELRCGQVEKYILPSDSKYDKYFWKYSCNNVFL PHYBLDRAFT_146809 MRSFPTNNNAANKRRLISFDEEDLEADTTAPSEEAAGKHDRGDP KKISK PHYBLDRAFT_80534 MIVIIQNDVFYLPKKQDIFRKTKKNQLDMVSSGNNDTQSSATPP KAKKRRTRNATACMRCRELKKKCDNNLPSCTRCIEFGANCLYLTYEEYQQTLAEAVIE LSQELDIIQADVRNLTFRTPQSNNTNTVINNHVNSNINHHHHHHHHPHNTNYYNTYNN NQSQSQNQNQDQDQKPNLNLNPSPSPKQTAVQWQFDYDGSESAETLEFYRFLWKMRQD YEQTNSRRLHNNDTGRNYCGDIDDIDYYEDEVLSPKSTSTSTSTSLSLSLSTSTSTSN STLTPASPPQFLLQPQSPLLNRQKNQPLKTTLANSNLNSNLKVADPDPDSGSGSGSCS YSCSGTGTKRNPNLKSEMEMEIEIETGTVTETVTETEMGLGMGTRVGTGTGAAAPSGG GLFEWKATMDEGRLRIDTNICSFRDIYRVLKQSQQVATDSGLCSQVSNYPSKQGSLCS YE PHYBLDRAFT_146812 MSAKTNDLSPTSLEYAQLLDSQDTLARFRKEFNIPTRRDVLSPD LTADNEKELDLPCTYLCGNSLGLMPELARKRVIEELDVWSKRGVEGHWNHPHNRPWVT IDEIVKEPLARIVGAKPIEVTPMNTLTSNLHSMMITFYQPTKSRFKILIEEKAFPSDH YAVSSHIQSRGIDPEVGLLTVGPRPRESTLRTEDIIKTIRNDKSIALVLLSGVQYYTG QLFEIEKITKAGHEEGCMVGWDLAHAVGNVPLQLHDWQVDFACWCSYKYLNSGPGGIG GLFVHEKYANDTSRPRLAGWWGNDKENRFEMKPEFSPSKGAAGYQVSNPGVLVTSALA GSLEIFDQAGFTNLRNKSVRLTSFLETLLVTILATHLRAGHFKILTPTDVSQRGCQLS LDFPERMIEVFEELQKRGIICDERKPTVIRIAPTPLYNSFEDVHRVVYSLGLILDRIY PTKS PHYBLDRAFT_146813 MTASELSSEILSQIAEYLSTKDRLSGSITCKTWRYPFQDSLWRD IQVDTRHPLKDINDRIKPYNNAYTPYGFMVHSLRFETYYEMIMVQQDDFISYLPELKH LDCKTCDGYYMKQFKTKSKKIWMSLESLKTDYHGPEMWSIIEPDIGVLIMCPMLQKLE FYKELYCSPKTFSLPDFDSIHQTLKQLSHFNASFRPDPDDSYTDDEIIDTPPAITMAT LDIMIYKFSPRWLYYVSYKYPNLRSLKLDISNSFHGWTDHTLMERIAYTYPCHAKGLQ HLETLELTTPSISDWVPLVFWEFIDPLRSPLKNIKFKAAYPQDDSLFFAQLYATYIKR SLKSFSETLETLSIEGTAFFDIKYGPPLELSSYAPFLKDLYIKSCGISINLENVLDKC PALKRLRYFGGQLLINSITINQDEKDQRHHGLEILELRRVVTSAEVFSRLSFRCRRLQ YMNLSSLLVSGSISKKTGCLLLDMPYTFFKVVRLAHIKYRSPYKRIYGDTIISLTLVS QLNDHLSLPSLALPSSRTATNIDLKEVNPESLAVVTHRNIAWFHTFLDVVFETDTRIG IRQLSEQEASTAVGFYQSFQSNRDNQPRKVDMPLDGDNLKEDWKDKLCRGYGELRCGQ VEKYILPSDSKYDKYFWKYSYIRSVIVNPKNTSRSCGRFVHGLRIGDCCTILNRRQDE FFKSMPNLKHLNLGCMTYQEMNKNMTRSNAAWMSLESLRIEINYNQRIRLTPDFVEFL KTISKLQRLEIFTRNQLCLVIFGQNDFDDLHKNLQRLSSIKAYISLDFSATLKDSTVP DIIPALALTALDLRLGEWSPLCMYYFCYKYPNLLTLKLDVSNSYTTVHYNQIRGTGPP FYISPDALRHLDTFEYITKEEAQNTRTLERILIAGGTDGDRSTSSLELSSYCPLLVDI TIMDCNVSIDLDNLLDNCVALKQLRLSLGNLLINSVPTELEQQQQHGLRILKLNGVNT SSDIFSRLSFRCRRLEYMSLVSTYAKGPISEKTGCLLLDMSYTFFKILLFGNVTYCSS DEYGNEKSVISVSLLSQLNKPIQSNDKKEEGKGDSVSNVTNHRNLHHIAWFYNCRYNT YSWDRTKGIVQLSEETVDTFVEYFQNFQSNKISEDLGLGGLGGNPIMNESWKLDLYKG YGEFRCGNIDKYIVYGVTDDNEVDWDKLYDNLS PHYBLDRAFT_169751 MIATKLSQYEYILILQICRFQSRLFIQYYNSAKIGQTHWESNPG LSRLLSIRLYRQFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSSTSVF RHLGNLPSMRERILTLGFKFVYRAFWLPDEALFTLLQPVLTNPAHQWFKLLANPIWLS LSNRQNADSKACKHAIRSFLNQGLFLQRSQQILLSACRPSLGVDPILWLPMTNYERSR FIRWRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAIRLHLYSTVQPNPIDYVLNMLPL KKPKNNKNNAFWIFTWPILCRIMLDIEQICLPGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_169752 MIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSRCQTT GKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVCSASKPVVSPSVSI LGHSISMADIHEIESDVAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIELHKA LATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASPTHHSTEPTK TFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAIRLPISTQRQRLRKLK IDNARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNFDPLDPVHLRDPALA SLSLDDRATKAIHVHNERMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEMVPPRPT KKDLDISIHTASITIPSFSDL PHYBLDRAFT_169753 MGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGG SGTSRSSVIDLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPRL LWNLSKLAQPDTLKIYIDTASGSLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDAL DTAVGRRTPCTMQKYWFWSVDLQEAMDLRERSYQRWRHSSGLQKAICWMRHQDACHAV RLSVQRRRRETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQVAANKMA DHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDH LRAEMLHPIVKQVSPVLCLLFQLCWQWAKGTIDPSLLISRNCVSAINSMRALQSLGVN HTGLSRLLSIRLYRQFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSST SVFRHLGNLPSMRERILTLGFKFVYRAFWLPDEALFTLLQPVLTNPAHQWFKLLANPI WLSLSNRQNADSKACKHAIRSFLNQGLFLQRSQQILLSACRPSLGVDPILWLPMTNYE RSRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAIRLHLYSTVQPNPIDYVLNM LPLKKPKNNKNNAFWIFTWPILCRIMLDIEQICLSGVDLADHAVTDREQLFLNWLPK PHYBLDRAFT_102215 NLSRSVRFKKENVILIELMPDPKEAKTSEINLYLRPLADKLEKL YKRVRVQTYQCPNDTTICAALFMVVCNISTARKVCGFMSHISTNTCHKCNCQFSQLAG TSSLIRTKNNNCKNVEVWGNTTTEAERHYLEVENDICWSKLHCFQYFDVVCYTIIDPM HNLFLG PHYBLDRAFT_73360 MRKLRISNINNLKYSATHGNKKFAHGATELIRTMEAKARRFSDI FIMDAIRKTNCVKMSLVCVEGVSNLGGERLKSFLVLDAIVLLETKELVPINRPKILDY IAKMKIEIHVWR PHYBLDRAFT_146818 MEAIWTFIPPFAIPHWNLKGVYVHHAFMIDSRGGLCRIPQQDVR YARNQLLAFFRYLDASKIVLWPWYISPLLSTTSDSPHPVDGFLSIMCLVVIDGRLLHK ARTGSLRRHLLPSSIDTLQHFVFFCPGKLQAVGLLTHTSLSPSVSQSLQLLAASTTSH AIWCAHWATVFDNTLFLPENFATKAVHATRIAGEELTPIFDSVEQNAFNIFVSFVDCS SCVFPKFSSFSELGVPWVLFQQDVIQCKISFVIKGHILSASFAVLISFWSLEFFSGTS GSVLQAVNDYALSNNFTVKIKNGKFLILHIACSKAGVYRDKRNISDEKRKKTHNSSLT ECPYLLRFSYKKKSKKYLPLPAYGENEHCHSHPVTPKNLASSHQGRMSLLPAEDATIA KTILENHAKSRCCGG PHYBLDRAFT_73365 MSGIHPISLRPSEGHKDLLFKMTKIKEFMASVRGELTTMHAATR VVFGQYSDGRFHTSAYVSFGGILITAGLTDRIPVVIPPEFRRNHIDKAFDLFIEKDLV SKFDEVPTLNYYCYLYYFGSGKLYDWGQHCPCPCKRGKAEVDTGCLLQQKC PHYBLDRAFT_169759 MIMSKRYTALRSKVGKKFIYAKADEWKSWVSVYSPVTLKSVIPS FYFNNWVSFVHACHYLVKPSISSNDINTAHRHLVQFCEECNTLYTTTILSCNMHLHPH LHVREPILDFEPVCGYWLFGPERYNGLLKNISTNGKSSHEVTFMGCFLKYIYKDDFTK TKPLPPTPFLLLISNPSSISDINYTHLLAYSKFGYLTLNIVHYHNSASPLFSDDQITK LKSIDVLGQVSYGNNGTTGHESYLQSLFLGNRSRDKDGVEICGSPLSP PHYBLDRAFT_146822 MSSIIEQNFDECHCTECIKNDDGYTLVPKRFSHRYSKKASLKDT VRTIVIHESGSVEVHSCQSDLPILDISPMSVGNVSVHSKINGDFNEIDNDYESNENYS NIFDIEVEEVNNELDMFSNSSIPENHVHRFIAVFTVLFAFCYVVTNNLPCSEWFKAEN IILVGLALGPKEPKTHNSNVRVPCWRGIRAGFMMVSCNIPAARKTGGFTAHNSTCTCF KCNRHFTCFDTTNKVNFCGVKESEWFHRSCGDNRLHAEEWKSIVTPSEKQYLKIENSV RLSPLHCLGYFD PHYBLDRAFT_146823 MSTLFSSVIHSQTQTVLTTPLLTINEGPFSISNRPIAGMVQSYT HFQPEVEEKSLLEKLAGPLKTTKNQFTDKENLESKFVEIFDGLLEKDTVSNIESDEEE EYTTYSSRKRQPLALLDPTLDVVRSQREIRMQTMHRDDARNTLLNKPTHPQIQTLPN PHYBLDRAFT_146824 MALHFSAAVIARMQRFADDMTVPSEHAVLFEKVAPGFPYMKAGV AQVLSESHLPCLQCIDNAYPPALPFDLPMFQQVVNSLWYNVIGTKTSPPTTMPLKL PHYBLDRAFT_73370 MVFIYSLLRKYRGTKFPQPQTELLDEANEAFVNHTHYLMLTLNV NWVNLFEGRTYAGGALYLLISNFFRENQMKAENIILFGVMPGPKEAENNQMGNFLENL VDELVELYGGITAKATEFPSGTAVHTAIMRVTSNIPAARNAAGFT PHYBLDRAFT_169763 MICTTAYFHDKLRGYLTLGITYTKLQSTCGVHYNTLGCIMINLT HGYFNQDYYTQKDHKGTSSIRFPETATYSILFLREDKPFQFKEDEESNDENEADIVGV IVFKDTMDSDNNDTYHNICLFVIPYLKMDGIPQVTQLMARFGVVFQASYLFQAGAIFN TEIIPALSCYL PHYBLDRAFT_159187 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYTLYTLGIIHGDYNGSKNKIQYS PHYBLDRAFT_146826 MQPSTFQASFWSPSASVDRSPNFSYGVDVLYKKLTQSTEENQAI AEYVTRRLAAEQAYVDHLKSIVISKPNTSTGTGTSTSTNISSQTSNISNVSNTNIPKA FERDLGGGLKRCFQKIKDESQETARGHRIRVESLTDDVLEPLSRFSSHYKQLVDQSKS RVDKQISAFEQAVQKVELAKSAYHVKCGTLDSLWPSDPLMPSLSTGTNTSNRNSNATM SSSSSLTPTSRKRSVSFLHHTLSGRSAKHPHWEIAHLLDELHKERPGDLDGKLILDWI KDHLAALSNPHIQPPRSSTEEAIAVCHQLVELQMLQPLKGNSCRFEPLDTTIYSVHPH GIGGYHFPMAESLDLTEPLKSPTASISSNLTYSGGSFTSLLGRLGQRSQRNQEKQFQL ANQEMMMAEKVYRESVQLAEKMRLQTEESLSSYYDDMENLELERIETLKQIFATITTL LANTLPLFSETYDCINIYQEAIRPDKEVQFIVEQYRTGRFCPRPILYENHYEGPAVDQ VFGVALDEVAQYNQSLVPPFIANAINSIASATTDLHEDEREKTWTTMLPLDLVHAARQ ELNVSPEKTALDKLERFDVLVLASLVRLYLLELPECLLTFELYEPAKTIYLQHNEQSI EEECRITSVAKMMTTLASANFYTLKTIIYHFHTQKDSFRLNALASLFGPILLRPVTES TKNIHDKHPQRLFRDLIIHFDKIFSRDMHKTQEDNVNRRAIVAIPDLMIRSSTSTDLS FLPPLSLCPTDDSSDDYSDKPRLSRDDSLSVAISGFVTSSPRESLTLETGRNRSFFSL LRRQDPSVTQQKKTPPANLRLQASSRVPLPSPFSITLFEDPEESIAATPRTSQSISVS DQTSVTLQNGDNYSFVWARELEIQPFDVDPLSLDPLFVNEH PHYBLDRAFT_94692 STDTPSNQPKRKYRRHPKSDKHAPIKPPSAYIMFSNNARAQLRD QNMSFATIAKFVGDQWKNLSHEEKQSYERTAMQAKDEYISALERY PHYBLDRAFT_155659 MSDSTNNKQREEDDYVPYVSVKQRRMEKLHKYATQRRLAEPAPR PEYEDEEPSTAGPRSGMSLLDQTVEQRRNNTLPEKTEEEKRLEEEKKIEDAQARHKAL VSVQEAASGVLYTEPIKTSWEPPKYIRDMSEEQHMQARNKFHILIEGDNLVPPIMNFR DMRFPEPILEYLKEKKISKPTPIQVQGLPVALSGRDMIGIAFTGSGKTLAFSLPLVMF ALEAETRLPLIKGEGPIGMILCPSRELARQTHEGLCLMADKLAKGGFPHLRSLLCIGG INMGEQSDVLNRGPHMVVATPGRLMDMLTKKKFNLDICKYLCMDEADRMIDMGFEDDV RNIMSYFKSQRQTLLFSATMPKKIQDFALSALVQPVVVNVGRAGAANLDVIQEVEYVK QEAKMVYLLECLQKTPPPVLVFAENKNDVDDIHEYLLLKGVEGVAIHGGKTQEEREFA IRSFKEYKKDVLIATDVASKGLDFAQIQHVINYDMPKEIEDYVHRIGRTGRSGKTGVA TTFINQHCSEQIRLDLKHLLREAKQRVPPFLAAMDDPSEKYGLAGGCTFCGGLGHRIN DCPKLEQQRRQQMGAIMSGRNAGDRADF PHYBLDRAFT_73505 MSDLNWCTYCDKSVSSLSDSLYCSQECLRSDALNHHPLLGYDYA EFKDFPRSSPSPGLSPSSTSSSASTPPLSPVPSYTLPSPHIHQSQSQTKIQTQNLIHS LSKKQIIEDRLGRLSPPLFDLGPCKQTLIYTKSSSTSSSALSSSYSSSSSSSSAVSLL SSSSTTKATKLVAPTAVRGQGLYNAPKRSIFF PHYBLDRAFT_159190 MMEEVNFANDWHRFFRRRSRHSIIASTTTTTTTTTNTNTTGSGS AGTDRGTCESPGRIQSPCSVVNVLRSIVELLQSYCVQPDIISQVVGQSLHYVSGEMIS RMVNNRKYLCRSKALQIRMNLSSIEEWVRTNKKTLAGQRHQKQRQTANFFGEVLQLLQ FLQCMSQLS PHYBLDRAFT_73503 MFLQPHSAAVLFSTLALAVFLVSNLGTTFNSTILPDVYFARLDQ STTGASIRYGLYNSCLYLNGSRSQCIDPKFGFTLDSNQISVMNSVSSGNLTDPNPNPN SNSNILAELEDLASIHKFSIFIMPATILAFVSIIGKYSQCFFFFLYFSITILAGLVHN QRETNNKPIVGTVVSLIGFLCGGAGLALVIVCYSILFNGLKKTAGLSEALSLHWGPSI YLVGAGCGCMLIAFGFFVASSLQRRQKQVPEPIHYYDYNYEHKNMY PHYBLDRAFT_169770 MSALLRERNKLTSITFLQVTPSSANQMSNLQNKKAKRERFIPRY LGKLFYTFAVNVNAREYPLPYRRVGVPRSKHKIVSSSYVYLPGNTLFRIVELGCPNAY VLLIFGPFLAVSLKQSLNEVSFCNLIQLFGCPSAKHLMQFILPARFALNFVTNDNSSF LFSLVNNNGSRIYKKIELWRYIVWLKVLELLKQTFVCGANGINMVSQA PHYBLDRAFT_73502 MAAIRFKEVISSFILNRLENHPSVSLDDFVKENKDFIIQNTNLG DNIEVIWTKRFGTTAKELKVKLTRSTVNWGSLALEYLTKCKQSRDTVSDCSTSEASSY SYSSSLSLSSSAAASAYISSRDYKLSIDDKENIKKMYNSLNTEKMWKLSSGTIVEKIM EKVALACEYEHPAHSLILDPQEASWSEHFTVEELKEIAAHKAPKFQDFPKEMEEYIKS YKTLYDIEELWDHNQAHTFHPKKQADLYWLHQSIINTLELYFYNLLDKGPENSESDLI HRVWRPIYSCFNCTSISVNSGEVMSSASSRRKNANRVLPTITPIERKKLGTRVDLLFR TTVVELGTAEFKRNEDEGSQCLREIGLKCPKAMKDMMVALLETAPTELGNISTHGFQV SGLLMNQLTLTIPEGYVCRVSRLSQPLRYPDSPKQFFRYLYPILKVIWNVKLRMAATL ERVNEEQGEVPLSYDYQPQTSEMTIPSCFSPNPTKKRKFNK PHYBLDRAFT_113796 MDTQQAEKPRKRFVGRAKKTAAAATVGDNEPSIEDGAVGFASDR PRANRAVNQIPDEILNDPLLNKAIEQIPSNYNFEIHKTVWRVKKAGAKKVALQLPEGL LMFACLISDILEVFCDAETLIMGDVTYGACCIDDFTARALGCDFLVHYAHSCLVPVDV TPINTLYVFVDIGIDTSHFIDTVRKNFETGKKIVLVSTIQFATALQASREALKTEYDM LIPQSKPLSPGEILGCTSPKLPGYEAIIYIGDGRFHLESIMIQNPDIPAFQYDPYGKT FTRERYDHSEMHSLRKHAIQVGKQAKKYGLILGTLGRQGKPQVMEYLEKTISDAGKTS VIVLLSEIFPGKLAQFEDVDAWIQIACPRLSIDWGYAFPKPLLTPYEASIALGKAEWQ EVYPMDFYANDSLGPWTPNHGRNVTRSARKKPEIVQAAV PHYBLDRAFT_11849 VTRPVVAYWLYFNAGLIYAIVVVGGLTRLTESGLSITEWNVISG MKPPRSDQEWVDEFNKYKQYPEYKILNRHMTLEEFKKIFYWEWSHRMIGRFIGASFIL PGLFFASRGYMNKRVMKQTFGISCLLGFQGFMGWYMVQSGLSQALLEKPGAVPRVSQY RLTAHLATAILIYGTTIFSAADILRRHKLATGTFSQKAADLLNSPLLKRFRIMSHSLG SLILITVMSGGLVAGLDAGLIYNEFPYMGNGIVPPTSELWSDDYVKPEDNGKWRNLLE NPTTVQFDHRTLAETTAVAATALWCYSRRLPLPKNARLAVNTMMGAIVVQVTLGISTL IYMVPIELAAAHQAGAMALLT PHYBLDRAFT_75371 MSKRAAKELYFEKLKTYLDTYQSIFIVNVDNVSSNQMHQIRFSL RGEGVVLMGKNTMVRRALKTFLSERPEFERILPHVKGNIGFVFTNADLKAIREKITSN RVAAPARAGAVAPVDVIVPGGNTGMEPGKTSFFQALGIPTKISRGTIEIVSDVNLVAA GTKVGPSEAALLNMLNISPFTYGMSVSQVYDQGSAFSSEVLDVEESQLIGNLLAAIRE VASISLAVGYPTLASVPHSLINGYKNLLAVSVATDYTFEGSEQIKEYLANPEAFAVAA APVAAAASSDAPAAAAEASDEDSEDDDMGFGLFD PHYBLDRAFT_73496 MTQKTLLGMTKRNALSLSLLSVHFVKEADFVFLLRLEWFIFMHV YAFGIVCNQKNPGYQINDRGFTDTGARHLCNIYHVTFTMYHLSLPVNFLKTNYKLGVA YAIVTLAY PHYBLDRAFT_146839 MNAVVVEKHGGPEVLQYKQVPIPKADQKNLVIRNHIIGVNYIDT YHRSGLYPLPTPLVVGKESAGEVVEVGPGVTNFKVGDRVVYLGGDTYAEYSKAEPISV VKLSDNVSYETAAASVLQGLTAWTMVRDGYPVKKGDYILVHAAAGGVGLLLCQMCKHL GAHVIGTVSSNEKAKIALENGAEFVINTNEENTVERVNEITGGLGCHAVLDGVGKATF SDSLEAVRRLGTVISFGNASGTVPPINIAILSKKNVKLMRPALYNYLGSREEVNKWFG ELWELLEAGHIKIHIHKIYDLKDAKQAHLDIESRKTTGKLLLKA PHYBLDRAFT_181888 MVSLSKSFVFSALLLLVLLQTVAADIYSQLQFIKIQSPKSGQNI RAGEKITVKYIMQPLISGGTSMGKAISLKTEFHKRSGNKIQGKLATMHSTCPVTAKND KYVTHSNVWTVPKTTKPGSYAVSFVENVQLRRGKIVSTESVKINIVD PHYBLDRAFT_125582 MIAPITGKFRKQIIRDISISLTLGAAGGAAWWNLYHLPNVHARD SYYAKLEASKKQ PHYBLDRAFT_169779 MVGKILNYGSINIDEFFTVPHICKSGETLSSSSYTMRAGGKGAN QSAALAKAGGKIYHAGKIGKDALWVRDYMSEQGIDMSLTKLAEDENNGRALIQVSQET GDNAIMLFPGTNGTYDPKEFDGLLSQFGPGDWIVQQNEISKGGEMMRLAADKGLSVLF NPAPLTRGILDSFPFDKVSVLVVNEHEAEDLCREFGGNVSLSSSTGLEIAGELFNRFK TMQGVVVTLGGEGVVGKFRDQGEIRDFEVPSRKVPVKDTTGAGDTFVGYFLAGLVRSE AKTYFERVHNALEEANLAASIAVQREGSMVSVPTLAEVEEAKKRQ PHYBLDRAFT_73492 MMVYNQQSFATLSKESLLRTAFLGLALGLWQVPSALAQDVNQTT PTNTTQPTTVIVPSLYNNPAEPTIEATGFDAGSNGSSNDNQESWLKHNNRYVFVIIIC LFVFGLLLWYVIRSVRGMRTRLQNENNNHALMMQQVNGRSDHHRVIPESVPIPMDVPV PVCLYLSVPVFAFVFVFASASIYVFLYIELRRSMRPSMRKTKTKTQMRRGRAGLNCNV QQNLPPCTVEEWNVLPIL PHYBLDRAFT_169781 MGGFTNPNALELVTEILNHKRYAYIFYWYYIYFSQSCKKDRESM DDINQFFDKAFKGNGIVSALKVRNMTICFSVQKRRSLERICHPKHFNSTLVDRAVKSA IDQKAIKTLVPVLSVCPDIEFEFILGSTNLSQIVKAWL PHYBLDRAFT_146845 MNQTKPEQIIELEQPFVKVPYEQLRKSFRQVYKYTEKELMVLND KIERCIKAANDGKLSFEKAQMTLDELMKQVEGMTKKLERIKKEEAGHTHRIKARLNDL NVVSGASSAKAPEFQKWTKTRLNRVIVDYLLREGLTETAQRMAVENGIELMVDVQLFA QCEKVETSLKKRSCKECLQWCADNRSGLRKIKSTLEFNLRMQEFVELARQSKLVEAVL YARKYLAPWQPTEQERIIKVMGILPHKSDTRCEPYKSMYDGRRWLDLVEQFRSDNYAL CSLTAHPLLYITLQVGLSALKTPQCFQNENRNVNCPVCDTETLGKLAENLPLSHHLNS TIVCRMSGKIMNEDNPPMLLPNGRVYSLEALQDMNCKHGGTITCPRTGFVCKFTDLKK VFVS PHYBLDRAFT_73488 MIPSDVTTPTVSARPAMRPPKPSLRPEQVRQVELSQLERRYRSS FRTVRTTEESTVVRLAIVPSDPDFPFELEALQLQLDIPVDYPAKHCSVKVMNPEIPPG FAFHLERGYDVYASKRTSTLVRQMDWMDKNMEILLQEPPAPTVKFVFNNNASHEDTLT RLSNLEISSPTQTENKPENPKKVKKPRKPKQPKQPDQPKKPKKPEHPAQPPAIDAPQS TNSTPTISYTHDQLEEASKKREQELRQLQARFRSTYKVLECDKNRIVVEIKVPLTDPD FTFSQKFGNELQVDYSIPSLYPLESCSVEIKNDTEYRRVIKDTFADYVKDGAHSLFSN LNWLNQKLPTILSNPVPVYHAQKQQDHTVSSSSTASTCSTSSTSPVAAVTAGVQITPD PSPAMPAIPFTEKENQKKKKKKTSLFDEQEKSNRVIIVSRPLVHPDPDHSDTTSLPHH KHHKHPKPESEDEGDSSDDNNDNKNRTRTRTRTRTRDTDSDSSCSSNSSEEEEEKKAP LPVAGPAARRGTAIRLIEPRLENVSLFYCLSLHLIVKCARCKNTVEIENLMPEKVSLG GRQEGKERWMTCTTCSSIIGAKFIGEFMHENARTVGCLQLAGCTPFDVLPSSFIGTCG KCMDDMRSPVRIAPNDQPASVHCFGCHAKMTIWLGDFKFAQVGLIGPDGSSNGTDRLR ASEQQVMKLKLKKTRVKEELLTIGQPLPDQGTCIHYRKSKRWFRFSCCNKLYKCDQCH DRQEDHPCEMAKRHVCGLCSREQAIGPKPCACGHDFDRDHQKSAFWEGGQGTRNRDAM NRKDSHKYKGKAKVTSKKQERVGMAGKEKYQKKEANE PHYBLDRAFT_90735 DDVTTIFVVGFPEDMQEREFQNMFTFSPGFEAASLKWHSTGKKQ MIGFARFRTRFEAMEAVEVLTGKKIDQDKGHVLKAEMAKKNLHLKRASLAPGMIDTSS WKKTNDCDHQLQHPLPHPHPHSHPHPHSHSHSLPLPLPPLPPSASSSMPVVPTGTGNP ADQNPPCNTLYVGNLPPSTSEEELRQMFSQSQGYKRMCFRNKPQGPMCFVEFEDVVYA TQALADLQGQSLSNSVKGGIRLSF PHYBLDRAFT_169786 MMMIMKRSAPSTVITTQSPKKRSATASGPKYEEIKIVMYNPTTG KSNVEKSASAHPLTLAPAKAKAKAKAKAKAKAQPAQQRIRGAWGLEREVALMQAYSIH KPFAATGAQTIKAWEDVQEAVNTIDFGRRNPLAIKSIKRKKNELFKRFRPAFEQHHDK EISAENTTIQDTLEKVLYTAMKDEQDSQLIRTAEDQSALVKRKNKKDKTKVQRLSDLC VDSLSASHDAFDSEPSDTTEDTLAQNDNLTGGITSRLLEHQHRVIERQGVAQNLLLAS LKQFTESNLSLVNSLSSIAASLSILVEYSTSAFQSSMTFVTDKLLRIA PHYBLDRAFT_88771 PNNPPYPAYRATAKGSFAEDSTIRRWPIIIDSAITEVKEAMDEM SPHGPEYIEGKEILKGIDTLKDEILKDKPLRLIHDDETDAESWNSNLRAHFPFATWFS GTWLFNECYMYRRLRECFCITTYWKDFDPFARQKIATFRSSYTSVFALARKMPELIKP MDKEEWKFVYHELIQVCLWGNATDLSLLTNMTEEDIKRLQATEKHRLEEQRKFIVVDH IDKLWEQIETLENGKIEFVLDNSGFEVFVDLVLADWLIQTKKASQVIFNCKTIPWFVS DVMPKDVPILFESCLDPTFFPVDTKRTTEDVEALEKMVRRWQQYVQEGQLIIRSHPFW CSGLSYWYLKSDAPGLYNDMHSSDLVIFKGDLNYRKLVFCCDWPVTTPFEEAIGKDMA NFTSIVSLRTNKADPIVGLKEGQREEIEKEATYNEWRCSGKYAVVEYNKG PHYBLDRAFT_155667 MASRELSDESHVKFTNDINKRISELSHGSDNNEKLGAIMAIDRL IDLEPEGNVSRFHNYLRNMLPYNDPLVMTPASRVLGRLAVSSSTLTADLVDTQVEHAL EWLQSDRSRLAAVLVLRELAVNAPTLIYAYVPRILDLIWVALRDSRQLIREHAADCLS QCLDIVQQRETPMRKTWYARIWEEAQRGLRMNSAEATHGSLLAMRELLLHAGMFMTDM YKEVCEITIKLKDLSNALIRKTVVAIIPTLASYDPATFAELYLDKFMRHLLGHLRKDR DKRDAFITIGQVALQVKSNMGPYLDLTLAGIKETLSVKGRQRKEVETATFTCISMLAT AVGQALTKYIYDMLDLMFNCGLSEPLVSALSNIADRINPLATVIQARLLNVISITLSG QPYKQPGAPFSRNIIQTVERPLREGSVTDAKDNDIIVLALKTLGSFDFSNHVLNEFVR DCIVNYLDDDLPEIRKSAAVTCCQLFVRDPICNQTSAHAMKVVGAVLEKLLTVGIADP DPVIRETVLSSLDVRFDRHLAQADNVRSLFIALNDEVFAIRKRTITVIGRLTTYNPAY VMPSLRKTLIQLLTELEYSVVSRQKEEAACLVSLLVRAAQRLTKPYIEPVLKVLLPKA RDSSNGVVSAVLGALGELAAVSGKDMVPYLDELMPLIMETLQDQSSSSKRDAALKTLG QLASNTGFVIEPYTKYPALLNILIGILKTEQSASIRRETVKLMGILGALDPYRHKMNA VGSSSEAMADAKLASNDVTLLMMGVGPSSEDYYPQVVMHSLMKILRDPSLSNHHYTVI DAIMYIFKTLGLKVVQFLPLVIPGFLSLIRSCTTSLQEYYFRRLGDLVLIVKQHIRNY LKEIFDLVDDYWTPPSSLQITIISLIEAIAKALDGELKIYLPRLLPHMLQIFDNDTTE RRLPTMKVLHAFVVFGSNIEEYMHLVIPAVVKFFEKPDAPVSVRRQAITTITALCKKV IMFDYASRIIHPLARVLPVLPLEARPTAMDLLCALVFQLGTDYTKFIPVINKVLTRHR ITHSNYELLVGKLLKGENLPQELGKTLDDRENRGDETPSADLSTAKKQPVNQQHLKKA WEASQRSTKEDWMEWIRRLSVELLKQSPSHALRACVFLASAYTPLARELFNAAFVSCW NELYDQYKEELVHSLEVALKAPNTPPEIIQILLHLAEFMEHDHRVLPIDIRTLAMYAQ KCHAYAKALHYKETEFHQDHQPFDAVEMLMSINNLLQQPDAAMGILTFAQDSLKLESK VSWYEKLHRYQDALDAYEKQQIENPTSVEITLGRMRCLHALGEWDQLSSLAQDKWIHA PLENRKSMAPFAAAAAWGLGQWEQMEEYIVLLKLESPDRTFFRAILAMHRNCYSEAEE FINKTRDLLDTELTALLGESYNRAYATVVRVQMLAELEEMIIYKQSSNDAERQNAIRH TWMKRLDGCERNVEVWQRILRVRAMVISPQDDMEMWIKFANLCRKNGRFSLSEKTLCS LMEIDGESTGADPHPKIVYARLKHMWDSASQSTGEDSLTLRTRALSILREFTAQKTQD LGLNPDEMAVSMPIDSARITDDVAEYTRLLSRCYLRQGEWQRGISYELTDETIPDILR SFLLATRFDQNWYKAWHAWALANFDIIDYHERVHPDQIPARIFNHHIVPAVQGFFRSI ALSKESSLQDTLRLLTLWFKYGYHPEVSAAIEDGFGTISIDVWLQVIPQLIARVHAPN ATVRSLIHNLLTAIGRQHPQALVYSLTVASKSQSEPRKKATHAIMDHMRTHSTTLVDQ ALMVSQELIRVAILWHEMWHEGLEEASRLYFGDRNVEAMFATLEPLHQMLDRGPETMR EESFVQAFGRDLQDAQDWCRQYQETRDLNNLNQAWELYYQVFRRISRQLPQLTSLELQ YISPRLLEARNLELCVPGYYRSGEPIVRIAKFNPSLTVIASKQRPRKLTIEGSDGKDY MYLLKGHEDLRQDERVMQLFGLVNTLLKNDAETFKRHLNIERYPAIPLSPNSGLIGWV PDTDTLHTLIRDYRDSRKILLNIEHRLMLQMAPDYDNLTVIQKVEVFQYAFEKTTGQD LYRVLWLKSRNSEVWLDRRTNYTRSLAVMSMVGYILGLGDRHPSNLMLHRVTGKVVHI DFGDCFEVAMHREKFPEKIPFRLTRMLVKAMEVSGIEGNFRTTCEHVMRVLRDNKESL MAVLEAFVHDPLINWRLLNNTPVSPQQTRENAQGPEGLNSRAVAVVDRVSNKLTGRDF NPRVTLDVSTQVEKLIQQATSLENLCQCYIGWCAFW PHYBLDRAFT_113822 MFPCTHPGCNKVFTRLYNLTSHARTHTPDRPFPCSDCGRCFARQ HDRNRHEKLHWGVKPYACHVCSKAFVRMDALNRHLRVENGCSQVSGSEH PHYBLDRAFT_169790 MEIGVFTKINGKPYRQPEPSYAKDHKKFLSLEWDEILLNILGYP SGQDNETRVIPCLQKGHDLWSGRIKMSPPAGNIISLKLAKFGVKFLNFYLVMTTNYPL TGGKAVPETTTAVKRQNNNNNRPHTIGCKVDGRVRNFAHSIKKYLVCNMATVYKASFS FIDVNYDLRGCDALRQISMKWVQISAKYKDINSNFILWLEP PHYBLDRAFT_169791 MKNLVYLDYKANKLMLTAITTIRCYFSEITGRSRPSPPSHHKYT ISAIASYTEEYKELLSLKCDAILSDILFIDPSYAYQVFLFLSDIYYQKITQGSSLKKE IRSKAIYPFPKALSHIMPPQAKVIARWPQLGPDRTILCRYKRHNLWFSKIKMSCPTRK HPPPTELAID PHYBLDRAFT_177733 MTSILSERQRDELHKAILDYLNASGFSDSFAALKNESQNQDFTP DPKQKYAGLLEKKWTSVIRLQKKIMELETKTTQMQEELNNAPVRKATSSVDWIPRPPE KHSLTGHRNPITRLIFHPVYQILASASEDTTIKIWDYESGDFERTLKGHTKAVQDLAF DPKGNYLVSCSADLSIKVWDVNNDYKCIKTLYGHDHSVSSVDFLPLGDKIVSASRDKT IKIWDFTSGYCVKTLVGHFEWVRSVAPSEDGRLLVTASNDQTARVWDVQSGETKMDFR GHEHVVECAIFAPVNTYPFIQELIGDESKSRDQAMPGHYVVTGSRDKTIKLWDSSGQL LHTLVGHDNWVRGLVFHPSGKYLLSASDDKTLKIWDLKTGRCMKTLEAHAHFVTCISY CHISPVVATGSVDQTIKIWQCR PHYBLDRAFT_187449 MSSSKLDKKPKREREEENVFGEIVKKDKKVKKEKKEKKEKKEKK EKESVEAQVPKKAESIKHSLESYKYTENPALTAVPQEKIDEYLKKEAVDIQGDLKLRP ILEFSQTHLPDGLMKVFKDFKNPTPIQASTWPITLSGRDVVGIAETGSGKTYAFTIPG LVHIASKLRLGVKGRKPTMLVVSPTRELAMQSQEQAETAGKAIGVTTVCLYGGVPKGP QQATIARGVDIIVATPGRLIDLINEGSCDLSEVDFLVLDEADRMLDDGFENDIRAIIG YTPKTRQTLMFSATWPESVRKLAADFLNNPMRVTIGSADLSASNNIQQIVEVLEDPRS KERHLLELLKKIHKSRKNRVLVFALYKKEATRIENLLVRNGFKVVGIHGDKNQNDRSL ALSQFKDGSYPLMVATDVAARGLDIPDVEYVVNLTFPLTIEAYVHRIGRTGRGGKKGT AYTYFTPEDKAHSGELINVLKQANMPVPPALLKFGTTVKKKAHGAYGAFFKDTADAPK ATKIVFGDD PHYBLDRAFT_159198 MTRFTETQTQIRKNKGSCVLSVYVCACACVCVYFGYVGQVKPDN IIIKKINQRCVASWYAIIVIVGVIIAIIIAIIFLVCRVRVLYDDETGLIYRWIPIGRL PHYBLDRAFT_26909 MSVYNHRSMVSAPQSRIIEMLETIRADYDQLSQDAYLFKSQRNE FELKMNTQIQEMNNFQQSLLELERSQHTLKKQYEDEIARLRQQLEQAQTRAGYSVAPA NPPMPAGIHSSHPPPPNIGPGSNYFGGIMNAHNSHQGPGLVAPPQMSDVPHSGYPPTQ PPPGPPHLTSGYPTNQPPPPPPGQGKSSGIVPGGPPPQPPSAASAPPPSHPSAHAPPS QAIIRASGGKPIEAAIPGGFADADPNNVPASMKVEGKDWFALFNPKVPRQLKVDLVHT LDHGSVVCCVKFSADGRYLAAGCNRQTFIYDVSTGQKICVLQDESVSKYGDLYIRSVC FSPDGVYLATGAEDKQIRIWDVVKRKICNVLKGHEQDIYSLDFSMDGRIIASGSGDCT ARIWSMADGKCLHVLRIKDLDQKDPGVTSVAISPDGRLLAAGSLDKMVRIWDTQTGTH LERLEGHKDSVYSVAFMPDGNSLVSGSLDKTLKIWQLGTNEGRGYGVDRDRSKGPCKT TFVGHKDFVLSVACTPDGSWVVSGSKDRGVQFWDPRTGQTQFMLQGHKNSVISVAISP SGQPVFATGSGDNRARIWSYETLGA PHYBLDRAFT_169795 MNIDHQRQKYEQWKHQSDIAQVQKTIKDKQQRINVLRQHKKSAL ETTKRQNAYQIILDTKIQKTLAPSDITLQDPLENIGRFKNLLRLLVNILIENQSSKGS QQIKEILKTIQKDMDQLRLQPSIDYMKCLNRLVDQWNNELPKFDRLESTSNIPGEAQE EWEKNLQKFRSSHVEADLRLQLLYNQYPLWEEKEENLTKRLEERVRDLYHAPEIRTAV IESIYAKAESHQALAEQKSMEDSVKSFHRQALEFEKNYGDLAELKRKTEQIVDSITFQ KERVRQLMATNLVYRDHILQQHKHMEEYLHKVRIPTIHTIQKMEKMLRGKVEKEAALL CKMSLFASHDEEKTLNSLKNTVNPLYTMSSFQLLATLANMSLQSKILESNPIQSTVNE TTELSSQLRTRAQKWRQSLIDNKILLPETIVNETQEPIDTMLYAAETFRVHIQEQEDA FVLHEKNSLNYKKNMLDSTANTLNSIRHVIDERQWLLDGQWEDCKLKCKTFKEWTSDI ENIP PHYBLDRAFT_80559 MMGLQKRRLSNTSLSKSKIIKLETNETVPKELSRDERQERVFAN MRLRRIVKENHGQISFFFNNKNFAGPVGIDHNKTFDKRGAVQRDQTDTSNILATVGGC QLNVYDNEHCGDHLDIMSNFDLTAGNTEESTVKQNLLTFCWLYREGDAWLATGGSDRK IHIISLANSEEICILEGHTKTIVDIQAHPKNDKHILSTSKDGTMRLWDVDTKKCLVLF EAESTIACFHPSGTKFVSGGSRGELREWQIPNHTVFDGMDDYITVEKRHSRVLKKVHG ESYIDCIRYANGNLLSKSINGRIEYWDPESDKMMRSFRVRTGENFSRFDVSLDERFIG VGTSQGSVFIYNIDTGKMVAELAHRRSTKSVRCCAFSLDCRQMVCAGEDGFIWRYDFI EDATLEEWANWKKSE PHYBLDRAFT_181897 MPEATLYPLENYTFGAKDAQPEEDPSVATRLKRLEHDFETQGMR RTVEAVLVVHQHNHPHVLMLQIANAFFKLPGHYLKPGQDEQDGLKEVLNHRIGSTDPN DNVAEQDWVIGECLSTWWRPNFESYMYPYVPAHITSPKEKKMLYFVHMPPNKVLSVPK NMKLLAVPLFELYDNSARYGAQLSAIAHLLSRYDFNYSN PHYBLDRAFT_34793 MSNPTVGIIGSGISGICAAIQVKEKLGITAKIFEAASEMGGTWS QNTYPGCACDIDSHIYSYSFALNPMWTQKYSGGSEIKEYVQGVAKKFGVYDDIQYNTT VISATWSEELRQWKLDWIQNNQPIQTSYYDYLFSALGSLRIPRIPEIFKSFQGTIVHT GAWDSSIDFTDKKVAVIGSGSSAIQVIPHLVKSVASLESYQRSSAWVLDRNQFTFPSW VKTMFLYVPFILYLYRFYSYLGWEYLYFLFGYPDSKASKFMTQSIKSRMIKRLEAKGR GDLVPLLIPDYVVGCKRVAPSENYLEALCDEKVYINRSPIREIIGRTIVTEDGRSSEF DVLCLATGFNVSGFLGNLRVTGRDNVCLNDLWSTEYSKTYKSVGIHGFPNFFLLGGPA SLLGNNSVIFMFEQQVKLAVRSMAYAQKNNFIAMEPTVEAQDQFSDQLRRDFKGTSWE SGCNNWYKNERGEISALWSGPSCAFFWKLHNTNFDKDYIHYSP PHYBLDRAFT_159202 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRKRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_159203 VYPGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQAFN AQLYIKGRRYTRIFKQFTKN PHYBLDRAFT_146862 MTHVMLHGQHGPYGLFRQSQAIKNRSPSRATGTPRLEEHMLIVF CMLLVPSVQVKHQGSDQSPWLSVSIPSGSSFKVQVKVHVFIPSRSSFKVQVKVNGFRS RSTISVSVPSRSSFKVHVKVHSFQIKVHGFSIHSIQVKFQGSGQGQRVQVEVDGFPVK VQGSRQGPRLSVSIPSRSSFKVQVKVHGFRSSFKVQVKVHGFQYPIHPGKASMFRSPS YVSAIQVKCQGPDFPTMVIAIHVKRPGSDLPTMFTAIRVKRQGPDRPSMSPAIRVKHQ EPDPSSMSPVINVKRQGPDRPSMSPAIQDKHQGSDLPAMVIAIQVKWQGPDRPAMGIA IDVRRQGSDLPAMCSIIQVNQQDSDLPSMCSANHVKRPGSHLPAMVIDCSVIQVKHLG SDRQSMSPAIQIKHQGSTRQSMSPAIQVKHQGSTRPSMVCSAIQVKHQGSDRPSKIIV IQVKHQGPYRPPMSPAIQVKHQDSDRPCMFSAIQVKHHGSDRPSMVIAIRVKHQGPDH LSMPPVIQIKRQGPDHPSMVIIVQVKHQGSGLPAMSPAIQVKHQDSDLPAMSPAIRIK HQDSDLPAMGIGITKIDEQSHSALFISSVESTKIMLHGSGFMSTHPRQLSGSDFHELL PHYBLDRAFT_146863 MVVGVNFDSVAKLVLYGEASVGTGFKKENVTVVMIVNFGNSAKL LLVQS PHYBLDRAFT_146864 MTIPTVGIIGSGFSGICAAIKVKKQLGITARIFEVSEDLGGTWN HNTYPGCACDVDSHVYSFSFAKNPNWTEKFSSSPEIKEYIRDVAKRFNVYNDIQFKTH VVRATWLESENKWKLDWTQDGMPIETSYFDYIFSGLGPLRVPHIPDKFKAFEGTVVHT SKWDSSIDFTNKRVAVIGTGASAIQAIPHLVKVAATLDTYQRTNSWIIDRYQMRFSRF VKFLFTYLPFTMFLYRAFFFFLWEYLYTLMGYPNSRTSKMISRYIRYRMIKRLTNKGR GDLVPVLVPDYAIGCKRGALSDDYLETLCAENVRINRVPIKNIVGRTIITEDGRISEY DIVCLATGFKTTSILGDLQVTGRNSLSLNKLWETSYPKTYKSVGIHGFPNFFMLAGPA SILGHSSVVFMIEQQVKLAINSIKYAQKHDLVAMEPTAEAQDKFINELLEDLKDTAWS SGCDSWYKNERGEIFALWSGTATSFWWKLRRTNYTDDYIKYGKTECTERP PHYBLDRAFT_125601 MSNASKKTAAVLGAGFSGMCAAIQLKKRFGIVADLFDRNDDIGG TWLTNKYPGCACDIPSHLYSLSFEPNPLWSKQYSGQEEILQYMQNVAQKYDLYAQTKF QTEVICTTWLEDIQKWKVDWRKTTDHSQLFTGLYDFLFIGIGPLNIPFTPEELKGFEG TVVHTAVWDNSVDFTGKRVAVVGSGSRQEFILYIFVQLKSKDDLYLFLLLFYQRTPAW IMQRSQFDTPDFIKSLFVYLPFVLYIYRCYVFFKFLYLFLALHSTIIGKLARSYLEGS MKKELERAGKPELAPLLIPPFAPGCKRIGVSSTYLSSLARDNVTLIPCAVKSVHGRTI VDVNGDENEVDILVLATGYNVKGFTGNLKVYGRNGIGLLDEWSKEFPKTYKTVNVHGF PNMFFMIGPSSGIGHSTVVSVMECQVDLAMQCIKRIIDKNLSAVEPSAAAQDAFVAKL IKDLKPTVWNTDCVSWYKNDGGQVTGVWSGSIISFWWELRKSEPYNYIEYALNNHKVQ PHYBLDRAFT_101503 DKKWLMVSQDKQAQVTQPIPTVVTKRNGNEYREPDKSTPEFYIK QFLEPDMTGVTPRLVAHLAVSLRTMPLSWVRQFIESRGLQVITNVIGILNKREQKTEA DLQMEAEILKCFKSLINNRWGAREVISNPQCIYHILLSLVSPPIQTRKLVCEILAFVC HVDLPKGQDIVLKGMDKLREYRREYGRFDAWLKLLDATLEGRGRMGSLVGASEDVKRL GPQGAPDNHLSDYA PHYBLDRAFT_98127 DGVLASSVKGVYYLIMLQLMSRLVTFVLHQVVLRFTSAETLGIA SVQFELLLSTILFLSREGFRCALLRRILDTTPEGVEQKTTNVSYIPTCLGLITTILAC SYFLSFDQTCPHYHLSVIIFGVAAMAELVIEPLFILALQRLYFQVRVSVEGVAVVLRC LVTFGLTILGSRGNGENTYGVLAFAIAQLVFGLTMMVGYLGFFAMEVSKGNIALRSLY PLYWFDRTLLDLSITLTKQSLLKHILTEGDKMLITALSTDDNQGIYAFVVNYGSLVVR ILFQPLEETGRTLFSKVLSVSPDQKENSITTVTDVLLVIIRFHVLLGLLFVCFATNYT STLIDLLVGKEWSTVRNAPQVLSAYCLYVPMMGINGITEAFVQSAASSSDLTRQSYAM IGFSICFMLAGYLWMSVWDFGAIGLVMANMVNVSIRIVYSLHFI PHYBLDRAFT_75110 MKIISCVAAICLALSVNAAPTNTTTELPSFSLHSNHEFKFNATR GVLSARTKYSRFIEGSTSFSVGKVPLTDYLYDIMYYGNVTVGTPPQLMRLVFDTGSSD LWTVSTSCDSCGSKQNKFDPTLSNTYKTTEKSWEISYGDGSTASGLLGYDTVRLGDLA IKEQGIELAEFESPSFMYKPIDGLLGLGFSSLNTLKNIATPVDNLISQKIISKPIFST YYGKEASGGGGEIIFGDYNPKHIDGPLTTIPVNNTQGFWGVNVKNVKVGKTSVVTAPF DAIIDTGTTLLLFTDEVAHSIAAAYNAIDRRDGTFIIDCDTTNLKPLHLTLGKRQFTI PVESLIFYNEPERCTAGFGYGGLPFAILGDTFIKNHYIIFNQEIPNVKIARSK PHYBLDRAFT_146869 MWLILLFFGSFAAFWLYGHYKDNGSNVMTAINLAVSRCQFLPIW LRVYILGVAETAVTVLWQRGIGSSRQAQEAHVDELVRDHQLRIGKRTIVVTGGDSGIG SAIVGGLLNAGFHVIVVSKTEREKCLVAHPWIDKVSWVVGDLASLQSVHSIAETIKDI IPKGQINGLINNAGIMNTPCHITADGFESQHQVNYISPMLLTILLLPWIEKENGFVLF ASSSTLYATTTVDFDLARNSYEWDGLTAYAHSKLCLAEAVRALGTQLTLHKSNIKVYA YHPGTVRTSLFAHTTVFSLFFLSRLFDFIMLSIKEGSRTPLFLAFKVPSPSGCYWSNE SKQTIPSVSIKDEHLKDSEVCDRIWSQTLTFCQLEPKILHECFPEEARL PHYBLDRAFT_169806 MELKPSKKQVKGNEPVNNSEDDSFIKKERKNSKPTLHDFLVKKC QSTHKRAHFHSVKRNSLEKIGERYTCVTRWEDMDMDFLRNCVFIDEAGFHINMKRNYT WPQKGARAVSTFIGFVMVLESIHVSEYRDG PHYBLDRAFT_187457 MGSDLYWKAGSLLGLTAIGLGAFGAHALAKYTGNDDAKLRNWKT ASEYQLLHAVALLAVSSIPVAVRRVHPAAMPLMLGGTIAFSGSIYLLTLDRDRFRPLG PVTPLGGLAMMAGWAALLL PHYBLDRAFT_169808 MLQRFYSSSSGSIWPYAKATEWIKRLSKATIPPKQLQLSFSRSS GPGGQNVNKGMYENHISTKVTLRLALQSADWIPAYAKDKLASTKTGDLIITSDRTRSQ AKNVEDCYDKLLSQLRLAVAVPKEADSETLARVKHLQQLEDTRRKDVKKRQSDKKIKV CFALSLARLTSISPRILKTFYSLNNNCVPTMHGWLVVAKCN PHYBLDRAFT_181903 MSTNPTPAEAAAVPPSQLSAEQQQQIAQAQAGSIAANSSPSPSA SLYVGELDPSVTEAMLFEMFNMIGPVASIRVCRDAVTRRSLGYAYVNFHNQIDGERAM ETLNYTLVKGKPCRIMWSQRDPSLRKTGSGNVFIKNLDPTIDNKALHDTFSAFGNIMS CKIALDDSGNSKGYGFVHYETDEAAESAIKHVNGMLLNDKKVFVGRHVPRKERQAKIE ELRSKFTNIYVKNLDAETGDKELSELFSKYGPITSAVVQKDDEGKSKGFGFVNFEKFE DARKAVEELNEKEHNGKTLFVTRAQKKVEREEELRRQYEQAKLEKLAKYQGVNLYVKN LDDDVDDEKLRQEFSVYGVITSAKVMRDDKDYSKGFGFVCFSSPDEATKAVTEMNGRM IGSKPIYVALAQRKEVRRSQLEAQINQRNAIRMQQGMPMPGAPGYMPGAPMFYAPPGG FLPQGQRPVFPPNGMMPRPRWAPQPQQGQPMPGYPQNFSPTLQGRPPRQPRPARNNAA PQASNSNNNRQQSTAQRKGPRAQAATEVPEQAPLTAAALAAAPTEVQKQMLGERLYPL IHAQQPEYSGKITGMLLEMDNGELLNLVEDASALETKISEAMDVLKAHMSAEPATEQA PHYBLDRAFT_94849 MSCCIYKATYSGVPVYEMLCKDASVMRRTSDSYINATHILKVAG FNKPMRTRLLEREVQLGEHEKVQGGYGKYQGSTYIPLQRATDLAKKYKVFDMLQPLLE FTKRDQSPPFTPK PHYBLDRAFT_32959 MDPNASSVHPSPMPLSIKATQVKLVLLGESAVGKSSLVIQFVQQ GYVENREPTIGAAFLTKKYQTGEQSIKFEIWDTAGQERFHSLAPMYYRNAQAAIVVYD ITKAASLDKAKTWVKELQRQASSDIVIALVGNKLDLVQEDEHERQVLAEDAQAYAEEG NLLFYETSAKTSENVDAVFGAIANQIPAPHVSKALSGGRSLNGPNGSFNLMEAPKDTA SGCAC PHYBLDRAFT_146876 MFQQFKNIFPNTTLNLRSSSQSEGSSEPEWKARGLERLETLKSE FQQWNDILLQRNSTILPHLSPSLIPSPTSSQPTQPTQPPLTDLEATTQLLQKVQKNWE TVRTNHRDSIEKSNEANKRLQHLTAQCDAHVRISQAMEQTSQEAALVQSQLDQLASIA TRLTQTLQSLETQIDLAEKDSEKAALEQWKTQQETLLAAERAAKQQELDRKEAVLKEK FEKHSQQQKQERVALYDATFQAELEAYRQRRETHVSSLYSVNTTQSDQMTTTLETLKL DQEDTGDLHDFLGEDAASREVKITPADEPEKKVTKDSKKRVLNKKKPKKPKRIVESES SSEGERIEILGDEDYEE PHYBLDRAFT_68820 MPVTTQQIFEYLFRQRKKEIKNARMLWQYLRVCAQQAYYYCSMF YYRSHVNLPFLTSSIRFNDVKSLRTFNLLSLCTKEQNSYIIYVIQGFVNELQIIPSRS PFWGAYLFELAGVFLLQPTPSDFILLDSKGLLSPITLTNLV PHYBLDRAFT_125613 MHFFGTGAIASFLLLATAAQAATVCTVKTSSKGDDAVSINAAFE SCKNGGTVVFPAGATFNMKSVVSASGLKGVTVKFDSTVNLPAYNTAFAKEKAYFYLAG DKITFSGKGTFYGNGQGWYNAANKNAPVVFKPKASNSYFGGFTIKQAPRAHFSVNGCS NTIFENLTINTVSSNAKYPAKNTDAFDVSASSDITIRNSNIVNGDDCIAVNGGVNGLT VSGLTCTGSHGFSVGSLGKDGKTDVVSNLKFLNNKCIDCQNGVRIKTWPGGKGSVTGI TFDNINLQNAENPILITTHYCDNQKSSSCTGNDATSLSISDVTINNVYGTVSSSKKKS ILSIDCSTGTPCKNFNLSNIKITAASNTPKNVCKNLSGSSSISYCK PHYBLDRAFT_79267 MHFLGLTTAVSVMLLATTAQAATVCTVTTSSTGDDAVSINAAFE SCKNGGTVVFPSGSTFNMKSVVSVTGLQDVTVKFSSTVNLPAYNTAFESEKAFFYLAG DNINFYGPGVFYGNGQGWYNAANNNAPVVFKPKATNSYFAGFTIKQAPRAHFSVNNCV NTLFESLTINTVSSSTSYPAKNTDAFDVSSSSDITIRSSNIVNGDDCIAVNGGVTNLT VSGLTCTGSHGFSVGSLGKDGKTEVVSDLKFLGNKCIDCQNGVRIKTWPGGKGSVTGI TFDNINLQNAENPILITTHYCDDQKAESCIGSDSTSLSISDVTLNNIYGTVSATKKKP IISVNCSTETPCKNFAITNINIVAASNTPKNVCTNLSGSSSISYCSV PHYBLDRAFT_40742 MHFFGTGAIASFLLLATAAQAATVCTVKTSSKGDDAVSINAAFE SCKNGGTVVFPAGATFNMKSVVSASGLKGVTVKFDSTVNLPAYNTAFAKEKAYFYLAG DKITFSGKGTFYGNGQGWYNAANKNAPVVFKPKASNSYFGGFTIKQAPRAHFSVNGCS NTIFENLTINTVSSNAKYPAKNTDAFDVSASSNITIRNSNIVNGDDCIAVNGGVNGLT VSGLTCTGSHGFSVGSLGKDGKTDVVSNLKFLNNKCIDCQNGVRIKTWPGGKGSVTGI TFDNINLQNAENPILITTHYCDNQKSSSCTGNDATSLSISDVTINNVYGSVSASAKKP ILSIDCATGTPCKEFNLSNINISAASNTPKNVCKNLSGSGSITYCK PHYBLDRAFT_169815 MTHDELLQRIDHMAEVVFPAIKERTELITKTQKEKFDKTHTIVK INTGSYMMIRLPTRSSKLAPAYQGLYIVIRKTQGGCYVLQDETRALMPRDYPPSDPKL ISVDETALADELVEVQAIINHRANIGRREYLVQWKGQGPEEDEWLMPDKFTNLKTIQD YWTRREKQELSTMDKIVPTTPKRGRPPKKVSNNEAANSAPKRRGRPPKQPK PHYBLDRAFT_146881 MVIEEDESIIDYGTRFQKACHEGNIQDSNHLAMRFLSSLTSNLS ANVKLAWFASHSKMPQLVKQELTLASSIAAVKPSNTDAIEHITSMLEHVSFEILFGHR ILQKKTINLPIVKVEEYLVFAEKNQTSKRLRQSVSLEVTYANGHNFTHSFELMETNES DNDLILGQDIMPKLGIALAGVAIDWNTKNISQKQQEAFRKAIASYTVENKAIPKTSFC MVPEPVIDEVVNNWLNEGTIVRALVNTAWNSSLTLADKKDTHKATKQKKKSVWIYVT PHYBLDRAFT_113906 TDKKRFQLFGHDGCKRVLCKNNASVCTTFISPTMKLGGGSFIVW RCFFSKSVGL PHYBLDRAFT_68814 MHWKNTSEYTKLKEEKKTDNVTRATIVHEDITNGTKQYDIAKMF NVNPYTVSRVLKHYKETRSYERVKNLGKPKKLNTRDKREILYEISKDLMQLMSYIRKT IANPI PHYBLDRAFT_159212 MSSQHSAANSLPREEQSPLTKVGIPSPVLNEQTHLTKIGDISPL LGSTTLSIDGDIEIIYTSLDSLPPLSVVGSVSPTPVPTIPSAVNSNTSSSITLEMLLA SAKEDLSIKKNNFYVAYANYVALSKVNPMSDVARHASSIKKEAQELFKDAQKTLKSLI PCPWTHAGSICFSYA PHYBLDRAFT_146885 MHLLLADVALHITLLQVDHICHNSGLIPTAISSISISPKLLLYT EAILKQTALTQSLLTATQHGKPSQLRKRKERLDYKPGPNIQSMDHQSHPGPFKSAQTP SHQSCP PHYBLDRAFT_146886 MIQRNDYLTIIDLQNAFLHVLIKTSSHQYLQFHREGVCIPAYLN NLIIITSSPEKARLQTEAVLSKLCLLGFLYKPAKSYLIPTQILNHLRFNINTTTMRLS LLKSKRPDICREAAILQRKQ PHYBLDRAFT_146887 MSPLSTNSATFKRRFFNKLDKEEPERLLDQKEAESSRSTDLSTF VGSNAFVASQNSSSNNVSKFELALKALSMKVHTSTAEIYIMPLEISKYPYTIGPTESV FKQTYMKSVSVETDDRTDIGLRAEDNEESSLTLFQVNTLTL PHYBLDRAFT_134389 MSVPVAYNDIGKSAKDLLSKDYPVGGVKLEVKTTAINGVVFKVN GQRDNKSGHIVGDIETKYANKPNGLTFTEAWTSSNHLNGKIELDNNIAKGLKLELSTS LLPSIKEKAAKVNAIYKQPNVHTVASLDVFKSHFSVNSVVGQKGFLVGGEVAYNVLEG KINRYSAAIGYSTKVYSVSVQATNNLTHYAASYYHRVNSDIEASGKATWDSHSNKPVA LEVGAKLLLDKTTFVKGKINNAGVLSVAYTQALRPGIKVNMGAAIDTGRFNENVHKIG VSFTLEN PHYBLDRAFT_134392 MREIISLHVGQAGIQIGNACWELYCMEHGINPDGRITDESQLYN NSFGTFFSETSGGKHVPRTVFVDLEPTVVDEVRTGTYRQLFHPEQLITGKEDAANNYA RGHYTVGKELVDTVLDRIRKLADNCSGLQGFLVFHSFGGGTGSGFGALLMERLSVDYG KKSKLEFSVYPAPQVSTAVVEPYNSILTTHTTLEHADCSFMVDNEAIYDICRRNLDIE RPTYQNLNRLIAQVVSSITASLRFDGSLNVDLTEFQTNLVPYPRIHFPLVTYAPIISA AKAYHEQLSVSEITYSCFEPNNQMVKCDPRNGKYMACCLLYRGDIVPKDTNAAIASIK TKRTIQFVEWCPTGFKVGINNQKPTAVTGGDLAEVQRAVCMLGNTTAIAEAWARLDHK FDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGMDTLGESEVEEEHEY PHYBLDRAFT_97053 MGKRNREALLPTHLPQLQNLIKRDPKSYEAEFLQQYRHFQSSLA IFCLKPDEEAKELSDLVMFMSHVLQCYPKETAGFPEQIVDILKEHCMVLHPEVRKTLV QALILMRHRGVIDNTRLLPLFFTLFKCKDKHLREQLYTHIVTDIKTSNAKAKNNKLNK TLQSYMFTMLESAKTGNSEENAIAAKKSIDICIDLYNKNVWNDAKTVNVIAEACFSPV PKIAVTAIKFFLTSDEHEEEESDDEEVRKKKQKIGQIKMQQANVVSKKTKSKARKMDA AKKKIKRKSKAKEKSEVFNFSALHLLNDAQGFAEKLHSKLASKEDRWEIRVMKMNLVS RVIGLHQLIILGFYPMLIKYLQPSQKDVTMVLVSAAQASHPLVPPDVLETVLKAIANN FVTDRVANEVMAVGLNGIREICARQPLAIDVTLLQDLTQYKNHRDKSVMMAARSLIAL FREINPEMLMRKDRGKTATVRMKDGSIKTVQYGSASSLGVEGFQGIELLEEADIAEDE NAGWEGWEEEEESDSDEDGWINVGDGDEPVTIAMSDDEDEKPATTKTEGDQPKTRRID AAMRLASTRILTPADFAKLNELKVANEAEIIVKGKKRPAEERLVDEFAILGPRKKAKQ DYEERMASIQEGREGREKFGSKKGNKDRGSTTNREKSRNKNYVMIAHKRDVVMKAKRS MKDKQVAHRAAIEKQKK PHYBLDRAFT_23575 MKSALTFETYARCSTTKGRASILTLPHGPVNTPVFMPVGTQGTL KGMTPQQVKDMNCQIMLNNTYHLGLKPGQEILDEAGGSHAFQKWNGNLLTDSGGFQMV SLLKLAEITEEGVQFASPHDGSLMMLTPEHSMSLQNSIGADIMMQLDDVISSLTTGPR VEEAMWRSVRWLDRCIASHKRQDDQNLFAIIQGGLDPELRKKCVDEMVKRNTPGYAVG GLSGGEEKDQFWRIVSLCTDLLPKNKPIYCMGVGYAEDLVVCTALGVDMFDCVFPTRT ARFGNALTMKGTVALKSGKHSSNFGPIEEGCDCSTCKNYTRSYIQLLVTTKDTVGCHL VSIHNVAFQLRLMREMQEAIKRDEFPAWIKQFFAGYFGDQSKYPVWAVNALQSVGVDL TA PHYBLDRAFT_57688 MGKAKKTRKFAEVKRIISTKDSRLKENKEKLKKKEEEKEKAVVR QLPQVASSMFFQYNAALGPPYHVLVDTNFINFSIQNKLELVKSMMDCLYAKSIPCITD CVMAELEKLGPKYRIALKIARDPRFERLPCSHKGTYADDCLVQRIMQHRCYIVATCDR DLKRRIRKVPGIPIMYISSRKYVIERLPELGTNAF PHYBLDRAFT_159214 MASKTAIVLGGTGAVGKALVRDLLVNGSYEKVVAIGRRPVELDS NVPQEKLVQKSVDFDNLDKYRQAFNNASDVYCCLGTTRAAAGTAENFVKIDQTYVLNS AKIIAEQNPPKDNEKLSPVHFLYCSSRGSNKDSVFLYPRSKGQTEEGLKQTGFQKVSL FHPGILIVEEPRPERRLAEAVVVNLVPSFSRFFGLSWYAPVGSVGSAMRKVGEGYNVP ESKTKVFEPTGTKVNEFSNVDIDLISPHD PHYBLDRAFT_98856 GRYIGKGAGGSVRLIRRSVDQKTFAVKQFRKRLSQESEKDYIKK VTAEFCIGSTLHHPNVIETLDIIQEGPNFFEIMEYAPNDLFSVVMSGMMSREEIACCW RQLLDGVGYLHSVGIAHRDLKLDNLVLDHVGILKIIDFGCSSVFKYPFEDHITMSKGI FGSDPYIAPEQYTQPHYDPRQSDIWSCGIVFVCMTIRRFPWRYPRMSDPSFKAFATNQ NQQQFRLLKLLPRDSRALLAAVLNLDPAKRPTIEAMRDDRWTQQIDVCTPIEPGSRHV HHV PHYBLDRAFT_187469 MEKFREKLSSLRTEADNATARGDELENRVKSLENEGISKDHEIK SLEIRVKNLENELEVATANLAKVTTSYNDSKAYEENAERKVTALELESQDKEEKYESL LEKYNASKAELDELARQFDEL PHYBLDRAFT_169830 MRDLDPIAFTVELGKFYELSKESGTVAVTMKRMTPERLAITLKV KKQLPKGGQDAMKKTDDASTLRYPCLVRATYKTKKISTTVSVDEFDRFQSAYSTVIRA YMDTLKKKERVKKAKKAKTSA PHYBLDRAFT_155681 MSHSEHTPMIPEPIPVEKTSWRHQVDDLLFGSVAGMVGKFVEYP FDTVKVRLQTQPLDRPLFSGPLECMTATLKQEGIRGLYKGMSSPLVGAMLENATLFVG YRQIQNMIRKYSGTEPGADLSLSQLVLAGTASGALASIILTPVELVKCKLQVQLIQDT TATQTKKYTGPFDVMRHILRQHGLNGFYRGYLATLIREAGGGAFWFGAYEYTCSLFIE RRQRLSATKITKENLSAPELMLGGALGGIAYNLSFFPVDVIKSKMQTDEELLGRAFKQ RTFVQTGQDIYKGAGVRGFYRGCGITLLRSAPSNAIIFMTYELLSRHFGAA PHYBLDRAFT_23567 MPLPNDTPSHKKSTVPRKIGVLTSGGDAAGMNASVRAVVRYGIS KGCHVYGVYEGYQGLVDGGDRIRRMDWKSVRGWLSVGGTSIGTARCMPFKTREGRLKA AENLVHNGIDSLIVCGGDGSLTGADVFRSEWSGLLEELRAADRITEEEATTYCHLTIV GLVGSIDNDMAGTDITIGAVTSLHRICESVDSIGTTALSHSRAFVIEVMGRHCGWLAL MAGIATGADFVFIPERPPMEDDWESTMCAVAHRHRELGKRKTVIIVAEGAIDKSLKPI RPEYIKDILTDRLGLDTRVTTLGHTQRGGSPAAYDRILATVQGVCAVDAVLRSTPDIP SPLIGMTSNKVTCGPLMKAVELTHEVAKAIGEKNFARAMELRDPQFAEEFNAYTASTI VDDESDLLPPHLRLRIAIVHMGAPAGGMNAATRTAVRYALNRGHTPFAVYNGFPGLVR GSIEELTWIGVDGWTSRGGSELGTNRAVPGEEVDMGMVAYQLQKFNIQAIMIVGGFEA FSALIELDKARAKYPSLCIPIGLIPATVSNNVPGTDFSLGSDTSLNSIIDACDSIIQS ARSSRRRVFVVEVQGGKSGYLAVEGGLATGANTVYIPEEGIKLERLQSDVRHLMALYL DDAADKAEGRIILRNEKVSKTYTTDVISSILKDEGRGLFDSRTAVLGHIQQGNTPSPM DRIHATRLAMRCIDFVEQHTHEALEKAHVLGESVPIELTSAPESIAVVGLTGAEVHFP SVRELLPTTDVINRKPVKAWWFEHRGLVDLLSGRGLFTPEAQASLEEK PHYBLDRAFT_68795 MGLENELDAWKSHPDKQEENSTILNEQDLFKSGPPKRYPSISSY SSIPDYIPIPSRFRQPYTDPKKKALKIPPAYLYNKSDIVPHPNDSLEKLTQKACLISQ ALNEGWYLAPLVYMHGNTMLDSNTSYSSTGLTSEEQEQVKKWNSGIAMPTFNKDEVAS VTQKSVLDDSASKRCLKALQCLYKSDDLDMRHLVLFNRKYTILRPLLSAMVKILDKED SFKIKYSKITDLISAECQAMDLLVRSYDTYKQQLCTRIRQQTKDLDYVKNMMMSRKYN MGIFTERKRKMGNNYSLLDYEDEDFDYMSELYTKDTPIKPPSYKDLADTSSSLSVGKI ARNEIPYDEILKNKKPRI PHYBLDRAFT_146903 MTPSGPYNVKCYCSRCCIAPGGYILQTRNNAKIHAMKSQFDDMK RRSNAIPTHQPALVEPLVDQVDSDFFEDDLVSDYGEASVTNKTNGDNTYKKDIRTPAS NNEMLVNDNDTPVNTFDTTANTSEFLGNHIDSPVNASQAFENTVNLPANVTGALENIL NTPANTSGTQVNNNVIPVVTKRTKLFGNGNEVNGNGNEVKGNNNKVNGNNNKVKGNGN KVAGDNNKVKGHDNTVIGSKNTVISSGIKIKGSFSKINTTTNKSYEEVDTIIKVEVE PHYBLDRAFT_169835 MVGLHFPEIVNLPGSLARRNQCLVVGSALYSIAVHISQYQQAQI IVTIGLEKEVILPAGTTVGMVMPAGASLDEIRTGREVTEDNVEVMRYLESVVEYSEAR QIPSVTSGPILLPTVTQPQAQEKQDLVKKAMKEKYDITHKAKAKPFRIWDKVLVRYYS RQPMSTNLRPIWLRPYRVAEILRRNVYVVVDGKLRIPARMNWNISAIERTSLMLGPCD VVRVGQPFSSIWAEGRAQESPIITLKKIVLP PHYBLDRAFT_169836 MAHQKYPLSKYTLITKYNIIAEIIALSHAAQYPAESSRAAASRP RVEAVQDSVAPIQPLSIDLSFTEQYTAESNRDLTAAFEALQVSDVERVLDLTTTTATA TVIPCCSSCNRIGHQWSNNLQCPNNQRNRNFVPGQLTTTHNMAWHTTTPLPPAGQTFF DKIRWYNSTISFTSMGANINQSVANNIGGAYNYRIHETVCHKIVSVIPTTQAQMDQPK FAQIYIFDPASQVEHRQRNAPDLDRIILEKIQAVLMEVNPFVSLFRSIEQVACKNDGT ANLIICLKADGPQDQQCYNAPTTEEVAVLIRDNETGSSRDIILHTHANGLQRINEYNC FYDALQYVLLFPLGDYDWTIVSYSATREKVSVMDWYANRLMYRPNSMHLLHQFGRLFQ QYIVDMYTKVEHNCLDFLTQNQKKLRSKLYWGIQDALHLTDNNLANLGQQQAVYRVVK EAVEASPTTPRLYFVDGLGGTGKTFLFNAMLRKVCQQGKIALVVASSRIAALPLDVWL AFAMTINKSQGWTLDKVNLYLPDYVFGHRQLYVALSRVQTLNSVKIMVDMDSISTEAI SNVYINNVVYNEVLSRN PHYBLDRAFT_169837 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFGKEEHLCYWEIRL TGIAEDIILSFSLTLRFSSNQYSFTTVRKLADMQSNISGYMSAMTTGYGALSQQINDL VSERISLRFFQEDGGERAGVPPMNMAPFPPQVHSSESSSQQPATPARYRMSRGVQTIT DFCVSVQEMETRYSVAWRNDDHQFFNRYKKIVNCIKEYAVIHSVSEKTSVKIAEANRL CSFVANIFAIFHFI PHYBLDRAFT_146909 MVEAQVATSREAEVNRPENTKRSYASKQKEYRDWCDKTFPSIYS ENRYTVYGDKLHLFLKDCIVNQTHR PHYBLDRAFT_146911 MNSTDNNSISLLYVMYNEILFLKAGQEKFKLEMKAQIEELKLEM KTSIKDLNLEITALQSQLENRNISNQHTSPSVSPISSANTICKPVSIFREITLKHIFK MISEDLGIEVTSNKKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKRLQSHK PHYBLDRAFT_73978 MNYTEASSSTQTNVLNNEYYQCNMENWLTMQQNSEMIVTKNQLF RSKNTIHAYLAKQKEWMNWCVETKKIADGTIVSDEKVAFFLTDFVMVCDRKFKRNDDD TPVPFGRESIQAYVKAITDIYYQQVALDLNKNLHSREPIVRQFLDTNTKKETKCKRVE YKDREKNTLNNRYTKNELLLLSQYFLEQDSTVGVRNHLCFLMSHAMLLRSKTAFGT PHYBLDRAFT_146913 MTISKMQEYALVTRDSKPTRVYLKCAKDSHYKNTQNIEDSKRKR IPSTTNKIEGYYNYPLDDDCLEFILKGRSSKVTVDDAREIIKLVKIKTKTCEIQKAIN EKDNVSHKLYVNDINNIKAAFAHTTASTSQDTDTELIKTMEAKGYLVRYYTSKYESLE RVFFLHLKMLERAHRFSEVIAVDATYSTNNLEMTLVCMEGVYNLGKTLLNRFPLPSSE FRMRNKRPAFGSLSSSSVEKVFARKIKSVAEKDILMEYLNSMIHSSMKRDFEVMLMKF NAVSSGCEKKWAGYLTSQKMHFGCITTQRVESTHQALKREISAISSLGLAFEAVNSYV GRLERDFNTIKIRELTTVDVLVGRNKQLSRLFMKVSKRALLVIDQELCLINRDDEFCY CKNRFEFGLPCRHSLPAGRELTLDDVPER PHYBLDRAFT_146914 MQDIVKLEVLFRSCEGSQQVTNLLQKIKKVTSRFKGKTGRKGDA RPKDFGWANWRKISVLSGHAGLKAMVRLRARTRDGKPAATQKTKNNKKQNKRKKEPFD LVDATKEIGFKRPATAQENYQYDYHTSVSKRVKFQPGFPVSHEIVNDVKGGFNSTADG YMLATLPKHSSIYVSTFRTNVKQLEDIIKHGSDLCITNSNSNSNSNSNSNFIPACLEV NM PHYBLDRAFT_146915 MSPKESTNTNIFKTVPPQQSRLRKSLADQTFCSPKYAQLKAILD EALARAAIHKEQHKDLLSKIDVIVKHSIAFQEHNSTLTEELCIANEHVEFLHNQLQLQ IQVPDASTFTTTTLLPTEIGPVEHFSVEASAHGPVTISTLSPTMFLAAAKKAMGKKLN QPKLSTAQATYTLMKTLENIEDPTVLCLGHCVPQMQHSLPPCAQRLQGQDHPALRRHW HIIADLVHAHKHMQERQQLAYKLYCQCLLALCLRLPAPLGKSVMRHFCIVESSSLRLP PVGLEQYLEDRNLPSGPQASAIDTATAMVIG PHYBLDRAFT_169844 MQQSIIEQSSLERIAESLKRAQLTEYSDQLSKRVINTGGKFKGK NKAQKYNLLLQILYEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRMRLA KNSELHIHQCKRMWCARSLLWESFKSNNQMHKTRTAEKNKTQQDISDSSLSSPDMSET GDVESPIMADVLSPPPTASVEPARKRSRRFHSIILNAYFTEQVSILYKEIDHSVKAAK EKQEVVLELKAIEQKKESLITIRLDIYSQSSIYNIADLLYVTANKSAEKILLNRDYY PHYBLDRAFT_169845 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYNSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEPGAKISHGETVDALLKSKSSVKGHEYDVCSSGCQLYGINDDQESCVDCGKPRYKTD PNQSQTPATSMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTDIFYSDNYKQL VQQSLFSNLNDIAIGLYTNGFLNQKKGKNSYTIIHCIIFNLDPSIR PHYBLDRAFT_169846 MYFDDISVQLRPLEDFKVDNPPSIYTQLSTFSGSSFFALDELHL IARGIGKLVYDLITVTLTKETKIYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSQK YIPPSIQGSFDDVFAKIDNTRAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLTLTSE LLDEMESYFKHWHSFLYKKVQNNTLSRSVFRPVQHYLVHIPYIIKQQGPLRCYSTHSM ERVIGIFSKLIKSKSKGGRNASFLVEQFAIHNYTSMAISICDEVNLIRPKPYGRESYM DLPNDPSDAQLWEPFHQFVNLNDDLVEGVGGPSVKEALLIYYRRTTGLTSHEFGDSVV VVAARLWMNSTVYSPCMYQRKKNKTSCGNHYVMFTCPYRKEIQAYYCEYSAFYCGVLP ISTGTKADLVTCAQTCYLQV PHYBLDRAFT_169847 MTNSLAILRCDMTTVMNDVADIKAKTLNTPVSAVLQSQPMALVH AFAPVSMEMNVAGSPTMASDAKSVNKTKAYVYIIHIRLLWDHLWDPKFKSKHLAEIQA NNGKPRWNTAVNFNQLPNTELKENLVAYLERNFVGAGLRKSDVRDFVYTNFTSRKRAA NKCQAKKKSDNARNRRSSREKKMKRDCSGLIIKEAMSVGESDDGTSPHVSYSGLRLCR PGWRSDEYNHFITLVDNKVVADLGLNSHQLLSCAFGKTIERPVPDAIAAQFSQWALRN GP PHYBLDRAFT_146919 MKNNDLLAMQTVAATMILPSNYTKLKTKIGKSFSHMKADDWIHY IKACCILVKPSISFIEIDQAHRYLQEFCQSCEDTYEPKVLTCNMHLHLHLYDTICDFG PVYGYSLFGFERYNGLLKNNKTNRKDGFKTTYMTKFTADAYKADYIQNTLSCPSLIPF LPLFEKLTSTTKPITTYATYASTNQQPFQLQQFSLKESTMSDIDYPQLLDYYKIVYVM PNLISYHDARLSQYFVNNQITKLKSIDLLGQTYIGNNSSEVATAVDVTDMNEERMLVI PMPKNTMSEAFISNYAL PHYBLDRAFT_146921 MSDTTTTKNTGFRKICHDLESNQVQRLAMSWCPVPKSQSAQLVV RRFIVMCRPGYERLTSTCHNKRARYEDLERSERNVSVQRNLMDIDFETTSNQQTGPME AMGGQTSSPVWEGAPISDNEIAFSNESNGKSSDGDENDNDEKSNGNEESEDNEENIVE IEVKEFNNKDLFATPNMPENPVHRFIATFVVMFASCYVVNKGAVILIKFINKLLSIYK QDFQLPVRLSGLQSITGFSAMTKEIKRFVVCQNCHKVYEESVSAPLNCDFVKLGAHTT CNCKLMVQSLSGDLVAKKSYVYQSLTHALKILFLRPNFEQKIMHWNQEFKITDTLCDV YDGEA PHYBLDRAFT_68777 MSDINIALINSVRKIEIDIAKIKQIVRMLQDQFSKQFASAVSAE DLSIMQQSIIEQSALEHVAKSVKRSQFTEYPDQLGKQLKMLSRMVKYDLIDKDFSALS KEWKGILTKHWEYYRMSLERMAKDNGFAIYKCKSMWCAKSLLQGSFKSDNQKQKRRMV EKNIAQQDANDSLLSSNNISETDGGESPIMVDVLSPLAEMSVALAHKRSQRS PHYBLDRAFT_146923 MFLVGVSGARFPKKPGGPLLSLETRHLVNSPSMSTPTSLVLSVA AHCWTKPPKESTIWYLSRETSQSQTCLQHM PHYBLDRAFT_169851 MGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGG SGTSRSSVIDLFLSTSPLLNPSMQIHSDLSLGSDHKMVNLTFTPYVSPPPPPTNHLRL LWNLSGLAQPDTLKIYIDTASGSLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDAL DTAVGRRTPRTMQKYWFWSVDLQEAMDLRERSYQCWRHSSGLQKVICWMRHQDACHAV RLSVQHRRRETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVNPGGPQVAANKMA DHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDH LRAEMLHPIVKQVSPVLCLLFQLCWQWAKGTIDPSLLISRNCVSAINSMRALQSLGVN HTGLSRLLSIRLYRQFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSST SVFRHLGNLPSMRERILTLGFKFVYRAFWLPDEALFTLLRPVLTNPAHQWFKLLANPI WLSLSNRQNADSKACKHAIRSFLNQGLSLQRSQQILLSACRPSLSVDPILWLPMTNYE RSRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAIRLHLYSTVQPNPIDYVLNM LPLKKLKNNKNNAFWIFTWPILCRIMLDIEQICLPGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_169852 MISARLELATVCVLDRRDNQLHQETTHSLPQPNYFLNSNPAQFY FYLFFSITTISNLSVFCSRIDCHSCFYISTNFHFFLIDFFFKLLESSLQSSSHLRRNH SRLSASPTTSRLPVPLLSSPALFSFSESGPGVLPSTSHFFVPVSDLSFSALPAPGSHE IFFQAPPTMIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSHCQTTGKIVSN GSTSCTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVHSASEPVVSPSVSILGHSIS MADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIELRKALATANA TIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASQTHHSTEPTKTFVSKT PRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAIRLPISTQRQRLRKLKIDNARV LDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNFDPLDPVHLRDPALASLSLDD RATKAIHVHNDRMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPTKKDLDI SIHTASITIPSFSDL PHYBLDRAFT_169853 MNPVERHQDIFDKKTLSQFEIEERVQDLLPSKLVNDYKKNRRVP HIPEEQRIKKTPAREQKWTELATKLAATLTGKNKQEQEETMAPQTATLTAEAIAAAVA KAMKAITVQQEARAEQIKQPDCFHGERSATVVDGWLRAVERYTRYYNFSTTKACEFAE MFLPPDLSTLTMPATSLWHMPVASTWGNRTTTHPSPNRTNRRTNSSTVVPSPWTWMRF KVAVITTDLAPLRNVMWLLAIGAAKLVTSRGTVGID PHYBLDRAFT_159218 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_159219 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINEELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_169855 MDTSIPDDPTLVSDGAIPFLRNLQQARKNADARVTANSQQDKER WDSIMKPHQFAIGEHLLLQHENKFGLEYNWMGPYIVVDKNFDKNIYKLTTMEGVPYTS WVHADRLKIAKSDDFDRTWYHPTAAHNNMRRDLAIDSSSTLPFSMIESTRVDRGRSTV SRGGDVGHYFDESEKSG PHYBLDRAFT_146928 MVFNDFPNGELNPGHDETDIVKETSEVVSHNEIHFEDKKLNDSV EKVEMPAQIRDLFLSKSDAVFGVESNEYAVSNDLDNKEDESDADMSDDEESRIAKKTY VYNSIVSSLKIFFHCPKFENSIDSWNYGPKPFDEVIHSCGAIYLAINNLLHNIRFKKE NVILVGLMPGPKEPSMSDINNYLKPLVNELTELYTALLMVACEIPAARKVCGFTSHTS TNACHKCTAKRMIERWVADGIIDNKKLVAMQKAVEKIVLPPDYTSLGTKIAKGFPYMK ANE PHYBLDRAFT_146929 MSNVCKSDIVSAHKYLENFCKECETLYTLDLISLNMHLHLHLQA TICDFGPVYSYWLFSFERYNTSTITPYSQLSFSLANFITATLDVLISIKDNKPLLPTA FSLAKKPLSLIPTPEYNCLVDYYQVAYNNGMISSCKNDMTRQVYKGCNVNGRGFYIQA LFEENRMNAHYGYIGEIQYIFVYLFIPTNSPTAPSNHNHEHIFAFVRWCKTTSDTRRQ PKDIEIYHANFYNLDFQSILPIRCILLPVAIIDYKTRRSINRKIAIPLPQKIYT PHYBLDRAFT_169858 MYKQITRKMAPITPRTPRSNARNSLTQVAVGRVEQHLVVPAVTQ EQRMTEMSARLDNMGTILGSLDNRFGQFINVQRRNTKTVGAIAMSLASTSRQVLPAVA PSAAPSFDQMSEEETKVAVLALIREKIWKKDFRSNDSTEIAGNEARQRWNIDERVDYL NNVVVVAYLQEYIHAQSQTVSFWPGMVVSMIKTHTLPEQRVDNNRRARIASQIKEIIT KHIYTCRQDIYTRYWRVIDKEMGLTVKEDFKIAFFSAIQKGAMSDGKSDTEKLFPGFP VRVLKVARPSWRSNEFNKFLGLIDESMHSNHKAKGNAKPRMPRFLRGEKNVTVPCWLI LSLPPWAIKQ PHYBLDRAFT_146933 MQKAVEKVVLPPNYTSFETKIAKEFPYMKADEDVLPLLEFKNWI EFVNACRHFTKPSVSEEDIEKGHKCLEEFCKGHEALYNLDLLSPNMHLHLHLHQTMID FGPVYGYWLFSFERYNNVLKNIKTNQRNGFESTFMRQFIEESWKGNFVCQLLKPMHAL ACFEIFDKFTTNNNKNNTNTNINTYLSHSFSISEYLEASQNLSMTICGNEPMSPSALP LKTRSVSFMPKHEYDCLVGYYQAAYKNPQISSCKDVIDDSPFVND PHYBLDRAFT_146934 MFIEEQNGAKYTSRKTDRKGKGKASAIISTSANRVLAGHVAPRE IASSFSSNTIQDQQYMEIVEMFNKVNNSINGVKDDIAAVNSNMTAFKNRMGVVVDTSG KTHTAFADFATACANNQTHMASLGPSLIQPMSPRPPSEIFAEKLWDWKFESDDPALVA KNESKKKWNLNKKINHRNNNRYKHSHRTFHESPEQKVKKNSKGRAKSRTLQTGNPVEK AYLKLFQKDVISDGESDIEIVDNLLQWYLHFNRLLTMVDDIDCAHHVSNVGMGTKPRM NRYPTILLPCSVSATLSQSLPRWAINDE PHYBLDRAFT_113903 NATTQTERHCLEVENGVCWSELYCLQYFDAVCCTIIDRIHNLFL GTVRRMIEKWLADEVIDNKNLLPCKRLLRKWCCCRIILY PHYBLDRAFT_169863 MPTCKLTFNNSQTYKSCLEKCIANSTHLLINAKVFQAVSFSDQS QSTSAIVSNLAFGENTNMSSNKDINIIDRTKDDELMYNIGKEYENFLEEIEGTTPSLV FDFSQPLPVPSNGDKKNPVFMQLIQEFGISCQAHKKIVEHFN PHYBLDRAFT_169864 MQLQMTSFYNEFKDREFLDRTIVTSTSHNRLLLASFQDPYQKST LFYVFRILEQIPAGHCFLKKIKTGCVSIISSYSETMESISPDAIETGHHLQRTFSQYD S PHYBLDRAFT_146937 MGNLTGVLGARFPKKPGGTTLVPRDLSLGQLIIDINAHESGTAH HDSLLDQTTKEILHLYSGSTSRCGRADPGSIPVPGVDTILFLPASHVERGCLIRWRMG WLLGKPKECPGGSDYTSRCHLLNCPLVPATLFEQLPQPNYDQIHRIDFAITSLPLTSQ ELRPAY PHYBLDRAFT_169866 MSRFTSFYRIVYWKYRRHCQEPMSLFVKDKSCYSLDVSELAFHM SLICNISWYLPCLKNQILYKFHPDENKSLDIWMHEKLPSQNYCIFTGDLSAYSNNAQH FVFGFQLPSQMMLMRISQSFCLDATHNISACNIEILYSLVTCHPDTGKGSPVAYMITN DHSVGPINQWLIHLCEKSCFTPLYIIIDYNIIEVNAITAALPQTIIHFCKFHVLRAWQ HNLDSNVKLDTSYTSEQLGKYKYELKADFKNILIESDKDEFLRKIQEFRLHIQSQQQF LTYFKQLLRRWGRPYVANDYQRYLANNYIESWHNQLKTIYFGHTCIRRLDCLIFILTN DVEFFYNEKIGNSMENSNGKWTVKSFTVQRENNHLQLQRSLASEHEVAVVNEEVENER NTVVVSGRNNSVWLQSIMAQNTTLYYQREDLEQLMDVPGIDEAKLQVISNLLGEAMNC IDTLRNANSSCFRNLNTQR PHYBLDRAFT_169867 MFCMTRDYNNHVPGDRSEIRTLPLPFEAIKLIENQLRSGSSCRT RGVGVRKPNYEEIYNRMKKMTNLLYMFNSDEKASIAIWMNEKLPERNYCIFTETFVLT TLKAIFLPLAFNCLPNIPKVNAITSAFPHVAIHYCEFYILCAWQTNLDNKVRLDASFT SAQLAAYKQELKNKLKYILIESNEEMGPVENELARNEFAASQIEDDILPTMIISPLNV ISTSMDDSDDANHLAMQRPAVLAEEEEVVIVDKEDGREDVVGAQNDVDISITDLITHT TLLHHQRLDLEHMQTISDIDMSEINDMTRCPQMNNGNNTTINDPSLQHMMDNTVAMYS SNQLVLAQNLDQCPTNMTKTYLVKQEEWRCFYTSQTAMVFQERIWRW PHYBLDRAFT_146941 MSINNTQSNGTIYILATIFQALECSSVPGVMTLRLENIIRQPTN ITAEETKATGIKLCFSQEYSCHCWGTYESKAALHVVQKQTKKNKCSALLHVKGFFKTP EFYEFVVTKDHAEHTPDNMHSDICTLPLAKKYPHELAQ PHYBLDRAFT_146942 MKNKLPALNFNIFKASTSYSPDPSAFAYDFMSPVQQEKMKTTTC FCLDATHAISSNVNEILYTLLVCDEDIAEVDDILTTFPATSIQFCIFHVTQAWNQKLS DSVKIPESLLSETRIVHGMMIKSLQDIIYKEDIDKFHHKIVQFKEDFDDQESFLDYFE RNWCTEAKFKIWSRAYHE PHYBLDRAFT_68756 MKDKENWVNMYVYKHAHFGNCTLNREESAHSSLKHSLGTSSGKL KTVTLKVKKWQKISSAKEQKAIKNIINLGSPCDSTLLTNLTIAPKHISTIFSPEADGN CGYRVIAMEVYQDQEEWSKVKDKMLETFLKHQNNYYHGRMEHGNMPASNNPLIRSLQD KHSPLPQQHWFGTIDHPQLVANTFSRAVAVYWNSPIETGDCLFVPFATLPEKVEPIII ILDVNHFLLAKCKNTRNFCWPKINPFHKRIIQKHGLEDYSLMY PHYBLDRAFT_68755 MASTYTSEVAKQYLIAQGALYPRNHIIFTCSGGSSMDYIFEKDR GYSYRCSGVNNMGATCCKRERKSKRLDSFFAQIHFSYDIVMQGIYYWLNWISRMTMGV MLGVSPETIRHLIASIYQLIQMDLTNNNMRIGGIDDNVPQRDTATLLQVLKQYVKPNS IIHTDCWAAYGGLASVVDMNYTHRTVNHNVDRSVEWNKNELQGQIANKTNGAIDVDGI HMEKNMRTDCDKEC PHYBLDRAFT_169871 MSLLPAIVNDFKKLEKGVKMFSAEDNEHAKDFVKDLSYFCECHE RRTREHYVLANSSPGRDTEIPNAPKIGMNTPANEISFRDHSTGHLLELQSFDPEKNTP VEILHTILLGVAKYIVIDLVKVVLKNDTATIARLSEFLTDYTRSTGLSRKFTQNLRHS SSFFSRDFKVLLQILPVILITEFSGNHELDLVISCFVELGQLCSLLFVCQVTSDFDNY IIRVDNTVKRLIRALFDYNKETKNELHKAYCTKLKVHYLTHLKKDIICFGPALNYETE KGKQFNKHICKHLFHTNHQNTSRDICLKFAKQVTLQHVIDGGSWINSSGNQEKSGTRI ERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFVFKDYSISRPCIG LVSGSVVKFLSIVPCTDNDRNNNYAKAVMTDEHSDVANMNLICKLDLHIFRNLFYIVN LSKFGSYWFIFNNILFDE PHYBLDRAFT_169872 MEAHANNKYFVEKQGELNFNINSGVFTCSECKKEFEKPWLLKRH SKVHHISNQMATNTVLNKPEQAELIVENTIPDANAFDYSSDEDDSSSIGDEEDNIVDE KNDIVNNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTNDDSENPEEVFETIGAVNDP TSCYPFRNLQTMILFAFIDGDNDMISQQMLKKILLAMNLIIKIQQETPLGRTFKLPRL DALLNYQARKKSKMPVFPSQRISVPGSNGNAFVHINLPSDHLRFLMANPKKSKLISSM PNHTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIIYLKTND PHYBLDRAFT_68752 MFPSTQIHTLDCHCIKCHNSHQKSSYATKRTEARHNKRARVEAA MRNMDVDTEVIPTSRSNSVEAMDGQANSPFLDAASMFDNDRNDNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPKNEVHQFIAIFTVLFASRHVADKSAAVLIEFINNLLRIYDQD FQLPTSLASLQKMTGFSAITKGIKKFVVCQGCHTVYHNIVSALPRCVSSKLGARSACN CNLMKSISSGALVAKREYVYQSIKNTLSVFFCRPSFEAKIRQWNKELKMPFDGVTHSS GAIYLAINNLLRDERFKPENIILVGLMPGPKEPKTNEINSYLEPLVDDLKQLYVGMQI PTHEFPNGISVHANLKLRLIRFLKVYGF PHYBLDRAFT_68750 MNNSDSQVLKSIQREIDVTNALLNRLQQYQRCNMFQDPKVSPDG ISISFRNIPKFQIMGNNSTIWYHTSYQCFKKILTAGKTNIDSAWKICLPMSFDHDHDT WYKSNLQGKDISWNDVKDIITKKFDSFDRQLEMASLVFTRIMGPNKSILDYGIKFQKA CREGGVKENANLAMRFMSSLTPELSSNVKLAWFARYSEMPQTIKQVLLLANGISTSNK RSNPYDNCNNNCNNRKSNLNITNKGKYFCTQHMFNDMHVTSNCRMLQKHKHTDKTCRY CNKPWQHHHTCQEYLQAKTRTVNAIGTTQENITSPINHITQTLENASFVTYANGYEFL HSFELMEMNQEDSLILGQDILPKLGITLSGVAVDWNIKTSTRENQEIENDLEPNNSPY STKEQHDQFMSTIACYIEDNEAILKTSFCTVPESIAELKTAEGAKTYQ PHYBLDRAFT_169875 MLPFSWLCLIAESVFGNMAYKKEKKRLSYQRKTKGYKSIMTGIC QIAPNSSDEYKNLLVKMKEMEKSMVDVRGELTTMHKAICAGFGQGNESQTSASVSLDN PSVAASSIVRIPAGVASEISCENKDKVFKLIRGYMRRDKFTSNDPALVSANEAKPRWE MNVFFNRSPNKEIVANLLGYLLPKFVGQDIKTSKFHTMVHTNFQSTTCKDREDPMVRA ATNTHGRRAARETEHFNRCVMAYVLNKDVIDALMKRNCSGMMIRSAMSEGESEDEFPG RPCKRIVKVTRPFWRSDEFNNLIFNIDEIVKENLGNNIRQLLDRNLASLSEKPVPDDV ALCFPPWTLRDGPQ PHYBLDRAFT_146948 MMNQWIERGVLGDRDLTAMQKIADKMIVLRGYTALKSKIGKKFA FMKADEWKSWVLIYSPVVLKLVLSSLHFNNWIDFVHACCHLVKPSITFDDINTAHRHL EKFCEKCNEIYTVTILTYNMHLHLHIQETILDFGPVYSYWLFAFERYNGLLKNISTNS KNGFEATFMRCFVEDIYKSDFVNSALTCPTQAPFLSVFTLPSASSTIVQPPFILQAFV DSSETTRIPILGNKPLPPTFFPLSVSKPSSMSDINYPHLLEYYKLAYLTPDLIHYQNA AASPFFVNNQIIKLKSINILGQVYYGNNGTTGRGSYVQSLFLGSDGSTETTFTCQIKY IFIHSFTPPSMSPYYEADSTHHDQHIFAFVNWLPLLGDESQEKDGVDICGSTPLPSNY HSILPVHRISLEVAIANHTTGLVQKKLVIALPKKLYT PHYBLDRAFT_169877 MLVYNNMFFSRKSMYKIQQFFKLIKLPVPAAPVYPAITASTRLI LVAYFKNTIVVQESGSVEVLARQSDLPILDISPMSVDYEVDISFNDIDFEYESNENAK DTVDIDAEEVDTECLYENMFSNSSMPENPVHRFIATFTVLFASHYVVNKGAVFLIELA MSS PHYBLDRAFT_169878 MNRVTKKLAPGRVSVPTPRIPGQLNFSLVDIGKSCSLCEKTFKD HWNLKRHLQRCHDIMETVADDMSIVQETEYQDIQMSDSPKNPLTPSESVEEESDVDNE YYNSILNYDECEESDDGSRVGNSDFDVEENTEPNAGIPLFNHILNNMSAFADNNESSI DEEDEFQSEVFNSTAWNRFTPNTHPFKDIQTMILLALVNGDNDMISCRMLKKILFTIN LLLKIHEEAIRKDISFKLPRLDALWNYQTRKGSNIPIFKSKSLDVTLSDSTKVTAFLN LPSEHIKLLAADPIKSKSIFSLPDRMPNQSVCLQQGEKWRTNTYFQQPMFTHNNVDFW SGDIVLLKDCLPNIRFLVESFHTMDTSNVFSHGYIFRTPKDGCSIGIEINHTDINIES FLSVDTTPLNTSLCCSISPDTIILLIPTHRKLLEEEHFLKRLICDRTDQENNQRKYYK VKIAPVILFSDDTSGNTSKQFHPYESWLMKCAALSFEERCSIENILFISAIPKKKGAN AALLLPEIVDDLKKLENGVVMFSAEDNAYVLVVSLLLLIEADTPCHSELCGLGAPNST YPCRKCYIKLQSRIPKLNEVEYYTNRHPTRAKDHYIQATSTPDRDTVIPDISYFDNKN TAEELSFKNKSTDKLLELKAYDQSKDTPAEILHYILLGIAKYLITNLVKVVLNKNKKE LEELFDYVKDYKNSRGISRVFTRSLTHAGSFLGRDFKVLIQILPVILAIKFADTEVLH EVDSAVRSLIEALHKYDTNCKHKKHAFYTSKPKVHLLTHLPEDLQRFGPALNYETEKG EQFNKHICEHLFHTNRMNTSKDICLKFGKQYMTRHIIDGSSWIGKNGLRETHEKAIAE CMQQNSDGKFHETLLSGSREFADNNSTGLTPGRILKDNTFALFRQSNRHIIIGMVLFS KVYHLYIKYPSAHAVHNNYHLALKYADDIYTPLDELKVVCLLDMHLKVGCKYVVNLNK FGSY PHYBLDRAFT_146951 MSSTIKQNFEECYCTECIKNYNGYTLVSKIIAQRHSKKAALKDA IRSELGNACSTDIYKCISSVTEEN PHYBLDRAFT_169879 MSTYKITQILERLVYLEDSNASLRTKVALLEDRLESLTNNYEAE LALSTRDNAQTAMLLLPVTGDHDIKRPSPHGKPEGWNQSMKCFKGVLAAHFTGGNLVK AKLVYKAVGKLTRELVHYSFSKYSGRNIAILSWGSLADDQKTIMSSSLKENAALKNIA LHRFKNSWEALLILSHKWRTAKYHGRSY PHYBLDRAFT_113964 MCINGCCWFSTVEEEDFIDKNETCPHCSEDRYKVERVSVNPAQT FQIVPLSEQLQFKLAHPEEQAKMAYGTRCLAGRRENVCEDIFNGDAVRRLLDCRVVAQ DDILVSMFVDQFNPFKNAKMSSFVIHVINLNIDPKERYKAGNMMQLAIIPGPNHPKDI ALFLELVLNDLRNLGANGLQFRLILDW PHYBLDRAFT_146954 MNLAHHNAHHGCRACISYGARDSSTTCIVKRDGPSLLRTEESLH QSVGGMYGVKGPNIFKDLPTITSTAFFRLDEMHLLGHGTGQQLYVALGGKFCPRSMTL KHHGYPFALDVSLEDINKAICASRANIPANFTGMWRSLKEFNGKRKAVDWIDFLLFVV PMIVINHFVFDHTKAAVMNLVTAYRIAQQWRTTAANIQEAEEAIGHWHAFLCCEIEEK RLKPTVFVMNQHMLVHLGYMMWEIGSLWAYSCRPTKRTIGVYSMAIKLRKRTSNFEVA SNNVAGPQLWSRPTQSSLAELVAAIGIECQNLVRSLVPFWAREGIVSFEENDEVVCAN KMWKDLVIYRVRSSVDSRNGQANNLAVLDNAREYGFILKFFSQTVNRVTRLFVAIDCL SDVQRVNQDLFPVWDSLAPGVVKVVDVKSIKGIAGLIHDPNNKAIWRIIWLLPKYNQ PHYBLDRAFT_68744 MQLQMTSFYNEFKDREFLDRTIVTSTSTLTRIIPRPISKINDIT LKHIYKMITDDLRIELTKETKRIVNTCIKLFHLFRILEQTLPSHCSLKRIKTGCVSII SLDSETTESILSDVTETGHQLQGLTSSEEGVRNENTLNFSQYNS PHYBLDRAFT_146955 MSKITKAPRYQCLLARQNYLPMAKFPKLSLFLIKASLHQQWFLT RLLEECENVIDEIEGTTPSLVFDFSQPLPVPSNDDKKNLVFMQSIQEFGISHRAHEKI LLERFSGIKADGYNICIRGCMQFNNKNDIACIKCSEARYKNGQTSESDTRVPVRSIIQ LPLARQLALCLADDKTRAEMIYRHNYQSSQDGQKADVFDGHVYQFMKHLFSGENDIAI LLSMDGFNPHNVPGSVTIVHATVLNLSLTIHYEKNRMIQIAMLPGCTGPSDIWSFLKP MLRDLSLLQTEGMEVKALTTTIRAKVHVLMATGDIPALAKLACHWAKLLNMASTSACC LEPRRAHWRVSETTIWQAVKTERGSMVWGLVRGKYGIKHPLCLSLATQREIGTAMVAT KSTIPTSLHGAWSDVTKNASFFSTTAEKMVTCYHFGNITAIFYLIKNGSYDSV PHYBLDRAFT_169883 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFEPAVSAE DLTTMQQSIIEQSSLEHIAESVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGCTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLVKNGGLHIHQCKRMWCVRSLLRESFKSDNQTHKRRM AEKNKSFYYIVNAYFTEQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKECNRGKEG RLIFF PHYBLDRAFT_169884 MSCLNRDGLNDFQFAPLILSVSQDFSGLLTKTYILGGFTKCHWS LSRSSFFDYTPTLILYRQTNNVIVFCVCQLFCFLNCLAKKACRSKQWNTVGVDCTRGG PMDVRKE PHYBLDRAFT_146958 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYRLPTVSRLIRSQTRAVLATMP LTVNEGAFSTSNRPIADVVQSYTHQQAEGKSFARKDSSTKSDFSMPVQKEKHYKAIHL ADKANLESKFGETVVDLLDYDMLSDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRL ANKGPDVIGNSVYPIILRNTELSNEKKARVAAWIHTRQQ PHYBLDRAFT_73819 MNNLPREERMKPENIILVGVMPGPKEAKIDQMNNFLEPLVDELV ELYGGITMKTPEFPNGTSICAALMCVACDIPAARKTAGFTGFASTNACHICKRHFTVV AGTSKINYSGFDHENWVSRTKEENATEAEMWFCAESDVERAVLEKQHGTHFSELHCLH YFDPVRCMIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLVRMQRLADGILVPPGYAVL STKIESGFPYMKADKWRSWCLIYLLVILKDALPEDDYKNWTLFVKACRKLTGPSVTYS EIDSAHQLLGEFGKECETLYGESSITPNMHLHMHLRESMLNFGPVYAFWLYSFERYNG KLKNIKTNRRNGLEVTFMRVFLEKAFIGSFL PHYBLDRAFT_146960 MSSSNANQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE SEAHIYDSSRISTNTFTKAELMNHDDIMMEPGAKISHGETVDALLKSKSSVKGHEYDV CSSGCRLYGINDDQESCVNCSKPRYKTDPDQSQTPAASMKLMSVGDMLSQMLADPATR ELLCYRANRESVAGQLTDIFDGDNYKQLVQQGLFSNPDDIAIGLYTDGPIHQKPTHLD SFLIPIINELRDLEAHGLVVKHNGVELCRSKVYLLLASGDIPAVADMAHIGSHTSLFG CRFCETKGKCPTNRRHGMYFDDISARLRPLEDFKVGSPSKNIYQPSIYTQLSTFSGSS FFALDELHLIARGIGKLVYDLITVTLTKETKFYYTHPDNTLNTTEYPFHIPRADLVTI GNCITSSRKYIPTSFQGSFDNVFAKIDGTRAVDWLDFLLYLVPTLVVPYLPNRAVKTA LLSLVKGCALVLQWTLTSELLDEMESYFKHWHSFLYQQVQNNTLSRSVFRPVQHYLVH IPYIIKQQGPLRCYSTHSMERRFAIHNYTSTAISICDEINLIWPKPYGRESYMDLPND PSGAQLWEPFHQFVNLNDDLVEGVGGPSVKEALLKYYRRTTGLTGHEFGDSVVVVAAR LWMDSTVYSSCMYRRKKNETSRGNHYVMFTCPYRNNHNIIVHSWLVGTVQFYFQHVDF YGFPHFLAFAEVMKEHDAASHDSSVPIVKQQSQSTHTLGHQTQPTYAVISVNDICHQV GLVQYPPNGNQFYVIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_68737 MQFTHELGAISRGSNLNKKSLLFTADIYIGVFTINQHIIQHYPQ MIELYGPPRAYSTQSVEKAIGEYSRFIKSNFQVSVNAGKIMIRLAQSRRVAELTTVAN TKTLPANLLVYSAYTNGWPFREGDDPANAECEIEFWGPLKNLTIFDSFEDSSHLSLLL KTFYDLKGGEYSMLEPSIKTSCKAYLNGCVIDAAFNQSSTRDACHVHMQLQVDMNSRR SRSYHPGYKHFFGKVVIFFQHVHNSKRWPLALITIYSVHLKNGLPIISVVMLKTIVIY ASDIVELVGLVPSNVNGSHYIIWPSLKRSPKLILGALKQRFSCFNLDLWSKMKSQIQK RSPFSHSRKDFICQSFKVLFIIKRYETFEIQQDLVAFSIACFFFPFAVYAIKVASCIP NC PHYBLDRAFT_169890 MCRDLALDSSSALTFSLLDSSVVDRELSTVLGGGITDWISDLRI SIYNVNSDTSVVSNLSWSSFYYNKVKSHYTAVNAQEDITAHNEVLRLTIFRFYGFIKI MSDTSFYYNKVKMANLTGIKKYM PHYBLDRAFT_169891 MSTFNIAANYDNRIIPDYTEAVDSFDLLSEDEFTNWLNDIAKKH ANWIYHQSYGHKKNIVFVGKPLENTLKVKTVVYLCDHAGKPQVKKTSQPAQKHVRTTK SIKIGCLASIYKHTMTDGTVYIKYNWQHPNHDSFRIEEISLLRVPDELKQWVEGLVSQ NMDWKSIKNMLRMSEDRLLELEQAGNRSFFPSSLLIDYQYVRNVVNAEIMKLSRKHVD HYESVKLWVQELNETGKEPEDCFVFTITVRNPITNKGLPVCFFITDHEYTSTLSQWLT WVKVQNSTHASNIAHNSVCAVLSNMIHTTTSVAYDTLYNELLVKSGKYKDFILYFNRI FQSVCLTRQEEQKRRNVYMLDSNTAMEMVEKLSDTAFTCKSFTVDFAIYNIELQNSFL QNCTCPDTSKLCKHIFLINCMLDISYFLRQSLFFSSSAVHVSNTDTKAVVDTSLLSDE IEADIMKYRQLYSVELDSKIAEYKGIPEDISQFLDMLKFAYNKLKEHGSPSQSRPPQQ TYFFYYQLSIIYYQLLFFLFFFNWYFF PHYBLDRAFT_187472 MHAKPVYLFSITTVVNTHLQHPPLPTLFAPISPSSTPLYSQVAT QNALPFLEKQSRVIFSSTNNTTPRTWRVGSSKFSVFFTVLPKSLPKFDPFWRALLSAY PCEINMGITLGSQSSPDICELHLSTSADCDDSSFPTQAVVCIGTIVCHVFLTKLPCVP YHDLATQLVKCMSAFGKVREITIHESYGFFDGSGYVVLANTPTDDVPSDSLTYQIAYN DIQKILANCLYITNPSKTSNKRSCHPSHNSKLDRPIIAPKPLIPTELSLVYGGSEAFK HNSCQPALRELSKLSPTKTLFTLPTPTEMPMSSGPRP PHYBLDRAFT_169893 MNCRGLPKVGHSESRSFFICHLHSQGIDILVLQETYASSSMFHS TFDQQFRSSSLLWSPHCGVVCLSPHIIFTDPLFKPCGRIGVIYVSTSQTLRYCFLASL LSTSDFIPPNTSNFILLSNFNHAIHSHYALGRRAPADWLQFIDINMTDCITLRGQHPL PTFYQSLSSTTIDYIFVFSDLHPRTTDPQVSYIH PHYBLDRAFT_169894 MPSRSIWRVRGHTGYHRRQQQDKTTEKVEHHFVKVLGKSIYSLQ NQMQQGIKGSIVARMTVQKEASASKVREHIAVYQDPRYQGHPAVGASRECQKQWEEKS PHYBLDRAFT_146968 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSILFTMSTRLNESHSLLEKVYHNMGATNSQNNNSNHSPIGQALTTGEYIKYH LPMRLIHSQTRAVLATMLLMVNEGAFSTSNCPIADIVQSYTHQQAEGKSFARKDSLTK SDFLTLILADYKAIHLADKTNLESKFDETVVDLLDYDMLSDIESDEERNKTRYTPRNR HPLVDEYFTVLKKQRLANKGPDVIGNSVYPIILRNTKLSNEKKARVAAWIHTHQQ PHYBLDRAFT_169897 MSCLNWDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSWSSFFDYIPILILYRQTNNVIVFCVCQLFCFLNCLAKKACRSKQWNTVGVDCTRGG PMDV PHYBLDRAFT_68728 MITEIVKLKKVKVNYEKEMFNRVKDLDKGKFTMNRTEDGYSERR QTAIVDRRRMLLAWGTMILNEYNVDKYVNKRKGKNIVCRKNREWKQDFSCAWKNSPLT TKGIVSHQHTVDVSLS PHYBLDRAFT_146969 MTNCRTNLPSRLTFWYQTPQHRQKPDMPTTHVTKLTLDVFGYWE QDFPRNLGGPLLSIETCHLVDSPLTSTPTSLVPPATAHCQTKPLKESTVWYPLPKTH PHYBLDRAFT_146970 MVQHQEESSDSESFYLDDWETQFLETDAANLDSDSELDGYDSDD TVYNYHYNYKDFASSQPLRAPITINGQLIPAIFDLGASVSIISKALALCLGLVPVVNE Y PHYBLDRAFT_169899 MSCLNWDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSWSSFFDYIPILILYRQTNNVIVFCVCQLFCFLNCLAKKACRSKQWNTVGVDCTRGG PMDV PHYBLDRAFT_169900 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSILFTMSTRLNESHSLLEKVYHNMGATNSQNNNSNHSPIGQALTTGEYIKYH LPMVLVGYILRLIHSQTRAVLATMLLMVNEGAFSTSNCPIADIVQSYTHQQAEVKSVS SAVVEEKTQRHISYMLQRAKALPEKIA PHYBLDRAFT_169902 MNLVKNIKMNTVDPVFDFPYIIQLEMTLHVLSSVYKDNLSLLIY ILATVKDIIRNAMDYQYQHLFFARSFPIFTMYFFSVDNYSIQTVSSRPVEAITDIQ PHYBLDRAFT_146973 MPTPNCRLTPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNATAINGIDALSALPASAHVSTNVASTSAALPITESSDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKPKWAVDVRFDRSPNRELVKQLLYYLEKKFAGTDMRTRDLRK CIYTNFCSRRRQQRELPETRRALNTNSRRSGRETDNYTRRRLAYDAYKADIDLKMGRN CSGLIQKSVMSEGESDDDIWRSDELNKFITEVDSFVVKQLGANSRQLLKRVYGRTVES TVPIDLDPALPQWALKYGS PHYBLDRAFT_169904 MPSIPHRRNVVCRCAQCSRNSQRYSLVTSRTAECHIRKDELERI ERLDMAERLANTVQEEQMMDVDTQYNQADSPDSNAATMADNVSVDDEISEVNGNDSDI ERDINSDSGSGEEEGVETDVEEFVNEDPFDAPNMPENPVHRFIATFAVLFISRYVVNK GAAVLIEFINQLLKIYGEDFQLPTSLIGLQRMTGFSNYANGIKKSVVCEDCHKVYEQD VPLPTHCDFKKHGSQSACNCELMKVSSSGAMVAKRSYVYNSIQRSLQLHRLGYFDLVC GTIIDPMHNLFLGTPKRMMEQWIKEGLIDDRKLATMQTMAETMVVPMDYVVLKSKIGK GFPYMKADEWKSWVLVYSPILLKAVLPIEMFRNWISFVDACRQLVKPSITFSDIDDGH KFLQEFCTECQRIYTPTILTCNMHLHLHLRETIRDFGPVYGYWLFGFERYNGLLKNVN TNRKDSFEVTYMNSFVQDTFKGDFVHAALTCPSQVPFLPLLAKLTATAQPSTSKNTIT FPQRPFRLSAFIQAYSNPSLPVLGNEPLPPSAFPLHIEPPSAMSDVDYPHLLDYYKVA YCMPNLEGYQHPSSPFSFVDNQIIKLKSINLLGQVYKGCKYASGRGSFVQSLFLGSQG NNWLAYTGQIQYLFLHSFTPPADNTELQTRVVYQDKHVFAFVKWFQIEHDRSRELESV DICSADFIACDFECILPVHRISSVVATCDYKTSTNNKKILVNTLPHKQYN PHYBLDRAFT_146975 MKIHLVDTRHPLPQTSGSSGFDLSGFGGSGSGSGGSGGPGGSGG SDEFSGSGPHGSGFGGSGPVAGLAGSSGPQTYCCFWCEEEVSFADTHNQPKVSVSEDA AVNMVETAPVYAAECARVVDPLSSKGPYTHNKGKAVDKTVPLHSLLNTLPLLQQHLYA QGQLNTFPTNDTYMTPAPDLSLEKPP PHYBLDRAFT_146976 MPPSSSPAPIGECKSSNISKYLSAPEIFVGGPNGKSFSWLLHMD QLKKGIGMTDEEAILVAATHFCGMAAKWWAICEAKVTMWEVFSKEFKKQFVSQQMKDV RWTEIEETRQGSGQTVGKVALHLQELFGLVTLSNKAQKIRILLKALRPEIAYEIEKSG LPRSWDKLV PHYBLDRAFT_169906 MSDINTTLLNSIQKIEVDLAEIKQALHELQRQFSNQFSPAVSAE DLTTMQQSIIEQSSLECIAKSVKRAQLTEYPDQLGKQVINTGGKFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKGLHLKTLGCTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERSLLRESFKSDNQTHKRRMAEKNKTQQDISDSSLSSPD MSETGDVESPIMADVLSPPPTASVEPARKRSRRSVNAYFTEQVSILYKEIDHSVKAAK EKQEVVLELKAIEQKKECNRGKEGRLIFF PHYBLDRAFT_169907 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTILYKCACNFEDSE GEAHIYDSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEPGAKISHGKTVDALLKSKSSVKGHEYDVCSSGCQLYGINDDQESCVDCGKP PHYBLDRAFT_169908 MLADPATRELLCYRANRESVAGQLTDIFDGDNYKQLVQQGLFSN PDDIAIGLYTDGFVNQKKGKNSYPIIHCIIFNLDPSIRRHGMYFDDISARLRPLEDFK VGSPSKNIYQPSIYTQLSTFSGSSFFALDELHLIARGIGKLVYDLITVTLTKETKFYY THPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIPTSFQGSFDNVFAKIDGTRAVDW LDFLLYLVPTLVMPYLPNRAVKTALLSLMKGCALALQWTLTSELLDEMESYFKHWHSF LYQQVQNNTLSRSVFRPVQHYLVHIPYIIKQQGPLRCYSTRSMERVIGVFSKLINFLV ERFAIHNYTSTAISICDEINLIRPKPYGRESYMDLPNDPSGAQLWEPFHQFVNLNNDS VEGVGGPSVKEALLKYYRRTTGLTGHEFGDSVVVVAARLWMDSTVYSSCMYQRKKNET SRGNHYVMFTCPYRNNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVMKEHDAAG HDSSVPIVKQRSQSTHTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFYVIALYYI FNNNMCITKGNLFIL PHYBLDRAFT_146979 MFLVGVSGARFPKKSEGPLLSLKTHHSVDSPLTSMPTSLVPPVM AHRQTKPLKESTLSWLERGANNAKATGSTPVVGNNAIFQFVVPNLG PHYBLDRAFT_68724 MDKNKKLIIKTYQQQKFIRTANISMYLIEWLVFENRFSLAATFH TNNLIESYHNQLKTFYLGRARSLRVDRLIYLLAKVLTLNYRQENVKMLYRFQSVCLTR QEEQKRRNIYMLDSNTAMEMVEKLSDTAFTCRSFTVDFAIYNIELQNSFLQNCTCPNT SKLCKHIFLINCMLDISYFLRQSLSFSSSAVHVSNTNTKAVVDTSLLSDEIEADIMKY HQLYYIELDSKIAE PHYBLDRAFT_146981 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPQEIAPSFSS ATIQDQQYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDMSGKTHTAFA DFATAYANNQTCMASLEPSLMPSYVPQTSLSDAEVSVIISEIFVEKLWDWKFESDNPA LVAENESKKKWNLNEKINHRDNVAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHS HRTFHESPEQKAKKNSKGRANSRTLQMSIRRKLTYMDNWVAIDAAMGYKTGNPVEKAY LKLFQKDAMSDGESDIEIVDNLPRRCLHVTCPTWRSEEFNRLLTMVDDIDRTYHVLNA GVGTKPRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_169911 MAPIRKPTVRKECQCSICKSKTLGFDRVSVKTFKRHQEKDNHDI THVQTPHEDTCDTISSAVSEPVNQEEDSFEFEQEDVEMNSELRNLNDTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYEEKYEDESDVEMDNDEDSSLESISELNLIHRFIVI SVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVKKY VACSECHAIYDNEAAPLCCTSPNFIAHGVRWSELHRLQYFDIVRCTIIDPMHNLFLGT AKRMLERWVADGLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQ FIEESWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTNTYLSHSFS ISEYLEASQNLSMIICGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQIS GCKDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGRGSYIQAYFTERTGLEHAYVG EIQYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQDEFYKQ DFQSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_146983 MSTFNIAANYNNCIIPDYIEAVDSFDLSSEDEFTNWLNDIAKKH DNWVYHRSYSHEKNMVFVGKPLENPLKPAQKCVRTTKSIKIGCPASIYKHTMTDDTVC IKYNWQHPNHDPFKIEEISSSRLPDELKQWVEGLVSKNMDWKSIKNMFRMSEDRLLEL EQAGNSSFFPSSLLIDYQYVRNVINAEIMKLSRKHVDHYESVKLWVQELNESEITTLF TFLENSEEWCIDSTYKTSKSFNTVAGKEPEDCFVFTITVQNPITNKGLPVCFFITDHE YTSTLSQWLTWVKNTFTLKMKRIIIDCSPIEISAIEEVFGNSVNILLCH PHYBLDRAFT_169913 MYFAETGTNFNLVHCYNILSKHLKWEARKPKLKVSHKKQFGKGK VVSSALATVGGAKDEEEDGEEEEEEKEEEEAGSRPIGRKQVKTQVAEQKMQEKQLKKA LSVQAEMMKKGKRSLQRVEDLRVLFMNPLSIENPRDRQMIMDQQLKIRERGIWDEPAI NNNDSSSDVVVLDSN PHYBLDRAFT_169914 MPGLVNDINVLERSPLFEDLAGRQGLVIKYTVNGNQYKIGYYLT DGIYPAYAAFVKSFNDSQSAKEKNFAKVQEAAQKDVEKVFGVLQLHFAIVAEPARMWK HDTLKSIMTIYI PHYBLDRAFT_68717 MSTINSKMRALSQKAAAKRLSHSQSKSVELVRKEVDPTSEILES SGSDVKNLGLSWTKKAEDALNGKYSCNHLSYLSRHKEKQQKEVLELTPFFLPITNSSS AETEIELDEESDSADEVESKEEFKSRVKDAIIDLSKFAVSVISLTSEQQKLGVAEIGK YKEAYHYLYALINMDMKKMTASKFVSDIVYKQKSAWYRAHKICQHAKKYLETRRISLG TQGKHAKRVSVLDNEDIK PHYBLDRAFT_169916 MEYYQYSEKNDNVTPSLVSYSQLPEGVKQHVFVTHDKSTFYAND YQKYAWVEDGESYCLPKSESRSIMIFGFQCPCHGTMREYVRDQYKTLQVVFYPGAQYE GYWKSSHMCVQLTDIIPLFNAIHPNAVAVFLFDQGSHHKTYPEDALLAQNMNLCAIEV KDSDSGQGKFRDSSFYVRKQYDYAEHNEEKLKEYEVIESWLYKNKKYKKYFIGLCGIL QQRSMYINEAERYSLKSSCNNVMTADSRCCAIYIMERQPDFANQKSALKEIVEGSGHK FELYPKYHCECNWIKRYWGAAKKEAQRECDYSFQSLNRKINSFLDSVCLPEDDVPEKI RRYFHKSFAYINAYSLGHDTEHAFEIVKQFSKLHKSHYKLRLNQ PHYBLDRAFT_169918 MSNTTYNLSNMQNALVDSPFREIKMLPLKTIVSVRASEWQECLD LIHKLCSTKWDSKRCGCSAFLHIKQYVSSDVVTFCMSADYTNHVPGNKSDLRTLPLSS KAIKMIENLLKGGSNCRNTRISVLNRIEEWGLKNLLYMFHSDEDRSLAIWMNERLPSQ NYCIFTAQLLSFNASLLPFAFGFQSPSQIALMTISKSVCIDATHGISARSMEVLYSIV THHPETGKDMPITYMITNDHSATPIDQWLVHLYTNSGFTSTNIAIDCSIAEINAITAV LPQAAIHFCNFHVLCAWQQNHDSKVKLDASYTSEQLGKYKQDLKNDLKDILVESDEEM FLEKIDYFTERIQRTMELLRRWERLYIQPSHLQYLTNNYIEPWHNQLKTIYMNRACVR RLDRLVFILTDDIEFFYSEEVERIHINNGRMGPVENKLSWHSYAASQIQEDILPTMII SPSDEIRNSMDDSDGKWKIKSFISEDL PHYBLDRAFT_169919 MALTYTSEFAKQYLIAQSALYPQNHIIFTCSGGSSMDYIFEKDR GYSYRCSGVNNMGATCCKRERKSERLDSFFAQRHLSYNVVMQGIYYWLNWIPRMTMGV MLGVSPETIRHLIASIHQLIQMDLTNNDMRIRGIDANGQSIIVEIDESKFGKRKYHQG HRVEVPQRDAATLLQVIKKHVKPNSIIHTDCWAAYGGLTSVVDMNYTHRTVNHNVEYV TSDGIHTNTIEVCGME PHYBLDRAFT_169920 MSSENQSPAPSVQSSLNTSEIASNEQVNKSMLAITRLRDLLAAA SIALANVIEQNQPEDTKNQIRLTIAATEGDLAILMPAHTHLLRSGSARTEQVAPQSHV VPRELPVLQWQGNDLNLNVDWHCLLPIVLLREQLSWYDNYLCSSPELSWSFVRDAFIK VYGINNLEHQVQLTHELMLMRMSPTETVSNYTDCYQRVRWEADVADNIQAAIAYTATL LPELARQVSLLQVNMPRKKRDTIDKAASLAQFIYSKVFLMSLHNDPVPKGRHLSPEQT TRMSAATSSARSPGSSHEATQSREHSSSKRCSLHGKGSHDSKDCHILKNALAAKGGNW VEKAPYINKYVGSAPCRWCGEVWSHKLCCSSIAGSFSSSSSASGSLRGSAPHFTIRFA HTVSDNSSPSDVSTSEDDQSMHMNFEQCSPCKYMGTHVDLSKQSTHSYLIPISLEKEK LQALVDTGATISSVNTKLCSKFGWSIIPRKEKIVLATILDLAENKDVSIGTDLMPSLG IHLLGLAESWYGSNTPQTPTPIAEIEKLNNSPAGTPTEHSQFIKALLPFIKANEAIPI TSFCTVKESIV PHYBLDRAFT_169921 MEFPNDSNVMIKNILRKSKTDLRYEGPFTVKGRTKGGSYILQDE TGALLSQNIPPSQIKLISQDTVISPDSFYKIQATIDHHQEKGDYLYRVHWKNYVPDQD TWEPTSNFNNLSIIDKYWQRRGPEKPTNKSTIIHNKRTNPTQEPTRFSKHNIPITRSH TLVNITNHSNKSVDDEDEEYTDSTMV PHYBLDRAFT_68710 MYPARIEPDSPHQQNVTVISRPKHICYHSYINFETALSSLLWWL KLKNFLRGRIEDLRLGTKIRENLCRFCLLRKICLNEVLTSKVNVFMSYNYAYNNPLKY LILW PHYBLDRAFT_68709 MSTNIFGAQVELKESSSFIGRVQAKDFSRQKELEQSVKENNEFR RDFWRSSVVNRELASTFLPSARFCQRVIRPKYNSMAECWHVASYEVVPCGGSSYIAEK AMKYTKISVICRD PHYBLDRAFT_68708 MDFDDNMTFLTTWLNMGVHCTLCQTMGHDYDNCHNPPKETYLCY GCHQVGHFCSKCPRAAEVDNPYKWDYKANLVDRETLPADDLMLTIDNLAEVETYFEKN CEDDSMKGIEETILQ PHYBLDRAFT_169923 MGGLGFLNPKLQQGVLQLHWLRPLFQSTSSPSGLIMPWLLYLFW HCLPDVYPHLPFIFPDLRHPRFRTYTNPFFNPFPFLILHTSSAKSFSRWLIIIFSFAH LSFALACLKPSNGINSGLLSFHMPLSTLGSGCFTTRSHIDQCCITVFPPYFPPLIVHF VEQKLTLKIIFFTHVHSSFLSGTHSGSHILAFPLLFKLKVQTLNKYVKANLKNDC PHYBLDRAFT_68706 MSGSRIPSADNQNKKTVKRSGHPQLTRITLKRSTPIEEKRNDEE TCHKRKDFILSLQPEGILYNHNCIFIDEAGFNINMIKGHTRSKAGAPAFVKTKIKRAT NVTILFALSAEGVESCHAKIVKGGTTVSMKY PHYBLDRAFT_134401 MNPASVLRKKSNSSDAFKKKEKDKDRVAGRIGSPLAMNASSNTS AFQSGISVKPSSRAPPGINGTFASTAPIVIDLHRFADENMQPEEYVHRALGDANEEGI RAFHKSLIESKHVVGGDLQRNVYRNYTEFVAISKEISNLDGDVLHLKGYLNELRNIWE GFLASTDASNELLPTNDGVFSEPILPLRRRSELMTTDMQTIHRAQIVALWENVDGSQK FIPYAQDRQIVRECVNFVELDPRTGQPHQAVHIFLLNNCILVASRRKRSIANKYKLMA EYYWNLEDTTMLDIKDSPELQNSLKIVVYPNTYLFRADRPEDKLGLLHAYRRVMDEDN DKSQDQAKNGRSNNGRDNQQPTSLDPDDLKWLTELPDELEVLIAIREFENAVMLIEKA RTIFKGYSADLAALRDIRTQVQKYTDLLCTTISNDLGNILLTKLQFQRFVGWLLRLDK GEQAREVFLATRSLIIKKRIRQLIFEGDIPTYIGELALVVFTLIRNTCEWYRDSFKQN DMASGFVNWVREQTNIYATIYKRQVFNNSHLSCQIISDCFKSTLDQCAIVRLAKTQYF PHYBLDRAFT_79256 MLPDTPLTRGVVIGGIFIVSAIAPASFLYTSLVLMKGQIFLPTL LLHLFHAIIPILSNIIHTWLVLEVLFWCFFLTTRSRLQQERSHMVPMSKKARLELFEN CVDAIEKIEPWITGWYYDADTIENPKFEDIKKDNLAEWLAGSLWNDYLEPVTQNPEYA AELDGYLQQTEKMFNVKFPEGRNPQIRCIRMSLDPIEAVHRPLFVYVSLYIITYIFNT LFLKTAWGFRRYGTDSPSVLWGGFLEYPHIIMEGIQAVFRNTHDLSTPHPKSPQYKHK SLVYWYRTPSVAATNKTPLLFIHGLGAGPVCYTEFIHRLASLDRPIFVLELPYVSMHM VEYAPGIDETVQEVVGMLQNHGYERAVVVSHSYGTAIASWLMKKVPERVAGSVLIDPI CFLMHYHNLCFNFLVRIPRRAVEYAMRYFAGRELYTCYFLKRRFQWVDTIFFVHPETA EDGTTHEPAILDPSSPLKNAVVFLSELDIVSNSPLVGKYLTGRGVDARLMTGLDHAGF MFNWEWRERILRQVERVASNVDDEGVAEYQ PHYBLDRAFT_146998 MGQTKSKENRALAKKTHFSTKEISKFRHNLEEATLNQQSKKTSI TEDVFKEVFNLLQNLPFIKVSLYTKTVKKCVPSVSSNDDVFLKRLYAAFDVDNNKSLG FGEFVDGLSIFMKGNPEEKLALSFKLYDVKHDGHLTKPELERVMLQLSHTFSDEDQTN EIHRMVTRMFEDLDVDGDGKLTYEEYKLSVMKEPLVVDFLERFLAEHNISNQPRMPSR PASLRSYHSTRSLTPMTHSRVPGSSSPPLTAVSPSRLSVRLSQAELLDYSHHQQQRMN SSGPTSPSSSATSGTPSHGRSNSPGNPNSPKSNHARLSRGTSMTSLDAALTSMEETFD WKNKTNGSQQL PHYBLDRAFT_177745 MSMLDVSSQKTLLKTAILGLIAGAAFSSRLFSIVRYESVIHEFD PWFNYRATQMLVKDGFYEFWNWFDDRSWYPLGRVVGGTVYPGLMVTAAVIHNILHFFN FPVDIRNVCVLLAPVFSGATAYATYLLTTELKDSSAGLLAAAFIGIAPGYISRSVAGS YDNEAIAIFLLMFTFFLWIKALKTGSALWASLSAFFYFYMVAAWGGYVFIINMIPLHV FVLMLMGRFSSRVYVSYSTFYVLGTLMSMQIPFVGFQPTRTSEHMAALGVFGLCQIMG FVDLLRGHLPAKQFQVLLKAFVLGSFVIGFSALVLLTMSGFIAPWTGRFYSLWDTGYA KIHIPIIASVSEHQPTAWASFFFDLEMLIFLFPAGIYMCFQKLRDEHVFVIVYGIFAS YFAGVMVRLMLTLTPVVCICAGIAVSTILDTYLDLSNEKSTAIEEENSELIGTESTIS STSTSTSTSSSSSSSSITTKTTTVTKKGKTTKVTTKEVKDVKNGTDGKDKSSKGSKSK NGEKKYYGILTRDSRVVVVVSFVFFLCVFVWHCTWVTSNAYSSPSIVLASRNPDGSQH IIDDFREAYYWLRKNTKEDAKIMSWWDYGYQIAGMADRPTLVDNNTWNNTHIATVGRA MSSNEEDSYEIMKQHDVDYVLVIFGGLLGYSGDDINKYLWMIRIAEGVWPDKIKESDY FTPQGDYRVDDQASPAMKNSLMYKMSYYRFHELYNGQQPMDRVRQQRLPAQGPELNVL DEAFTSENWIVRIYKVKDYDNLGRPHSMAKAFEAGKKKKKTTRSQKTQQSSYKRIIHK NYYQYVCGGTPVWSYGLPKAYNYKKLAIDKTCCEFLSIENIFLIYLRYTLCLY PHYBLDRAFT_147001 MVLPTPPDPLGNPPSTLGSPSPHSTTTSRPTTSQRTYLGAATFA HTALLLHQPPLLLQTIVKVTLLSRSAPTSLKVQFHDAASCAIARNVYRVTLSRDLGVC YPDLVAGLQRCLAPFGTVRKIVVRESYTFFDGTGSVLLERPETRSLFIRHLRSKGIDV LVLQETYIHSISLQDTFTILCGRCITATVSYSQSMFTSFRACVIYAPATHRERHSSLT SLRNHLLLPVSPTNMVLLSDLNHSLTTSTPHTTPPRP PHYBLDRAFT_125636 MSCHMRFCDSYLTTPNAPFLFRVCITKLCWMVSFSLLGCLPACI FSRRKATLLLFGIGDPSHLLTQTLRSSFVFLTLV PHYBLDRAFT_169929 MIRPIRIYIGHKRVLAARSTDGRYKYIVYGLGAKLSVKVEMQRP QGLNRGLIKDKCGERETRSQCGENKESWAWNCAMTLGIYFDQCFALSPINKKKDIYFD IRELPLYPFDATRPSIILSEQQYRHIKSFNVIVFASCHFLVHGNYIKSSTIFSTGQQY MVGSIVVFNSFTK PHYBLDRAFT_169930 MYAREEALVSMRRENGLESQFPFQTANKWGMEMRLEYGDVALID MRSCCEAAGTKCYDTYGRTNNHNWHHVMALMFCGTPSREEVVTSQEERNWRVDKHFQR KLGIGLAGVWKDQPRFPCEPSSRGIAQSKYFEAGKRE PHYBLDRAFT_134413 MSEDHNWKFAQCFGDKGDSDDITEADIITTVEFDPTGDYLATGD KGGRVVLFERNESKKGCEYKFHTEFQSHEAEFDYLKSLEIEEKINKIKWCKRQNSAHF LLSTNDKTIKLWKVFEKSLRVVSESPVAPDAPQPALRVPKLTHRDTIVAAVPRKVYAN AHAYHINSISTNSDGETYLSADDLRINLWNMDISDQSFNIVDIKPANMEELTEVITAA EFHPLQCNVFMYSSSKGSIKLSDMRQSALCDQHAKVFEEAEDPANRSFFSEIISSVSD VKFSQDGRYILSRDYLTLKIWDINMDNRPVQTIQIHDQLRNKLCDLYENDCIFDKFEC NFSADGQSVLTGSYSNTFHIYDREGKTDIALEADKNAFKAKRLGSAKNKLSMARGGAK NGMLNDSMDFSKKILHASWHPHENSIAVAATNNLFIFTE PHYBLDRAFT_134414 MADETVIKPTAVTPAIDTSKWPLLLKNYDQLNVRTGHYTPIPNG CSPLKRPLNDYVRYGVINLDKPANPSSHEVVAWVRRILRVEKTGHSGTLDPKVTGCLI VCVDRATRLVKSQQGAGKEYVCVLRLHEALESEKKLSQAIETLTGALFQRPPLISAVK RQLRVRTVHESKLLEFDNDRHLAVFWASCEAGTYMRTLCVHLGLLLGVGGHMQELRRV RSGAMSENDEIVTMHDVMDAQWLFDNNKDESYLRRVVRPLETLLTGYKRVVVKDSAVN AVCYGAKLMIPGLLRYESGIEVNEEIVLMTTKGEAIALAYAQMTTAVMATCDHGVVAK VKRVIMERDTYPRRWGLGPVALAKKKLKSDGKLDKFGRVTDETPEVWKTAYVDYTNNP DGKDLAPAFGKPSEAAVAAAGIAPKITAVQVANKDSTKSLKRVAEDEDSSEPKKAKKE KKEKKEKKEKKEKKSKD PHYBLDRAFT_114125 MWKFWKSIKHFLKWLWVEKIRFLELHYIYFTLLIFITSAFYYFQ PDNKWSYVDALFTATSGATNTGLNVIRMSDMSTYQVLVLYFTSFLGSHVTISLIILYI RKHFFSIRFDDMIKFNRQRQREERNKRRFERSILDLERGVKKEYRERAMTAMPGLKRR MSIFTPNITELENEKAANEQAKLGRHRSCSVDFNVSSPPSKKVQDQLDKFKKTRDKYL DAHKKRRMSLAPETLNTPNDEDSNRTRNSRGTSDSAMAIMKPRSLNINTTPNNNLDHQ LTREQRYKIGGAEYRAIDMLTRLVPLYYIGFIIGFGFIFRIYIAASAYARNVLETSNG NGPVNPWLFSFFLSISAFNNLGLSLVDASMVPFQNAPFPLIMAAFLVLIGNTAYALML RFIIWSFKKCTPPSYVMLRETLHYLLEHPRRCYTTLFPATQTRWLLLVLIAITVAEIG SFLALNYWLPVLNGIPWASRVLDGIFQSIATRSAGFSVVSLIDLNPGTQLVYIIAMYI SVYPVAISMRNSNVYQERALGIFHHEQEDQEGENEEYKPETFSKIIKLDRHPTINSVL TNSRKVIRSPDFFVMTQIQRQLTNEICWLIAGVFLVCVIESGPIMDPSPITFLTILYE CVSAFGNVGASVGFPGTTPSQSAEYRTLSKLVIMVLMYRGRHRGLPAAIDRAVLLPSE QMEENEIKEQEMKRRNTVLSQGIGANHFVYNRQKTN PHYBLDRAFT_68700 MIEKIWRSIDPEFFVLVEDLLEDTIKSVQPDVVKFMKVKDLDIG VQAPRIQSIRVFPSLPGQPDESIFGEASFSFHAHPSASFSGTLNDAAKPPGISIQLKA GIDTPITVRAELMAFSGKIRFKVLTSPEIPFVSKVTIAFTRNPIIETGVMPLTKHFNI MHLPMVKALVNQGISLGFAEFVDPKSMTLDIQALMGADAVDVRAIGVVKVEIREASRL GSQTIQDMEDSYATLSLSNQPKKTLSSTRVLTNDKDPRWNETLYVLVNEDDILADTKV DIKVWDADKVKYDDLWGSVSLPVKDIVQGQIDKLGNVSHWCKEERVVFDGWAPIDGKS EAKSKIKLNYKLSFHPKYTLPKADLLHGGAQKDNGKETKPEHQQQQEPLNPDHNNGIL SIQIHQAVDLEIGDPEIIAADENKHPYNPNQIVSPYAIMYINDNKVFRTRTKMRNPSP HWNAVSENFIADYKNATIRISVKNSVDLERDPVIGTKCIPLSDLFIDQKDTFKGVQKW IPLDNGIGFGKVLLTIKYKPVKLSLSRELQGANVATLVVDYVSLTDLKPPFDPKYINN TKVVLALNLDPAIIKRLKPKHMKQQQSSDELAAQNGMYGWWHQHLYFPLSMRYRTALY VHIHQGSLTATKASGRIWLKNLVDEEWHDIVVGLHPYCSEKSKESNRNQDDWGIDGEL GQVTLRVKVIPGFSPVHTHLHSYKKDMVGADPFHDDAIKAKAQQWIQEQSADNNDTTA DPELKEAVQQEREKKEDTEELKYSRRRSSSMSSEYGAEDDSDIGDDDYKYMQEMRSMF KSPKIRRNSVSRKLAWCTDKAKNKMDSIRDGFNSEARAARNVTKEG PHYBLDRAFT_68699 MGNQSLTENSIDCESHREPKNEEEARQDKNLEEIDKLKTHGSRN DSENPNLERAPGNTLDATGNIHTTGDNNHRATQQTGPGTAILPNPPSPQPPTPPKDGE NYSGGDTSLGQEINNVHKTTLRTPKPTNTIGKEQALQDSSQLADPADNPHHHHHQHSF SFTPDKNSLLTPIDPGKLVSGLEINALKYITATCIICYICGRLDYNIFFGIFCAVLGK PNKLTDL PHYBLDRAFT_155688 MLSLSRFRLPLVAIQRRSLTTNTKRVRGPQPIASHEVINLELDI KNPEAKKVYTVRPVTFWMDKVLETENKKSLTDNVFEDRPQIMKKMSDSYIEELLPFKS SPDLLDKYVTAKGTIRIGKILEDLDALAGAISYKHIDTFTPGPPVTIVTASVDRMEIL MPSTVEDMKISGHVAYVGSSSMEVFAKVETIPRYDPSKPHFSESNPEFSITKPTPNTV IFARFTMVARNSITGKAVKVNPMVLENAEEKKLFMYAEDCKTRKRLAGEKDLSKIPPT ADERLTIHDIYIKNLKDEETGPNPNTVPMESTCLQSVFLMQPQNRNIQNNVFGGYLMR RAYELAHSTGSMLVKSQISCLALDEIVFKKPVSVGSLLNLSSKVIYSQGEPSKSFQVS VTARVNNIEAGTSYVTNTFFFTFASNDKAVPKVLPKTYAESILYLEGKRRREYGLKAK KSLLALMQGSSE PHYBLDRAFT_169937 MNPEEEILKAKRALLKSADSENIQDILDIMSRLMKIKATQELLR KTDIGKTMGKLRTNPNSAVSQKAKDVVKKWKEDVMANTRARASKPNPVSTDRSEAPPE PSSNTKTSASATSSPKTPSSEAGPPRTVKTDEVVFSTTGNTPRDKTIELMYSAVALGS YADSDLLLKRALAIEKAVFSEFQMINDGYKAKVRSLALNLKSKINPSLRESVVSGELA VKSLCTMSVEDMASEDAKARDRKLAEEALFKARGAGSAQAETDMFMCGKCKGRKCTYF QMQTRSADEPMTTFVTCVLCGNRWKFC PHYBLDRAFT_187479 MSSDLDTILRDLEKQVHDFSLTMDPPIPINTHIRRSPSSRLTNP DKGSNPNRPLQSAHTMHVPPITQARLNRPDFSPSLSEDEDKGQFYSTNDANDSSDDDV CIANLQSVTRAQDDKSKGMTRSRSTRANRGGMAGGLVRSASASSRTYKPPAPEESAPP LPIRDTDEDLNLVIQRAWALYEQGPYDEPVAVESAPSVLPETYLRKKGLIDMSEGWAL FEIANSHGVERPLREWELVLEVVECWGPDANNALLVKKYAYHNSLTAEGKWQKRFCYI KDNAIHHAKDTKGNGSAILCYLATFDVYTLLPQQSRSAPSGYVFALRAQDKDSIFERE EDYMRLLAVEDQEAMKDWVLSIRCTKSLIDHQYHPQRVINPLAPIQAPVQASYDHQWS ATDNSVKPADPVDAGSPRLRRALTSRTPDCKSDQSGDEGYGTTSFLRRQKSTRDVKPA TLVDEHTKHQQTSSSGLHRSESSRRIDEKRGRGLSRGLSRSTTMRGREEGGLGSAIPD SPLIDYTEPSTFAKGSLLGKDGEEHTTGRLQDDAHAASVAAANNTLIQINDKVKFSKG SLLERNESTHAKIGRSKSTREHHAPPSSSSVSSAAAAASSSVATASASASSAADTQPT NELRQHMSLRRKLTSSKDRERSRHHENLAPTMPSSSPSSSNVSATASPVLGATVSGGI APSATSHGPLLQLDGTPEQIHTKKLLGRQMKPLLNFADSDDKGRR PHYBLDRAFT_68696 MDPHDLSIISRSLANHEILADDVLTNLDWRTVLESPDPFCVFSA SQLFRQIIEHDSNSNTRRSALKIWIDTAVSQVYVDNLGSYLELFHSLLKRTRKLKDGI EESNISSDPGYILLSVLATNPKTNEWIQSSLEIQHQEKIVPLLVCLIDIVKLAGLFEY KNSLVYSQQGRDYCQAIIHSIKAHLKQVYPYWRSQSIAVVRKSMELVRRILEREGDTQ MVVATLNDILSYSDFLNRRGFSYDHLLTMQQTDRTEFFYEPFVRTKSVLAVDRECLKQ IISITFVSISRLLDSTQTPKKEEMDTTYEALVEIFNRLQGYHERLPDNGILELLFDIY GNNDEDAIYQQICILDVYTAIELQVKHEAGSTKNIMTREQLKVVCLLKEILSLLDISP HNLFLYFLYKTGMDHGILVDLLISNETDFLSFFVRYLKYIAHQPDEFVKACINLLNDE HEEEEEDESEYEDDDPENGLEMISSIFHNLISVLTSEGFPYNPTALIHRILYVTNYID NILNASTSTNK PHYBLDRAFT_68695 MRFSFIVLTLAVLSPVAFGAPIPIDDPMFFGREIGSTGVSEQVI VQLNPMLVNITNFFKKLIAVENFLAGQQPVETLDIQEPQLDYGDESYSRRIQRRDMAD KIRHYSGAYTAEDDGSSSQSASDYDDSEEEP PHYBLDRAFT_147014 MQRKTLWESYKALNPRTRVSIGVAGMAFATAGMFISDWLEESLP PTEIEKQELVMMSPIVVVDHESPKKATDQSISQ PHYBLDRAFT_169941 MGGSLPKTIPPLALVPFAMIQAHVFWFCSVVWLLYNNFSSSSSN TTLMIEPAYNKPKPKNKDTLPLQRTIKILEDKSNNTIHKKQRPRSFSEPPCNQIPITP LRPKRIQFNTSLSSLPETNADSDPGPRRPRQRRLFRVFSTHTQSQSHLHPQEQQSIIP ENTMSSAVALMRPNRLDERIGKTCPPLWWQKTRLQIDMKKQSLPTEVPVLVLAPVHLH DNHENVIESAAARKSTDSAISVESGATLISSSPFPQPHSSPSPLPSPAILSRSARTAS MLRSKFTPKSHQQQQQNTLSYQSADNAHHFVEPTQVTKKHRRATILGITRRFSRTAPK AATTTEEDPAETVSDRDQIDTAERSPRRKVFSRMSRWKKSKRLSFEQ PHYBLDRAFT_147016 MVVMVVMVVFVVFVVMVLMVGRKVVIVVIFNSKSNKQYKKVIVV IVVIVVIVVIVVIVVIVFMVFMVVMVVMVVIVVIVLIAAVVVVQINRRNGLIGLNGRN RLDGLNGLNGRYGRYGRYGRYVGYVGYVGYARTDRIGRYGNNRRNRRYGHSGCSVRIG LIGRIGRYVIVVIVVIVVIIVMVVTVVMVVIIPMFVLTVIVVIVVVLVVVVVVRPFHC SYGEVLHVMFMYQYPVSRFQSRFAFEHQYFLSSLRLSVSLAIEFAQGEKKPKGILSWT LLFEMEKLQCLNRSGLLAALDCELVNCFSRHTNKSVAFTPWKRLLEGAIPSPCLEFLS LFSSKAKLIYKKPTDHKYRQGKTLDIGSPDFVLRGRSRLSQKELDMRDEPEAKLRCLS KCYAVHLGVSDTCPEQFGIKAFHQYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_147017 MTITTITTITTTTNITNITTTTTITIITTSETIETITFLCRILR RLRRYDYYNYYDDYDDHEDTTIPTITTITITITTTTIKTIKTIETITSLSLRRIRRYD QYDQYDHYDYYDGYDDTKIRLLQLLRPLRPLRLSQQLRRLRPLLLCIVH PHYBLDRAFT_169944 MPIQKFRKSSRGSYRPRKEEKYWGVFYLLKTDLTLREIAAIVEM KLPSVQTVKKRIQVYGNPLPRKSTGAPRKVDERTERHLERIVREDPFTSYNQLRKALS SMSINICRNMVIDYVKRLDFKSCISAHKSRLTEEQKKA PHYBLDRAFT_68690 MFTYSLLSSRTSLSSSSSLPSSPSATPPSSSASSASWSLPTVAP DAVPFMASHRSVSSGETYSTTLSIPDSGYFSWSEGLGVGQIDESSLSGVELSSDGEDE VWSASSATLREEEGEEGEDEDEEEGEGEGEEEPPVGNRSLSDFTLAWLQSLESFSAEV DETQSLSSLSHVRRRDEFNEDSDEEYRPCKRRRSI PHYBLDRAFT_100445 WKISRRIGPGLLNGMNTCFLNSVLQCLTYTPPLAQYLLTKSHRS TCKITSFCALCAMEVHINRCLCDPKSLVKGAAIHPKYFTSSLKALSKTMRLGEQEDAN EFLMFLFAAFQKSSTYGLGKLDPKVEESTMIHQIFGGRMQSQLRCYNCKATSSNFEAF LDLSIDLHKADTIEQALQNFIKVDIIGGGKDSENKYMCSSCKQRVTAGKQMTLCELPM MLNIHLKRFTFDMQRGYMRKVTTDVKYPEVLDMAPYVSKEKHCQKAIYRLYAVLVHFG GGCSSGHYYAYVKSPEGKWYRMDDE PHYBLDRAFT_79253 MRLVIFELRALQMCLAVKYKISHIGLEMPSADQSCDGQGLTLQA WDRIFSDPNLSVNSLRHRAVVGNVCQQGLRSVCWKQETNNFLHQIFLDYFPSLEVSSW SVIQRERRQYYADLRQQHIDGPTEKMTNKTDSQEDLTDNNPLALNESNPWQQFFADSE IRKIIRQDVDRTFPDVEYFRSEETQQRMTDILFIYCKINHDVSYRQGMHELLAPLYWI IDKDSIDLSENGDDLTSVSEPATKIMMQVLNSTYVEHDAYLLFERMMKYAKPWYEFND DVPSRPGSRSKNVPLNPIVIACRRIQHEYLQAIDPPLYKHLESFGIEPQLYGIRWLRL LFGREFDINDLLKLWDAIFAQDPTLKIAEYICLAILLRVRDKLINHEYAECLTLLMRP SHLSGPASLVEQAKYLQENLSEDAALHILRQNDVRAGKEPRDSLWDGVRVVKADTNSR IAPNRLDQRRSQGANLDGFSSLTRGVMKSPHVREINKAIAGVMGTVQKNVNIIGDNML GRSVSEVQSPTSRRRQTVPSEFPAGIDRLAATGSFHTHDSQNTSPENRRRKESDELAR LQATNRQMADLMAKCIDLMEKELFSNSPEQVISKETTDETSEDVDQNNNNNNNNSSNS NSNNTSNSNSIIISGGSGDSLQEEDKSTNNDIVPKDLIQQDKEAREEGEGEGEGEGEG EDILSKDNEDLSSSRYSQKSKASSKASTSSSVSSKPDEVSLTMALAGLKHIRDVLSGK QIQFDPSAVSLLGKRNDQSSPDWRWDLVEHEEALEDKSQTPLVNNNSIIAPTTSYSSY SSSSYTSPSYSNTAIATATSTSTSTSTAVNSTTTAQSYSQPQAYLQTKPLPPINMTMP DIKALPPVNYIPTNPPPPKPQVVYRIEDLLSDPALQPVLPRSFQNPKFQWILQGDSNS NKDNDGDNNNENENENNKSKSKNSTNNSNNTPSSPKPDFIRAMSSPLSSSSLSLSSPS ASDLFNLTVDSTPKTPKKRSSFTFSRTVEATSISAHTMDPLDAKNVDKRKAYDYDMF PHYBLDRAFT_68687 MSDKAIEALDAAAEEAIIYQAETQVRLFNEARKRKRLNIVLCGI SIISLKYSFDVLEEESEELCPNKVQEQDKEVDDSDYIHVIGHKESLMLLVRTLGLNYH RKPYNTLNAIEKYYAMLCLNSIIDINDDTFIKFLKLDSQAHQALITRFNLRKVIIPQP GKDLKNLYKSAEKLTPQQKYKFYITQSFDLDGDDRKRLIIIAHIYNLLSHKKDDIQRW KTMSECSLIIKIWSNIFETLFEGSIVNLIWGDTLNNSFKVDLRLCLSVEGKNYDISNI EFKKQGKEQRLVKTDACLKAIFTELRLAAPGCYVTSHFGRTIKVPLTDDKAIKFTEDN LEQLLCYKELVVKEAEEMRAILLQDTSNFGSQSSIEEHGFIKETYVISPKSKIFPKLH EDFYKS PHYBLDRAFT_147023 MSLPFFHLHILRVRQYQPNKNVTPHQEFPSPKLRVHAVQAVQAV LPGCP PHYBLDRAFT_68686 MIGGAITNGAAAEIAEKNGIPLIMYSTIYRRKDTGIAFPDMRKG TSNVMVVYKSLLSKAHKKERLIFSNHTRETDQRWDRVISSVESRFMLLSKDGNTRCDR GSIMVWGYVWAGRVGPLITIKNKLDKYAYMDLIKRKVLPFMEYLSENNDEEFIYQEYN APCHKSKLAEESQPHRELMGISYQESEGQNPLSKNLNGLERSINIVWKDIPVEVCETH CMTIPNRIHEMNKAHGGSTHY PHYBLDRAFT_169949 MTSNITSHSNNNAFTEKQSNSEHFNFFGSTLNHKPSSDQKHHHY HHHHQQQQQQQQQQQQNEQPSLLRESNKDDGLGFLRSLLRPKPSQDINKESHQTHADY TKSLNHIKPSAVAPPLQITPINKLHQTTVPQPMVHSTNQHMPGHGTTLETRQTLNAFH TQSQQQSQLLKASLTDRTGDLSLPQESPNKKRKKETAKNYFKNKKNNNDKKKALQNVN QQKTHRINRHNDDCKEKETKIRGAGAGAGAGDDDGCENDECDFDELMALYESAGSRTN KAIKLPGSKPKKKQKAKALPLPVETTAAIAHISASTVGATTTVKSGGADAINSNNNKS IESTSNKKTQLPCRYWIHGRCQQGDTCPFLHEGEPLVTVCRFFKTNSCYNGESCPFSH DLKLEPCRFFHLKGVCENGIGCPFSHEPLTRDFRRRLHLSTGPCRFFHFKGFCNDGEE CLFSHEPIKEAQLAELESTLELCKHYHLSGTCKQGDNCFFLHDEASESAVQKFLNLKS KMWCFCIKAEYKEIKK PHYBLDRAFT_68684 MQDTNDCVPEMMTELKPHRPIPYAVLKVQFRRLVSQHDTNHQGA ILTKNLLVLIDDYELENDVMLLTDEQKRAIEPYCLNNPDLEMNPDDILNLIKLIFPPS PVASISAPTRKSSYPRLLPRKSQEALSSRPRTSPPLKHPIINPWKRRASVGLTIAVHQ EVPEPEMEIEGPKEQNSLVPVQESEDQSIEVVHDTDKIQEEEEEEEEEEETIVTPQKS FAGYYRRSIELTRRLKSSERSLASMTRDNEDRIVLLQNRVDDMNQEVIKQKREILEYK GKEKNSLEQICTLESHIANIQRSETDQKQVHLSIKRLFDEKCQETHKLQEMLRQKELD LEKTEQFLSNFQHEFDQLNKERNRLMGLQNNLEQELSTSHQTHMQLAEQRSENERLKE IIDSLKDDLDEARNNRQGDSSNSNSTIGSHSPHMSDSETTSLLKVITLEAELEGRLHM NENVEQVTFTEERLRSIEGEKDYYKTRANEAVVDLEKAKEELSQLKKALDYESQSLVS ELADLRMKLPDRRNTTDGSHAHSINYDSSPISSDTQQTGLNQEAIAAAAAAVETQGVE IEVEGGESSMEAVIDLSQVMDPIPSVSHSAVVSIRSVDETAIEMNIPLPTDVWAQSRV RQRKLEKGKKTRGVQDLNESTAYPVKTLPRMDSRAIRQANDKIVTNTVTFALYTLLVY IFGIVTSTFLIENGPPGTWEHALAAASTQPKSKILEILIYWIEKLLFEGEGIGIP PHYBLDRAFT_23625 MYVYIKDVVVVSAVRTPVGCFGGSLKSLPATRLGSIAAKAAIEK AGIKPEQVEEAYFGNVIQANLGQSPARQAILGAGCPDTTEATTINKVCASGMKAIMLA TQSLKAGDRQVMLAGGMESMSNAPFYTQRNIAYGHQQLTDSIVKDGLWDAYNQIQMGS CAENTATNYNITREDQDEHAIESYKRSAKAWENGVFDNEIAEVVIKGKKGDKIVKVDE EFTNVKFDKVPSLRPVFKKDGTVTAANASTLNDGASALVLMTREKAEELGVKPIARII SYADAACAPIDFTIAPSKALPIALKKAGLNIEDISLFELNEAFSVVAKVNVQIMGLDP AKVNVAGGAVSLGHPIGSSGSRIVVTLIHLLKSGEFGAAAVCNGGGAASSIIVQKE PHYBLDRAFT_147028 MPMRREVPKEKNSDQSNSAHSTKDSYPFSKDLNIPQRQSQPNEK QARERPLSTLLPKSTTQYTNTISSNSNNNISAAAAIASNSTSTSTSTSTSTLTSSSAT TTIAAGAGTSNPVPPTQTQIDIVRYTWERVTEIRHSTDDPLISPPHAFGFALYKALFD LDNDLRLVFPNVLQQARALAGMIAYIVRAPAVTFAERNPLQCCANASEENKPGVGGSR GLPLVCIHGNRRQQPTPTIQAINARKRANQMTATTDSPSFSDVVTQAAAANACPASAS ASASASASASASFSATQANKCSGSVEARGGGIDDEGLDPEWLGQRLRRLGARHYGYNV EPHHIAYMGPAVIRVLKERLRDEFLPEVEDAWQTTHAFAAHHMKIGLEAQKASEEESQ NNHRQSRGPRATCCIQ PHYBLDRAFT_147030 MSRTQVTRLQHWQPVLIHILAIIRVMFQELVFIVAVTSNHHNRI LKKLNHRNPKGVAEESKCRLPSGNDISLKLAFIDPFLSGLLDGPNKGVYLCWTNEPNL KAKRSYSNMRPDLFITKNASIRWARSLGYGEASPTTRKSNQYLVYQDLIKIVLFCKES LDEHLMEEVLRIHIVGRTVGFYILVLSADATYVMYLLAGIKVPDSIHDLHHFITELPL IFKILHVFDTMCVCSDVPENVASQRAPTMSSRIL PHYBLDRAFT_169955 MTHLSNSLLKNLCPFVCPSDSQFIRSFVGSYNRTLVHSMFVFFL FFLLKAGHVNLVCFVPIYGLAVIELQIMSSYLCNCELVVFLKSRLADSCCVVEKASCF KCAKCIAYSAAQRWVIRYFEDHKSIFEAKKPGRPLRKATRKRFRKDMTGFRSGSKLTW TFITKCVFSDESAFHINLKQSMVWLKKGAPAIVTMPTTKTYPRSILGEISANGLINLS LRVPRPITKRKPGRADDAHNMGKVADVLTSVLTQKSYKMRSTEKY PHYBLDRAFT_155691 MFLKKYIFIIIAQTTPDPFDDSASPNPYTPPLSEPFVYGEQMIR GVNLGGWLVIEPFITPSLFDQFPASEKKVVDEWTLCERLGPEEARRQLETHYDTFVVE HDFELMAQMGLNHVRIPIGHWAVLANPDEPYVGLVAWDYLIKGIQWARKYGLRVMVEL HTAPGSQNGWNHSGRQGTIGWLNGTQGVFNADRTLAIVEAMARHFSDPEWSHAVPLFG VLNEPAMTSLKNHAAMMEWYHKSYDTIRNSTGDNGPTLSYHDGFLPLPMWSHFFENTT RVILETHLYLIFDQGLVYMPREVQAEFPCGAWRKSLVRSMNETGPTMVGEFSVATNDC GKYLNGIGHGNRYEGTDNLVKNIPVCKNCTCVGSEDWKSWTDEYKQFLKLFAERQMDA YESGIGWFFWTYKTENHVNPHWDYLLGWKEGWLPLDANKRVHSCSEVKIQETPTASLL PSSLLDPMAASTEV PHYBLDRAFT_134423 MPQFPTECVQTLSGHKGPVNDVCYNKVGQYCISAGRDRSVKLWN PSTGLHLHTYSGHGREVLGVAVASDNTKIASCGVDRAVILWDVGSGEILRRYTAHWER VNAVDFNEDGTVAVSGSFDATIRLWDCRSSNFSPMQIIEACKDSVMSVQVKGAEIVAG CADGKLRIFDIRMGRTLQDYIGPAITSARFSKDSNCVVVSTLDNTCRLMDKSSGTLLN EFKGHRHTEYKLESTLTNTDAHVVSGSEDGNIYIWDVLEGDLKCTLKSHDGIVTTVDY HPSDVAMISAGGDGCIRVWS PHYBLDRAFT_114105 MEETQPLLTHGSVPTSAARGYGSLGAIPTCDTSRPEEPNTFSNI FAKLRKLMPFIWPKNDPWLQFLVVLDFMLLLSSLAINVWTPLQIGAVVDRLGEGKGKF AWGVVLAYVGLRFLQGGSGLIQSLQNWIWIPVGQYTTREVSVKLFSHLHSLSLDYHIT RKTGEVLRVMDRGTSSIVQLLSQLLFQIFPALANIVLAVVVFAFWFSPPFGLIVFITM ALYIFATIAMTEWRTKFRRRMIELDNFARTKAVDSLLNFETVKYYGAEDFEVNRYKNA VIEYQQADWESSVSLNLLNLAQNTIITGGLLAGCLLFAWKVSEGQLTSGDFVVFNMYM MQLYTPLHWFGTYYRMIQSNFVDMEKMLALFEVEQSVKDAPDAKELVIKEGHVVFENV TFCYDNRQTALNGISFSIPKGATVALVGPSGGGKSTILRLLFRFYDPASGRICIDGQD IRSVYQSSLRKSIGVVPQDTVLFNDTILYNIRYGDVNADEEAVIKAAKAAQIHDKILS FSDGYETKVGERGLRLSGGEKQRVAIARTILKDPPIILLDEATSALDTTTERQIQKAL STMTKDRTTLVIAHRLSTIINADLILVIKDGTVVETGTHEELIKKMTADGQQGVYSEM WQKQL PHYBLDRAFT_147035 MAELKINVEFSGGMELLFKNIRKHTLAIPAQSKVNPSGPATLQD LIFYLRDNLMTEKKDLFVDKDTVRPGILVLINNVDWELCDELEYQLEDKDEIVFISTL HGG PHYBLDRAFT_169960 MIKESCITLLYLRYTNDKNQGMIFYKVVLSIGCFKEINPTIICL KNTFVCIHFGPDQVARWQGFLFHQQINPSQQSSNSPDINYQNSNYNYYDQYYYSNNNH EHEYYNYYNNNSQQPQNEQPDPVTEQIDENDQMIEDQNKENNFEKAEEHEEHKEYEEY EEYEEYDEYDEYEQDTNTLSKETIAIFKFSEAYKKERDAIDKAAEAIEDEEEEWDFDE STVAHRNGIEAPATTLILSEASSSITEHLLNSAYLESCSKGTQQSPIILWPILPLRL PHYBLDRAFT_134431 MATISEPGMKPIPDAVNKISLSTPATTTGNGPELTFSSTLDDAE NLEQLGPVIKQITESGKQSAFLDQLDALIRKKDGEIERMCNAHYQEFVHAVDQLLKVR QGSVNLRRKIADVNTGLQARGKHLTEKKKELIEAKRVQQNVDNAIEALKTSLVVLELA NKVNQQLDEKKYYSALKTLDGLEHDRLREISNYSFTQRLEKGVPVMQNTIKGTVTVEL KEWLARVREVSREIGSLAMQRMQERQDRWRTKTIENPKLKNLQHHNVNSAIEMVVNEG QESSIVDEVSIDFEPLYRCIHVYDTLGKRHEFKTSYEEDRRAQANLALSSPINLRDGN LGPFQTLLQDIVGFFIIEHIILHNTSEFRSQLNKFNPKTNKQVDALWEMVTSKVMMVV SESLKGCRDPELFLRIKFSLLIFIQTLEGFDYFVKPLQETLLALFQRYSELLINQYSE VFEKIVQEDECMPMTVEKEDELKEVMQYTRFRPDKEFLKLHGFPLRLPFSKVFPTCCR DVSAFVHQFYQFAEGFSQTHGEMDDILKKAVDSLLIKKVNAILLKKLESTNLSQIVQI IINIEFFESTCPDFEMLLMETRSFHRSGRIHLKATSTFKETRRKAEKRIFELVNSKLD EFLELSEYDWESTELQRQPSPNLQDLVYFLTNVTNAALLNLPESIKSLLYFDALEHLC QSMKALLLDSDQRTITEIALHNFSIDVEFIEDFVRNLGDPAITDTFLELRQLLDLAMS ENSEEYLSPPVRNRKYNRVQGRDVIMLFEKLLRESPSTNGLNQQQKIRRRSMENVARV LRSVHIGGR PHYBLDRAFT_68671 MSLKRLHREEDTFNKSDNGNVSNSGDKTDYGNSDSDSDSDSNSD SDSDSSQSAKRARHTPSPLIHLPCEVLTKIFILSSNPQLPLVCRSISYMLYHCSNGIK LEWLMHRHKYSIQKALQASLNFPFVNPDLIFRFYYLYQQQNNDINNVTLLTDVMIPTR LFSDAKPEDECLELTRLLLERGASPTKPKGYPVIKASQVGRLSMVKLLVAFGADPTVR QNMALRVSAARDNREIVSYFLDDLKVAPDSETLKACVQKGLWDMVTILMNHGAVPDMT TVNYA PHYBLDRAFT_159232 MKNKRGVKTIQLIHKCRSLNTQITFAFTLNLWLNCVWVNYVWIS CVCVSYVWISYVLVSYVWISYVLVSYVWISYVWVNYVWISCVWVNYVWISCVWVNYVW ISCVWVSYVSINYVSKIYAWVKCVWVKCVWANCV PHYBLDRAFT_79244 MSSLVKHSSESSEEVTTSRVLTRRASRSAHSGSPIMNPKDSLET EDPSSLSPDHIATTSSANARSQSADSINEPQPRKRRRKTKAPIYKKKETHSKAPGRDD TDRETRHTSQRMSKEAREAKRAERDAVIKERLAELDKIEKAVKDHSHPDYHRLMEDMK VKHEQKRLAIESRHDLIEMNIKNALTCQHKMAYDQFYWDKLALRRSMIQQVQQKINIL EQEYYSHGQGALDNQHLTEWVPPERPLMINILFPSYSITANEKYFANYHFVSLNSPPI RSEIVGLSEDEANNDLQLARASSPKSSASVSPDMVYASYHYSSHTASPPQPAHPETHH NSNNHKESPHTTSTQQQTPPGLVSPPLDIVDPYHQTYHDPLRYERNDPTREPQVKLPP LRPWQFDSSAI PHYBLDRAFT_177750 MPTLTGERLDALRELMKTEDYAIDAYLVPTEDAHQSEYIADCDK RRYWISGFTGSAGFAVVSLDEAALFTDGRYFLQASEELDSNWTLMKQGLPNVPTWQNY LVHNLPAGSRIGLDPTLISVADASQLSDELKASGSSLVPIQKNLIDIIWKERPDAPKD HVFVHPIEFAGKSHQEKLSDLHAHIKSKDAFGLIVSALDEIAWLFNLRGSDIECNPVF FSYAIITQTGSTLYVDDAKLSDSVREHLGSEVIIRSYADVFDDLRKIGSELEESNQKL LINAKTSLSVEVAVGKENVTEERSIITDLKAIKNETELQGFRDCHVRDGAALIRYFAW LEDQLKQGAVLDEVDAADRLEKFRSAEEHYAGLSFDTISSTGPNGAIIHYSPEKPTAK IIDPTLIYLCDSGGQYKDGTTDVTRTLHFGEPTAYEKRCFTRVLQGHIAIDAAVFPTG TTGYLLDPFARQALWKDGLDFLHGTGHGVGSFLNVHEGPHGIGIRIGYNSTPLAAGMS VTNEPGYYENGKFGIRIENVLLVKKIDTPHNFNDRGYLGFEHVTITPIGLSLIDVELL SAEEKEWVNTYHAECLKKLGPRVADCSLTTAWLEKETMPI PHYBLDRAFT_134437 MSRGSSAGYDRHITIFSPEGRLYQVEYAFKAISNAGITSVGVRG RDGCVIVTQKKVPDKLLDPSTITHVFQLTPKIGCVMTGMIADARSQVTRARQEAAEFR YKYGYEIPTDMLAKRVANINQVYTQQAAMRPLGVSMILIGYDDELGPQLYKCDPAGYY VGYKATAAGAKQQEALNYLEKKFKKNSELNLEDTIELAITTLSTVLAVDFKAGEIEVG LVTKDDPSFRTLDLDSIEEHLQRIVEKD PHYBLDRAFT_169968 MFYTLHQGTQEKECVLMKTRLLGTVKAINDTLLTGDSTAENIYL ADFETGLQDRPVTYVLKAWKEEIDYLTSRGNTGYVSLEILSIWLSSPRISSEIERRSF SKTAYFLPHVLMFLYCIQ PHYBLDRAFT_181937 MSFDNKEKAQTSPEMDLCSEHGSDSHREEQDNGVTTASHESPTI PSSNPLMSTPVDTPPPVMSDSVRTLKEAFPSVDVDVIEAILESQGNTLDSAFEVLLGM NDPSYKPEPVALPQQAQPISNPNTRGTQPQPPTTQPATQEQPLSVDEQLRMDEAFARQ LALEDRAQRRQSATPRQQQPEEPFFNFQGKRASSDQRETAKKKVLDLYSQFKAATQTV DQQAGGPSSPGYSQRPQQSFNPREKSDQNLANDMSGLRLSDNPVNSRAPGPRSGTLPS ADIYEWNGRLHSNGPTNPMARGVSSQSVGSYEQRTAAPAQTATSLALEEQIRKDEEFA RQLASQEDFWERNNTAAATEPNEEERVNREVSQPRSSPLVHDDDLEGIDEGGPITFSA PDNTKAKKSQENNDLNTYIINDDVDDLDDLLDVDESPSKEKAKAVEQTTSSENSKSEH PHYBLDRAFT_147046 MFRNKIFIVGHANVGKLEFVKGAFKSSETEFPESLVEDQLKELN GSHAGLRIPWTIDTKYYTANVDFWLDEIAPDTIEKDIKAYTEQSHGITEAIDAFVYVF RKDKPETFSSLRSWLPFLEKCDPSIRLCVGKTEKNAQKTNSKETIDYEEWCLDNEFEY IDLDETTEDPLDKAGVELAVEVLKTNMWDGSTHKDTVGQKPHKPEYKDDNALDEDDDE EEFYRELQKLNIKHDRHDQSDETNDDLGLPNQDEINMMKNQLFGDLDGEDGLDKAFET LNAMREKGKDLPDAERRKLAAQVALSFAAQLGV PHYBLDRAFT_147047 METKNDFHKLRLEKKAMRAAKKLRDMKCEQKQPQQTSGPLKRSF RAVPHKTDEAKDSCTISVMTYNILAQNLVKREIFPHSGDMLKWKTRRRMITEDIAQYK PEIMCLQEVDYYEEYYQEAFDNIGYKTEFFKHPKKQHGCMIGYNPNVFEKKQYLTIEY DEDRLCPPTQQTGNIAQFIALEHVKHKNVGLIVGNTHLYWRPESFYEKLRQATIYAQW LSCFTLDLRETFPDIEWTPLLIGDFNTSPEDPAYSALTRKPLTDVQWKALEDSRRKFG DESEPSESLVNCVSPQELVEDINNTLPFHSIYNCYGEIDNVLSGSCGEPKFTNYSAVY IGTLDYLFIENNALDKCFVTEVLEIPSEDMLKPALPNKNFGSDHLCLIAKIKMYS PHYBLDRAFT_147048 MASTSDDLGYLICVLPNFSTSIVEEIFDKNSAFEDWVKENPSHY ANFIPNHTYIPIPTASDPSESNECLEEFYNATIFDKSLFFFFIFLTHFWLPNHLSKQD ACSSSPLDEHHDTNHCSSSPRMNIIGLGSHARRSSDDLQNSSQFVTVLDGLITFIYFL HSSTMGYVYETYKSRGAIDCQKSTILSAIYLPPYSLMLSPIEEFWSKLKAGVER PHYBLDRAFT_169973 MQSVSEFITNSEIISDNDMDTSSDDCMEDDEQEEMEVEYFSLSE EWMEKIRRIGDDSLSYVREYTEVDLIEEENDDFFEDAFLFAEPMPLRKRHTALNVKTR GSYRKYTPKQVEKLFDLIIEEVFTTKAAALATGINVHTAQNYVKTYNNDPERRLPGSY SKPRGRPRNKLTEEHSKFLVDYIEKNTTAILDELKLKLCEKFEGLKISISAIHKNLVH KHNITLKKLEKIPAARNSDRVIALRKAIVEQYKSDADMDFSKNCVFIDEAGFNLPTQR NHGRSLKGTPAKGTVPTGKGVTFTILGAISQAGIINIGVKKPESSSSKKRRADVKECQ RKLVFILTQTHIQKTVKKMCTGDLSLGLRFLSGLALTSEQT PHYBLDRAFT_32915 MSQDLFSVPIFFIIFRETTEASIIVSVLLSFLQKMFDKDTAIYK RLRKHVWIGAGSGLFICLCIGAAFIAVWYTVLNDLWGNSEYIWEGVFSLIATLLITAM GLAMLKTERMQEKWKVKLALALEKNKVEKSTFKEKMQRYSFFILPFVTVLREGLEAIV FIGGVSLSIPGKSIPIAAIMGFLCGCLVGLIIYRGGSLLKLRWFFIISTVILYLVAAG LMAKAVGFFEQNAWNGIIGGEVAEESGSVIGYRVSTSVWHVSWGNPELMTDSNGGWQI FNAILGWSNVATLGTIISYCLYWVLVAGLLVHSYYKDRRNAIRRALSGEWRNGDDALE GAKKILDANGELIINTSSEGNSENGVIVEKIPQQNKDKV PHYBLDRAFT_40702 MHFLGTCLATIAALGLVRAARVELDWVVGYTTANPDGLFERQVI GINGEWPPKQVEATLNDVLVINIHNELNEPTALHAHGMFQNNTNYMDGPSMVTQCPIP AGMNFTYEFTITQTGTYWMHSHYGGQYADGFKMALILHNPAEPYKYDEDITVPISDWY HDQSGNNLKIFMNENNPTGAEPVPESGLIMDNVNSSLTFVPGKTYRLRLINMSAFSMF YFSIDGHDMDVIETDGIYTQRTTVKSLYLTAAQRISVLVTAKNATNLNYYMHADMNTD MFDTISDSLQTNLTAPIYYDKSVTEFAPSEDVGMGSDFDDIVIPPLEVMAAVPAEQQL NLTFEFQVYTDGINRGAFNNIPYIAPKVPILNTLMTMGNLSHEVDVYGRHSVPYILDH LNMIEVILNNADSGDHPFHLHGHVFQIVARGPGIYDGTNENITWYLDNPNRRDTVAVP AESFTIIRFRADNPGIWIFHCHIEWHLETGLAAIFVEAPDIAQQRMTLPQAFNDLCEA GNIPYSGNAAGKQGLDLKGAPSGVDILIDGFTASGKGAMAGCILSALLGIGAIVWFAH SDPEARGREIIAAKMREEQED PHYBLDRAFT_113882 MTSISKYIQEYPVNGLMPKQETQAASFIKKYPNYDGRNTVIAIL DTGVDPGAAGMQITTDGKPKIIDIVDCTGGGDVNTSAIVKAQTEEDGDKLTYIQALSG RKLIIDPTWKCPSGEYHVGVKPAYELFPTELVNRLKKERSKAFDARHLHLVDEAKARV CSFTKQNSSKLTSDPVVAAELADLETRVEVLKGLKDKYEDPGVILDCVVFNDGQDWRA VIDLQESGDLRGNSSTKKENRQTCLEKERGEPCLTDYRKEFKYHTFGETDLLNFSVNI YEDGNLLSIVTLAGSHGTHVAGITAANFPEEPALNGVAPGAQIVSLRIGDARLGSMET GPGLTRAALHLAINKVDLANMSYGESSASPTDGHFIKLLAEEAIAKSGCIFVTSAGND GPCYSSIGAPAGMHSSFITVGAYVKHSQMQAEYALLESMTEQPYTWSSRGPCTDGYSG VDIYAPGSAITSVPVYGLHKLDLKNGTSMSSPNACGCVSLLVSGLKAEKRDYSPYRVK AAVVQSAKSVKDPLNVGFLQVEKAWEYLDTYKSRNDQDIAFEITVSKRGPQRGIYLRE REETNQVQYLPVTVKPTFMAENNVEDPKYNRAKFNYEARIALVATESWISAPDYLYLH SGGNSFQVKVDPLALKENEFHFGEVLGYDTTAPDRGPVFRVPVYVVKPVLASHGSLEY KKIAFGPGDIVRNFVHVPEGAAYCQLTIRSKSLVNTVPARFMLHLLQLIPKKSQKNKQ TYSFVLGSGTYSNSDSEEEVIVKRFAVRGGLNLEVCLAQFWSALGKHTVDLSLEFHGV QLAGNLANGHGVVYFDPQVTRLDVAAPIRKENKVDISVSFNKLRKYIRPYESEIAPLY ADRDMLPDKTLLYALVLSYKLKVDASNTMVVRFPTVMNQLYEHYLAGVFGIVYDANCK VIGYLDVFDQTIKLDNKGEYTIRLQLMTEEQDVLEKL PHYBLDRAFT_79237 MNPSDANAPVSFTLKGKVAVVTGGARGLGYEMMLGLAENGASVA CIDILKETGTKAIECIQQKCSVQASSWACNVTDDKAVSNVFDQIFQKHGRIDILVTAA GINKMCPAIEYTAVDFRTIFDVNVNGTFFCVQQAAKRMMEKGTGGSIITIASMSAHIT NRPQCHAPYNATKAAVLQLTKSLACEWAPHNIRVTAISPGYFDTEMNQAILDQQGEGG KALRKEWESLVPKGRMGMPHELKGVVVFLASEAASYVTGTEVIVDGGYTAW PHYBLDRAFT_134449 MNHRLVQNAIIRGRTDNRSFIGDQLDDCSDFSSLFYRLPFERGF LTNWGVERTIWDRLYKNVLKVDSKESRLVITEPCFNLPTIQEAYDQMIFEEYEFASCY RTIGPQLCIFNDLGSLFGDKPGSVPDCSVVVDSGFSFTHIVPFVKGKPVAKAIRRINL GGKLLTNQLKETVSFRHYDMMEETYIINQVKETCCFVSRDIYSDLDICRKSYRENTII QEYVLPDFTHHSQGHVRPKQEKQKQSDQTLDRSVDQILPMNNERFMIPEILFNPSDIG MNQAGIPEAISQAIEACEPEYHGLLYANIVLVGGNAKLNGYRERIEQDLRVMVPSEYE LRLAVPEDPIGYAWKGGNRLASLGTKADLQKRFVTRKEYMEYGSDICRRRFNSL PHYBLDRAFT_159237 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQEAAEAYLV SLFEDTNLAAIHAKRVTIQPKDIQLARRLRGERS PHYBLDRAFT_177756 MPSSSYRQNPSDHPWVVQKYGGTSVGKFLETIASTIVPSYMDSH RVAVVCSARSGEEKSTGTTNRLLKAAEEALEEGSISYLEIVKDIKDDHINVARSLIKN KDLLKELEAQLHQDCLRLRSFLQAAEIIDEISPRSKDVIVGMGEKLACTLVAAVLKDR GIDTELVVLNNIIVGEFNGLDQTFYDHVSQHMARRIVQCGNKVPIVTGFFGNVPGSLL RTMGRGYTDLCAALVAVGLKAEELQIWKEVDGIFTADPRKVKGARLLSFVRPEEAAEL TYYGSEVIHPFTMEQVIRSNIPIRIKNVENPTGAGTCIFSDSGAHILTQKHPTAVTVK DSICVLNIHSNRKSVSHGFLARIFGILDEYNMVVDLISTSEVHVSIALEADILKSKMD SAVVELENLGQVDVMYNMAILSLVGSQMKKTVGIAGKMFSSLAKANVNIEIISQEASE INISCVIEQSKALHAMKAIHEDLLRLEPLSSV PHYBLDRAFT_134459 MKHSTRQRADLLYDCVLWLFNIMLDIFFREIRPRGSHKIPRRGP VIFVAAPHASQFVDPLIVMRECHRRVSFLIAAKSMKQKAVGFLARMVHAIPVVRPQDI AEEGSGRIQLLNPKSSPRVISGMDTHFLSQLQPQDSIFLPRGIGKFEVVKILSDTELI VKSDVTDKRAVHVLCKPEGTAYKCVPHVEQDSVYERVYDELNNGQCITIFPEGGSHDR AEMLPFKAGVSIMALGAMAKYPGMDVKIVPCVGLNYFHAHRFRSRAVIEFGKPITVSS ELVEKFKMGGTEKREACASLLNTIYYALKSVTINASNEQTLMVIQAARRLYKPAHRKL HLAQVVDLNRRLVIGYNLYKDDPKVIELQHKVLAYNQLLKYHGLKDHQVPGINLRGWR TFFLLVYRLLTLAVWGLLAFPGGILNLPILVVAKFLSAKKAKEALASSTVKIAGRDVL ATWKFLVGLVLLPTMYGLYTILALVFALRSDWKWLYKIGLPLATWTLLPIVSYCSMRW GENGVDVFKSLRPLYLALVDPDSTENLRKTREKLSQDITELINDYGPKVFGDFDPNNV FRPDPSTKHSVSELAEGEKTFKEIAAEWLNTAATEWLDDSKIFNWTKESSIGDDVLYF LDKQGPLTSTSASDAECSKREQDRTRQ PHYBLDRAFT_125692 MQMNKDAKTIEGALFDAMVKVGAISKANSEDASKINWMRTARTD KGVHAACNVVSCKMAFPVEDMTPLINAELPEQIRVWNYVQTARSFHAKVACDSRIYEY LLPSYAFAPPKKYILTTEPTSDTDVMVSGDNGQTKRYVARSTAEELATLDSYRIDQDT LERFREALSMFVGTHNFHNYTIGRSPTDNSSNRYIMSIKVDDPIYINGCEWLSVKLQG QSFMLHQIRKMVSMAILTVRSKTPLKIIKNSFDNVRINIPKAPAVGLLLERPVFDSFN KSLQMKDATFNAVREPVEFHPYKDEIEAFKQKWIYSTIFAAEAVERGFDTFLRTVDAH FGHEFNYLNSDGEIPDECIVYTKFSGQTKKKDDSEDEIGAEE PHYBLDRAFT_134465 MDVTEAHLAMNTLLKKSAIEIMSLNGENLLRAIDLKDAEKASKL DEEGRLVFNAIRAAGNVGIWTKDLKKKTNLHMIVLNRALKLLEQKHEIKAVKHVKFPT RKIYMLFNTTPSSEVTGGAWYTDQELDTDFIDSLKAACLKYITARSFPRNETVRDAVF GADHEHYPTATEVRRFITESRISSIELSVKDITSLLDVLVYDGTIEKKSPFAIGMEDF SDEEDGDENVQWSYKAVRKTTSRLPVEALTEVPCGRCQVFTFCSENGPVSPFNCEYFK VWLDW PHYBLDRAFT_68649 MAHLRNDALYPLVQKAIEYACENSSSYMFINHLVDPDQTIDRNS LYKDRIEVNFKRGDLVEVTCQIVFDSKDYLFPPDIIFTYPKEYLSEFDKFSLLLPPHE WDLTRIGCLHNWLQIIWKVICEYSSIGNSSQEKQKHSTSFLENSLDEYKEEESNSDYT DAVSTHSLDLSEENTHSKEQKDYMYLSYIGRKEIIEEWVPRIVDNLVWLDIDSYLSIS FYISVEIPDEVQENMVDYQEESYSKFTQQIAKAHTDAPVLVKGNSPLKFLLVSAVNTE LDGVCEPDSYPFVLTPSSLEPSKAVDEIMETLVVIIPIFHTQQFDKED PHYBLDRAFT_68648 MSQNEDTTSTCQQEKSRPRSNVDTSSASQTSTISCLSNIQTHID LTISQADRNGLEKSGVLPKTHVKPHPYFATLYSRLSFSLIVENKNSAARDHLANERTF LAWLRTSLSLFTAGIAVTQVYNLSPSAPSKDGAEERARIGRGVGLAFFLLSILFLYFA NVRYFHSQHTMNMGYFPAILMKSGDSQEHIVTLIYAIHIMHYKSQIIGNHGIFKDSCP E PHYBLDRAFT_181948 MSKVAPNERMSQILPTVKCSDCGRDVHIRRLGDHLCSSAPPLPT LPIIPIFRNDQSKPGPIKSPAKSPPPSSPLSSPNNFKYDNNYGNNYSSEMVGSVTPPY YPGMARDLRRPSAYEDTHQRTQQPLRARSPLDNYHDDGSGNYRPNNTSNTSINSLRAG NLPNQNPGSLSSHYNNSLPYDQRNNNQSPVRTEDNSPKSPPFRSDPSRSNNGSRDDIG SGYHGDDRYKPGEPLSPRTRKESLGNILSGNKNKSGSVKAGGGALDSLMADLMNSMQD INDDEGIGATGTDNSCSACHEEFDYRDDVTTVGNKASENYLYYHRPCFQCRLCRTPLD PHQNTFEHEGNLYCERDYNVVKHRVACAACDRPIQPGTKSIKALGKYYHPGHLKCYHC YEPVDEKTGCKEHQGRVYCRADFKTLFLPKCRGCNKPVEKEAVSALDGKLQGKWHLDC FGCHTCHRPFPDNTFYVFENAPYCKRHYHQLNNSLCRTCDDPIEGPCAQTIENWRFHP PCFRCHMYIICWRGTYTAKSTSNNYNDSVISVLRSDEPSLVKYNNININININITNKM PHYBLDRAFT_147064 MKHKHEDNSSENSAKRKELSQKLKKKLPWPSSIEKTDALKEITT NINTLWSLYQPIDSEPDTFNQPLIFGKNLQNIQPHKMTVSNFVNTVKTRIKDDTFCPV KYTDKRGYRFFSLLPVYLFQGKSVQIDAHAFWRLLFGFSKIGFRARKSLSNGRKTLRA LYILMAIQYHFCSHESQRKATLMPLPKKKPPDFRNEIENGCQVWALDPGITAVLQCNE SVFKVIWNCDVMAAKNNGARLSPFLRPLL PHYBLDRAFT_147065 MHDQTLSLKEDTELTFSTSTTRIHWLVSLTQWALTKRFANFTLK KNSVHKISKTECNLSFKKITIHPVARNNPTKITDRLVWGTFAILETPFTRVVLDTILG AVLAKFVVLMEVRKPQENWSKSINIAFSNRKRKTADGTKKPTRKGTVTGHYLNFLEKT MD PHYBLDRAFT_181949 MSQYKAIILVGGPSRATRFRPLSLDVPKPLFPVAGAPIISHHLA ALSKVEGLQEVLIIGFFEDSVFTRFLEDAANEYPHINIRYLREYQSLGTAGGLFHFRD EILRGNPQQFFVMHVDIACSFPLNEMMAAHMKHRGLCTMLSTKVPRDQATKYGCLVAK PDTNEVLHYVEKPETFISDLISCGVYLFDTAVFGEMRKAIERKKDVHHEESDYLWTSS DDRLRLEQDLLRPLSESRKLYVYVTENFWRQIKTASSAIPANAAYLEQCARENPSRLA HNKPDGPEIIGAVYIHPSAQVDPTAKIGPNVSIGSRVHIGKGVRIKDSIILDNVQIGN AGCVLHSVIGWNSKIGAWARVEGTPISDHNTSMMSNGVKSQSITILGKEVSVMDETII RNCIVLPHKELKSSFHSEILM PHYBLDRAFT_57675 MSSGSDSDYAKYWIDWFLGTKGNEYFCEVDEEYILDRFNLTGLN VEVQHYYLEALDMITDNLDEESLDEKVREQIEKAARHLYGLIHARFVITTRGLAKMLD KYKKAEFGRCPRVLCNLQSLLPVGMSDVPMTKTVKLYCPRCEDIYNPKSSRHASIDGA YFGTSMPHMLFQVHPTYLPAKSHERYIPRIFGFKVHDIAEQHRWQDQARLRFEEKQRD EGRQQRDARALGAAVPSKPTLEGGNKE PHYBLDRAFT_114139 LGVTTLLTWTQGGNNVYVTGTFNGWKHKIKLVKSTHDFNVVLEL PPGTHRLKFIVDDEWKCSNDLETATDPKGNLVNYIRVMDEDEDSTDEFNSPSKGLDRS GASTPEEEYTSKVPSDLLALATAMASAEDDKNRMAALEWERKQPQPPGLPPHLEKVLL NSQAVSEEDNSVLMEPNHVTLNHLYACSIKDQVMALSTTTRYRKKVISQGDM PHYBLDRAFT_79226 MSPLRRTQAWVAAIFFICMLVASLRWQPSIAPPVTFVVNTVNHH IKQEVNRIDMKYCGGPCRFILPLKIMEQESKAQIHLQQIAFMSGLVNRTVVLPNVKNS RLGACLRHPFDFYYSNSWGKQNKDHFNTITMADFTAWLKERKALGRAGTNRNLHIRVD PTTGNPYMSPQNCLDPLLAPRNLPDRLLLMKEHRQPELRVDIERQVYEFLTEDDGIEV LGLFYDRRYPFVHHPLSNLPIPYNERVTGFADQIASSLGPYRAVHWRTETLEPAENLV ECAQTLVEKLTSDISDDGVPHKLFLLTDYPHTFSEQAIYSAIVKNITDPTQMRSASAT FHLKMLTPHHHKAMAYLYSHVPVRVTSLEESGNSHIGPPPANWTSISVPNIVGKHDSG LLGIVDKLLAMRADSFFAGVPHVCGRSSSFTTQIVEARKEAMLEGAVLSNSVEYFGLP SPIV PHYBLDRAFT_68641 MIITPKTDERKTERYIDREEEYKDFHSHIRDVYTLSLYFPIKAP TFRYPDQVKQIIGRINQTMQAKCPRPIGFLLQTGEEKTVETTEPVNLAIFCTTINPFE PKHLFN PHYBLDRAFT_134477 MPEAKISPSMLSCDFANLGVEAKRMTKNGADWLHLDVMDGHFVP NITLGAPIIAALRPHTEAYFDCHMMVSNPLQWIDDVAKAGGQMYTFHIEATEDPLAVI RAIHAAGMQAGVAVKPKTPVEAVMGQIAEEVDMILIMTVEPGFGGQRFMAECMPKVEA LRRAYPGLDIEVDGGLSMETIDASADAGANVIVAGTGIFKAEKPSEVISTFREKVNTA QARFAASQ PHYBLDRAFT_181954 MSNSSDNATNDTFHVVPSHTQDFGGPSDLHDEGTSILQDSEQEN DHSNNDQDHDHDHDPDPDHVSESANHVEDDEIHAPPQLNPTVLFVINLIKSSLRPFFR IVFAPSAQRTVVKTTILSFSIMWILMTSITAYTLFYRRHVPQIIHTEPIWFQYGSMSR PGELTSSPVGAVDLLRGDHYPILRHDQGYDVSVRLHVPTSDINFNLGNFMVSVNLETS NSSVVASSSRPAILRYQSNTQRVLRVFAKALPLLVGLTEESQVIYVPMIEGYIEQKAA PVVHATVSLSSPLVQVYDAELSIIADFRGLRYYMYHHRVATAIVFAIMFATIEFICAV IAWRVFGQGLWNKLNDAFEQNNNGSEIEDTKTIDDEHERHDGDAEFVDPSDTDTQK PHYBLDRAFT_134478 MGSQPGMPIALRSIHSSSCRRASEEGLQLNEKTALYDIVIVGGG VAGTALACALASNPVLRDNRVALVEAMDLTSTIEWSPVKESYSNRVVSLTPGSMNFFE RMGVVEHMNLSRVHGYGDMEVWDGVTDARIKFDTSLLGSKKDTSKESIAYMVENIHLQ NAMLKRIRECNTRGAQVDILQKARVASIGNEQSSTEFDVSDWPSVKLESGDVLQTRLL IGADGVNSPVRNHANIESLGWDYDTHGVVATLKLDDSRPNNTAWQRFLPTGPIAMLPL SEGYASLVWSTKPEIAKILKGVSSDDFCKLVNAAFRMSTIDLNYLYEYTWREEVANKS KSTIEVWQREESLPPAVVSIQDKSRASFPLRMRNSERYVADRVALVGDAAHAIHPLAG QGLNQGMLDVACLSKVLEKGTLEGQDIGKLNLLRDYAAERYGRNIVMLSACDKMHRLF GTDVAPVTWIRSLGLSAVNSSDSLKAEIMKYAMGVESSR PHYBLDRAFT_23578 AGLANIDKEKVNAIIYEASKGSAYFENERRKDKTVTKRIETMLI EASKVREMDLSMETRIVDGMIREFEESRDLSQTICHVDMDAFYASVEELDAPEWKNKP MAVGSNAMLSTSNYIARTFGVRSAMPGFIALKLCPDLCILPHNFKKYQAASDKVRAVF AKYDPDFSPMSLDEASIIFLLFGYITKNIMYKQRLETNSMTASELVQTIREEIFQSTQ LTASAGIGPNKLLAKICSDFQKPNGQFEVSNDREAVQKFMAALPIRKIFGVGRVTERV LDSLGVKMCSDIYAHRALLYKLLSPISFKFMLRSYLGLGSTVVKAETTRKSISVERTF DALSAVGDLILKVEELAVLLAKDLADAGIKGKTIGIKLKLSSFELRVRSKTMPFYISS AEDIARIAGEVGLC PHYBLDRAFT_113820 MPIFVMMGTAGCGKSSVALEMESRLGCLFIEGDELHPASNVQKM ANGYPLTDEDRLPWLRTIRDVLLEEAKKRYTHQATSDASRIGAIVTCSSLRKIYRDIL SDIPSHLATVTFCYLKGSPELLATRIAARQNHFMKPGMLQSQLQALEEPDSTKERVIV ADIGFPIKEIVDSIMSQAKERHLLP PHYBLDRAFT_79223 MNTLTIDSEKADAIIGLVSTGRVAGEAKSHSPSDARKIALQALQ NIQHTVKARQKKMLAYDITADCLDRHYKAKIYAARQKLTNLEVNLAGLTHALAILQQT RRVSEREVTEHEEVLEQARNYAQQRQNKKSKREQQYNHFYFVPLVSRQFENKYKRASD KNAFAEDQVCKIRSAIEECQATIRDTAKEQKLRQTEFEEETSKRDIIERDIRESENML DHLAKGRQFWGEFDTCQAHILIECTKQMAALFGHSEKKSKSPKLQQERDEWISVFRLA CLNYGECERQGEERWGSGLKAEFVCARCNVLKVEWPTPDKVRPNDLLCAECYEETRTS MIMEKKVNSLGGKLGLERPPNVRMASKASFMSSVSTNTNNSGRSQSSFLAESKPAVKK MLKTLFHKKSQALCNDSLQNGQSMNGYSNNSQHQSMMT PHYBLDRAFT_85837 MSTDTPTLKLLLIGNSNVGKSSLLLRFTDDTFLPQEEVSATIGV DFKVNMMQVDGQSYKLTIWDTAGQERFRTLTSSYYRGAQGVILVYDVSNRETFDALHT WWNEVNTYCSSPDVVKMIVGNKVDKESSRVVSHREGSELARKLQSLFVECSAKTNMGV KEAFEELVIKIIETPALRQKSQSPSTIRVTSGSEDAQEDRSYETCSC PHYBLDRAFT_155706 MSKLVRYEGHNYLRQRLILATLSGKTVRIDKIRSNDENPGLKEY EASFLKLLEKVTNGSTIEISYTGTSIVYRPGGIQGGRVEHDCGTDRSIAYFLEPMIAL APFSKLPFVLTLQGITTDHIDISMDSVRTVLLPQLKRFGIEENVELKISKRGAPPLGG GEVMFTCNCVRQLKPVQFTEEGRIKRIRGIAYCTRVSPQTANRLVESARSVLNRYIPD IYIYTDVYKGAESGKSPGFALSLVAETTKGALLSAEKAAEPGQTPEDVGVVAARLLLN EIQKGGCIDTASQWLNLLLMVLSPEDVGKVRVGQLSPFTIQFLRDLKDFFGVSFKIQP EESSNTILMTCVGIGYVNHNKKTT PHYBLDRAFT_181959 MTTKEFDTSSVLNVLEKSVNGSLQSPQDALAAAVHAIMLSAGFK LSEPSKEDKDGQSKLLAGWNSLGPNYYGYFYDLQDSGSTCEIKGVKIGHRFVVLGLNG DETVVLDIPVKEYTSESSFPIETSSKDLGSAFISAEKFEDLIHLFKTHILHKLTSGST NSGYENTRSGRQEGFPRNNQQQSDDIPVFSSLQDNSRPGRENPFSVGRSDIDPLGGHH IPGPGDGTYVGPSHPMYSHRHDQENSNIFGGPQTLPRGAVPPGARFDPIGPFGNPPGR GGLGGPRRGFGGEPDNDEMSPPGFNNMFM PHYBLDRAFT_147079 MTNSEASFVVFSGGSACNYIANAFQTITPNVCYILGISDNGGST SELLRVLGGPSIGDLRSRLTRLITVNGNDATESMAVKDLLSYRLPHEGDDHDIRDEWV MIVEGRHRLWERIPTEKKETIRGFLVLFNCEILKRAHKHFNFRNGSIGNFFLTGARLF FGSLEAAVFLFSSITAIREPTCVVPVINTNHTAAIAALLEDGQVLRGQCEISHPGEPK LNCVIMNPIDAFSRLALPNSPNQTIGDEGVNSNLTFCKTSEEKLSAPIRRIFYMNEYG QEIYPLPNHKVIAHLSKRKHLVYSIGSLYTSILPCLILRGIGKAVATSECMKHKILIL NGTNDRETDGYTAMDFICTITNALNESQLVDARHKFYDSLTEGEAAAHHQKHSGKQHP DFFARPVNHGFRQWPPPTRRPHLQLMMPPKSTVNRSFSSLHQETYPLTSPVSAVDGMS FDFPPDLVPNSPPSTFITHLVYLSNSEIGVDVATIERLGIKCIKAQPDQMAKEPQYNA DELQKVLESIIST PHYBLDRAFT_159242 MDDCEILKSGNVEVKIDFIRRLYLTVLGMDTLFPLPFKRSFNPK EFRRSTFAWINLIFLTSIARWIYDKPSLDDTLTLQISMAYDLGLKSVESEDSKSLMFK YTSDCVRL PHYBLDRAFT_170000 MFTITRILKQRAHANRWILLFLGITILQLLISMINLITNTRFSS PKNLGQTLAAIQLAEVLKHRLHRNIMESAWIFMFQVWRLWVGLDGIIRGDRPPVIVAG VSTIWTIAMSAIQIVISRMLINHGMNEVYPQLVMSGVLIGISAPIFIVVVMLVKNSSW TSTPDLGPDPNMKNMYNCISRFLVLSKCGIFFQFLLMLFHGVFMKDIGFQPWNWVLFI PLPILSLVFMLTGQKAIAAESSPLMIIFILYQACFLAYNSCMIWWGEGHMVYNTWIFL YTYSGVAMILCLLTLLTSIRCLANFGKGIQPFVKTGLFNGKNATDLSDGDAEMAFATA PMTLEINEKEETPNKHNNNLIVNSVIEITTNSQINRSVKIWIQAPKTRFENGTLTYIY RVTKKNQYQAV PHYBLDRAFT_170001 MIITLVILGQSKTKFGLHQEIGYKHIIAKENRIMIESIWFFLYE IWRFWLAFDGVLHSNSLTVSASFISTLFAIALGSMQIAEFIKLDEATKLEIIPQIVMV FSLTIISAPTLYISFKLYRDCDWIKYRKLGPEVRLHHMYHWVQCFVLALKVDIFFQIF LTAYYTVLSNLAAYKLWILVCLSVLPAACLVFIIIGRKSIANESMWLMSLFILYQIGI ILLSIGVLAVAILLLKDDAWYFLYAYVSMAILMCLITISLSIRCLMNFNKGLYKHGIF SIPNSSLKKKTNSKHTVQIGMFGSKQDSNPLERNQNSVVDPSLIDTCDEDDSISRESK AKSKFPTGITMSSYIDEQKVMFVTFIKTNYG PHYBLDRAFT_79218 MFALRSSFFLLSAIVLVAFIQTACASVFSQLQYIKIVSPTSGQD VKAGESLVVKYVMQPLVLDGVSSGKALSLNISLHSRTGNQKQQKLAIVHNSCPVTAQT NKYVTHTKTWSVPANLKPGSYAIDFEELVQFRRSQVTATETVKINIVD PHYBLDRAFT_170003 MNFALPFCGCAEPPEIGSLSLEFDSCTRETLLDAALPLAFLIVS LGVNSLRRYRTWRTGALRLTGDADTLRLPTTKQAALLRQSLILLVLVLLEISTWAFIF AWRLESAILDRDNPKHATELTSALTERCGTPPYQVLDPILALIPRIYILILVIRSFTT PADPVAPSKFTLYNPHFLTFYSFALISAIVRIFAHFGTLLNSRDGVPSGVEKGFSLVD CFICLAIWLVSATTPSELDQGELLDFDDDDDGVMVLNDGRVVRNGRILSFEATASPLS FMTFGWINSLLHKAYKKPLTADQLWALPLRQRAQENFRQFKQTINSSLVRRIYEANKT IILVQFVTAIAAVFFHYANPFFLRKLLNYIQDSKNQPSDIGYLYCLAIFVCSIISTLV ASQTLLWGRRWHVSMTNMLNSEIYEHTLQLNHPKETTVNKSESEEDAENALPNKLASL MSRDTERLAELASYLHIFYTCPLEIGVGVIFLYQVLGNSFLAGLVVMAVTLPSTHFIS QRLIHVQKQLEEAKTWRTRLLKELFEGIKTTKFLAWEHKWEHVIAAARDDELVKLIKL YTQNTILGLIWFATPVLVTTISFACTAFVSIVLFGMLREPLNVMPQAFMAYNDAKVSL EHINSFLNSGEKRYSDSDSSTPYEAMNYSYTEHVQTGFDEGSFQWLPKSEPSVRRPYV RDKATLSPNSSDGHLSSFRLTVPEIDFPVGSISVITGPRKSGKSSMISALLGEMKGVS GSSHIPSRFLTMRTNLIRDQKYRALYVFRVAYVAQIPWIESGTIRENILFSDPWDDSR YRAVLYQCDLLRDLSLLENGDLTQIGERGMALTESQKHKISLARAVYSRAKTVLIDDL FALLETPTVNFLFDKCISGDLMQGRTVIITATELGQWACNAQLLVCLDSGNVSQIERE CDAISTWVFTHQARRDSSTAVVASDDRIEALFETDNVYTDDDFFDEASVLRESIAAPE DITIENTKARKYAYATYFSACGGWQFWMSAIMFTVLARVSSISESYWLKEDVPIFIPT PTQPEKEIPDENVINHYVIIYLGLSMVTVAFNFSRTVVQYRGSLQASNRIFLRLLQAV CHAPLQFFDNTLQSDIMNRFSKDMETVDSSIGWHVNFLLQTLFGIIGVVIAIGFILPE VYIVSFFAALLYLYIGMIYIRASGELKKLNTDTRPAIVCLYADTLAGLSTIRAYREQR GMMRKMFQRLDENMRPFYTLWTTNRWLFVRVEVLGALISLFISVLLIYKRDTVDAGSA GIALTFATSLLEYVYWLMRQSTTVDMHFEAVERINEYLDMPQEPPGVVEGSRPPAAWP ATAAINVRDLMVSYGGEEDPILRHVSFNILSGEKVALVGRAGAEKNVLVSCIFRFVDP LRGSIKIDGVNIAWIGVKDLRSRITFIAKDGWLLSGTVRSNLDPFGEYDDYELWQALY RVHLAVSPISEEDVQNYVHSATTSTIYDLDMDLGKDGMALSVGNRQLLCVARALLRDC TRLVVLEEAQLTLETQEKMQRVIEEEFEDSTLLVIPYSLQSVLRYDRAMVFDQGDLVE YDNPADLLKREDGLLHSLFERAGILEAHITE PHYBLDRAFT_23674 MIAPITGKYRKQIIKDISISLVLGAVGGAAWWNLYHVPNVQVRD AYYAKLEASKRQ PHYBLDRAFT_159244 MDGSEVMHRLWNRDLAAVLNFRHILNNLRYDETIPVRFTRVIRI GRIRRQAEEDLQEGRRL PHYBLDRAFT_147085 MPHNVPFGGWLVILYDADISVDLIIKVGGNKLAAMATCQIGHMS NVKASSLKSVIIHKFKDPVPVDSG PHYBLDRAFT_187516 MTSNVYPLASYRTSLYSRMIFRVALFMIVLQLHVALAQTSLPLP SITSSPDLDCTNSTNQDYSSCSSSLSSSSSLATTTTTTESAEESESSSILSTTSTTAT SNSHLPTSLSVSASSAPSNTPRLTPTPFAPQPSVNTTGFDYGNTGPSKSEESWLKKNN RFIFVIVLGVVVGGLIIWYIVRSVQGMRRRLEQENHAQMLMLQQAQAGRNDHHHRVIP EESFNGYKMELSSSTIPSSPASPLAPYKTPTGLPASYCGMGLCSPTHYYISQLATLQY LFYIVLGRTKIRGHYFVKQEFDHCGFGSNYRYIAKYCASTLNLPDL PHYBLDRAFT_147087 MGTKYGDKVDTPFCPEGLEKDTWPRTGSRGELVRLDRNVVPRQE TSSPQMEIFCMTINTTIKILEKIGTAKPKPRLGIPKKRSVTNVTRLCLSVRHNLFESF AYQQRIWQLMVSLSVDQDAYINWLSKNLNSGY PHYBLDRAFT_68627 MLAKNGTIYGLVESTCHLPPENFVTLRLAKKQIGLASCTKKWNK GIVTNVAPKREGFHIKQEVFSLNEHSIRHNETAQILAGLIKPLTHACHLLFDKLAICA PSLRSKAFVSRSYVIYKLYDVDEQVLS PHYBLDRAFT_113948 TMSLLTSVSGFAAFGVLVRTYALGLQKRPLFANPSGHAIAAGAF GAFGYFAYNLQEKQLAIMAGKKELLLKNRARAEEIAQQQQAAL PHYBLDRAFT_187517 MFLSKLIQRPLASSEVRLLWSCRATFHTTTRCLDQDQPQKRSPS SVNYKLRGKASQKKRIGRQFIDFVRFTARGGDGGDGCVSFHREKYLAKGPPNGGNGGR GGNVIIKSSSDETTLRYMGSGGSAKRGQNGLGGGRHGMMGENLVIRVPVGTVVRQVFR DKKEVDPEDEPEDEEALAQQARENRWVYFPRTEPNQTVIEGKKNFFRQAERVMDEEDK YLRWRARTEQQTVIDVDLNEPDQELVLCTGGSGGYGNPYFLTTDNRSPKFATRGRKGE IREFELELKTIADIGLVGLPNAGKSTLLGAISNAHPKQASYAFTTLHPFVGTVDYVDD YQLTVADIPGLIQGAHRNVGLGHAFLRHVERAKILVYVIDLSGETPWEDLKVLQSELE AYRPGLTKRQSLIIGNKADRVTTARENLTRLQAEAKDIPVIPVSARYEKNILKLTSVL RKEVERLRVEDIERKRKELEDDEDM PHYBLDRAFT_68625 MSGFEGFYAVIVVIVVVLASIVCCLGIRLFRRANMNNEDDPRRS PDEWQPLADVSSGPLDADTRDQIQRVLAWQAKHPPRSTDWTDVPRNPLVLERGVGAWA FVENEHMEESEEESNAAVMDKTTIVFCQGQGCTLTNLPLPANEFVYWEVKVLQLDDQD RLAIGLSTKPYPVWTLPGFHRHSVAYHSHTGAIHTSDPLIGRPYGPPFKQGDVIGVGF LTQTSTVFFTRNGKNLGKASIGFKFPCYPSIGSNGPYFLFAAANIREAALAPKQDTLP PPPAYSGHMRDTMLFGGPEEAVNPSHLTYTQQSASSSMQAPPGYTI PHYBLDRAFT_40660 MAQKLKVAIIGSGNWGSAISKIIGNNVKLHPDIFQEDVNMWVFQ EQVDGKNLTDIINEKHENVKYLPGIPLPENIHAVSDVKETASGANILVFVLPHQFVYK VCESLKDVISPDCKAISLIKGLDFQDNNLTLFSEEIEKILGISCACLSGANIASEVAE EKFGETTIAAKTEEDGKIFEKLFHTPNFDVKIINDHVGVEVCGSLKNVIAIGAGICDG LDYGNNTKAAVIRRGLLEMRKFGKTFFGGVRTETFFESCGVADLITTCAGGRNRKVAA AFINSGKSIDEIEKEMLNGQKLQGTTTALEVHRFLESKNMTDEFPLMTAIYRIVYEGA PPELLAENLRTRKF PHYBLDRAFT_170009 MLFGRTYNVIIDFFSINYSRGLTKHEFQVRNLSLSAYHFPVFRV RFPRDKHKILLLCLQAPNSRSFKSYSLYLSNLIMEYCRIRKTNLVYSISSPGFRFDNK EIIINPICTISIASHAEDHNEFLS PHYBLDRAFT_68622 MRTSRGLQVTSLVVLLPVLLLLITPVVILVISVFSQLKSFCLPT ALAHFTKFAILDLWAHSQSLDFHSGTESLGYNQSEVTNHSNVSETRPEWPVYQVKDYL SWLTIACFGLCLLTVGFTKFPTKCLRTLKKAIQPCMSTGATLYDSIVYFTKKVPKIDT VLVTTLQANRAPQPTEAPIHHVVDRRVDQKPKDLPHPRRKRANTISQPTKKSNHRNHV RTVYSDHKPGPKLTTEGTDQTDQTDQTKSTIADDEAASSSSSFSSADSDDWVNVKPKR HLPKKSHRLETKISEKRIVETPLKQKNDSSNVNDSDNVNVNANANVNFNFITDVDGDV DVDVDVTDNNYNYNDNDNPNDENDGDDDDDGGCDDDDNVEEEDNDNENNFYNSLSTHQ TPLLAMDLTPVTSDDESNNPQSPPLSPPSYRQAVQNWYSPFSTGLDLDILRRNDLFNY KIKQPQPQPRSQPQPQPQPQPQPQPQQQQQSLRTPSTYSLFSGGVTSSYQFGLLNGPY LESGTSRLQNHSYNEQTHSPTFTHSHNNHDHIHIHKHKHNHNHCYQNHHYKKHQDTPN PLGPIGKDNHATRPLSPNSAPWKLFAPVNVDNIATDSPRPVFSLFEGPNLF PHYBLDRAFT_170011 MKHLFFFFQKKYYPVATQFVDRQLYSFNQSHTERQWFWSSDFWY LRATVMFDTKQSKLKMYFETLIAILVVTLILIDLLTPIVKLCNSSTPFIYQKTTSSFT SSGLCDQASSTGINLDFSNLSQASISSLITRPKDNTYYMFSRKLRYGRK PHYBLDRAFT_170012 MDMSGFQLDGINDMDMLRSLSNRHSQDQDQSINMDESSSFDHFS KDIDLLFQTSHASAPTDLNSFLSPYQSTANTPQKLIITSTHMSPLYPHPHLSSNPVSQ EFMDQDDIFTPLVSPAMTPSFTYQLPQKHHPNIPLTTEMDFSPLSSPAIMPQLDRQHS RDTSGNPIFGSQFSATFDKMSSTQICEQYEQLEQAKIMITRKLSELQQKQQQHLQQKQ QQILQQQQQLQQQHQLQIQKQQQAQKKAQMQVVFSQTVQLPPSYTEATSPTTMAYTMQ SFQPAVVPEKPSHLEPVTPASLMNMKMQSLSIPPKRLASTNQPPAFAPILTSQIEPVV ALSHSLSDSSTQSLVLTPTDTPKTPPRSLSAKTTRGSTANSAGHKKISSTHASDAAPR PVSKKQRRESTLTHTDTKSLISPSPRALKPLLLSPTLTAGLESSPTRLNAERILATRS NYQNLMEGKAAALGIAFMPHIKSGIEVRRTAHKAAEQKRRDSLKEWFERLRREVEDGY VKKKSGLASIVIREQEREARGEIEDTSGGESSKTRQSSADEADHSEGADESDAVLKPL SKVLLLRYAYEYISTLKTSVSNRDLRILELEEENARLRQGSASKESSPPESPYTA PHYBLDRAFT_96544 VHTISQEDENSVLSLAASRQYLFSGSQSSKIHVWDLQTFTLVAT LEGHRGSVLGLSISKDQKWLFSCSGDGTIRVWDTGTLKCTYSIVSCHDVGDIFSIVYS EKSNTLFFGSQNTSIQWYSFDDPQTRGSTTGVPVLPITPRNSQKLKFFEGPGMLECEI TDNFDEDVIKCVVREKNVHLNAHDGYVYSIKVLIHLNLGSGDGDVKIWIIIDGTLKYL QTLVGNSDKGVLSLALSEDGYLFCGVQGGDVQESKLLGVVKMCVKDDVLAVALKGPGL VSASADGTTKIWSQGFEFRESLDEHSGAVLALTTTGNYLITGSSDHSIKFWDLPSTRN RERHVCFTLHTLNHFFLDTFLYVLEKWVAMRTVGGNPKYMEECRRGARFLKNVLQQLG AVSRMIPGECGRNPLVYGKFTGKTSPDPSKKTPTVLIYGHYDVISAEDEKQEWNSDPF KLTGKNGYLYGRGTSDNKGPILSCIFAVNELLNEGLLDVNVIFLIEGEEESGSVGFFE GVNKHKELFADVDMILLSNSYWLGEDVPCLTYGLRGVIHASVTVSNPRADMHSGVEGG AISEPLIDLIHVLGKIVDNDKKILIPGFYDNVRPVTDTEEQPLALDAEKLKYDLMARW RYPTFTVHKIDVSINNPTIIPRSATAIVSMRVVPDQSIAEICEQFKNHVRNAFEDNVS ENNISIDIQSASEYWLGNPQSEYFKAVENAIEEEWNVKPLYIREGGSIPAVRWLEKFC NAPAVHLPMGQASDQAHLHNERIRLRNIHAGRRIVKRLL PHYBLDRAFT_170015 MLGDFNYSSYAKASRAGLALRLWLHFVANHFVDCVTLSDAQPMP TFHRDLSSSTIDYIYASKDIASCHSSSTVTFVQPLWTDHCLVRTCLSFPMLSHIGRGL WRANPRLANIPSFRSSLSDCLSSFIPLLSPSISPQSQWDLIKVEVARFTRSYSRTTRP SLATLEIESTCNIHHSRSLSIRGRATVLNSLILSKLWHVLRVVTVPLSFFRRLRSIMS KFRQYRSFPPIPLGTFCQPIRLGGLGVLDPQVQQAALQLRWLRPLVRSPLSPSGLVPP WFSYVLRLDSSSADPLVPLIFPSLQSSHQRDFDSPLATLLAAIDLLPHNFSDVVVNLP TCLSLPLSYLTTAQPDHPPFPSAWRDLRVSDAYEVDPSFGVLAQRPLHRILRRPIVLH RFFERLYTRSLVLHPVLYRATIPPAICAIQFPSLDMPSDTAVDVRPFLTALVPGIPWH RLSTQSFRLLCNFHSKSARPISPTLVPRQLRRFWSFPLLHGARNVWFCALHKNIPCRS RLNSRIPTTFPDPSCALCSHPLDNQTHFLFQCPVKLSVWSSIWTLYFAQTATPTVLLS GLQSFTFPPCTDSSLSAASIFGCTLLAIWRHHWLFIFDHVPFVSSAAFSTASSLLDRL KSELALDFPPL PHYBLDRAFT_147096 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVVTAP EVQVAVTPMDHVLTLLAANNNGLDLYNKTNEFLKNSVLQLMTANAEIEKAMTSQNSVM PSAVPADSSSSMDDDIDLGAKHHPLISQLINSYIKKPNFVSTDPLKVAENNNRSAWSM TGRYGDKYNKTLALALFKYPRPQRCCTNVSKSVIMNIIKNHYQNQLLDRRIITYQTYT EAIHEGMNRYDCGNILSIDVMSDGESDGDNEVRAYRPSWRTDELQTFITTIDELTVIR LKKNSESLKKRIPYEKEHDVYSCMYSMPSTNKNL PHYBLDRAFT_170017 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVVEVMSNDNDMEIDFENNVDTEDQVEDMHTEDLPFFDVDSLFDSESEDEGVIE ATILDISDDESNDVRENFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGVVLLKFLNE VLAHFGQSFRFPLSINGVNSMTGLSDVTRGVQRFIACGDCNKCTVIDPMHNLYLGTAK RIMEKWRSSGLITDAHSAEMQLDADKLVLPEDYTPLGTKIERGFPFMKADEWKSWCLV YSPVLLRGRLPEAHPGNWTTFVNAYQYLSMPSISMAHLDEAHQSLEAFCRECEKLYKA PFLSPNMHLHLHLRETVLNFGPVYGYWLSSFERCNGILKNYATNRKDGFEGTYMKKYL EEAFQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFDINAFLDSPEI NFDIVKGNEPLPPSALPLALKGEISMDESEYGHLLEYYRETYDDQTLVHYRQAGHSDN FVNNRIQKFESIDLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAGQIQYLFVNTA VNSFAGHASQHVFAYIRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVA VGEHLGLEGEVQMCVVPLPQKIYI PHYBLDRAFT_181969 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_147099 MIRLPGQYCCPLKSAVWTGIWLEFFGTVPLPSVLSSALQSFVFP PMLNPAFPASSVFGLTILTIWDHHWSFYFKSVPFLPSAVLHIARKSISHLCSELELDS P PHYBLDRAFT_170019 MFPSIQMHNTDCHCTRCNNNDQGVSRVSRRTAQCHNKRARFEAE KRSMEVDTEIILTYQSDSVEAMDGQANSPISDAVSTFDNDVFVGNDYNGDESDTTDDN DSDDNGEEDTAEIYVEKFNNEDPFAASGMPENPVHRFIATFTVLFASRYVVNKGSVVL IEFINELLKIYGQDFQLPESLAGLHKMTGFSSITKGIKRFVSCPNCHCIYEENMSVPP HCVFTNVGARSPCGLRGTIIDPMHNLFLGTPKRMMDRWVDKKTIGAEEFAAMEKIAGT MVLPRDYTKLTSKIGKGFPYMKADDWKSWVLVYSPVLLHGVLPFEMYNNWMNFVRACR YLIKPSITFDEVNSAHDYLEMFCKKATELYTPTILTCNMHLHLHLRETIRDFGPMYGY WLFGFERYNGLLKHIKTNGKDSFEATYMRSFVQNAFKGDYANAVLKSSSHVPFFNILS KLSLKFTPTTTVITLSSRPFRLQSFLLALSNPHLPPKGNEPLPPSTFPLQLKKSSLMD ETDYAHLLQHYKTSYDLPDLVSYQYATLTNSFVDNEITKLKFIDLLGQQYRGKNGSAS CGSLVHVMFVGSDGRNTLAYAGQIQYLFTHSFTHPSNSNLHLTRMVHDH PHYBLDRAFT_170020 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARTPLAAPVRAELTVAAPVVISNHEPTHEES NAVYAHIHNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAERLLSNLEHR FGSSSMRRSDLRKRLHTNFTSRTHRERMSDDEIAETNALTRRAARADDNECRRVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKHVIHIVQPGWRSDECNRFIA LVDTYAVQAMGSSANQRIRRITTSVSNSAVPDNISPNFPRWALRDGL PHYBLDRAFT_170021 MKETHSLSHSDVPSSQQSSGLARVNEHPSYERAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFVLGTSNKIFHPKYNKLAEQEVAED IE PHYBLDRAFT_71284 MGSLVSNLFLPLLLTLSACPDYRVALVSWILAMNTLPQNFDRVV LNLSSCLILPLSSMISSMPSHPPYRSAWRDLRVQFIFLFATGCPLTFSHFCQPNFPVR PDPGSPRAPTVLPAPINCLMLVPSTLLSSYNNFAPSGLLPSLTGLRMSGFTGCTISFR AEHFCTASCHPPSLLLSALFARCLSRRKNIFFLLALEKSFKVI PHYBLDRAFT_7220 LMNLCPIALINSDAKVFTHLMNAHMISAVTTLITPYQTGFVQGR FIADNGML PHYBLDRAFT_170023 MGSHCTYCNEMAHGITKCTKRPAKTRTCFGCNKTGHLQANCPYI TDPSKTSKTSKTSKTSNKHSHHPSHNSNLNRPIIAPKPLIPTELTLIYGGSEASKHNP CQPALRELSKLSLTTTSFTLPTPTKMPTSSGPCPRSRSVNTPTRSWDKEIDNRIITNL MDRDEAQALRLQSASRHTHLRFSQLARPTGHNTSLSPPRFTHAQATKALGAEANINQ PHYBLDRAFT_71281 MALSTTTLEPPGTKNPSNTGSSTPLQPSFTPMSPFSTPLYSQVA TQNALPLLEKQPHVIFSSTNNTTPRTWRVGALLSAYPREVNMGITLGSRSSPDTCELY LPTSADCEQVCSQPLVVSNSSFPAQPAVPIGTIVCRVFLTKLPCVPYHELATQLAKLW EIAIHESYGFFDGSGYVVLANTPTNNAPSDSLTYQIAYDGTQKILRKWPSVVSNVIVD LN PHYBLDRAFT_71280 MYVLGMGLVDIIVSLTIDRALVTSCGISECIYCLTSVGVTIMTS SHKLALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIRVSGSPHFSIGFTKA FCTTDQSYLCTKRLFFIGGPKMLVDKLVCASICEERLGCIHCTYTPWRQTSKTTRIFA IGHLKSLLKWCKLPEFQGSMLFESEKIGYFD PHYBLDRAFT_170026 MSSFKVHVKVHAFQVKVHGFSIQSMQEKHQGSDLPAMIIVFSHP GQVSRIRLPGHGYSSSLQPSRSSIKILISHYMVIGQTARIKTQIWATVCLRSGYTMKN LFSFQCNRYIHLVRPLVTVGFISLLASQSKPAIMDPISVQCYSVLVVKVPLLSMPMIP NLSPIQSDRATIKDSISIQYDSVFTAQVEEQGFLLHPRLQPRPIDPGLLSSRPKPTIK ALIFFWAHSKRSMLSSTSKVAVHAENQDCTSKNRVKSNRRKGQQSRCHSPSWMLGIPV SLVNMDDQVSAFCRGQEINFLLSTMIHSILNPSPTIKLWLSGKG PHYBLDRAFT_159248 MFLLSVLIFLVILQFIPTIRLPISIQGYRHTSLLHHLLTAYFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLLQRPAIKVSLSIKILSY LNIVKTND PHYBLDRAFT_170027 MQMPLMLASRHVYDCIFVANHFVDCVTLSDAQPMPTFHRNLSSS TIDYIYASKDIVSCHSSSTVTFVQPLFPMLSHIGRGLWHANPCLANIPSFHSSLSDCL SSFIPLLLPSISPQSQWDLIKVEVARFTHSYSRTTRTSLATLEVQQAALQLRWLQPLV HSPLSPSGLVPPRFSYILRLNSSSADPLVPLIFPSLQSSHQRDFDSPLATLLAAIDLL PHNFSDVVVNLPTCLSLPLSYLTTAQPDHPPFPSAWRDLRVSDAYEVDPSFGVLAQRP LHRILRHPIVLHRFFERLYTRSLVLHPVLYHAIIPPAICAIQFPLLDMPSGTAVDVHP FLMALVPGIPWHRLSTQSFRLLCNFHSKSARPISPTLVPCQLRWFWSFPLLHGARNVW FCALHKNIPCHSRLNSRIPTTFPDPSCALCSHPLDNVTHFLFQCLVKLSVWSSIWTLY FAQTATPTVLLSGLQSFTFPPCTDSSLSAASIFGCTLLAIWRHHWLFIFDHVPFVSFT AFSTASSLLDCLKSELALDFPPL PHYBLDRAFT_71277 MALPAKVPDPPIGSTTTLGQANITTPQPSTTTSTTTSTRSYLDV ATATPAPSQVPVVLFSNLPTSTDRVWHKNTSRHSVFFTPPTDSTLTSEFWTALRASVP TACTLGISFAHRQLLIHELHLTNSTICTELCSKGFLVGGQTAIKTALAAYGTIREVGL HFRVNFFDVTGFAYLERPPNPDATLAKLSYKIPYDGDLYFLGTWKQMGIHCNYCKVMG HAIENCPDRPKDSRCCFTRDQTGHLQHACPRAPPTDASSSKRPRKVPTTPESPDHSCK TTPGASHRPLAKKSIPPCGTPTMAEGSQATTTTSHTNSSTPRRPSVPAVPTSPCQSAN RFAALDGLTDNSHTGALFDPTLPLPQTHNTQYDPVFHPLHNAFLLSNYTSGSTKDEEE IHPSAFDSNDDQDSQNILTDDEMADGDHS PHYBLDRAFT_71276 MEIGLQTNQVSDFAVYGMEYPKKNYKHLIKQKIGELYILDINAF NMSKVCDFCNSKYFRYFQDASGRPNHPILACNNCIFFCNRDVMAAKNMFYIVQEIWGG NGELKDAYSAIHRVFQFVYFAYTIKTGTLPS PHYBLDRAFT_113898 VEEVKDLTKRINQNITDIEEMHGATLVNISEEQMSENTRRLEQL STQTAKLNNEAKLRIKAIELSNARIPASTGDLPMRKTQLSALKKRFIETIQRYQDIER TYQQKYRQRVERQIRIVKPEATSDEIEKALDSDETQIFAQSLMQANRSGQARAVLNEV QTRHDDIKKIEKTILQLHQLFMDMQMMVEQQGETLKEVEMHSEAVMMDLEQGNKDIDR AIVSAKSTRSKKWCCFAIAIIILIVAGILIWWFAFDHAGID PHYBLDRAFT_34050 MALEEVKVLYCGICTMPPEYCEFSGTQEKCKEWLKDNHEDVYVS LYNVEAITKGVENVALEEPGATTADGKPDRTFVKDKSAKLEAKLERENKKKMSSRVTI KRVERTKRKCVTTIYGLDVFGVDMKKAAKMFANKFACGSSVAKNNQGQDEIVVQGDFS EELNDLILANWPHVPEDNIDIVEEKKKKATPP PHYBLDRAFT_25451 MSLLQKTAIITGATRGIGFGIANAMAKQGAQTILIGQDPERVKA VESYFQKIYGDHHQGVVLDVSDIEAPSFLCILKRSFMNIKIYNKGIARDGLLIQLKRE DLEDTINTNLLGTIHMCRLVAKSMIRKRQGGCIINLSSVVGIDGNVGQSSYSASKAGI IGFTRSLAKELGPLRIRVNAIAPGFIETDMTADLLAATEKKQALLDSIPLRKLGTVED VAEAAMFLAKSNYVHGQASAFALIYFFRMSWLCLATEYKYIHTLYNICI PHYBLDRAFT_41846 MGEGGERIIYINNPALNGAQQFLHNRVFTAKYTAFSFLPRFLYE EFSKYANVFFLFISGIQQIPGISPTSRYTTLVPLVIVLTITAVKEVIEDWGVHRSDAE LNAKSCRVLEGTQFVDKSWRDIKVGDVLRVESGENFPADLILVSSSEPEGLCYIETSN LDGEVNLKIKQALPQTAGRLNPIEMSRLQGVIKSEQPNNRLYNYDGVMTISSDNDTGK PRDHPLDPTQLLLRGAQLRNTSWVYAIVVFTGHETKLMLNSSKKPSKVSNITHITNRN ILYLFAILVLMSIGGAIGGMVFTINKGDLVSYLPLNEQSRAEEFGYDILTFLILFNSF IPISLMVTMEIVKFILSYLIESDLDMYYEPTDTAAVARSSSLIEELGQVKYVFSDKTG TLTCNEMQFRQSSIAGLSYADKVEADKQARDGTDDPTLQYNFDQLQEHLKTHPTANVI NEFLILLACCHTVIPEAQEGSDEIEYQASSPDEGALVKGASMLGYKFHTRRPNSITCS IRGHDMEYQVLNVCEFNSTRKRMSAIIRGPDGKIKLYCKGADTVILERLADNNPFVET TLVHLEDYASEGLRTLCIAMREIPEEEYARWSQIYDRAATTLVDRADELDKAAEMIEQ NLFLLGATAIEDRLQDGVPDTIYTLQEAGIKVWVLTGDRQETAINIGYSCKLLNEEMS LIICNEDNHWDTKTFLAKKLEDIQKLMTRGEDVEPLALVIDGRALTFGLEKDIEKILF DLAVLCKAVICCRVSPLQKALVVKLVKKYDKAILLAIGDGANDVSMIQAAHVGVGISG VEGLQAARSADFAISQFRFLKKLLLVHGSWAYQRLSKMIFFYFYKNVTLYLTLFWYAF YNGFSGSTLYESWTMSCFNVIFTFLPPLVIGVFDQFVSARMLDKYPQMYMLGQINEFF NQKKFWGWFLNACYHSLLLFFLGMAAFRDEGVFKNGLMGGQWWAGTAVFTAVLGCIVW KGALVTDVWTKYTFIAMFGSMVIWFIYLPVVAYIGPAISVTVFPEYYGMVPMLWGNPN FWFFIILVPFTCNLRDFIWKYGKRMYRPMPYHFVQEIQKYNLPDYRPRMDRFRQAVNK VRRIQRLKRNRGYAFSQNDSDQAKIIRVYDTTQQKPLG PHYBLDRAFT_159252 MQHIDNDQLYQDSTYRFEYVSKFMDFGEADIKAIQDVAELVRPL VPVVVDAVYAKLFEFDVTKRFFLPKNDGFEGELPATLEELTLNHPQVKFRKEFLGKYL YKILSGPYDERFIRYLDWVAKIHTDTPEKKSKINVDYIHINALMGFVETALVGGLLSL NLDRQTEGAALAAFNKLLWIQNDYFAKYYIKPEKKAVVAKSFPVDLTILPFAVGALAG ALGVWLGLQRQ PHYBLDRAFT_80047 MTHLIYSISPAWSAKFNYWFISVILHLKCNSIQSIEIDNYKETN EVVAPAANQRVVNYTTRDQTIWTSWRIEEKIYKSHRDPLPSKARGLFTVHEDGVHRVV VRGYDKFFNVGETDDTQWDAFREGTEGPYDVTLKENGCIILISALSESSLAVTSKHAL PKVQDDEYSHAGVGYKWLCKHLASVHMTERDLAEWIYHKDITLVAELCDDEFEEHVLE YEPANRGLYLHGINYNTMEYHTVPMDAVRQVAVVFGFFPPEYISLQNIQGVEDMSQEM QRTHKFLGREVEGVVVRCKKGGKDFQFKIKDRQYLQYREYREVTNIILSAKDKKKDAK NVWKRYEKTSDYIDWLESLIQTDPDRLKDYRKKKGIISMRKDFEKEIKSR PHYBLDRAFT_181976 MFVAIGSPKRLSLYTISSSSHYGMDSRVELLTPEGLRVDGRRAN ELRKITAKTSVFSQSDGSAYIEQGNTKCLAAVYGPREVRHRMQALADRAIINVEFNVA PFSTSERKKRSKNDKRSLEVATFIRQTFEPVILTSQFPRSQIDIYLQIFQHDGGLLQS CINAATLALIDAGIPMLDYVCACSAGCIDKVPVLDLNNLEESADTPELTVAILPRTGK VNLLEMESRLHIEKLASVTELATEGCMQIHKILDDVVRKNTQHLKDRLTS PHYBLDRAFT_75255 MACPHIKNTSFKVPSAYTQVHKEECTQCFESQDGLGGIDVCLTC FNGGCAETEQCHAKTHYNTSHHPLAVNIRRRIVSEKPKRTEDESPPPQKISKLAIVPD SETIKYEFFTKVRCRACPGVEVSKDTTPELNAIVEAILATLSSAKQSEVKAWEEEIYP CEHTLCLTQDSPKKLETQDLAHCTECDLKENLWLCLTCGTLHCGRKHYDGSGGNNHGI EHFEKTWHVVSCKLGTITPEGTADIYCYKCNDAKLDNELAVHLANWGINISQQLKTEK NMTELQLEQNMKFDFSMTTEDGKQLEPKFGPGYTGLKNLGNSCYMASIIQAVFDINTF QDRYFIQLQDHASVCQNDPANCWDCQLHKLADGLLSGNYSLPIPSTTDNDTLSQEGIA PGMFKTLFGKGHEEFASMRQQDAYEFFQLFCKTVSQKEHTNKDQDPTQTFEFSLEQRL QCGKCRKIRYQVDPSSSLSINVPAKRIGEEDSKTLYEPVGFYECLDNFVQEDTVEGYN CPHCKEKTTAFKSVKFNTFPDVLVIHARRFAFVDWVPRKLDVKITFPKEPINLDKYLG TGQQPGEDVLPEDEVLAEAPSFDQSAVEQLMGMGFPEIRCQKALINTGNNGAEVAMNW LFEHMDDPDIDAPLATAPPSSGPTDDQITTLCDMGFTPAQAKKALRETNNSTERALDW LFNHPGDLGEAGSSDPSSGPSIPGDATPPFDYRVKSFVSHKGTSVHCGHYVAHVRKEG EWVLFNDNKVAIAPNPPIGEAYVYFLQRFHSGKSYTE PHYBLDRAFT_113947 MASGFPIPSVADAGTAPSTGTNSRIPVPAANSTPLPFSLRSHSG PQPAATAIENPKDVTTAAFILQDGASFQGVSFGAEGKSISGECVFQTGMVGYPESLTD PSYRGQILVLTFPLIGNYGVPSREAMEEYLEGIPKYFESSEIHVAGLIVGNYSQDYSH YLAKSSLSDWLKENNVPALYGIDTRAMTKKIRKQGVLLGKILFRKADVSFIDSAASAL GLSKGNDPGKWLNDFNDIEWVDPNKRNLVADVSIKEPKIYKPQASKAIKSSHGRTLRI IAVDVGMKYNQIRCFVYRGIELKVVPWDYDFSSEPTDTYDGLFISNGPGDPTMVKATI NHLKTVLKNVRKPIFGICLGHQILALAANAKTVKMKYGNRGQNIPCTDKISGRCYITT QNHGYAVDSTTLPPDFQELFVNANDGSNEGIIHKTLPVFSVQFHPESAAGPRDTEFLF DVFIDSVKECADLGTLAPIAMPGGTKAENMIKNPRVSVNKVLVLGSGGLSIGQAGEFD YSGSQAIKALKEEGIYTILINPNIATIQTSKGLADKVYFLPVTPDFVRKVIEFEKPDG IYVTFGGQTALNVGIKLKDEFEDLGVKVLGTQIDTVITTEDRDLFAQALFEINEKCAP SASAISIDEALKAAEDIGYPVICRAAYALGGLGSGFANNENELIALCNKAFATSPQVL VEKSMKGWKEIEYEVVRDCQDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDDDYNM LRTTAVNVIRHLGVVGECNIQYALNPFSKEYCIIEVNARLSRSSALASKATGYPLAFV AAKLGLGIPLNEISNSVTKVTCACFEPSLDYIVVKIPRWDLKKFNRVSTALSSSMKSV GEVMAIGRTFEETIQKAIRAIDYNLVGFSAVSYLSKSDRNLDDDLRNPSDQRLFAIAN AMNKGYTVDRIWELTNIDKWFLNKLMRIVNFDKRLEEFTKANIPGNLILSAKQLGFSD RQIANKINSNELSVRKLRQEYGVTPFVKQIDTVAAEFPAFTNYLYMTYNASDHDIAFK DNGVMVLGSGVYRIGSSVEFDWCAVRAIRTLRENHIKTVMVNYNPETVSTDYDEADRL YFENINMERVLDIYEIEHSSGVLMAMGGQTPNNIALPLFRQNVKVLGTSPEMIDNAEN RYKFSRMCDQIGVDQPLWKELTSYDEADDFCNKVGYPVLVRPSYVLSGAAMNVVFSKD DLASYLNEAAAVSRDHPVVISKYIEEAKEIEMDAVALDGKMIMHVISEHVENAGVHSG DATLVLPPQDLDPETVRKIEIATAKIGRALNVTGPYNIQFIAKDNEIKVIECNVRASR SFPFVSKVLGVDLIEMATNAMLGLPVVPYPKVVIPKDYVGVKVPQFSFSRLSGADPVL GVEMASTGEVACFGRDKYSAYLKALLATGFTIPKKNILLSIGSYKEKMEMLPSVRKLH NLGYILFATAGTADFIQEHNIPVKYLEVLDGGSDVLKAEYSLQQHLAKNLIDLYINLP SRNRYRRPASYMSKGYRSRRMAVDYDIPLLTNVKCAKVFVEALARNPTGDYDILGIDY KTGHTSATLPGLFNINAYMGCSQSFGAISETSLSAGFTTLSVHASDIRDAASLEAANA IARKYAHTDYILNAISTVDNASRLGELSEDAAFVYINTDKIGSGEVSVFDSVFSSWPS SHLIVAEAKGTDLATILLLASFHNSVLHISNVTGKSDLDLIAMSKKKGLEVTCDIAVY SLFFTSEEFDNTKLLPTKKEQADLWKRLDIVDCFSIGSIPTKLCKELGKPVSPTDGIQ ETLPLLLDAVSKGRLSLKDISDRLHENPRKIFGLLPQPETYIEVELDRKHVCAKSDGS WSPLEGRTLSGLIHRVVVNETTVFMEGVCKSDGTLGRNMSTQALAVKSAVKKIVEKPM SSDTASDENIRRPNPEKHAKFGATPDGQLVLYEAPSFELSASLSRVLGRSPFYKKHIL RAKQFDRNDLHLLFSVAQEMRALVERYGCVNLLQSRVITTMFFEPSTRTSSSFEAAMY RLGGKVVSVSAATSSVQKGESLADTVRTLGCYSDAIVLRHPQPGSAQIAAKYSKVPII NAGDGIGEHPTQAFLDIFTIREELGTVNGLSITLVGDLKNGRTVHSLVKLLTYYQVTI NYVSPESLSMPADLIEEVKEAGVTQNTYRSLDEVIGSTDVLYMTRVQKERFSTEGEYN SVKDSFIINNDVLSKAQSHMIVLHPLPRVNEIEPEVDFDQRAAYFRQMRYGLYVRMAL LALVMGTMRS PHYBLDRAFT_147120 MSVPGHRQEGIFSAYGSTRSSNNPYRDLEPNDQDLPQPDILNHV PENAPTFLSFPAKDIAPETHLRRTFTRSKPVDQSDFKAAFEDGQVPPATTEERQEPEQ PTKPRRAKRNRYRRPSLAESLSTTALMSEQSYIRTYDATDDVDYSDTAKLTANASGSG RDPSPPSIRPYDSTPVAPTQDMQRQQSQHNSLVSKRQSLIKVGTVIRRMSRRVVNVQN RGPLPKPLNIPKSTYDTMRQAARLEEEIPVVEEAIPLTPATPYRQPVDIESSQRQAGE LDRDSFAQTLQMQNGSHIYLVDQSCGIFSPTNRLRVALANVLCWKWTETLIMMLIALH GSVLLAVGWGTDPNPKPPSQWGVQWNQFVLLGIFCCYTVAIIVRVIVYGLISNPIKKE GDIVPPLAFLRHSWNRIDFLSVIAYWIDLILLLTGQEIIGETRRIMVFKMLSTLILLR LLNITNGNKVILQSLKKAAPLLRNVLFFVLFFFIIFAIVGVQSFKGSYLRRCVWTKHK VIKQQQQQQIDGLIIDPEDSEDVQILQQYCGGYYVEEEEKPFLLKNGNEGPWSKGFIC PNGLVCKETENPFGNTISFDNIFASMLIVMIIAGDQSWTDRMYDMMDAEYYFACLYFI VLVIVMNFWLINLFVAVINEMFAKVREDSQHSAFTTSKATPVLADADEGWSFGENMNG LAKVKKSALSGIVTVTRPFWIILVVVDLVVMGAKNNSMTSEQLAALDYAELGFTLAFL VEILLRLASQRRQLKKFFHEKMNRVDFFVAIITCIIWIPPIHNNRVAYAWLTGFQVLR IYRVVVAVPRLRVLMSRVLGSVYGLINLVFFIVLATFLCAIVAFQLFEGDLNDTGEEM RFFSIYNSFVALYQLFSGEDWTTVLYTAMEAGSKSKNSAIYALFLVFWFAFSNFVLVN MFIAVLMENFETAEEEKKKRQIQYYVEKTENAFVTDDPIVSRWNIYRYMKAKPKELEI KNMPTTLLLPVQKNAVREFMNEAAETSFAKDKKINKRVTQMDRSVEKDFLSKTKNFLG DLLVSKKNAAPHLLVNNPRKSLNIDAFNFEQFSTFYDHNKQGNSNDPQSMRYLFDSTS KETAASRVAEAVSHNLEERKANQQEFITAHPTYDKALYIFSQSNFIRRACQALVPPSR GERTFGRTPSYWHSLLFSFFIVSCVITNVVLTIYNSPVYAAENRNEEPKILILKYVDW AFTIIFSAEFVIKVVADGFFMTPNAYLLNGWNVLDLFVLITLYMSNFGNFAASTGLER VFRAFKALRALRLINLLEPAKETFTSILVTGLPHILDAAFLGLSLIVPFALYGQNIFQ GLMFICNDDGDTITDKNSCMYEAMLGTAEPMAAETQIYQPRVWDNPYVYSFDSFWKSL LILFEISSGEGWIDVLSSSMAVTSKDQNPQQDNSQLWGIFFMVYNLAGSVFVISLFLG VVLENFAKRNGTLYLTADQRRWLDLKKLLSQMRPAKRPKTVPSGRIRRRCFELVVEKR GRFYKFMTIVICMNILFLCTDSDHDENVPGLTIAKGYVYLTFIFTYWLEIAIKLLGLG WSSYRRNLWNVYDLLVVIGSAIAVIATLGSSSHQINVESQKLFMTALCFKLVQRSDSL NQLFTTMAASAYQILNVFAVWFVVMTTYAIMFMEIFGLTKYGNQASTEHINFRSYANT MTSLVRYSTGEGWNAVMHNFAVESPNCVEAVNYLDSDCGSTGWALALFLTFNVISMYI FQAIFVAVVSDNFSYVYQIAANFSLKIWAEFDVERTGYITSKDYMSFWRKLDGMFNVR IYDRELSYKTLVKQCSIDGPVVNARDDPYRLNVDIDALNRKLDIIDKPVVHGRKHDLD MLYWEAAMVESPKGVSFNQMLLMLAHRKLIVPEHALLLDELLANRRKEEGIQTLINID RVKGLIETIVLRKKFLAHLQAKKDASRQKHDLPSIVVDYDDVSLLRVKTIPEGSPVSS SPGTPASVTGDTNPFDTHIEEHSPRSSHSPSSISEQNQGDTEGDTTPSSSRNTIDHSP EQDIWLEMLQEEMRK PHYBLDRAFT_147122 MQDALRSVLWMPIQLKSRPLLRYTRTLVLPSNKCWHHLILNPNL VHHIGMRCLFFSSQHHQAPVYEWDSVSPDFIRDLLSAIGHVCNDLTWSRQWPK PHYBLDRAFT_181978 MPAPIEYTCIRRMSTITVPKQDINFDAYDFQVCKPQNDVKNAFE SRELEASFCRDFACCGLVLNDLHDLLQHYEECHVRFEDEEGSLYDESESNYWSPVSSP TSCSFASSEPSSPTLTHDEPESISILKKKAASYLSDLYNANAATASPASLSGSSSLST PSSPLSVADDLSIDQSECLADDDSSLPSKKSQGKKRSYTQFSTSHPNALDLLTQSAAK KLALATGDLPTPILTDEDFLAQAGALLASANTNANADKPYKCPVPGCDKAYKNPNGLK YHNQHGHCNLVSDENENVASKPYQCTIGECGKRYKNLNGLKYHIEHSHMAALNHTLAT FGSSLFAGLPGGNATALNAIAQLEQAAAAAAAAAGSVGASAFISPEASPILSSASLPS LF PHYBLDRAFT_147124 MENTLSRWFKAARPIVPAMTALLSSSCCIIQLALNFFSFSCAGF AVLTPFRPILTAITIILLTYSFYARGWKDKKMAGTTLVSIVLLVSPEIVEWINQNQTQ SQFLAVTTTYLVQLQGLGCIACANRIKSALLAVDVIESATVFFDNSSAVVRASQANVA HIVLDTIKGIDLKYDANILESW PHYBLDRAFT_25494 MEYIKSLFLENPEPATVLVDKENHIYRNRHGVEELISSPLFPRL DSSERTISKIWESVTDTNSSKKGFGHRNVVKIHNNEVAADPNNPESKPKKWMSYELED YTWLTYGEAKKRTEKIAGCLKKRGLKSGDLILLFAKTREEWILMAMACFSLGIVVATA YDSMPADAISHILDETEPKAVFCETSLLNVLSKGLGMLTEEKRSKLVLYVGLEEESPG AVENFRESHSNDAELIHFDTIYESDNLTATDSTVRPDDLAVVMYTSGTSGAPKGVELT HGNIVAAMGAAEYLVVDFLKEEDNHCYVGFLPLAHVLEFLLEFLFITMGIPIGYATIR TLMDDGVCGPGGKGKGTGDLKALKPTILVGVPAVWERITKGVSSQLDKKHWALQNIFK GRFPALFYICIYGALNASLDTTIFASVREATGGRLKYGLSGGAPISYDTQKFLWSSLC YFLQGYGLTECCGLAAVTLPTLGIVTGLVGPPSPSIECKLVDVPDTDYKAENGIGEVW LRGPSVMRGYYKRPDLTKEALTEDGWFKTGDVAHFTENGSIAITDRIKNLVKLSHGEY IALESLESKYRNSTEIKNICLIANSERSYIIGVVEPKDDSVDKDTLLQELQSTAKKSG CSRVEIIKDILVTRDEDWVKHYLTTSGKLKRRDIYKSNKDEIEKIYT PHYBLDRAFT_147126 MRSTPFILSVLNGVKSSQTWTFWILLLLPSSFSLSITPTRSLNI SHTTLLNHYCVVFSEGSVYGLSKISKIQPDLKLIFSSLGPKSPFGTEFGDVKRSFNVK HNACILTYGKVIFPLSDNPTKTLDLKTLKWHSMPLKPSMIRKALKESTMTVANNKMYA YGGYTENKENSVSVLTTRDMYILDMQAPPPWKWNRTPSSLSSNTSTLTLTPTPTPTHG AQMIATTRWILHFSVTPKHGPFDASSFTAGYTVTVYCFDLISLEWVGKVVEFTSTSDQ VRLVSLSKNNLDTLIITPVWKNCPTKTHLPEPTNQEDCDMPKGVWKYDMSTESPRGTL TWLPLLSQNTKLDGGQVVRGTKNYHLTLYGGHVFGFGNVRVLDTANQNFLEIPFWWTS QTSASFGQTPTKAYFFPKRALDEDEAEKSKRNSNNNRRLAIILGSVLGFVGFAIFVGL VVFCLYRRHKQKVQTPSRDISSNIGQDPPLASPSLSPRFIPQPTENDTSEWASRLHDT LSNITQTSNASVEPGQLHASTSFGSMHVPELFPQPIQASRFTEHFDVPLSSTHGRSRI LSNP PHYBLDRAFT_147127 MVANVFARSALRSRVASRQVMRSDLYHFSNSNGQNIPFDTKNRA ALAVKMTLYLGLGFGAPFIAAAWQFHKAG PHYBLDRAFT_125745 MVDKKILLLGSGFVAAPCVEYILRRPENKLTIASRRLENAKELA GQFKNTTAVSCDITNDKAIDEIVAQHDVIISLIPYIYHAKVIAAAVKHKKHVVTTSYV SPAMMEYDQAAKDAGITVMNEIGLDPGIDHLYAVRTIDTVHKEGGKLNEFISFCGGLP APEDSNNPFGYKFSWSARGVLLALKNTAKYLENGKVVEVSGTALMDSAKVLNTGYPGF AFVGYGNRDSTIYDKRYNIPEATTIIRGTIRYDGFPQFVKALVVLGFLSEDEQPHLSA ASSEIAWNEVIGKVVGSSDLSENALKAAIIAKCDLENNPRKDHILDGMKWVGFFSDNK VHRRGNLLDTFCATLEEKMQYEEGERDLVFLQHRFEIELKDGSKQTRTSTLVEFGVPG GFSAMAKTVGVPCGIAVQLILDGKLTKTGVIAPMSAEINDPIIELLEKEGMGMVEAIL PHYBLDRAFT_187530 MFLSRTTVAPLKASALSFAKVNAVRAITTATPSITQAFSAQKNA NGNYLVTMIPGDGIGPEISRSVKEIFAAAKAPIEWEEVDVTPIVKDGKTAIPDEAIVS VRKSTVALKGPLATPIGKGHVSLNLTLRRTFNLYANVRPCRSVVGFKTPYDDVNTVLI RENTEGEYSGIEHEVVDGVVQSIKLITKDASERVARYAFTYAQSIGRDRVTAVHKANI MKLADGLFLDVCRQVSKDFPDIKFNDILLDRACLNITSDPSLYADTVMVMPNLYGDIL SDMCAGLIGGLGLTPSGNIGRDASIFEAVHGTAPDIAGQDKANPTALLLSGIMMLRHM RLNEQAANVEQAVFKTIAESKFLTADLGGRSTNSEFTKAVIGNLKA PHYBLDRAFT_101552 LSNMADPTPATSKLNTAPLETDLDLDRYFSQAEKQLEIERVLQC FKLDPFAILELPYNRPEIKAIKASYRKKSLMIHPDKVKHERAEEAFGMLKKAESELND ETRMKFLLTVIEEAKVEALRANGHKSATVVDDQNYPFLQTRDGQTAVREKVKEILIEM ELRRRRMLKKELEAEGVVARKAEEAVVDRKRKAEEAKQWEASRDTRVNSWRDFQKKGG KKVKKVK PHYBLDRAFT_181981 MYRPPSASFPPGAYGGPQSPVVGGPHPPGGGSPWPRPPPHQSGP PTTGPIWKEHHTPEGKKYWFNTTTRQSTWEKPEELLTPEEKALMSCPWKEYTTPEGKK YYSNSQTKETTWQIPPEYKEQLEKAQQAKEKAEAEAKTNPATNSSATPAVASTTTNTQ PEVEKTYRVRQPLPSAALMAQTPAVEFATREEAEKAFFKLLKETNVKSDWTWEQAMRA IITNPLYRSLKTVAERKAAFHAYAEREARRERELREEREQKHRSAFIHMLENNKAIKP YTRFKSALKILANQPAFQQIKSDQQREQYFNEYVEGLQRREKDRMRDLRKSSMDRFAE LLRDTPEITYETEWRNAQKIYMKLPALEDPKTFEGMDILDFLSVYEEHSRLLWEPVLA DLSKRARDKKRKERKARDGFKALLKEQLDQSHINALTLWKELYPHIKDDPRYTGLLGL PQSTPIDLFWDLIYDLEEHLHYQKKVVYDVLKRREFEVTLETPFETFRDLVAQDESGH SIPENNLMIIYEHLKNKAVIKQKEERRRQERKLRRKMDNLRHVMKYIETPIGVEETWE SVRPRLQDLPEYKDIDDEQICIEVFDKFIKRLKEKQSGQDEEEDEEGIIREEEEVMHN RYRRSHSRGPGRHNRHGERESDHGMSDDERLRKRKRARHTGRPTEYDQPMDSGRVSAE EGDALDQYENDPRRQH PHYBLDRAFT_159257 METTHSGITPQVLDYIRETLHDHACSHNSAISFFQGFVLGQLSV ITIVILALRYLLMEDVKGVKKRYIPSRLSASPPSRVNATAASQLPAAYITQKTYYDVV HHPPESTDWVNVLIAQAIMQYRDDAKINHRLILAVDEVLNGGVRPSFLGPIHVTELNL GEEFPIFSSARIRPSDDIGSMRAEIDFEYNDQITLGIETQLILNWPRQAFAALPVSLV LSVVKFSGTLTIELINPPETTTKPERPLERYIAISSYSDFVLDLNVRSLIGSRTKLED IPKLTDLITSKLRSLYIDRLVYPTFVKVKVPNVWEERDRRTREHETEQAKDTTESVSP KPFGEKYA PHYBLDRAFT_96171 QHNPFGVSPSQLTDLVDPKSPELLKKLGGTQAVLKKLRVDPKSG LSSDEGVESSSDKAFSDRQSVFGRNILPETISKSFLQLLWAAYNDKTLILLTIASLVS LAIGIWEDYSPNHPEDEPRVGWVEGTAILVAVLAVVLTNAINDYQKERQFKKLNAKKE DRVVKVIRDGKELQISVYDLNVGDILMLEPGDIIPADGLYLGGHNLACDESSATGESD TMKKLTDDQGDCFILSGSKVLEGVGKMVAIAVGEQSYFGKTMMAMRGTEAEGTPLQMK LDTLAEQIAKLGFAAAIIMLFALVIKYFIVAAMQPHFPPGQEIAAAMISIIIQAITII VVAVPEGLPMAVTMALAFATTQMLKDNNLVRVLAACETMGNATAICSDKTGTLTQNKM TVAEGTLAEEAFDKPEHVKGWAGRVDSSVVQLLTESIAVNSTAFEGKDENNRVDFVGS KTECALLGFSKILGSDYDAIRHETNVAKVYPFASKRKTMTTIMKIQENSATSHNQKEY RMYTKGASEIVLESCTSFLTSDGHVKKLDKETNVKVGGLISAYADKALRTIALAYRDL SSSKYEDMSDDEPTLKDLVLIGIVGIMDPLRPGVVESVAAFREAGVFVRMITGDNINT AKAIARNAGILTKGGIALTGPEFREMSVEEQRKVIPRLQVLARSSPTDKTVVVTRLQE QDQVVGMTGDGTNDGPALKLADVGFSMGIAGTEVAKEASDIILMDDNFNSILKALLWG RAVNDGVRKFLTFQLTVNIAAVVLSFISAIGSDNSESILSAVQLLWVNLIMDTLAALA LATEPPTQDLLQRKPISKYAHLINFRMGKMIIGQAIFQIAVNLVIMYLGLAIFHLDND AQGQATLRTMVFNVFVFLQVFNEINCRRIDNTLNVFKDIFNNWIFLAIQVLVILGQFL IVTFGGIAFKTVPLTPIQWLVTVAIGSLSLPVGTIIRLLPD PHYBLDRAFT_71251 MDCKHEVQFNGLCAICGAILESSEITEAGINMSHDLKGLTVSRK EAERLEKETAERLRKDKKLSLILDLDQTIVHATWDPTVGEWMDDEKNANHSATEDIRK FTLAGSPLVYYIKLRPGLSEFLEKVSQLYELHIYTMGTRHYAEAVAREIDPRGTMFRE RILSRDESGSVTQKTLQRLFPCDTSMVVVLDDRSDVWSFSPNLIKIKPYEFFVGTGDI NSPFGPKQTEKTEEPKADPEVPKPDDPPTLAAQTREQEAMVEEQTQQRPLAQKQTELN ARQERPLLVDDDRELYTMLKILSEVHSRYYKAADSQTSNTTAPDVKEIIPSMKSRILK GLHLVFSGVIPLHQEPSQSWLWQLAISFGANCELELTGKVTHLIAAKPGTSKVKAALK YGKRISIVTPAWLVDSTSRWAIQDEALYGLAQPSTPQEIENPESTPLDFEDAFEDADN SLVENIDWDEADREVEDCMNESGTDVIDTDSDTVESPLGPPFKGKRKRYASGDGEDEE SDEDSDQPRIRIRRPSRSRSPLSARRAETAQRGRSHLSKVTTANSSDGSESPSNESDS SSSFLDDLAGELDDEID PHYBLDRAFT_71250 MLKLICALICFWSCTMVALAAPITTGQIVLKDTQRKTSPCDGDP DEALKEYARKEQAEDNAFGLSFDLEAFLQEIEEEEEQEAKDDTVFLELEDTWPFEEEA QRTELEAALLALLWPEQEEDPLDFCSDT PHYBLDRAFT_125757 MSSLSVIEAKLESESKAFQQLQKELQKVIESRQRLDSQQQENEL VNKEFGLLKSDANIYKLIGPVLVKQDKSEATTNVKNRLDLIGSEIKRVENQLKELTEK SEMKKNTIGELQMQYQQLASQK PHYBLDRAFT_25471 KFWSVYNNIDGPEKLGFRSNLHFMRKGIKPIWEDPRNEYGGSFN FKIPKAQSPLAWRDLLVLLIGERVEGCIDDTVCGVSVSSRQQCDSYQIWTANGHNSAQ DVEVQNQLASLMKPAEIQSFYFKSKLFFRFLLCKGVEKRY PHYBLDRAFT_147141 MTLLNNQVYEYLLNIQVKALNSDILICSGLVSKPDHKKDFQFLA SRHLESFATNEEKSKWAKIMRSAITKSLIFQGFPTCIHKIINASSDLYEILTPEVKAI LKTEVDIYYRNDTTMEELYEKGYGVFKNVYKERSNSVATYMTEQNHDLFNHCLYMYAV VIGNYTHLSVLETHYVMLENHLKNFIDLGGTEEELKDINTIVEAIKAL PHYBLDRAFT_114092 MSSQPINYSVCSEDVDDGSRNILLGIASQLTKGMDLHRVTLPTF VLESRSMVERITDFMSHPNLILNANEIDDPLERFIAVVRYFLSGWHIRPRGVKKPYNP VLGEFFRCRYSYEDGTEGFYLSEQVSHHPPVSSYFYTCPEHHITVTGDIKPKSRFLGN SVATLMQGDTQLILEKRFNERYDIRMPNVYARGILFGTMTMELGDTSTVRCITSDLIC ELEFKTKGFFSGQWNSVVGKIKKESTQELLCEITGQWSNETMIKYCKTNVKKPFFDVK TATLFPKSVPPETDQERLESRRLWSALTAAIQSRDMDKATEEKTFIEDEQRQATKERE EHGIPWKPRFFDIEHDEYIFKGLAQ PHYBLDRAFT_147143 MSQKKLQFILCIVLLISVPKCCAPPIQQDNDFLTSKLPITSILR TVLLGYLTHIVTIRPRTGITDIPTFYRRILALIWPCGGIGLATGSIYKSLFGDRILGI REYKPFFKSYEKGKIDKEDNKKENSDVETRDKGNDDENTNEADRISQTQVDSASVGLL TVPSISTSECEKDNKEAIKTSELNELHSEASKLRDQLVEYFKKEKYSLKENDNTPYLA AFLHILGPKNAKKIKHCILNDSLVIGFNSSDRLIGSDASCETEEITVIGPGAACKYQR AVKPKDARYMTVDMINQLETAYNMDDTSYVEIFVTAGQLFYTTIECMDLDGDRWAKVI IIIYTTMSILQTLSLIMLHKQMSAFSIKEDEDEKIRNDDGDSTSTEGEDEKTLPNHED SVSTEDEDKKTQPNDGDSTSVEDGRKHFELSTDILDHEDVSISSMFIGSAASLLIASV CVIGCLIGATIIGYLPK PHYBLDRAFT_170063 MIAQLASTDQSFIEAWLSVLVSSGACIVGASIVFVDNFWPASHP SVLNNPSFLSAALALASGVLLFSSLSTLLPASRAHLKNDFFVYASYFLGVCLTLTLTY VIQFIAPDAIHSCHGETDSKANEETGLNGSHSNTRTKSQKIKSGGTYGIMTTEIISQQ QEREEEEEEEEEEEEEEDEQKMAREYFTTGIQTATAIVVHKFPEGLIMFVSSQASPQL GLNVAIAVCIHNLIEGFMIALPLYLATQSRRSAFGYAALLGGLSQPIGALVGMMAIHG VNEEKEDCVFGIIFGVVSGMMSFIAVQSMLPQAIKLDTDHRFVAPFFFIGIFLIGLAS LLKTASTPAAG PHYBLDRAFT_5776 DYWLGKTIGRGASGRVKLGIHRRTGELVAVKMIARSQLVSSSST SRSVQRELAVLQLLYHPNLVELRQVLQDTFYVYFVMEYVQGGELFQVLSDRGRLPETE VRALFSQLMTGLSWCHSHHICHRDLKPENILLDKDKKNIKIADFGMSSIISPGDLLRT SCGSPHYASPEIIKGTPYDGRATDIWSTGVILYVLLTGHLPFDDPNMGRLLSKIKTGR YRRLPKYLSDEARDLLQRLLVVDPKERMTVS PHYBLDRAFT_170066 MTVLDPTSVPVNLTSLLEGFSCWESLENLTGINRKYWRIGTLGL LVSLAVAHFAGSILIGLLSWVYPAEYTFCVFGDIWIVVVVVCGTAYASFKALEAPSRK DDKRWLIYWTVTAFIHTGVSIVDQILFWVPFYSLLKLVFFIWLTMPWFGGAELLYGQI VRPWLVWAEKDVDERAEVLRQQLGHAATNVAHQLLHTKSHASAQKSK PHYBLDRAFT_170068 MLKAKWKCMREMVLVCVTLDRKLKKKSLNKEEKRKRKRSFDKAV LFALQEQHLPKKKAFLITDLYDVASVALTDPRSMETGFLISPTLPNQLRESWNTLHLK FPYRQNNYQQHHQPWQRISTILHQMG PHYBLDRAFT_71236 MEVYWRILDVESYPKPRNLLARLSSLPSIHGTEHSGIWAKTFYQ ANKNHTSCKLLIAMNTFNSLVTSSTCIDINTQPGCGHNTHHFMLKVTAENSRILLDKK SFDEALRIADKPEAKNIDPYYEIGQLRQIRTKFDALLTYSASRASLLFRYRLWLWK PHYBLDRAFT_147150 MSLRFIYEDGQGHAVDEHGFEPMDLVVDEDSNHVMHPVLDETNN VDVELYQRWIKGYYEDPESIFEEKRKRKSQGDVVFLEMSIMIFLLRYIDENPSAVLTE VVECTAPTCVKKHQRKDSAKSVFHINLKRGMAWSKKGTPAIVTVPTMKANTTSILSAI SVTGLINATLDEMDKYPEMNGNYLVMDSAPIHNSADIGKYTQSRGYQYVYLPPYSPEL NPIE PHYBLDRAFT_170070 MCSGGLSGEDDEVRMKVEWRVRRGDHCEIVPAKVKLDSGVLPSH RYKQLAKRMPAMMTWYEGYKGRYCGMPYYNIYKCVFNENFSLYFFQSSYMSIALFAFK DMGSSKRKKSYIDCQYNIRKARIVISNILISYTTAMDIAIVIQ PHYBLDRAFT_134532 MNTNATNAMGFKIALDFYGKYLTPFERSEIRDFPTVYFVGPHAE KVQASPEQSNFNYGYDDERGDYKITMKDHLSYRYEVLQELGRGSFGQVVKCFDHKTGL TVAIKLIRNKKRFHAQALTEVKILKNLVDWDPEDKHHNVRMTDSFYFRGHLCIATECL NINLYEFIKSHNFKGFKVPLIRRFTIQILQSLSLLSQHGVVHCDLKPENILLKHPQKS TIKVIDFGSSCLENERVYTYIQSRFYRSPEIILGMNYNMAIDMWSVGCILAELFTGQP LFPGENEQEQLACIMEILGTPSRYLIEQSARRKLFFDSTGAPRIVPNSRGRKRQPSAL SLHKVLRCNDPAFLDFVERCLEWDPQKRPTPDEALLHEWIVPKVTPKPPPVVASSRGF SAEEYNSIAMRTMSPPAGIPKTSATGYLYTLRKNSFMVDTDRIL PHYBLDRAFT_80030 MARIEIPHDHPPGHYSRRFLRKIRKYPDQLRMKGSVLPTILPTV ILTAVYTHLIAKAYLDWGYTNIAISNIVIPVLSVVLGLLLGFRANTSYARYYEGRQLW QDLNSNVRNLSRLVWCSIPERTQNDHEEKNRCMKLLLAFAVATKHHLRKEYGIDYFDL DYLLPPNWVPAAANDMEQYGDQQQQQEGGSSNNSNASGEPGTPSSRTRAIFSDTVVGT PTSERNIGPLESSGGDNPNDLANKKDHFAAQRRRFVCDEDLPDDSSSEMSLPLEILFR LGLYMAQAKETNRIDGVFASNIISHLNALNDCLAALERIVSTPIPKAYTIHLKQSIFL YMVALPFTLVSALEWWVVPTILLSSFTMYGIDAIGAQIENPFGYNSNDLPLNQFCDSL RKEIEFTIYHLPSEADESAAAAAAAAKATATATATATEETVEEEASAEEAVTAEGETA TEHT PHYBLDRAFT_170073 MPPSPPGNRKLTNPSANRNLLVERCSSIRACESCKRKRRVCSGQ RPCNHCTESSTECVFTVVSEHPRSVFSTTNARRLSSGSACTEIGTGTSTSTGTGTGGT EGIKGTGGGLEITGGVGGSVGAGAGAGVGDNEAIDRIEDRLRRIERLMSAIQPSPLSQ SITSFSSAAGPTTPDKETQTHGTLSLRKLSSPHPPSPTTTVRQHRHTVQGISATKEHA ELRTAFIKAKRQNQNQNQNHIQLQLQQSSSSADPSSTPPPLSPTHSDQLSRRTFVSTN LDYSVHYPIYPLTPPSHSSTVEPESTVTPS PHYBLDRAFT_170074 MSDTERTPVLHTKSRKGMKYSDATHSTHIRHLIIEKSKNQLKAP SEIAKELNILHSTMNTIIGRFERTGSVEYKSLGGDFRTIIKDHHKQFILDMIDSNNTI TLAELQQELGRGLNPGKELERKKAEPKGIKLWLVFPMTTKDMRVGFDVGRETKDLINL KMISFPPSPFSPVKGAYHIIARNESVADIQHIESNRRIMWNTHGEVQPGGSIYSTQIN PNMFMHSKQQHKMLASIFTMYKQSVSDSDNVNNVR PHYBLDRAFT_159261 MSQARFSLMIVDSLISLYRTEYSGRGELSARQMHLARFIRGLQR LADEVISQPKINK PHYBLDRAFT_71228 MASSAGGSELFTNYEQDLHALTESIKVKIDKQIPSQSGEERKAI IRAAEREIDETDEILGQMEMEILNIPAPGRTRLQAKLRLYKSEGEKLKRDLRRATAAA PRSNDRNELFGDLNDSTSDLDASTMDQRQRLLSGTERLGQSSRRLEDSHRLALETEGI GINILGTLKGQRETIVRARDTLAEADSYIDKASRTLKGMARR PHYBLDRAFT_159263 MTLEGRLARQLLLIRASQDPTICAGAEDALESVLGAVSAQTAFE LLLSYLIHHLSTCPYDREMWVSVRYPPVGSGFMYLSKWVKEINDGSFVTTWLRRGGAE VFRKGMNDGLISVRKSCVEAIVAFQDIVGDSVYDLLEDLRDDQLNLVRHYVAKSIRKK ASIRSMSVASQMR PHYBLDRAFT_80026 MLTCSQDMFFKNEQIQQQSRDQMSFPSIHKSSPMTAMSTFHSDC QLKDKRPRHPKKDYMVPHSNPALVNGKDKEEQVWPVDVESAFIEVYYLTRSLFLLFCS PSSLALETIPKLGRRKILVNGKPCGRNELISDFIFRKTSKIRTRKQVSSHIQVLKNTR KSDPHFMRLLTDSTDTKPNENYLQKKPTGLHPNAFLKNAINSQLGVSHGNSTVIFQPS ESSSSDESSIGSSPSPADYVFDLMYGVDPNMSQNPLSMLDIKDIYSPLQQQSLFGMVD PLTTQQLIIQQNHHNNHNNHNSHNSHNNHNNHNSHNSHNSHNNHHHQQQQQQQQIQQQ QDISTGNNPFFGTVAANFGINAFPSSSCLATNTPYPFTGSGVEAMSVDNMSSSCLFDF TKPPSRSKKNSNQNSNPKQQPIAESSISTLTSSSSSSSASSSSASASASSSSSSSSAS SSCAHTNPNQKTDKNIITDQDKENDHLEFALWPNYLCLYLEYALPYDTSMTVSHNLAQ LPHCYPNCLSTVDARSISREKCPLIGALTQSHHSQNSNNNNNKNSDSSNSSQQSSTSV VLLAKLKLDLNLNISDFVFNNTFFFETRDRRTIECTTTIYSFGNVVLESKEIQQALWL NEGKYMYSFVFVNQFFDAFMKGIRSLQSWEEVDIAIHNLCIVQVFEDMETKYNSTAPG TMDPSLVETNISPESCVGSSSRGRPSLLSMVYEFERGHGTIDMCAVGDAATNEKFGIG RGLDVLIDTKPEA PHYBLDRAFT_9747 CNTQFSFFQRKHHCRKCGMIVCQRHSANQLPLFSYDSPCVEWSR VCDACF PHYBLDRAFT_114101 MTIPDFQPNRSSANSTIDNSVENRSQKKIYRHDAPWPIYALDWS NVPTEQEAFRLAMGSFVEDSTNKVLLLLFDYGEKDFIPLAEADSRYPVTKVLWEPWKD NKGRQSDHIMSSSDNLRLWELTENILYNQNTSSTIGEFSAPITSFDWNELDPNIIVTS SIDTTCTVWNVETCQAKTQLIAHDRDVYDVAFMHGSADVFASVGADGSVRLFDMRALN HSTIIYEAPLVPDGSQPLLRIQFNRINSNLLATFHMDSNDVQILDIRYPTVPIAELTR RHKRSVNCFGWAPHDAKHICTGGDDSQVLVWDTRSTIKTPIGENSSMERPIPHIIQDP VLAYTAESAVHSLSWSQSMPAWIAVGFGRTIQALLV PHYBLDRAFT_170081 MSQKKLQFILCIVLLISVPKCCAPPIQQDNDFLTSKLPITSILR TVLLGYLTHIVTIRPRTGITDIPTFYRRILALIWPCGGIGLATGSIYKSLFGDRILGI REYKPFFKSYEKGKIDKEDNKKENSDVETRDKGNDDENTNEADRISQTQVDSASVGLL TVPSISTSECEKDNKEAIKTSELNELHSEASKLRDQLVGYIKKESFLHLLGPKDAKKT KHCILNGSLVIGFNDSNKQKADRSTCKTETITVTGPGAACKYQRTVDFKDVCYMTVDM INQLETAYNMDDTSYLEIFITIGQLLFTTFECMDLDGDRWAKLIIIMYTIMSVLQTLS LLMLHKQISTFSIKEDEDEETLLNDDDSISTEDEDKKTLVNGKDSISTEDGRKHLAIY SDLVNERNFSITFILTVLVIFFLIGIWADYNSHSTFQISINLTTNLDFGNSLFTFLTF PNCALGGYCFLFQVNLFIPVKRLQVSHDYSWAF PHYBLDRAFT_71222 MCLRRLQFIFCLLLLAIIPNCYAPPIQQDTKATSNLPVVSIFRT ILLGYMTHVITIRPKKGVGKFGTLHRRAIAFAYPSSGIGLAIGPIYKSLYGDRILGIF QYQSLLRSYEKETKSKDTERKDDKSQDPTDTALKDSLLLTIKSTIQYDKISADDNKKL SSEKLHSKSVHIRDRLVSDLKARNIYIGEDDNSPYLAAFLYLLGPEKAKKTKHCILNT SLIIGSNNIDQTESSDSYCATEGMIVTGPGAASKYQKKVLASQVRYMTVDMIDQLETA HNMDDTSYVESFVTIGQLFFTTIECMDLDGDRWAKVIIIIYTTMSVLQTVSLVMLHKQ TMAFSIKDDKDEEASLKSDSFILTKEDRKLLGEFSDTLMFEEEDIAIISMLVGMIVFL IFGVWANYGSHGTTEWLVISWILSPISLCLVTGYLVGFDKDKVWIILWVSLLSFGAVG CLIAATLWIEATKISIQNNLIFHALKFWGSNTVSSFQEIVDHVSINYIN PHYBLDRAFT_80025 MKFSISTLLVFLMALIACTSAMPTPKELTVVEVAESPAIELVKR TNYPVTVDADLYVKIVAAVKAQIKVKVLVDLVASICADVKAGLQIKASILGGLISIDD LRVKAKVDASIKDLNVKVQAEVDAEVEADVYAVLDADLRALLIGKTFTQEQLLAVLVD IEAAVQAKVKVVLPTLKAKLSAYVSAQVDVLINNLQVNLIGLIQIAVTLAIDISAKVK ACLDVAVQACIDLDVNVCALAVLNAL PHYBLDRAFT_170084 MVSMDIVYPPWFSFSINSTSALPKYSGTQITCEWELKISIIYHG AEDQYSNDGRVHIWYNPFFVLHSLSTASPKYKTHQRDYVTERLDHQYMARISQEKIKN QFMDESKV PHYBLDRAFT_80024 MATQFSEPPVETAFHSTSSSPPHGSLRRSLHIPQGATSLISRRF SRTSGVRQSSEPVDIFAVDDHQQPPQQIPQLPTLHVRIVPSIENPNRCMIFDIVDRVL EAGTIIKLGRFAERAMAENHLSFKSKVVSRSHCEIRMEHDGKLYVRDTRSSSGTFLNH VRLSPANQESKNTEIKDGDIVQLGVDYQGGLDEIYRSVKMRFEVNHTQNQTNSYSVSA FTNLRNFTSPPRHMCSSNPGHGTSNLSAGVAACAAACVASTGGSGCTDTAASGSAVSC TQQQVMATTMSADDFYPEESVEVEECCICLYAIAPFQALFVAPCAHSYHYKCIRPLLK SYPGFQCPICRTYSDLEASVAIEAEEVIEKYHTRSPMAISPPLQSSIPLPQAQSLLLS TNPGPVSPSPVIAQPLETVSAANSTDRSLDRPHGQPIEQSDEELELPPFEDTVELTPQ ETIAVAHPIAVTESALLDPLSTFVPSPALSRTQSARDTDAVDFEGAIRPPLPLPTGTT GTEVVREEDEEYEEASQGNSARRATEALSPSLSPSSSPPASSSIPDRRTTNLMEKIRM AFSEKRKPTVSGRSEGRNSRARSATGYSNVNEDEEMMDGGLSDDPYSPTSSSPSPPSS PGGFQRSLSVITHTLSRQSTTHNNTLANIEEEERPEDRNNRQWNSSDPSAWMYCA PHYBLDRAFT_155725 MSIGSVAQVLEALSLLYSATNVQSRQDANNWLEDFQKQPEAWTI ADYLLRSQDTNIETKHFAAQTFRQKVTYDLRDLDHPTQMDLRDSLVELLWVSISGPKV IMIQLCLAVADLAIQITSWKYPVQDIVQKFGKSPESHIVLIEFLKVLPEEMSGNSRLP LSDVEFQERSNVLVKQNSKQVIKLLLSYMDISEGNIDLQDCLLRCLCSWLRTGNVETQ LLRASPLLGVAFRGLASEDLFDVCADVVCEMIHETKKELVKSRSMIKTIHPYFATILR YLKDAKSDANTDKIRGFCRIFVEAGEAYLPLVVRYPDYFCTILDGVAECTAHDDLDVV QMTFKFWSELTTALVSWREHQDVSMFYCYYDALADTLICHLHYPQSTASWTAKDRDDF RDFRHQMGDTLKDCCRILSPQRCLSKPLSRLKKVMVMLENNQAGGVSWQEIEAPIFSL RAMGSEVPANEDQVMPHIMELLSIIPDHPKTRYAATLVISRYSFWTRLHPEYIIYQLN FISAGFQNDEVVAASALALKYLCKDCSELLVDYVAQLHLFYLAIVRSLSLEDAFEVTK AVAHVLAVLPTTQVYSTLQLFCLPLAQDLHDIVSKDKAILCHKEITKAGDLLSQIGIY FDIVRLQIPNNLPHPCVDFLGDIWPVFDLCFGNLGTVKSFADSLCRVFENAIRSYKSH FCPFLPQLMERIVHVFEKSGLSIYLWVATLIIKEYTVEGTDSVPPCFGLVERLSSSLF LRLDQCSFGDIPDVIEHYFRLVAAFLDRAPIPLVQSHLLPSVFQAGLAGLGMRESNTI AAVLIFYRRLLGITLSVDELDSNQVSGVQQQPPPLPPPLPLLLPLTPAPAQQSGNSFF ELLFCGLLNYYQWEIVPDVASLLRSLSQLLPIESMQWTIRVVTGVSEQSLTVAEKRDF LGNYTK PHYBLDRAFT_170087 MTANYSIQTTKLNNDGCTTRQVDPVLETKDKYDFGYIERTVYEF ADSDDTLGPQVKEALSVIEQTYKTYGLDATALSFNGGKDCTVLLHLVVAVLSRLHKDR QHLRTVFVTCPNPFPHVDAFVNVCTRRYHLDCIAIPGPMRPALQEFLSCSSPSPKAIF VGIRRNDPYAETLTHFNKTDKGWPDFMRVHPIIDWTYKDIWGFLLKLRIPYCSLYDYG GVGKEGCEVGYTSLGSMENTHPNPQLRNESGDGFKPAYMLQDETYERCGRVGSSVS PHYBLDRAFT_170088 MLRPMLMLMLMLMLMFMLIKDRKIVAHYSLELKAREILIVILSD LISSKPEACVLNNYSMLDHKVPYEPHDFYNHKHILNKENFDERTRQKKTKVKIGTRLL KDIILSIYKDMNMIYNDASKTAAKQGLIPCALPQE PHYBLDRAFT_170089 MKCMPTTFPQTYYSYTDYPYDERTIHSQQIPCLNEFVDNENQLP TLAEFLTIIDDYLNNLSPKKRDKALVDENRYFLIQQVLKDPRNTSISTAQFRFWVKKM FTVKKGTADTVCHDNKPVAIKENIYSILVKAHQDAHHGGRDKTSALVRKQYSWIPKEL VARFVRQCPFCIIRRNGGHSPGTCAPKTPSPRTGYSARNSVGFDPMTSSIFTPSISDR SEIYSGQSPVCKTGYPPSSNFPTTPNSSTLLRPFYENFVYQNNDEYLQTYSYGIPVHH SHLTPPPPSSSSHSSAQTSLNSTDYTPNQNYQSVESHQSPQPSQKKQYQIDNYLQLQQ SKHYCQQPMQEWYQS PHYBLDRAFT_71215 MKGVKEGDQNICNLLRRTGYMNYDTSTRSSKKSIGGFPRCLAEN NKKYSCKKKQYNVALYFKQHSKQSPKEKWYEKLGCNDGDDIYDKMGHIVLIWAEYIA PHYBLDRAFT_170090 MIFDIGQYILLPQFRNVNTKKNTGEDFCEMILKGFWGIYVVNIW CKRVLWHWPLGVTQNASFLDLKKHNQKAKSKSNKRVMSTEHQRDQRDHQAPPIMQYRN NHIFNHRGSQSPQSSNNDNESQDKMNDYMDLNTDEAEAGSILIALANHSSHSGGYAEN YKIRSVYNHTQKIKQEEQPQIYNEISVSGNSMSIRNLLGDEDRKPLALTSNFEREEND KHRMIMSPALPVHQGMADDSYNNAMRDDSDRVQSTHRYRPTTPAYMDVAQHNSRAADG NRVALDYHGPTSEYHRNLENNNSQYPQDMRGTVVNGRTMQPPPPPTQQQQQQQHISSI HQYSTWQTGSTDQYSRPPKVHTNRRASVPCVKRVKRVSAYASIRACEFTHHLTLMQPN ARVEPSNGYSQQFVNMRQNPRARRNALHAYISYMTYTDLSRRRPKAHKQQAVEMASSY PSGFQPTFGYNAPAQDPQNRQSWHAYSQQSNGPKQTVVHAAEKVI PHYBLDRAFT_71213 MCKDWKYRKVVMAMAMAMAMAMAMAMAMAMAMAMAMAMVMAITL HSSDFVVEKKMNPVVAVAVVVVVTVVVFAGDDVNVFVGVGVGADVGVAVIKVLLILML VVMVAAVAVVEVLLLLMKIVMMMLMGVVREVEVEVELMFQKESFGRFEGYYSHVRFLI VVVAAAAAIAIVIAIVVVVVVVAVAVVLG PHYBLDRAFT_170092 MSEPIPIRRLVPRPLDSSIPVAHNRALIPSNRVPFPTQRIYAVS AFVLLQTIKAYDIFITYTASYPEQYSGFMLKWWLFDVAYLIALWIVKIPWLQFSTFKT ILLSLSIMALDAVIFEIPVMAIITTLFSVTYGEMFGEQLGASKAKMVNVKEVVSKSPH ILGQHIVHFLPYGTAKLNYQDEVYCLAPEDIGHKYIHIPVMLNNTIPKKVILSRMDFD QKAHRAMHIEGKDLYRATEVGQEKKGVELYYIRVQKPGVYKIESIVSQDGSDVRLYNR QAYVFTCPTAQLVPKPRYDYCSGEKERLQIRIEGVPPFKVTYIRRVGGDVKVRTVDRV QPDNFDSPLMKISGGLKAADPTFFQPQAHSDYSWATKQRVSVDLDLIFENAVRHEFTV ISIIDGAGNEVKLGESVKQIFDVHAHPTVQFGCSPTNPVQLLIGAEKVKIPLKLEGSP DWNVTYQFTPENDPSKPQEPQTKLVSLDQFSLDASSTGEYRLLNVVDKYCKGNILFPS TCQVVQPPLPYALVRHDSIPSDCAPNSEIGMRFIVELKGAPPFHLEYKVLKQTPNGPT VVEHKREKVDQSRHIFTYMPVNSGEYIYEFTSLDDAIYKRQDPKIKPIKQIVHPQPSA KFSKSVHGNNMLRTCIGDDINLDVELTGTGPFTLFWKFGKQAYSEVVDSNKHTINIPH IDKPGKYVVSLEKIQDSNNCVKELEARDVVIDVRKDRPTASFYADSIKGTTVEVTEGT VVKLPLRLTGEGPWKVTYRNVELDGPDYRSTVAYSPNEPLPVREAGHYELLSVEDSIC QGTVFRPDYFIEWASRPTLSIPNDRKDVEQGGYIHPPVCKGTGDALDLQFNGHAPYFA FYNRFLIPTGSNTREFIGKDRISSGLSRSHLPLNTQRSGNYTYEFFQLSDQRYSEPLT IAPLIVTHEVYENPTIRFGPNRNDMNLCVGEKLDTVDPPIWILLTGEAPFTITLALKH QSTPHHKIQKIENIMENKYELKLPIDAENPGKYIIELVSVSDSHGCTGMAPKLDATMQ IEALDIPVITPVETCPDVCVGETVKFSLTGRPPFTIAYEFNGHIETVKSTTSSFTMLA DAPGNLTVVSIGDQRNQCRSFPNDVTKFFHEIPRSLISGGKEIIESINEGDMVQAVVD LVGTPPFNFEWQRSELIWNIKTNKHHKGRVLEKHYVENVEDYRYYINTSTEGIIEITS IKDRFCQYPRIA PHYBLDRAFT_71211 MKPTKIPCCKCKTELATVLIRHAYYCKVCFTFVFVGKYRSIITK SRSISRNKGKVLLACSGGPSSLAMLNLTHEFRRVEPHEKKKVQILGPTVICHLDESTI FEEQKDTLGKLQKLMKEKYPDVPFVSNRLEDVFSDEFSDNHHIDKSLKSVTEFGNIGY EHYVQLIQETPDKTSPADRLKHLFANINKNTSKEDLHWHIKFAMLVSIARREGCSYIF MADSSTRQAIKMISMTSKGRGYSIPMDVGAENNASFKDLVIMRPMKDMLAKEIALYNR YYGLDAHVIAPTQWGTRMAAKTSIDRLTEEFITTLDRDFPSTVSTVSRTASKLTPSSD VDLSRRCAICLMPHQSKINEWRKRITVTTPQGGSLEPDVTKSCGTTEEGGCCGGGSGG GCDSSKSGIDMNEYLCYSCQVDLKDYKEAAIESLPPYVTHIIDEKERQERLREQIEEF LLSDDEE PHYBLDRAFT_11425 EKEAEQALSKKKMKKMQRLTVAELKQLVKKPEAVEWWDVTASDP KLLVNLKAYRNTVPVPAHWSQKRKYLQGKRGIEKPPWELPDFIKDTGIMEMREAVKEK EDAAKLKSKMRERVAPKMGKLDIDYQKLHDAFFRFQTKPKLSLHGELYYEGKEFETKL KEKKPGQLSEELKTALNMPPLAPPPWLINMQRYGPPPSYPSLKIAGLNAPIPEGGQWG YHPGGWGRPPVDEYNRPLYGDVFGVTQQDDLPPEVVEPIDRKLWGELESDEEFEEEED DEDEDEEEEGGDDNGTESTAQDDTIKEGLETPSGMASVASGLETPDFIELRKDVRKQE EDEAPKQLYQVLPEMPKNITGFMGSQHGYDLSTVEKPVTAPTTAVRSSKRKMGDNVDV TIDPSELESGLDESTMRAKYDAEMRAKLPVGAGSEDLSDLYAEHASRQAKK PHYBLDRAFT_71209 MQPNKKQKTHHDTIVEFKRSIKPKSRLLLTPDVPLIFGSYVKVI LHTTCLLALLTLFFGIYMAVSKEINERFDQDVKFLENVISVCRQKYTGNHCSHPDLAP FLKPYCNEWKQYPLYTAKIATRIFGELVNGLVESLTLKSMIGICLLAFGSFMLSSLAF PHYBLDRAFT_155727 MDQERAKAAFDIRELTYLLDGGKKVTELKERMMLELERDPLFKM HDIHDISKDELRERTMQKFSSLLHHLQNEPVDQFRKRMEVVSMLDPGFWTRFGVHYGL FIGALQSNATPGQLGYWFEKGALSLNGLVGCFAMTELGHGSNVPGLETTATFDEASDQ FIIHTPTLTATKWWIGGAAHSATHSTVFAQLMVNGKCYGTKCFVVQLRDPKTYACMPG VNIGDIGKKMGRDGIDNGWIQFTNVRIPRGNMLMKHTKVSRSGAVKEPKLQQLTYGAL LQGRVAMVVDSGNVSKKALAIAIRYAAVRRQFSSSDSTIETKLLDYPIHQRRLMPLLA QTFAMLFTGSEMTTMYNEMMQRLENAKPGDSDLDEVMETLKETHSTSAGLKAFCTWNC LETIEQCRQACGGHGYSAYTGLAGMYQDFAVQCTWEGDNTILTLQAGRYLISSYREAM KGKKLSPGVGYLNRLDQLIGKKCQVKELEDLLRPEIIIEGWQVVCANVVKNAAKEFEA HLARGKKPDDAYEECSQSRLYAAKLHTFGYLYHRFVDGVAKVSDDLKLVLDSVSLLYG LYTIEENAGAFLQYEYFSPKQIEFIREKTNALCKVVREQAIPLVDSFNLSDFMINSPL GRADGNVYEHYFDQVKRSNPQGEHPYFQRIIKPLIERKSEADDEEEDDEAAGLESEDE DEDEEEE PHYBLDRAFT_80022 MTAGESAFPIGYFFIISKLNNLVIDVENPTEAGPGARIIMKERQ AKSPERDSQLWIHQNGFLTNKMTGLVLDINRSPSFMAIFNGENHLYLDQMKEEDTAHD QRFAFEEETGFIYSLHNENIVFDIRKAEVAEGGRVIVYKRKGISEDSSKKPLHQYWSV ELGDPPKIDEDDEEEDESKRARLRSWFGNWSGWDKRKNGMLVEHDLEEAHEKVYTEKK ASLSHELLAGAAAFAAVHAWEKRQEEAGEEVHHSMTKKLLASLAAAEMVKLYEERGIE EEDDDEEKKIEKKNILQRMSASAAQNLFEAKHGRV PHYBLDRAFT_134545 MPAIIGVAWVIYGAVSAALFVFSIFFTRYYQSRHESELLATLVT IVALGLIFSTLALLPVDIFLVSSTVNNQTGLKYDWATPEMISRITMTVQLVYYSCFSL VGLVCFFVIPFAYFYYEEDEEDETIQERIMGALKYTSFFVVISVLLFLFGLFLKPTQE TPHIDLDWFRKLLAESNGEKAIAFVIACLILLGMVVFIFYTAPGLSLLPLTLIKGRRR IETENEDVDNRLIVIRERVRALKAKYFGSTRKIPAHEQREIENLADEESILTRRLRSI QEDRDSKWHMLVKMMRPIEIMIGFILLGMSLVIVISIFLTIVDKISNSVCGSKCGYII NHPQLFNPVNYIFVALAKMFPLDYLFMVSLILYFFLATMSGVINIGLRFLWVTLFKIQ KGATAPQGLLFSAVLLLLSLLALNYTITTVVAPEYAHFGSQVYCNHTVAGLRDCSDRL DLILACDITGPTDICTPTISSTLVDRIIINTPFLGMIFYYSQWAFLIVFGLGFIVAFF RRPRNNVDSETVEQIDADVERSLLNRRQPTYTSIHSNLNPSTGSNTTNSTGTT PHYBLDRAFT_95439 SNNNGTKQTRSRGRRVSNSPSPSGQKMFTCTKDECGKVFKRSEH LKRHIRSIHTLEKPFECPYQTCSKKFSRSDNLNQHIRIH PHYBLDRAFT_187551 MNPVPNNHFLTSLPQNATGFDPSVYYPQTTYPPFQFGTPLRQPV RSGSMDYQEQHQQHQQDVAATAAFQMMAYQQQQQLHQQLQQQDHQRSGSQDTASTSSP SLSAQSAKRGQSKADRRAEHNAIERARRESLNTKFQQLAHTLPNLQNDSRPSKGTIIE RTLDFVKHALAKEERYRHEIRELRLANRQLLGQLSHQTIKERASEKSVIDQSDCADLQ QQIHHHHHHQQQQQQQQQNHPSFLVSPMHGLQQHKASSASSSASSLSSPSASANMDTI QIPLPLPLPLPISLPISNTNTNTNTGIGTSTSTSTSTWDNSFYNPAFCDGSPLTTGSP MDEPSDDENSLNGEIEDQFCSTNNSTNANSNIHAHQNFLSMSNGIYTKAPNNNSSNTI GGLSRNYERNSVSYQGYPP PHYBLDRAFT_114052 MVLYRQELQANWVMRHSRTYNKDYYFNTVTKESRWDAPQVTEHE RVRASHLLVKHKDSRRPSSWKEENITRTKEEALEILKEFQRKIEDGEETLSALATNHS DCSSAKRGGDLGHFERGQMQKPFEDTAFGLKVGELSQPIWTDSGVHLILRTE PHYBLDRAFT_159267 MQTLMQALVLALMQALALMQALMLIPVLTLAQTLRLARVPILRL ALVLVLRLALVPILRLVLILVLVVVLALAMVLSL PHYBLDRAFT_101035 SMDVLEGAGIENISDNQKAAYALSRTIEKTDFADMKILGQFNLG FIIVSLRNDLFIVDQHASDEKYNFETLQKETTIQCQRLIRPQIPSLTAADELVVMENI DILRANGFELEIHPENLPTDRIRIIAQPMNKSVLFDQQGMIELIYLLDDRPGEMVRCS RTRKIFASRACRSSVMIGDSLTKKKMIKIVQNMGTISQPWNCPHGRPTMRHLLTLSDI PHYBLDRAFT_114001 MARQLTAIDKQSIHKICSGQVVVDLSMTAKELLENSIDAQATNI ELRFKQNGLGGLDVVDNGHGIDPSNYESLALKYYTSKLSKFEDLESVMTFGFRGEALS SLCSLAKLSVTTATKEQAPKGVHLEYNSDGRLIKKTTVVRSVGTTVHISKLFESLPVR HRELQRNIKQEFKKAMDLVQAYAIISKNVKIVAYNQASKVSSAPIIFTQGNATVRDNI TDIFGVKVSSQTIPFAIDLKDYSLNEHKSLLNRPCIEGLVSKPQLGSGRSSKDRQYFF VNGRPCSLPKASYFNLILNNYILLIFFNEVYQRDVPTQYPFIIADLKLPPDRYDVNVS PDKRTIFLHEDNLIVQCVSVSKLLFYCGF PHYBLDRAFT_177777 MTLYLYWSAASLTVLAATVSNSSSLFDEPTVKLSCIVPAFDESK RLPTMLKETTEYLENKRLENSENTYEIIIVDDGSRDNTVEVALEFAKESNPENIRILV LEKNRGKGGAVTQGVLCARGERCLMVDADGATRFSDLEKLQDALDNEAKNGRGVAVGS RSHLVTTEAVVKRSFIRNFLMRGFHTLVYVLGIRGIDDTQCGFKLFTRGAAQIIFPNM HVERWIFDIECLMIAQQQNIPIIEVQVNWHEIDGSKVNLMVDSVQMAKDLLLIRLNYL LGLWTVDTNTAKKIQ PHYBLDRAFT_147182 MSTSGSNKRPAQEPLSSKAQNKKSKEQGNSTSISTAGFGNYGKN ILSQNALRVTGAIDTKQFVIARLPEMNAMQSVIKETRWKGLPPQLRAHRRRAASYKLT GFSAMSRAKISSKRGRMFIKGKKPLRTMFQLKPTVYAKEYLYKQSNKKWLETHMWHVK RMKMVNIWGHRLASHPNMKSSRIFYRAFTQAAVIHDASYESCVELSGASKQIVRILNT ITDPSLPSVGSARYNKGQRMGKTYLYEYMQYPTNLICPIEYLWRPSKVEGEQGMIWVW IHPSAYTEALCTLKEAIKHGNEKSTENIQICEQKEKLVRFNLAGPHSTALLQSIFRPI EEAEVQIERANTTEQTQLWDDIGSLKSACSLSPDVIIGLTVQDPRLKFPQLKRPQIHE VSNEVEARLSTLIREWPIDVAYSDIWESTSRQASLDSKVSEYALNKRREENVVPGTKL VFTEKDSRIPILLVCRTCPVVDDSTIQTSTSVSSRIESWSIILPSGWGMPFWTSLLFA GAKPIGLENVRSLALETGQCCFPYDYPSTRAFAAQKAQMEEANLKKWLSRPPAKRENF KRIGVDHPFAAQFDTLFRKNGSTDDVLDCVPKPNYSLIQGARMISSIATSSSETSSQA ALEKAVIECLSKRSLNILSSVSLNSTLVKVRVKYIEKKVPFPNALVYLIENPDDYMRH TWYIRNRMPVHQSKKDIKLSLKNETMSDTTLDEKHHVLPSSQQIGYITNGAFSLMHGC GIDRLNYNYFAKSDNIIINQVANTTGVKSVFKMFFSILSVSPKK PHYBLDRAFT_114129 MNVSGASVSDTVPAPHETRDIVLPNHDSHVAQIAVDIGGSLAKI VYFTSSPDRKGGRLHFKKFETEQMDEFMDFIKILVKDAKARLPTGTELVLKATGGGAY MYYDRMQASLPGVNIQKEDEMECLITGLNFFITEIPYEVFIYNEQESDPMRFEEKTKA IYPYLLVNIGSGVSLLKVTGPNEFTRVSGTSLGGGTLWGLMSLLTGAQSFDEMLEMSK TGDNKNIDLLVGDIYGSDYSKLGLKASRIASSFGKVFKKGVRHTKDSFSPNDIAKSLL FMVSNNIGQIAYLNAEQHHLERIYFGGFFIRGHSITMNTLSYAIDFWSKGKMKALFLR HEGHLGATGAVCFTLFYFISSKDIVK PHYBLDRAFT_80016 MSPMNGLRTLNQPLCFGFFVFIFCFKPFGFDVLSLSFPLLSYFL YFHVMTRTTPGWFILMACLSQVIADPFVMPVINDDSLGFLGLAGDLAGLSVFKDTRQK EYTAPGLHSIISYQNQIYAWLASANGPITATCFLPPNSLYLAGQFTAINNTESRSIVH FDTGLQVLSNLGQGVDGVVHALHCDPAENLVYVGGEFKSPLTSIGATTAGGGANVAIW QTNQQVWMNVPWKGFNGPVYAIEPFVKRNSILFGGRFDATVDGQLYNPQALVYSVYPT AIRGGNSALSENYSDPSSVSCQSKSYGKPWLLQGGVPGYWEADFGHSVSPTVIRISNT HINGRGTRLFSVLALGSNTYFELSTIDPITHTNTTCTTSCILSNDTTIPFQDFTVLTP KVVSAVRINIDGWYGAGGGLSSVEIFQTDIAIYPSLGNTNTSACNSGLASSTLITGVW KEVYVFGYYQNVLTSTFPTSSGTTNVSVTYAPNIPVQGYYVVSMHTPGCIGSSSCGQR TQVDLVLQLTPQNTSTITIDQTNTEDKTTIIYSGQMVASGPSFQPTILLKLSGSATPA KDSTTSIVADSISFIMNATAPNLVSILEYSPGNHTANITAWQPLSQQLTIGSTVRTID ATSEKVWIGGSFLGPNGTQNIAVYDTLFGTMLPLAQNGLNGNVSTVLKVDSELLVGGY FNGTVAGLGLSHLARFDTSHQTWLSFDGGVDGPVEYILVSDNSSVLVSGAFNNLIGVG TNNSSLQRSVGNAMWNTVSHEWMQSTSLVVGQVSAIYNLDKSSLWIGDLRSAQTHRTD SAALGNSLAVYPLVDPHSSYNARAGAVWFTDQNRNQNQNQNQTNLNINLNINSTPFIL LAGQSTGPNNSSEIVVYNGTAWTSLFSVSGNIISMVVFKDNLYFGGNFNNENNQTSFS VYDLKNNTFVDVGGIYNADGSPGLVETLYLHPNGESIIVGGDFIKAGSLDCGPVCSFN ISNHKWDISGNGLKGHAYQLTSSTEGIVVAGDLTVGNQPTLVAQLPTDTTEWKSDLLA SIAPSYIPTTLDWLSNVCTLLYRSDTMSAFIGSWNGHNYTDIGSLLGNLSDIHQLLYV PIASSPHEARYPAGTKNMLMAVGNLVIDPFGNSSAAFYDGVSWYPYILSARLDGRPGT INQLFSNVSCCNITESTQDHYLSTPAVILVSIAASLGLVFLIVAFGLLFLAWKRRRGN RDEPEPMPPWIPSNETTILPVPLSTSATSALPASSTPRTAPIPPVPPMPMSEQTGQAG PSNLSRAGFQNVGFGSLMAAAMATSPEIQAATENTPKLFYARHSFVAKENGELSFSVG DPVVVVDTADNIWWMGYKDDGSNHPIPGIFPSNYVVPSRPIS PHYBLDRAFT_147185 MFIRRSLQPTTLNVRHPSLRSLWTAVTGTGSTLEACLDSCVQQA KLQKGATVAVAMVSKSFDAYDYESLPKLVKDRLSPTWLVGSVVDRVPSVEHGVSLWVG YDEKVVGFQVKDGPERNKVRSVSVGRWGRPEELSRVNYQRQKMEDAGWEGFESVSRPT QQYPLPDELSTSKTPSLVFLASDNEPDELLKNLDHHFPDTAKAST PHYBLDRAFT_147186 MDAGITGFASYSQKKVQADNISVEHVALQTMGKTMKITRCRGNI ILDLDQAGATGLLLELIQNKQNALISKDETFYLGIYAPGEVNDDKSKMTVCRVTSGDP SRGNMSVDTTIDLQEGQVVQFMRRTQVANSDLLKSGKEDFLVLGVSDKDTTIDAFPVS PPSESQALVGVVGGSSENGVIVGKPGMQSQIMDVPYSKVTVDT PHYBLDRAFT_103057 SMASINRGAKQAIFHIAIANMPLVLGTLTYDTMHSKKIDERIQC LTMIGYFIRKKPMLLYSSVNKVAEAVVKTLDPNVAHMRESVLQSATSILHHLVKAYPC VDFSGSAQKLAVGTQEGAAVIYDLRTATRSVVLE PHYBLDRAFT_147188 MSFWNNAPPPPPPQAPQFNPASGYHPGFAAQPAPPGAYQSAPSG GRPVFVNPNSLPVYGGPLPPQGSGGGGGGGGGGGRGGGGKKPKLG PHYBLDRAFT_147189 MSTGSGSSSTPNKISRSLSLCGSSSGSQPGVHPKIKKASNSTKY KHSGRPRGDLGGVPVSIRGGVCTRITKPATPEPQSG PHYBLDRAFT_147190 MPLWGNDASSSRADTPKIKLYSPPYHVPPRGFPEDVVPPISTKD MKHSSRPSGHPGGQRLEYKNGCFHKAPDKVSKAPKEGQILLHLFMRIAQMENRVADAI KAKAIFVIHSSHNAQ PHYBLDRAFT_71192 MGFFPVFDAPPPGSQFGIHHPQPSPYPGAPPQPGPIDVFTVGCD GSPFVPPGHGGGGGGGGGGPGPRPDSPPSSRGGLSMSVSSGKSSKSGGGGGGGGGGGG GGGGSKKKKNLQFFAWLMIG PHYBLDRAFT_147192 MKFWEIIDVPPGHGQFVPPPQHHGGGPYYGPPPGAGAGPPPSFG MHGPRGPVSMSEPVRPPNPGPGGGGGGGGGDVVASKLCRGTSAFLKRCTLQVVLLYFS ITKLSNNF PHYBLDRAFT_170112 MPLFSNDRPITPPKMGGSTFPRTGSLCGTKSDSAPKSPKGSSGG SSSGSGSVSKLCSMAKKNPAKKTKPSSNSQDGSSKKARQYKASNTVKHIWCLTVDRNI IEIYPGQMIS PHYBLDRAFT_170113 MNPFTNKRPRTPPATGGSVFPKTGTLCKPSKSMPAFKPSGSSGS ASKICGGETKSCLGKNKASSSSQDGPKKKGPNEGSFFIIIKNKIARPQHQVYTEMRLL NRIVVFDDPI PHYBLDRAFT_80015 MESTRFVVLRGSEACYSIVRQRTKAGLWSSVRSLHNIRQASLSN RETHFILTNNESLRRTRTPRYTLYKRELRLHGTHNHSHGGAHDHVHVDLGTTIRNSGK QGVRITVIGMAANVGLTVSKGLAGWMMNSASLLADAAHSFSDLLSDFVTLYTFKMSRK EPDAIYPYGYGKYETVGTLAVSSLLIAGAFGIGIHSFELLMAALNVSGVDLVTQGASV AATATAEANSGTDILSNTTTANANVNTSTNTSSSLSHGHSHGHFYSNDGVLDPNAAWF ALASVITKEWLYRATIKVGEKERSDVLVANAWHHRSDAYSSVVALVAIGGSWAGLPVL DPLGGLLVAGMIFQSGGSLMRSSLRELTDKGIGPAEITDILSAINKVKEQEQDLIDFH SIRGRKMGPFHHLDLVLQLNPQLTISQAHRIEQLVRHTIKTECKLIQEVLVHLDAEKQ PPHH PHYBLDRAFT_80014 MPSIISFSARFFGIDKSHSTTSCTCGCHQSISASNTFTPSRWFP RIRRRSSSASEETRSRSRSQAQAQTQMQTQYTVAEQEQLSRLYTEFKEYHTLAEDEMG YAVESRGSIYYRGDLLAAQEAVEICLGHYQQLTSLLDSVTSAQLNARWSKSLSHLRTR LDGLPMA PHYBLDRAFT_34001 MVTPAVKHTIVKKRTATFKRHQSNRFMRVGESWRKPKGIDNCMR RRFKGSSPMPKIGYGSAKKTRNLLPNGFRKFTVSNVRELSLLLMHNRTYAAEIAHNVS SKKRVAIIERAAQLNVKVINAGARLRSQE PHYBLDRAFT_187559 MLTAALSTVTASRLIPSTDSNANSHHTESSTRSTNGSNSNSDNG SKDVSPPSIRLVPHLNSPRSLVFGVIERDVANGTVLKIGRFTDKYISQTRITFKSKVV SRGHAEIWSDNNKFYIRDTKSSSGTFLNQARLSPPNQESRAFQLSDGDVVQLGVDYQG GAEEIYRCVKMRVELNRNKQQKRNAYSLNTFQTLRNLTTPPNNVSVLDAAMGFGDGDA SNTHIDECCICLYAIAPLQALFVAPCSHTFHYKCVRPLLSNHPGFQCPLCRSYADLDD SVAIEASEVLEMLKKDRPVKPDVIEQEVPLTEIGDIGNFGSSLRLTTTLTDNTHPVTS LNSNSHELTSVHEESNGLEDHVL PHYBLDRAFT_71186 MIRWCHDKKNKTDYMVILLPTTWNETAYLRLNMFTAFVRYEPLK QLDLELIFIKCLIRKEGKRRKYIGGSFGLHWGALNAKGLKKGEYASMKVLEVILVL PHYBLDRAFT_147200 MDLVADEELFAIETISSHTQFLMNKSLERFIHPMVPVAAERHNE DVAMDAAAAARQLGIHVRAAQRWVKHYYKDPENIFEKKKKSGRRRTLGEEHKKFLLNY IDDNPSGVVTEVVENLKQNFVDLSVSRSTVYNFMTTQRNLSLKQAQFQPVERSSEEKK SVTVRLGPKWQQTDPESTILRGRHFQDGALPFFLPD PHYBLDRAFT_71184 MKWQKIRANQRKTVLAFMLLVYTALSHAAMTSSTVNSSLYLEPD AFPTYRIGILYPDVTKLLPADVSLRNMIVASETAVHMLVDKVKADNRYPDFNITIVRY YSDAYNQGKSSWEATGMIEDGIDAVVGDISSGLTEMSASVTGIWQLPQCSSSAAELYL SDKDAFPYFFRTIVNSNQFGEATVDWVYNMNWTSFAVLYSNDYVGQQALFSVTERASA LGIDPLVQVSLHDYEDSHIRESLLILAEQPTRIVVFPDLDPSHQVPVLKLAQSMGLLS EGWAWIITNDISEELKASTSSPEEYALYDGLMMISGLWDLTGQPAYDDLKKRWENEPV PAELISTEPKLWKTQGLSYNAPEAYACAELLVLGMDKALNLYPGGRSKGLSDLKARTF NSSNMTPTFFNMNYTGPKGLIEFSSTGDTTKGHLNFRYFILQYIKSGEVLTYANIIAN VYELTLVSINSDLCILFICNCIALNPSADKAIGIVILALTMFGIFCCVVMVILIGLFR NIKPIMAASPFFCYIQLLGLAMSYLSVTLYIDMPTPSKCISRKFIITIAFVLVMTSII AKNYRIYKIFQNVFTVRTARLKSLYMMRFVGTSTLVIVLPLIVWNSMYKIAVEEIVVG TSDSCFLCNYPTTTADWAEITIAELVVLIMCAFLIIISALLAYKTRRVRGKWSESNQI AYVSYNACLAACLATPSLFLGSDNYLVAIYLKLAAILFASTFTLIVLFAPKLVEIVKH ITESSSLNIWGKAPKSHKPNNNNNNNSTSRGDGNITTDEQYSTASSSEFRINNLVAKN LLDFVMEAYEGVLPVKQELRFDLFSMWELKQLMVVPLKRYFVLVNQTDQRARKYTYTL CEQISAGRNIYIFRVTTDKQQIFLFQVNDQIALDRWIQWFKGPGADNGVPSAVRILSE TNHNKVGGENNNPHHNQEYNHDHDHHFNYHHSDKALLSQAAAPITTFGLMEPDDIQMV PSNFVAQRSFGVASAPSTDLIGTSTSDYYQSSQTGGGRNRESLFTDTNPNYSNPSYNL PQDDIGSFQFPSKPSPLSPYSRSNYSSPNNTTPKSDWPRYD PHYBLDRAFT_182011 MKYTLAAKVMETCPECMLFNWQVENVCVVFRSWHTSTPLGLFGS CLIIFAIAAGYEYLRSWSSTLDQQWADAEWKKQAGGRMEVGHHESDLESSESEVPLRV GGIRESVRLSKRQEIIRSSVYATLVAISFWLMLVFMTYNGYLMISVVLGAGVGHYVFG RGHLSASRSIQCH PHYBLDRAFT_187561 MKTLDQVYAIATTSRLITITIGVISFLFAGSYDTSAEIQLSAHV IPQSFIQRCLTAFLRWDALYFLHIAEHGYVYEQEYAFFPVMPIASRLVANTVLYPFQS MLGGQQYSLLFGGVIVANVSFVLAAGSLFKLSQSVFGGNQRMSFVSAVAFCISPPSMF MSSLYTESLFAYMSFTGMYLVLQSHYMSASIIWGITSGLRSNAIIYSGFFFYDFVVIR LVRKQGIKRLCAGLVLAILYSIPVFSGFGLFQYYGYTQFCTNGNDRPWCHERVPILYT FVQKEYWNNGFLTYYEIKQIPNFLLATPIITLSVYGLWTYAKNDFKGFFTLGLYTKVQ ERKNLYTTKKVAVFMYLWSALLVYTATCMHIQVIIRFFTSLPPLYWFTAHLWINGLGP SASKNYWISKGLLSYFVLYGLVGIVLFSTFLPPA PHYBLDRAFT_71181 MYEQTVRIAREVDDLFILCYNYSPHGGQSEDPITLKYFLSFTFI VDQITLTSSRTPKETEIYFTEFRKKERMTKKLGCLKLRTDLINSWYSCRLCAEIVVIM VDNEDCGANQLKGYCGGTLNDLDCLIHCPVKNKGRKFLSGIMEKYCVSVWDSKPESKY GWQHPHIRMEMIDPVLQRIVP PHYBLDRAFT_147205 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTVYGTPHPKRPLFFHTSTTYTNVPRLHT HTLNISTSTTHTSTTHTSTTHASTTHT PHYBLDRAFT_147206 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTVYGTPHPKRPLFFHTSTTYTNVPRLHT HTLNISTSTTHTSTTHTSTTHASTTHT PHYBLDRAFT_170124 MTIASALRMRKLYLYLITLEKPLDYQMHYLKTINDYSFCDLLEN KLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDGVIFIEEGVEDSMIGIVDFESGA KLLLVQG PHYBLDRAFT_170125 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNDCLDG VIFIEEGVEDSMIGIVDFERSAKLLLSALPFRIASLSVHFELQYNMNDDPQQE PHYBLDRAFT_147208 MFEQLSSTRNNLPKVPALSIQFNPFDPPLYQIYDFFTYIKHDRG RLNDTSPEPNISQIGIGTTPKLHAKNGSVRILQQ PHYBLDRAFT_159270 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSCIFPYFLRQRPAIKVSLSIKILSYLDIVKTND PHYBLDRAFT_159271 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGRRYTRIFKQFTKKLDFPSVYIVKAK PHYBLDRAFT_170127 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYSERNFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNARNRRSSREKEHLKRRKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPGWRSDEYNHFITLVDNKVVADLGLNS HQLLSRAFGETVEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_159272 MTSILSSFISVTSTAVATSKCMRCTGLKFGHCCYGRKLERVTRA YQNCCSQYMFGQGDQLISIL PHYBLDRAFT_147212 MSKPLHSKNEILRSNTGLTINPSKAYSRSETRDKLTGLTIIQGG LFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLVPSVQVKHQGSDQSPRLSVSIPSR SSFKVQVKVHVSIPSRSSFKVQVKVHGFPIKVHGFPIKVHGFQIKVHGFQIKVHGFQI KVHGFQIKVHGFQIKVHVFRSSFKVHVKVHGFQIKVHGFSIHSIQVKLQGSGQGQRVQ VEVDGFQYPFHPGQASRFRSRSTVISVHSIQVKHQGSDQSPRLSVSIPSRSSFKVQVK VHSFQKKHQCSDLPEMVIVSAIQVKCQGPDFPAMAIDVRRQGCDLPAMCSIIQVNQQD SDLPSMCSAHHVKRPGSHLPAMVIDCSVIQVKHLGSDRQSMSPAIQVKHQGSTRPSMV TAIQVKHHGSDRPSMVIAIRVKHQGPDRPSMVIGIKNIMGIHSLVLSISSVQSSRSSN KCSVIRMKRQGPDRTSMVIGSDLLAKDKHQGSDSPSIVIVIHVKHQGSDHPSMPPAIQ IKRQGPDHPSMCSVVQVKVQGFDCPSMAIVIHIKHQGSDHPSMPPAIQIKRQGPDHPS MVIIIQVKHQGSGLPAMSPAIQVKHQDSDLPAMSPAIRIKHQDSDLPAMGIGITKIDE QSHSALFISSVESTKIMLHGSGFMSTHPRQLSGSDFHELL PHYBLDRAFT_72046 MCLFFCKKVYDFTAVPYELKKFPNIPFFYFVKSILSVESVENRT KRLVLPLLHKNNGFYVSRFPFYWTVFTTDPDAVQYLLMKGEIFPKDTRFTSAVSKDSL IIRLFGSSNVAFISGEPLKHQCRTMNPAFRRTAPVNIFGRLIPDMFRLIDKSDGDILI VNLLQRMTFDALGKALFGFDFKTMKEDNSAWMTAYSDAMSGVTAPVLNIIPSLEYILR YFYPNYTKAKNGVDKLNRLILELVNKKKQELEESMPQSCSNNNNGDTDGDAYDQEKDL LTLILEAEMKDNKSSGSDDLRANMATFIMAGHETTASSVSFCIYHMVINKDVRDKARR EALDILGYDDFISPPTFDECKRVNYINMVVKEALRLCTPGGLLFERIATEDVFLSGVF IPKGTRISVDIEALHKNPAIWKNPSVFDPERFSKGGEHEQHRGITWAPFSDGNRKCLG INFSMTEQQVILLMLLKHYEWDLSENSIHNNGMVYDNVFSFAPKTLSIKFHKRH PHYBLDRAFT_170131 MNEATPFRVLTVKASIKATGWNPELLRPLQVLVAKLHTIVTHTF ALSKHIFLAELALDPFFDLNGQINQPFFVEVFLSLIDRNTDSSRITEITRLNCELISR HINTYIHNISYARISLLNAHQIAMYEATKIHTTYIVNIKLRFGQHLCRVINILLDIKN RQRELTQQLRSQRATEERIKRMLTEHIFEPARIFKEGVSTHRLEDVFIDFRDQQNGVI KFRGTIQTDGVGISIIKQNKDTPKGPNPRRATTINPENFPYIHDLPPEQHKRIRGRCV LINHGRRDLLYCMRSLRIRLKRTKFRRILQDTKAQTPNVIAVEHEISQTSGRSNNITD FAFHTSIKAANSSIISSFYTNTVSCISQKPLFCKLRLSSYINRRKANERLVKYIRAKF GQDCVLVMGNWSAPHNRYHEPIKVLFRCTNLNCLENMDGSEVMHRLCNWDVAAVLNFR HILNNLRYDGTISVRFICVIQITPESRPTPIVFFSKVSWEYLVGTTVGSSSSSSSYFY FGVYT PHYBLDRAFT_95545 KPPYSYATLIKYAIENCSERRLTLSQIYQWVIDHYPYYSTAGTG WKNSIRHNLSLNKCFLRVPRPTNEPGKGSYWTI PHYBLDRAFT_159274 MACDGSITGGNASASGNGNGVGIGNGGGRPSSHKPSMHGSHSSV SSLDSFTTQPYRRIQDSFGATPSPVHYPFVDQGSVSPLTPIYSTIPVHQQQQNTANIT TNTAMHPPKKNISQIMTPISNGAFEWDSTF PHYBLDRAFT_72049 MTMRIAAVQFHIDHKNKESNWDRVEEYMAKASDFKVDLIVFPEY FIGGPGKRSVIKGGTERCQALARKYNMDLVPGTIIEMDREDGNLYNCSYYIDKSGKIL LEYRKFHLWHPERSYLSHGQKGFNTAVNRFGLIIGMCVCWDIAFPEVFRYLTQEKKAQ LVITPAYWSMEDCGELGLKHDLQSEVKLLNNLCMTRAFESEICMVFCNGAAESAVSRP QPFGNLAGRTQITVPFKGPIAHCDHAKEEMIVAEVNVKQLTDDAEKVYQIRKDWREGR VFGGAKKEEVETIIP PHYBLDRAFT_147216 MQSKVTLTDGVHLEGKGRTIKPKNPVDALINLHLQSFWDNSNKH SNTTNLGRTRITTNPKRRQVNLAQCQLYSQTTSVRSLRKTTVTVTKSTPRTNYLPVWN PINLHFNRAYVPFDPQNHKKSNHQTTHDAVLSAALGQSDASTAQSLIDIAFQMLSKKK PELAWECYSDISAKGMLGYLSSDQFKHLIKHFSSTAHRGNGLEYILTLMEDMQNMGYR VGRKEKMLVLRLLGTRGKIDEMEGIFQDVTKDDATKLNPPLPLPAPSSSSPSYPALSS IDSDILSKPYNIVLAAYEEHNKALGKFETAKRSMDVYGQMIDRGLRPSEASTLILTAN IRRGADDPEVVEHTWTWLWNRIGKEIGQSNTELVDPLLYRNMVMFFASVGRPDWALEI NDIMRKKKIPRDVRMMTALIHKVGRSGDLEQAMGLLDEMKRINLVPNTVTLNALIDIH VHKLPQPDLAGAIRSYSMFAEQGLQPNEITFGTLIDMFGKKGDIDRVRELYQDMVHTH KIKPTQHIFSSLIECFITKKDFISALSVVKLMRKPPVRVQPNDVNFNLLISSFADDND IYSAVLFLKTLIKAGIKPTAQSYHPILTYYSRRGDVESVKSLLQKMHGSNIKLEPITY GIFLDAYSKAGDVNGAEKLFKEYKSRWRPNAYIFNSMMYVYILQNDLDRVLDIYKEMM KTNVKMTEHSYGLLMYCYSLRKEPDATEALMETMKTNNVKPSLICWNILLGTYFKVNR PTEGREVVDRMAKEGIVANYPILSTFIDGLTKTGNVEVAESVLQSCLTRFQERLKAQA EVQAQAQTVAHRDLSLLTDALPNSDQSYVTILPQTIEDLLKANNTKDVFIDRPPPHMF VPLLQHYVKTKEISQAKSLFVQMRDLGVESNPVVYVTMMKLYLETDDFDRVEMMWNAI RSPSPEQKQVDTMDPELGQIPLPQPSSKRYPVTLLPFYDYAAETTKKNSANRNNSTNA YDTIDELFKDIVEPKRESRFALAVYLDALLLQNRFKDIDDLWKDLSSSHYIFDEHNWN QYVATMVKGGRLLEACRAVKDKLIEADGSDVKSSSRKGEFSEDRGNSISTKTCWLLVD ALEIPGADGMGREILRKTVVEQVRSFLRKHTN PHYBLDRAFT_147217 MSHHTPHHQLPHPSLNQPDHRTDKTLLARHETFKGGLIPDAIKK SYVQQQLPVRNQSLAAFNTPLRNLNNNNNNNTNGLTRAHTHTHSPTPSPIPITIAKAS YRATSFHPRSKPPSSPPPPMPKSPSKLPTFIIDPCPKDEKDKKNNDINDNNNDNKIFL NDYSYNNYNYNDNNNDNLSVKPKTPIPTKPSKRLGLMVKRFNSNRSINSNSDLSDNDN DNDKDNIHLSVNANVNARNEKNQEHNHQQEQQQQQQQQQQQQQQQQQEEEEFNFRDRP PSSIICSLPIFDLDGVVPESPVIELPHEDDNDKEDENENENSWIGETPTLTSTASSSP SGCVSFETVELKGPLDPVSGPAFECLVEDPDEIDDSLSWKVPSPVFPPSDWYQRGSLG GISPSRSESSLSLHEPFRRMSTDTELSDSIDSFPVSPSDGQASMFLKMQRKQEEQRKS IYAYRTQKPLVIYPAMLSRVAREMYRRIHTTHLIKDDIQYHHVFSGTEAVDCLLHIIR TKDRNVAILVGRALGEQGFFHGVPKKERLRDLSKKIYQFKDFGGINSTDDSDYLESRE KEEILSDNLPNGVFTVLTECYSPTCSRDQPCYSTSCPRKLKTDHYHRYNRFSSELKAR HHYRKRQASYVEVDEANRLWFHSVTKEVFEATSPEERKRQELIFELIYTEEDFVKDLR YVQNSWVKPLIKEDIIPDEERENFVQEVFWNLSDIEKVNGPFSDALKSLQEKNPVVGN IGDVLLTHVCQFDPFVVYGSHQVIGKFYFELEKKRNPVFLQFVQETERKPESRRLELN GYLTKPTTRLGRYNLLLREILKRTPEGSPDKETIPQVMEIITRFLVQLNSETGKAENR FNLEQISERLTFKSLSDKVDLKLLTEGRRVAMKGRMKRKGNSTSDASDLQLFLFDHYL VFAKVKMENHVEHYTVHLKPIPLELLSITLVGGRAKRASSLLPYSRSGTINSQNTAPL KSSSADTRAKSGGLGITFYHHGRKGSSPLTLYLTGATARKMWVTTIREQQQILASRQQ VFRVVPIVEQYFMSHNRIHNSLELSTTGNTEYSRRLLIGADQGVYYIQTPVNQSPDKA DDEDEKKDSEEQLANSYKVTKIIGLEKVSHVEVITDSHILVLAEKTLWMFPYDVLLSD TIVSIKRGRVIGQNVAFFHVGQCMGKSLVCVVKTNKLSPTTIRVLEPMVAEENKKSRS AFLIKRLVARSSVDEGLKHYKDLYRPSEAYSISLLNTKMCIACPLEIDVVDMNTFGVQ TLLDPEDEELGFVFSKTDARPLAMYRTGKSEYLVCYNTFAFFVDKKGRMKTEKGRITW EGIPDNFALCYPYIIGFEADFIEIRNVETGHLEQLIRGTHIRLTSSNTNAEKGIIQGS MNDPDKEGYQLMFQLESLETLQKLPDF PHYBLDRAFT_170136 MSGTLLEESGASIKSLTDPPKIELREPCKDILNLISKSSASATC IKSQIRSFIGTNNSLDESEYADYYFAESTLHHFLQMMTSPRNPILFPMKERTAAPITT IYLLHAMFLSCNDLVSFHWIERTADITGAAKWDGICFSIKDKRLTPVLIEFSGGIHFN STTEKEQRDESKMIRNMVKLLEYAKYVKKHKSPVPQFYCRFFNNQIFFEAIFLVDEET RLVKRTFCKIPCPVTPRELKIFAENIPAMLKWKQAIINYVIKSQK PHYBLDRAFT_147221 MLASELPYEITFLIANFLQPRDKVQCCLVCKSWLLASQESLFET ISVKSYCNVNELVYATNPENNLLRKYCHKTRMLNIGRNICLRDRQLLALQKNLPCVQV FKWNNAIVERYQLINCCGWNLWEKSLTNLKITVLRHDYASLSNIFVSIRSNLSRLRRL HITDQYNERALQCTFNDFELLNDQLPELIYMSLSVHLYEMKPAELLKIKYVKPAPRLK VLGCCVEEATIEWLYYLAVKYPNISTLKTFDFSRFTIDEQTSLAGNIFKQLSFPFQRL ENIDVCVNMASEQVYLDFVNQLRLFNTSLKAICLSVDGISRDSMSSNNIIKSTNVFAN TLQTIEIKSCPGIVQCLDLIPELVYYPHLVDLNICIKDAIVNLDVLLEKYPLLKTFSI SDGVLNSSPNLPIPPTENALCDLSLSNMSISASTLKSISIFCKKLTNMELKCVDIEGS QQGATLYYCIDMSSTHFTTLFIESIQFTNVVNQDTINMFAFSLSNVSAENTWVYSWYM QANVFMAQQSKARKLNKEESKEAGEYFHNFPRNIQPKYTKDQIHDTIRFSSKDNWRDV LSNGYAIFKYGSVKNLLLETKHEK PHYBLDRAFT_114176 MRIEQVNSTPREKRVAAHSHIKGLGLRDDGSAEPIMAGFVGQEN AREASGVVVELIKSKKMAGRALLFTGAPGTGKTAIALAISQELGPKVPFRPIVGSEVY SSEIKKTEVLMENFRRAIGLRIKETKEVYEGEVTELTPEETENPLGGYGKTISHVIIG LKTVKGTKQLKLDPSIYESIQKEKVTVGDVIYVEANTGAVKRVGRSDAYATEFDLEAE EYVPLPKGDVHKKKEVIQDVTLHDLDTANAKPQGGQDIMSMMGQLLKPKKTEITDKLR QEINKVVNKYIDQGIAELVPGVLFIDEVHMLDIECFTYLNRALESPLAPVVIFATNRG MCTIRGTEDVLSPHGIPVDLLDRLLIIRTLPYTIDEIKVIVKIRARTESLAVDEDAID VIAQSGVDSSVRYALQLLTPASILAGINDHTSITKEDVNEATELFFDSKRSAKIITEH ESQFIV PHYBLDRAFT_170139 MERSFASHPSAGRNTRTFSGQPHSANYGFSGSPVPSVSHYGKTG THHNDVTRRIEREVWHILQWNNPVRSGAYLGLILGTLVLIGSYSPLQICSAILTVLVG LNLLYVSLTTQTHRVFSNDDIDYTSSFKILDVLAKEPIEIDRSQVRHYTNLLVDVTET VVHALSRIVLIEDSTTSLKWLAISYLTWVISGHVSSRVLAIMFVISAFSFPRLYMSNK DVLDARINQGETLLKQSINQSQAAATESVHNVVDKAKHIVGLVNEPDTTKTDLKNTKR HTTVVAK PHYBLDRAFT_114281 VPVNPKPFLNNLTGNTVIVKLKWGDEYKGYLISVDAYMNLQLAN TEEFKDGVSVGVLGEVMIRCNNVLYIRGVDEDKQDVKME PHYBLDRAFT_80282 MKFSSLARLSTSAALLLQLGTFVQASPFFQPNHANDVADVDPCA GFRITFPTSTDLVFEDNSKHVVAWQAPGSLENIDISLVTDESNEFVQEIGSYAAERGA SDEKALSLGDHPTGKYHYKLVANSEASTCELSSAAFTVNKRSVAATEESHENAIENDK NWTEMLDKVDDYVETNGSDSSSENHTNAGTNPYFTNEDHRNNHLNSDIDQLQSEKHAN SPFFTNEDQRTHKNEAHWNAEGGNYFTNEDSRTSVHEDSQADWVEQTPDHTDDSQGHW NSEEIDVETVAHQDAANWESLDDDLVADHSDQGHWNSEIIEDVNAPTNDYETLDDSHT NGAHWNGDGQDVTESHWDSEEIDVEPEFIESHQNFIEGEWQSDDANIPDHSNEGHWDS EEIDAEIHNFTPHEDGIWVEEGADAYDNEHGDNQGHWNSEEIDVETVAHQDAANWESL DDDLVADHSDQGHWNSEIIEDVNAPTKDYETLDNDSHTNGAHWNGDGQDLAESHWDSE EINVEPKFVETHQDFVEGEWQSDDSNIPDHSNEGHWNSEEIDAEIHNFTPHEDGTWVE DADSYDYEHSDEQPHWNSEDIDVESVDHQEPTNWESLDDVVPGHSDQGHWNSEEIDVE TVAHQDAASWESLDDDLVADHSDQGHWNSEVVEDVNAPTKSWTDADHTDSVEANGSGW SEDSVSHSDDQGHWNSEEIDVIEDELSSLHENLVEGEWQSNDNIPDHSNEGTWHTDSI DVDEATLAGWSEDTKDEQQHSDATLTSVVEELRNTHQNGGWSEDHINDAGNQRSGRVE SGFADSKHENVHANSVPVMTAEELMTNW PHYBLDRAFT_80283 MTSLERSTSKSIKDRSKDDHKLVPKRTRSTVKPAVQSFTSSGKH ASLVISDDEFQPIFIPKRLLARKKIKPNQESSSTQNPTQSLSALPKSSLSPQSRSRPP QEEQEGPSLSSLDDRDSHYPNLDTLHKNEIQTDEYDNSSLLLPPLKNPISNENDYSLT SVRKQQHGFLIKDPYCEEPQPFTQILKHRQSPTILFNDGHYSDTASIGSEEYYKSMID EICLSPLITTPPLLPKQKTYSQTFNDFSDDELFSPLRHNNNDSDTDTDTGNSNSNNKG SKNNRMSQKLNYNKYDNNDSSDVETIDLTIKQEVLSTQGTSLEIEDVSEWLNMGSVKP DSPRFDFMDNKSGSYSDIRSWTEEQEGQKDGQSLRKAGDTSSFEVQDNDYYFEEEYNN SYDIEGEEQEQEQEHEYEYEEEYFRPAGSVSETQCPMCYAFFPGEVIQEHAAECIGSS DLVRSPRTNKEQCPICGGLVDKDLLQGHVEGELAAQQMSVQTHSNISSNRRSFVNNEI VEFQDPLSLTDHYDDRGSLSPLLGFTSLLKYRLTKPDCAKYFDQFESAKSRKGKNAVM STLFDPSQGYNETSQSVANINNNNFGAGYGRDSNPSVGRMNPMDPMARFVQPAMTANR NRQGSLSPLLGFTSLLDHRLTHPECAKYFNQFDARPSRTRTSTSTSNSSSTNPARGKP APRGRPKRQARQRRGSKRRE PHYBLDRAFT_72059 MGWLTLLFFNKVYQIHFALAIYKAYKRVARLFTHTTEMYRICHS ATILIGWTSDDMTNEDNSEQLPLLEDEKYNSIINLPPMTICRIEKSLMYSKILLKEQM ELTLESCNVDEVVDGIRTKKGFPVGQSPELQILKACVSQIAEYFALVRQIKAKTSTVY SIENPAHEEQLLTLWSALMPNVKLESRHTRQWIEIGFQGSDPATDFRGMGIQGLDDLV YYSSRHSESALTALKHSMDGESWYPFAIVGINITQYAVRTLESRRLQSYLYRHGSTIK SYQEFYSYLFHTFDAFWINHQPPLTVMDFEMAFGEFKAITDAALEAVVPLDPDHLESS LQISPSTLIQRKKDPRVLSHIQE PHYBLDRAFT_102969 APSTPPHHHVFGFNESQKPIPPPRKGAASMSDDQAKPICTNCSA TSTPLWRRSTDDELLCNACGLYQKLHNAPRPKTLKPHNARKEAREDEVSQLVCSNCST TTTPLWRRDDEGAPLCNACGLYLKLHHERRPLSMKTDIIKKRQRY PHYBLDRAFT_170144 MTLALSTWRGTHRPTAFQSSDKGKQTSSNHHINHHINNNPIIVP KIVCLFETIGHIDFDSSKTFSNLSQSQIFVQWHHAMKNVYLFYLASGCEKPADWVAVS HKLMRRHFVGMQGLFYLPKI PHYBLDRAFT_34391 MSNQATGTHLGNTPLNLAKLRELARRDLPEVLDTVRGKKGLVLD PQLTGPLSLIAEFTLLKDHGVEKIYHLDTPTMETECSSLIYICRPKLKYMRYIASHIK QLAKQTGQKTDCSLFFVPRRTMVCERVLEEEGVLGDITLGDYAMDWIPYEDDLISMEL DPGTWKEIYLDGDQTSIYYAACALMKLQSIYGLFPRIIGKGDGAKQLTDMLVRMRKEQ AVVEEVASTTSTKTPSLLNSVSNHIDQFIIIDRNADIITPLCTELTYEGLIDETMGIN HTFVELDAALVNPAQPTTTKAGTSAGPTTPGGATAGGQPGKKKKYVLNSSDKLFNQLR DQNFAVVGGMLNKIAKRINENYEERHHAKTVAQIRDFVGRLGELQQEHQSLRIHTGIA EKIMEHTMTEEFNKILEVQQNVVAGIDGTKEPDYIEEMIDRQRPLLQVLRLLCLMSLA QGGLKAKLYDHFQREIVQTYGYEHIETLHRLEKLGLLSKRTTSTPSRSPFAQTRRLLR LIVDDVDEFNPNDISYVYSGYAPLSVRLIQCAMQRLHTSLLSYVGGGSAASLPDKYIR PSGSSSTGWRGYEDVLKLVPGKTFELNQTVEYGPETNAAMARVKRHGLQHGKTTVIFF LGGCTHTEVSAIRLLAQQDEGRDYLVATTQMLNGTSFLEPILSTKHVSDQNAGIHGTV E PHYBLDRAFT_72063 MTEWIADAVQATELLQAMYFDEFSFTTESDNKLFEYLRDKETLP AHSSADKLEWDIIVPVLSSPNVIQLVVHISISLTSSAINLTIPSLSNPWLNRDTQERL SREAQTAIQQHTTDPDLVDRASCLIEVLQHTRDAAEPLALAHIQELDNCKVQAEQGPV MFVREWIWFPMIYTREKRGHIIKWAPKYGITGFLCPGKPGALCLEGTGENVTAFINDI KTLSWADIPASHRKMTSRWQQKVTCEPKDELEKQRLFKDMTEVTFELHGAFGNHNNLN MLSDWMKSKGCGDAFYHLFEYEE PHYBLDRAFT_170147 MKQIINKKIKLAGTKQQTVDALKKSRKISEFIMKKNTGRVFIAS IDELIKDILEVIKKEFNVKLEQMAAVKELEKNLKANKYIHKVDNVLSDLESKERTLGF FESLGVYSFKGEIRMCKRLFYICYKNLWILYEFCTFVFWCPQLQIDIIG PHYBLDRAFT_147231 MPSTQANCLFLHYGAPLKRSNAKIWKTGRPFRATKESRPIEDNC LLPKEVQSLAEMVAHYHSR PHYBLDRAFT_147232 MFSKTSPNSLTIELAKLQITRSICAANNSNALKITLVNKKKISD YSEKSLPPKKRPCFRVKNCSPE PHYBLDRAFT_72065 MFSNANLFSCNNIASSNTANSIIPAHNCSRPITGLIDNEVLLPP NMTIISRSESNETMSRLSVSGRPQFLTPRYKRCIVSNIKKTGGQRWMILLMMLVLTQE RMSASLPCIKLCTAWIFFCCKPVSKSFLTKTHIVKRQA PHYBLDRAFT_147233 MLYSSNKAALNSFREFDLGHFASDSVINNSSGALYGAKVRAVRW LLQNMNTMFFLEHSPTIASGSSPPDQLHSQTITSPSFPCTLETKLWVTSQERNTYQAL VHFTLLQ PHYBLDRAFT_147234 MFSETSPNSLTIELAKLQITKSICAANNSNALKLTLIKTKNISE YKEESLPPKKRQCFRTATSSQS PHYBLDRAFT_170148 MALSPKPPNLSILTDSHRNSISTNSVSPTTHSVSPTTHSADSPS PTGQLSYVHAALSIGNRQKTIRTPILVGDEDPNATPRVYRRGTSSNSVFYHIPSEFKD LDAFLGPLRQTYPRGIGLQLKTKTEKTHTAIELCLSNADYCKYACSNPIVVDNNEFLA TPAISADLKFPYGNVQETVIYEEGQHQWFKGNGYVYLERPIVSDKVWAQLAYQIKYFA DIQIYGTWAKMGDHCVFCKQMGHSVDKCTEKRKEKRTCHNCGVVGHIQIHCPRDPPSN SHRKSHLDDGPSNQVALRQILSPQRIQETTRSAVEKAEAQKQMIAQKQAAAQKQKDLD TQRVLEAEKVAAEEAAARVLEARMIADKCEAAALQAIAPGNCRTLPASVEADVADKDV TMGDNNNEKAGEKTKQQRKKEQLREEAQRNILPPAHKNMNNTIKIATINCRGLTKSSN PQQRNNFIRHLRSQNIHLLAIQESHAKDFELQTTFHTQFQAHDSQWTQHCGLISLTPY LSLTDSYTSRCGRCIFATVSHINNHFDPIQIVTIYAPAVLSDSRKFYTSLLETPLFSS TISHSRLIILGDFNYTYSLRSAHSRRAPQSWLQYIQDHLIDCITEEGEQPLPTFSSGI QMSSIDYIFASPELANLKHESSVDYINPSWSDHFLVSTTLSLGGSRTGKGIWRANPRL ALSAHFCQSLSATLQDLLPSLHNIPSSQDQWEKVKAIIRRLAKQHSRRSTTWRVQQEK ALQSKQDIRGASKRSPRHSSPGPDGLPYEILSLVFLEEEQLCHIHSQRSLSVRGRATV LNSLILSKIWHVLRVTPVLASFFDKLRSCIGQFLHRGMFPMISFSKMCCPRLTGGLGI LKPQLQQRALQMRWLQPILDQQSTQSFVVPWIKATLQQCTSSGDICLPMMFTNLRPAR LKNVKSAFNTLLTTIDAIPRDYSIITPTPATCLDLPILDVIQLSDQSIRLTKGWRNLR VSDAFIYDESLQALHSRVSSERLGSRYIVAKLFRNLQNARVNLLPFFWRTTLPPLKHP EFIPTLRPELVIASPFVTALRTGCRHLKVFHTTHFRKVCQINPPLSNHGSTLTPIQWK KIWDFPIHHSVRNIWYRALHQSLSCSSHLHRIAPTTFPSPMCVLCSNGIDSIEHFLYL CPLKRPVWSNAWQTYFGYQSEPFDVHRALFHLDLPNSANFEHYLDPSQAISCIILSLW RPHWRVIFDSAPFNTQHIQSSVEKLSTSFAQELDLS PHYBLDRAFT_170149 MSYKKSVFQLNTASTLYQNTVHKYSRLILDALQPIFDTASVVSE EKGRKVTVLGLWSVCGVSMVMHRNMIRMEMNQVNTIKCSHVFYSNLYTADCIDDNAVE LLLPNVLESSCLTRDLSEPIVAEWGADNILHTLNHSHTLSSPNVGGISYDNLCWIFQH NCFVVFFFRV PHYBLDRAFT_187568 MTNRHRMLISKIASDIHLSVLTRYSSKKKNRAWSTKPTCTALLA TNFDILPHLLEQIILFLYLDISLSSGNNSCFPDPPNCRKQKERSAHAYNLSYAKFQIQ ESRSQIPYPKYLFQIPTTFRHLRRCFYVGPDRTNKKTWFSFVSVETTQYGFIYLIPDT SWIKGTKKVLFCQIAD PHYBLDRAFT_170151 MSSPANQGSHQPQNMGYKQPYQNEYHSSHGNFPGILPMPAPYPK PIDIHRVKRTRAKRSCDMCRKKKTRCDVDVNKPCTTCRTAKTECQFIIEQKKRGPATG SYVEALESRLRRMETLLEKMATSKGAESETNSCSSPKLTFANSPTKSPSPSPNDAGSP NDRGSPGRGPSCERDQIHKSTSILNLTPCEDDPQRRPFNPGDSTDMIPIVLGRNLYSS EKTFNPANASTSNELSQHMNALTITDYDRTRYIGASSGLHMLNQELLQSNKRHRLVDH PSWIVQKLNDDVDEHVIMKTEEINKAKNHKRLPLVVERFSFFEDIPNMTQELADAMVH HYFTHVHAYCPILNKIGFLEQYYYHNPNPPDEYLLYAICAIGARFINFESQIDLREYC NISTEDLRTLQVTLLTRAREILGVVYKRSRVGSVQTLLILTMFVEDSDDDAEDTSHWF ITGMAQDLGLHRNSGRWQIPEHEIELRRRIWFAVYAMDRWVAAEMGRPITILDHEFDV ELPTPYEIETTHRTPTCSTQHMDYKPTLICEAEKAIKEKRPIYDPFLYSVTLSQILGQ ILVGLYSPKAKHTGRRNASLVAFLDSNLKNWRINLPPSIRIDCSAGESLNLIAVIGML NMCYNCVLILLHRPFIVKDENENMTSAFQSLSTCTAAAGQLLDVVEQMECEIFQSIPW SIAVYSIFQAAIIFLHNAKGENPYISIDGTKNLKRCSRLFQRDINISSTRIAKVLSSL VATFSVFTDDEDMSECDDTNSDDPDLGNKRQLVVNNSQQTQFRKKRNSSQQLRENQAT TGAGGRNSTLLSSTKKPSMSSLPLALKSSYAGDESSVSSETHSHGSPHHLESSPEPAS RHSLQCPRDDYRFPHVSVDSLSASTAFSSTQNNYSSNSASGNNSPRMMGSQYSAQSLD PGIRPEDHIQMQMQNQETPNYAQFPCDQPNSRLSMSPSTHSVCPIPDNNNNDSHNASS FFQRAAQNSLYTSELLGNPSNHEVSTSLNNSNNGPRPGQGSTLTPTPMPTPTSSLSTS YPFLTSMMTDTPDPQFDIDCLNSQVPLWDLPSGVTWDEWDSFIKSNIQGNGSKRS PHYBLDRAFT_72069 MNQDESNAKSREDSSNHALRKNNLLPSDRRATLLYRTMDHTQPP VEDPSNPPHINTQRRHEYEAIKGINRVMGQVIENFGKSSTHIKELAETVNESEQLLDR WIAVLSQTEHTKRLLEDPDWHGKEAEDQAKSRETSSPTKKRPIEKTGMNNILKMDKLS YIEPSRSQNRKKPTPSTSATRNSRLSQGSKQVSFKRTSFGEGSLVKKPR PHYBLDRAFT_182020 MSDSEDDKPLYKRVAPKAPTPIQTPVKIETHDQAFPQKPTNSSA DGSKVANVKRSNDRPVKRNVKQEADQDNTPLAKKRKQSNGTTVKKESKPVKKETLADT TSAAASLKRSVSSTTSQKATTAKKAKTAEPAVKETKKSKKAKEEEEEEVYRWWEEDSQ KAEDGDDSIKWTTLEHNGVLFPPEYVPHGVKMKYDGKPITLTPEAEEVASFFAALLET DHAKNPTFQKNFFRDWQEVLKQDPRNPEIKEFDKCDFRPIWEKFELDKEKKKQATKEE KQKAKEERMKTEEPFLYAYVDGRKEKVGNFRIEPPSLFRGRGDHPKTGTLKKRVTPEQ VTINIGHTAKVPEPPAGHKWALVAHDQTATWLATWKENVNGSFKYVFLAASSAWKGQS DMQKFEKARELKKYVKKIRENYTAELRDKLSETRQRATAMYLIDRFALRAGNEKGDDE ADTVGCCSLRYEHVDLIPPNTLKFDFLGKDSIRYENSVDVDIQVFKNIKIFKKQVGPG HMIFDRLTTVGLNKYLNSCMKGLSAKVFRTYNASHTFQQQLDKLTVPTDPISDKMLSY NRANREVAVLCNHQRTIRALKYQNMKLKRQILTLEPALKKKKPELSAEDPDLTEEWMI EYEANLVEKEREKIKQKFEKQNEKLKADGEKPLPESELKERLKAANEMEARLKKERKT GKVACKPTMNVEKLKAAIEKTDAKIKMTIVQETDKEENKEIALSTSKMNYIDPRISVA WCKKYNVPLEKVFSKTLIEKFRWAQNIDDSWKF PHYBLDRAFT_170154 MSTPTRWTRHLPQTIQHTPQPAQPAQQTQPSQPTQPAQQASQLT PQATVQEQYARTDKYFKMEVPREYGLLQPKSQPQLQPQLQLQPQPQHQPQHQPQQQPY FQQPYHPSGYARPIQPIAPGTGQNYRGSNESVESKRIRISRACDACRRKKIKCTTDNN SGPCRNCTQSCVECTYNDRAKRRGPPKGYIDILENRLKRMERILGGLSDNEGSEDGQP KKKVAKQAENLDKIVATQDSFKSATVTQSPATGQNNGGKRAAVDTKDDEKPLAVGLSS ISNEARTRFVGDMSPLPFLAHKINFEDPRFSNQFGMQIRKFGQSLVFYECEDQSNGHL SENILRELGMLGPGETIKGMNDWIFRVSGLDKQMSDRLMKIYFLYIHPGLPVINKKLF LKQYRGNVGGYPSAPLLNAIYGSAVRYIEMCKLFGDKAPPEYGDDKPIPEGWSEKLFE NLIVYVKGRYNPCISTIQAIVVGHNHRASVDEKMTSGWLLNSAAIRMAQDLGLHRSSE KWDIPESEKETRKRVWWAVYIMDKWSSASTGRPQTIFDEDCDEEYPCEAASWDEVMDT PDKSETGDEDGPRFPSLDTGAARKIKGEKIPIYQPFVQLVKLSEILGKVLQGLYTPKA KKHSALHGSDSIISYLDGVLSEWKSALPPALQISNVNVHRLDSRGQTPLLSMSGLIYL SYCTLLILLHRPFIEKDGEQKTRSSLSSLSICTSAATRCADIAKKMHYRDFLLVSWNF AIYPVFTAAVIHIYNAANPDSIVSEVARANLVRAVLVIKRLSKMTSSAERLYNILKQL MDFHKIPFNDHDLSDQEERAAQDGNMPKKTRITYRKRNQKRKHMLRGSSESQNDYSTG DSPHGQISVSVSPMETSVERPSSAGSGSSAVYGDWINGLYPTLQKNNTQAVSMAAEGP SANNVLDTDPHILRQFGLLPGQLFTPLESLSLQQQQQHRSENNLGNGLVMAPSFSDKF MFGLDSTGMDGFQTPWQQDSNTYSTTNQTPQVQQQLSQPHLFQAPIVSSANNLENTFF RNRPDNPFWSVPSSIEFDDWAAYFLPGEMQQGFSTSTSTPIKTSSTQLPNQNEDKSNN NNSTHQHPWPLCPM PHYBLDRAFT_147241 MTPEDVVLQLKRKGTFDSLRKRLLSEFQSAPTGQSFLENTNGFM EDIVKKDDSLLDKDRTSFYEHVTTELERAKFYDSIREEILETLLKDEYYQKQVDDAIE NVMSEMKPTSSTVTETQIRSGKEDTKS PHYBLDRAFT_147242 MFSKTSPNSLTIELAKLQITRSVCAANNSNALKITLVNKKKISD YSEKSLPPKKRPCFRVKNCSPE PHYBLDRAFT_170156 MAIYPLNKSMRFQKELREHNRHKKQFIENNNTLNCRSVNYKAEF NFRVLSAMVMGYHFCKTLDFLGHICYLTVMIKARKINARVSLEKLVPSSLKIKIIICN ITRSSFLAENVIHLHCLSSEM PHYBLDRAFT_72073 MLQEIEDVLEVQYSRKINIIQNMFTFSIGLLEMSGSSGGDFGKA TLEDWEMVHSMFESRNMSKSQKILSFKPIRLTFLRIDIRFANDSLLLTQSILDKIGFW QHSFDLFIPGQRK PHYBLDRAFT_155737 MSPIIPVSGGKGDPNIRSEAGFILYVITAFAALGGLLFGYDFGV TSGVMAMPYFQEYFYELTPAIKGALNSLMGCGAVFGCLIVGVLSDRFGRRDTIAGSAL IFIVGGILQTASQNIEMQLIGRFVSGLSVGACSVLSPMYNAELAPKEIRGRLVGFQQL MVDIGLLVSGWLDFGTIYIHSDWSWRIPYMVQILPAILLAFCPFLLPRSPRWLVEKGR YDEAMLVLAQVHGNGDQNHPYVQQEFKEIKDSVVLENNLSVGSYIDLLKDSRNHRVLW VGCSIAIFQQLTGANVIMYYAAFMFQQAGLKGSMSLMANGINYIVMVVFCIPGMLLVD RVGRVKLMIWGSTGMCVCFFIIAGLYGGCGYKEWDEASMSHVVNMSENPNAQNAVIAF IFIFVAFYATTWAPVAWIYIAEIFPLRIRSKGFALASSVLWIGNIVVGQVTPVLMDSI TWGTYLIYGAIGIGMLVWVILFAPEPKGLSLEEMEVIFKGPLIVTNLNYTEYLAAHHE EIERIREEVNRAAVDKSKGEMSEEKSPL PHYBLDRAFT_170159 MKYGLALWRILSILFEKGLTQYFEGPVYESNNSIPMKKRTRLRL FILTFIEILEYMSDYNAVILLQTWQTTNTLYNMFMWVRNLTALRICYLDCIKPICFLI VISADSPIYGLIHVSAFIHHTSTCEECDELPMPQSCRRQLALIYLPEKLCSLNGSEVQ Y PHYBLDRAFT_170160 MYPISLIHLMVFENKAKKSFHKQEIKMIIMRGHVIPLSKLDIVI LVDSNFITIVVYTPQLSEEQTPQPNTGSFLLMNLAKNPLSSENSKFSLTFALRGDVNS NEKISLAKYHIPTGSLLYEFTMYLELLLNLSGSYP PHYBLDRAFT_155738 MVVLAASIVTKSGKAVISRQFREMQRSRIEGLLASFPKLTSTGH QHTTVETEHVRYVYQPLDDLFIVLITNRQSNILQDIESLHLFARVVTDICRSTDERDI LSKSFELLCAFDEIISEGYQENVNLAQVKSIIEMESHEERIQEIIARNKEQEAKEELK RRAKQFEMQKKEAAKRGQGFMQGNFSANNYMGGQHSPSIDPTIQTSVESSTMSFGRSS TPPVTSKAKGMQLSRKPKTADLFEAIKTEVEPVRAVPSASSAPTNSTGFAKHQESVHI DIEERVSLAANKDGGLEQMDIKGVLTLRVVDNASARVRISIRATEDSAIQFKVSHIYI DTHPNVDKVAFKNENVVQMRDLARPFPTNQNLGVVKWKYTTRDETAVPLSVTCWPSPA GDGTCDVNVEYELEADHLELRDVVISVPLPAQPIANVNHVDGSYYVDHERHVLEWRLP VVSSANKSGLLECNIPGDNADSFFPVMVSFVSDKLICDVDVLQVQNLDTNTPALFSKE VLLVADDYIIG PHYBLDRAFT_187571 MATDAIRQAIIASLFSSDTGGGAERLLIHVKVFEDVKQTDGGGN VRSPNIMGKPRYLCLTQKRNKVRLYKTKRNQAGTFSIGKAWSLDDIRQIEVIDANQFA MTLNKQYLWAVEIPRDKMIFLAHVVDACQRFLSRVPKLVNVDEAYLLRFLNNSTSLPV SPTTASPHIQSPSINSDDASANIPYSQHDASFQSLAPPAPYGPLYPVQNSDRNLGMVD SPMAIQSPMLPPPPIILAPPPPPSPSTRIDDSPRILMEAKEREREERKERDRARELRR EREKREKAVEEEKAKRKLMADMQDKMAEQASLMNVEELLTDFNWKASGNAAALEKRLL GELHALEAANVHAIIQSDERVRSVVQHIDNALDELDSMESWLLLYSAELNASVNNINS NNKSTLSMGDDIREIESQNRGLQILTSNQHHLITELDELLSAISIPRACLESLKFDPM DTVDDIERIQSSAERLQKVLKIKLDGGLQDMHAVQEKLETYNVHSNTFCSRINDFLKK QFDQQAKILADSRTRSQPTVSVRKPQSIVAQPHESVEDGLIRYQGFSLWEKELEPRMY NELQRYYAQTMAPLYEKDIRELMDATRGFYSTLRNRGLDELEYIFRPEESRPVRALAY GADKLRGGDESRTHRYRHILRGSVEGMNSPGLTAASGGNTRGGLDEEEKLADDAFSQM ISQGAMLVGREQNFMSDLFQIAPNAPKSFLERGPVFTQVPSVADLCERREKIRDVKIS KKILNWMELLFETLEPSLVSLIEYGVKSDPTQTVSMMSSVEFQQEERKESDQEFMLRL CSSLMQRLKRMFERFITDQIRIIEETKVSSKKRRGILPFFRTFPIFALRLEIAAATIE PESETRKTVNEAYERVINGMMASLDSIARESDQTGDDKEQLNANIMYIENMHHFYHEL RTHKLHVLERWIKHAKSQYDSRLNAYIKVIIRRPLGKLLEFFEGVEAMMHTSTPEEVS FHTNYNKIQLRKVIMLYPAKEIKKSLELLYRRVDKHFSEEEGLLQVVWRGIQEEFIRQ HEKMEDLIQKCYPDAGVHLEFTIQDLLAMMSELARKVNG PHYBLDRAFT_170163 MPASELPLEILLQIAELLLTNDRRSCALTCKGWRYPFQEFLWKH IHVDSMNNFETLFNTIEDSRIMSKSYGPLVRSLRLCGECIIPSIQQDHFLRSLPNLKH LDLGRMSYKLINPEMTRINDTWMSLESLRIKIPHSGIEETTEEIIEFLTNISKLQKIE LIQCSWNNSIKYMLDDFDKIHQKLQQLSCMKASISLGNILHPAQLMIPNTIPALALTR LDLNLRDWDPLWLYYFGHKYPNLRFIKLDISHVRECKIPEEVMRRNSALFLYNPDAMQ YLETFNLITKDISDSSHVALWDFICPLNIQIKHLKYTMKHKNGGGHFFKMIIKGALRT FADTLETLSVEGNVYFDSKYTSKIELSPYCPLLVDLRISNCGLSIDISNILDNCGSLR RLRLYNGELCISQDAANQKSKRQKDHQHHGLNILELHEVLTSDSVFKHISFRCRHLQY MNLDTLTIVESISKKTGNLLIDMSHTLFKVLRLDNIQYYSLYDDTDDQIAINLLLLSQ LNNSLSPNKNKQKEFTGLKFTVVDHTNHIAWLHTFHNIAHKRNSETDIRRLSRQVSNT TLKHHQDSHTKKKPKVSKSTGLRNKYDSCESWQDYLLEEYVELRCGHVAKAILPGLPS KDKEFWDELYDRLFKNKIVAMDLCKTRPIFDGLGDQSIAYILDYEARLLLCTINYRFL QQ PHYBLDRAFT_147248 MAGNAGGLTGLRELTNLSTTFAGTSICRHTQALAVASTIHAIWR AQWASVMDEKSFLSGVTIPKALVVIRRFLE PHYBLDRAFT_187572 MCIQIFIVMKASELPQEILTHIADNLSTKDRLSCALTCKGWRYS FQRTLWKTVQANTLRLFKNILDTIRSSQNSSIPYGIWVHSLCMQNFILSPYLSDIPVI ELFRCLPNLKCLYLGDIGYKNIYREIVPAPSILEFINTCSMLQKLEIIAPKKGYRIEL SLDDFDNLHQNLKRLSFIKAAVNLNFDFTATLNTIPNTAPAYSVTTLDIDTNQWNPLW LYYFCYKYPNLRSLKLDVWDTSHEALSFDQKQRIISLFHSNPNALQHLETFKIISNIH FEPSDLV PHYBLDRAFT_159279 MTLNEVWISGSISEETGCFLVDMSYTFLKSLCLNQVEYHNTRGE MPIREDMCIHLTLLSQLYTNDSKYPIVERHNIAWIYLIETVIVPWIMVDYENNKLSEE AGNLIVEFYQNFPFNQSNKTIVDEDRLEYGYQETGWMDELYRGYGELRLGNIEAYTLG PHYBLDRAFT_170165 MKRGSTSTNLPRPLKRYKAERCIVSDAETSTVEDSHPNVTNQEE GPHSSSTPIYPKKIKAGEHGPEERVEQFTIKTSLHNTYRNNTMAQEFLKAAKYTTKVL FVGSMFANFVFIKLLNSNQEIPAIGQSLFINMFTIISGNGKNTSPSFQKTVQIDPQAP FRVLSQCDKPNYRKGLKTESDLIDFYYKYFNFSKIVFRTRESLTNEKKKFKQVQNKHD TAVSNLGP PHYBLDRAFT_72081 MSALSITSLKTTKNVFLFIPNLIGYTRIVLATLSLYFMPWHPKV CVILYCISCLLDAVDGVAARHFGQCSKFGAVLDMVTDRCTTTCLLCYLSLMYQPWAIL FQLLIALDFSSHYMHMYSSMTIVLFLMCAGNELFYVTLYILNFFGPINGFWMVALVLT GTICLAKNFINVIQIVNASRVLVSIDAEEREKALSAKEL PHYBLDRAFT_170167 MYLISAKVLVTIVWTLATRTCRGLRNSGLHPVAFIDALTRYEVW AVLLKVQICSDILRYWITYKSKEQSSLYLYLRFIFVVLNFVYLSLKTDWDMRGSLKET YLIAIKASTDKILLACSLEIIDPENCREYVFWFFKVGIRHDNDNTKQMQNNIKSHKSY LPKSKVDRLMFGMSLAIKVVITQPSCAYGDLNATISYNVRFVFNSHNS PHYBLDRAFT_170168 MKRGSTSTNLPRPLKRYKAERCIVSDAETSTVEDSHPNVTNQEE GPHSSSTPIYPKKIKAGEHGPEERVEQFTIKTSLHNTYRNNTMAQEFLKAAKYTTKVL FVGSMFANFVFIKLLNSNQEIPAIGQSLFINMFTIISGNGKNTSPSFQKTVQIDPQAP FRVLSQCDKPNYRKGLKTESDLIDFFQKLYSVLEKVLRTKRKNSSKYKIIIMLLGRNE IHIKTDVLNVYQYK PHYBLDRAFT_170169 MKRGSTSTNLPRPLKRYKAERCIVSDAETSTVEDSHPNVTNQEE GPHSSSTPIYPKKIKAGEHGPEERVEQFTIKTSLHNTYRNNTMAQEFLKAAKYTTKVL FVGSMFANFVFIKLLNSNQEIPAIGQSLFINMFTIISGNGKNTSPSFQKTVQIDPQAP FRVLSQCDKPNYRKGLKTESDLIDFFQKLYSVLEKVLRTKRKNSSKYKIIIMLLGRNE IHIKTDVLNVYQYK PHYBLDRAFT_170170 MYLISAKVLVTIVWTLATRTCRGLRNSGLHPVAFIDALTRYEVW AVLLKVQICSDILRYWITYKSKEQSSLYLYLRFIFVVLNFVYLSLKTDWDMRGSLKET YLIAIKASTDKILLACSLEIIDPENCREYVFWFFKVGIRHDNDNTKQMQNNIKSHKSY LPKSKVDRLMFGMSLAIKVVITQPSCAYGDLNATISYNVRFVFNSHNS PHYBLDRAFT_170171 MSALSITSLKTTKNVFLFIPNLIGYTRIVLATLSLYFMPWHPKV CVILYCISCLLDAVDGVAARHFGQCSKFGAVLDMVTDRCTTTCLLCYLSLMYQPWAIL FQLLIALDFSSHYMHMYSSMTIVLFLMCAGNELFYVTLYILNFFGPINGFWMVALVLT GTICLAKNFINVIQIVNASRVLVSIDAEEREKALSAKEL PHYBLDRAFT_170172 MAWFGDRSLSKSHYGQERRLEDNQNSLPVYVTVIVLSLLPLLLL AACLFVCLYQIFGERLVDGFLSKLTKQSSSFFCSINISLPQCITVFIGRLSETSRYIV ANQNNRLKKNTPQNIAVSVFDNSLHLFYRFSYNTCSTSTNLPRPLKRYKAERCIVSDA ETSTVEDSHPNVTNQEEGPHSSSTPIYPKKIKAGEHGPEERVEQFTIKTSLHNTYRNN TMAQEFLKAAKYTTKVLFVGSMFANFVFIKLLNSNQEIPAIGQSLFINMFTIISGNGK NTSPSFQKTVQIDPQAPFRVLSQCDKPNYRKGLKTESDLIDFYYKYFNFSKIVFRTRE SLTNEKKKFKQVQNKHDTAVSNLGP PHYBLDRAFT_182025 MKTKESLVRGHRRESVLLTLSELQDLRARQRTFEGAYWRTALAA FSTGLLILKVFSREFYKIGITFFVFGMAMLAIALWRRRTAGDVFDLSIPFKTSGNWVI LTTIVTMATYIVMLVLLFRL PHYBLDRAFT_91272 QYILIEDLTDGVQKPCVLDLKMGTRQYGVYATRDKMRSQTLKCE SSTSKTLGVRVCGMQVYNQPSQSFKFQDKYFGRSLKTANQFRDALERYLDNGQGCQIH HIPFVIRRLIALAKIVKQLVDYRFYASSLLMIYDGQNPQRPMDLRIIDFARCVSAEDV RLHSEEFTFPPRHKGPDNGYLLGLKTLVVCFELIYN PHYBLDRAFT_177782 MPATTIAHRPQTIQSLIDGVERYNPENVNVLEEYLATQCKNGDY DLMANLAILKLYQFNPNLASTNVIINILAKALTAVPAPDFNLCLYLLSEQATLPAVEK LTTLQQLLEQSRYAKFWETYNNEEYKSLTAAVVGFEAAIRQVIARVVAMSHQVISTSV LSSYLALSGDELTKFCNEQQWQKKDDVVQIPINEDNEAKAVVVIENIKFEQLTKVIGY SNEM PHYBLDRAFT_12106 ERVKAVIVILVRNNELEPMRRTLREFEDRFNRKYGYPYVFLNDV PFTKEFKIAVTALTNAPIKFGLIPEEMWSIPDWVDEPKVHEQLADYEARNILYGGSLS YRHMCRFNSGWFYRHPLLKNYDYYWRVEPGVHFYCDLNYDPFKYMQDNGKEYGFTITL QEIPQTIPTLWDHTMKFAHANNIDTSFLSFFGSPDGGYNMCHFWSNFEIASLKLWRDD RYQAYYDYLDSTGNFFYERWGDAIVHSLAAGLFLNKTQVHFFNDIGYKHDIFTHC PHYBLDRAFT_114351 MDKAKIAEEYQEWKKTVPMLYETVVTRTLAWPTLTCQWLPGQTI KDGASYQELMIGTNTSDQEPNALLFQTVTLPQNNQSTDRTIVKASITPKQSIGHENEV NRARCQPNHPSIIATMTRDGDVLVFDRQLSLSAASTTNLESEPILRLKGHSGEGYGLQ WNPHSVKENHLLSAGYDKVVCHWDIGQTPDGKELRPYQTYVGHTDCVEDVSWSTSNVS LFASVGDDRKLMMQAVQCIQAHEAEINSVRFHPTRETMLATGSADKTIGLFDIRKLSD KLHSIEHSSAVGQVEWSPLDDPIIGSAAGRQINIWDLRRIGEEQTAEDAEDGPAELMA STKKQIINKERDLFVHNGHTDIVTEFGWHPTEQWLIASAAQDNNIQVWQMVG PHYBLDRAFT_182029 MSNLNWCTFCDNAVSAFSNSLYCSEDCLRSDALNRHPLLGYDYA ELKDFPRSSSPTLSCTSPRSHSLQSPQRSRSQSSSVQSTPISSPVILSTSSCSSVSSG STVSSPNMSPIPSYTLPLPQGKPTNYSFHCLSPPAFDLNPIIHHHKEAFPTSPKRRAV FYL PHYBLDRAFT_187578 MNISTSNATMAFGRMLADDGITTLTQVDSNTVVITPRFNTANKT ELKIGVLLPFSQTDDNFTAQIVWGGMSAIRMAVNDINEQGLIPGAYITLIERDSFPQD SAEQTAVTDAVYASVTLLQQGVIGVIGDISSSWTSLSAIMTSTLEIPQCSFTASAISF SDKTQYKYFFRTIPTQVIIADVMLSFAAAQNWTKIGVLYTDDPLGQQFYQRAVVQAGI THLQITQFHAIPLTGTETIRSDLTSMTSAGTRIMIVAASGDPLANLMITAADMGLMSS EYAWLLMGEVSDSLSDSIQAHNANHNTTRAIDYNQTFSGLFMFDNWLTLYGYPPFESF LDLWSALDPSAYPFAGQRKITTNEGLAYSCMMVMAQGFSHTVNTISNQSYALEQLASG ELGQYLLPSAFNVGYVGPEGPMVYDSNGDLTNGNYRIYNLQHGNQAVIGQSVGGVLTL TSSPIYHDGTSKANFHSPAITALNPDMSSPVAHAILAVAATGIFFALIVFGLVVTFRK HEVFKASSPLFCCFELVGFVLTYLSVTFMIGTPTQTSCIAGPLVFNFGFLLVLGNMIA KNYRIYRIFNNIFISRTVITDLQLIKTVSVVVGIDMIILCIGLIVSKPTPTMVAVSVS VYYWECQAQSDYKIVFSCLMGIYVMFLLVFATYLAYKTRLAGRQYSHYNECRQMGLSV YNILFSALVGFAVGLNQMADFFTKYYITVITILWATTFSLLILFVPKLQAFYKQRTVE KQAANNEAKEVEQRNILQSVFNSTSVQPTDGFSGFSNTGGIGGGGGNIYGGNGNGNVG ELISLDQLLASDNSGLLDPAQAEQRKASIVSAYLELSNSGGDSNCVEVHEWEMKHIMV FPWLGYFSYFSQETRKGTVLAYAQAAIHSAQLNDYVIKVKGQGLYDMYIQVPDLKAVE TWQRCFNQKSNPQTYIQTGQPSFNINSDLNSSSRPNNNHHNDLHSRPGGLLSDEDDSD TLEAQKSVVPAKDGHAPPPSHSPRRQSSERTVQGSLGQSSSRRLSTTATLT PHYBLDRAFT_97900 YKPKFVQTTFESNNRNNTNDDTSDTSDTSDTYDSDLGSCTNSED EDLSMCEAIWESVHRFKWDVCLPDQMFVFAENDMETILNTAIIGFKQPKSHLEIWVPA NIVFLSARYAHYVSTAELLKTFLRASVAKIAMVVKVRKIDIHMLVFWISNLSQLLFYL KKDFGLVVATAEHQLAIAELISETYTLLVKDSEQRLDKILEPAMLEYEQITGLEPVDF ADDWSRYFRRSRTRKPTTNNLLQTQQYLPHTLTPNSITSMLTSILHVFRSYEVHPLIT AQATAQFFHFFACEMFNRILMNKKYVCRSKALQIRMNLSVVEEWVHDNGFHGAVGPFF GPLIQLLQLLQCVSQLDDLELFVKTTKAFDLLNPLQIKRCVLQYRYEVSETRLPDEIE KYAMQIAQDTVRSVYQKS PHYBLDRAFT_170181 MHNYKPLSHFLVVDCTYVPKVMVELPTAGYKSWCKYLPNDYSVN QFDLTWLGLALGFRFLDFWVWFGWDDGFLRVEYLLVNLIYAKHIIIVILKSTNQQGFV DRTK PHYBLDRAFT_134581 MHQYNRGHSRSFGPELQKTFVTNPTNQQSYHPLTQDSPLDIALS FVSSQLTSSDYVVKSSYVSDLNGVTHIHLRQTVHGIEVANADININVDRYGRILSYGN SFAPTLFKAQQKSFSWAVLSLLSFVRKNLPDPRVIDQLSPSTFEPIPVSTLQDDGSES WHMLFDEVPFALSPVEVRQSYLQLSNGDLQLVWTVQLELDDHWYDAQINAHDGSVIGL HDWVSQASYYNIIPFGQNDPSDSAQVLVKNPHDTFASPVGWHVQASSSSKKVFTTFNV TIGNNAYTHTNPDGGSGWQNNYRPKGIVDEQGDIVFNYKADFENQEPVEYEDAAVTNL FYWCNTAHDLFHRYGFDEKAGNFQQDNLGRGRNPDKDDGEDDAVIANAQDGSGKNNAN FATPPDGKHGKMRMYVWDQTKPMRDGDFESGIVIHEYTHGVSTRLTGGPKNSNCLGWG EAGGMGEGWGDFVATIIQMKSEYTREVDFAMGGWSNGGKGIRKYNYSTNIKTNPSTYR IMDRFDYWGVHAKGEVWAEMLYEVYWDLVDQHGFTPDWFPPTPEDENLFMSKSDLERV RTHVVSHGNTLALQLVMDGLKLQPCSPSFTDARDAILLADHALTNGENYCLIYKAFAR RGLGDGAKLTKEGWLERRVESYEVPAKCT PHYBLDRAFT_170183 MEDDELDFENFDYGDIGDFDVNDFDVEAMDKELGLDSPLPTKLE ITKPSSTSNNSTTNPISSTANTNFGSSTTTTTTTTTAAATAAAQTGTGTGTGIIKTTI GTGVGPKTTKPSESVSLSKATLTDSNKKEDGEVENTNGKGRPMSSMRESTGRPNYQRP MAPMARNNHMMPMNPYGVPMMNYSARPAHRIHVNPKFAGAIPMQSTIPIHSDPHRMQR ERELDEQRKRLVEAQRKRQNERQQFHQQEPQDGHRLQEKRRMGQYAPENRAPFNPPHT EMIDLPSPQSPPHITHASRSEPRPTMGLSIKGSAAAAAKAAASGVRGKATGRRGSSNH SNGDIDTSMVIDSPKHVSPSTTGHNSNNSNAGSGLVTNHRTQDRRPQDPADTAASQNI RKLMHQVTGRSAHEINNQHQHQHHNQQHPSTNTNHINKTSRPLKSSTSPSPPSSSLSS NHNKNSRPAITTRISDHSNSRHLDSSKPSQSTANSGSNTQRSKLKISNLSNNVTEADI RSMPQSGGIENLQLDSSSQTAILTFNSKDAAVIFRRQNNNVCLVCLIFSKLTICIGVF FVV PHYBLDRAFT_170184 MGNEVHQALGRILRPFSPGIFNLKNKEIRVFVKLVTKHRNSLDL GECCLPGGLDPAISYSINGFPEPNSLPYHCAQDIQEQDRSSLHRYWYVSVKADFDLLN SRIIGNPTGAHNPNLELKQLVLQK PHYBLDRAFT_187581 MVVKTPSGDISAKVHVLMTTGDIPALGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMPSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLDSFSGPFF FALDEMHGICHGIGKQVWGLMCGKYGKDHPLSLSLAAQKEIGTAMVLTRRSIPTSFHS AWINIATRSGYFRAVDWADFSLFVIPTLVAERVHDQAACKALLDLVQTCNLLMSWELS AEEKTLIKTNLVAWNAYLEASLAKGEVQLKVFTINQHLLQHYPAMIEAYGPPRAYSAR SVERAIGEYSRAIKSNSAIGINAGNIMLGLTQIRQMRVENSRTTTATVTATTLLQYDD PSAGWPIDREGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFYESKGEECSM IEAAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGQSYSPVYKDFFG KLVVFFEHKLNNKRWPLVLVNVYAVRLVNSIPAINNGQMKPMVVHLADVKELVGLVKS DATINIITTTATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_114288 SQGWMEKFGKRHYIKMNRIHGEAGSTDIESLQIDKAAIKEKIEA YSACDIYNFDETVLFYAAPPRTTISCQKFSGWKENKKRLTVGLLCNADGTDKWSDILM IGHARRPNCFNKNNKKQEAVDHGFSMYHYNSNDWMTRSIFHVFLHRFDRSMKAQNCKV LLILDNFSGHIVDYAPTNVELLFLPPNTTSHLQPLDGGIIWAFKAYFKRKQYAKAYQY IGMIQNDQQNKIGAIDKIFEIDQLWAMKWIREAWESVSAKTIENCWNATIFHFIEDKD SEGISKTIYWL PHYBLDRAFT_170187 MSREKFMPDVNRKLSRAAHLFLNALEVSDEREIAHVMDKILSVY RDKVSKELQGDLLIEPKPCPELPDAVNACVEIAKDVQRPPPVIATEKHTRLKRKAKDV QTSPPKIPKTKHVPSKDSYKEKQVSVLDQQEDSDSESAKTNYESGDWVFHLTSVKKRF QDEFNGRIHHLKKAETYLGVTTLSLVKELNELDLKDSIRLGRLALTNLINSDNISYAL DVAKLVSDGSNIMPNEKSLKKFISFHRAGKVLLKCNQALKSDILLLYPDIMSYDMLMN MSKKCSVVLVTFLEKFPEKCSKLLLEAVDPRLIFFSCVKLRRLF PHYBLDRAFT_72095 MNINDLLNSITKNETSSYMLANSFFEQQPTQMDINVTYPAYDMM DINDKASVNDSIDFDGFLAAATNNVEADEEDASPMEAKSSNVEVEYTSENGPGFTRVQ NQGMAQAEPEQADIEYD PHYBLDRAFT_170189 MDVCRTITYVLLLSSTGDSSSPSLRKHKDRHPEILKPIKSNSSS GCSLLKVSNQDVSLVRCKTTPQWAAVTLWVESTQLLGCPWGEGRGMVLPPTTGCKQFT SGGLSQTGCSVAASLPSNLASNLASSWTYAVSSITSQRFNCTMSSNDIFRTVKKM PHYBLDRAFT_170190 MAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQ EPLEEAKTTNFAKKQEPLEEAEKYSSGIKRPKHLQDDYWYDLPSPKKQNKNVHDFALP AQIDQAAISLTFNPKSDGWCGFRVFAHLKEGGEDQFPLVKKMLATMATHGKLYEHNFG MDVAEVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIADTYNEPVCVYSDDRS VLPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHVHRSWPKVNALYFDAIRR GSIIDCFSTSWNHWGQFPKNKSYLLPSTTTTTTITTTATKSPTNSPVNSSDIIDLTHI PHYBLDRAFT_170191 MAKVNNLINNASNLKDHPEVAFPLSSESEIRKILKEGIIDVMKK LLEEKPLKKYPLKISKKKPNSLKSKSLSKKLKSISLELKDLTTSQMTTGTIFPVQKKQ KKNVHDFALPDQIDQAAISLTFNPKSNGWCGFCVFAYLKEGGEDQFPLVKKKMLAMMA THSELYEQNFGMDSAEVTKVIAFGSDIDPAIGKNITYCSSSMWFSVPDCAQIIADAYN EPVCVYSDDRSILPITFLSLHDRKLLKRKPLPMVLHHVHGCHWTTIKVKPHVHLSWPE VNALYFDAVHRGSIPDCFSTS PHYBLDRAFT_147272 MTEIDQSILDDVDMYHDENDTSNEDESAKLMSIHLSQLMLQHRI ARAAYTDIVQFINTVIEGRNDIMMEPGTKISYSKTVDTLLKSKSSCSDPDTQIVFQLV RHYLVDIPYIIKQQGPLQCYSTCSMERQFAIHNYISMAISICDEIKLIQPKSYRRESY INLSNDSSGVQLWELFHQFVNLNNDLVKDVGGPSVKEALLIYYQRPTDLTGHEFGDSV VVVAAHLWIGLTHVDFYGFLHFLAFMEVMKEHDAADHNSLVPIVKQQSQSTHTLGYQM PHYBLDRAFT_170193 MQKNTRQETYKIVKTQPPKRQGEINFSINSGVFTCSEYEKEFKK PWLLKRHSKVYHISNQMPTNTVLDEPEQAELIVENTIPDVNAFDYLSDKDDSSSIGDE EDNIVDKKNNIIDNFFDIEMNCNPVFNAFSDMFSSAAADDEVSMTDDDSEIPEKQMLK KILLAINLIIKIQQETPIGRTFKLPHLDALLNYQARKKFKMPVFPSQRIPVPGSNGNA FAHINLLSDHLRFLIASPKKSKLISSMSDCTQTNRSVWNKYFYTSLLSNILFFYCFVP EIPHSIHFLVELFHTANKNIFARGYLVRAILIVCYGIEVAVTNLRVEQISHVDTTPVE RDHYYSISSSLTRLSPAHGFLLFGVHPLKKSMPLSVLSSNVDCDAVFYKVRIVPIILF TDDTSGNRSKQYNPFESWKDGANGMSLLPAIVDDFKKLKKGVKMFSAEDNEHEKDFVK DLFYFCECHERRTREHYVLANSSSGKDTEIPNAPKIGMNMPANEISFRDCLTGHLLEL QSFDPEKDTTVKILHMILLDVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTQSTGLS RKFTQNLRHSSSFLSRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLVFV HQVTSDFDSYIIRVDNAVKHLIRALFDYDKGTNNELHKAYCTKPKVYYLTHLKEDIIR FGSALNYETEKGKQFNNHICKHLFHTNCQNTSRDVCLKFAKQVALQHVIDGLVSGSVV KFLSIVPRTDNDRNNNYAKAVMTDEHSDVATMNLVCKLDLHIFRNPLYIINLSKFGFY WFIFNNILFDE PHYBLDRAFT_170194 MGSFLGDHTITLRGTSFLQWIQATGLTCWNELLAFGIPTFLSGG SGISRSSVIDLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVLPPPPPTSHPRL LWNLSKLAQPDTLKIYIDTASGSLDDLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDTL DTAVGHRTPRTMQKYWFWSVDLQEAMDLRERSYQCWHHSSGLQKAICWMRHQDACHAV RLSVQRRRHETWKEFCNKLATQDFAKTTATMKHIKSHRQTSPVFVDPGGPQVAANKMA DHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDH LRAEMLHPIVKQVSPVLCLLFQLCWQWAKGTIDPSLLISRNCVSAINSMRALQSLGVN HTGLSRLLSIRLYRQFIRPQFEYGLAISCFNIKQVAVFEKAQNTCLHVIFGGHSTSST SVFHHLGNLPSMRERILTLGFKFVYCAFWLPDEALFTLLRPVLTNPAHQWFKVLANPI WLSLSNRQNADSKACKHAIHSFLNQGLSLQRSQQILLSACHPSLGVDPILWLPMTNYE RSRFIRWRMGWLPGRSQPCSCGLHTTSHHHVIECTGAAIRLHLYSTVQPNPIDYVLNM LPLKKLKNNKNNAF PHYBLDRAFT_170195 MSDTNTRSGKLSASPTTSRLPVPLLSSPALFSFSEGGSGVLPST SHFFVPVSDLSFSALPAPGSHEFFFQAPPTMIGSQKQVINSTGRPTPTALILSGLKAD FKKLHNVLSCSHCQTTGKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIP EVHSASEPVVSPSVSILGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERL SALEAVQKENIELRKALATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADF PSLPASQTHHSTEPTKTFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNA IRLPISTQRQRLRKLKIDNARVLDLHYSDRKVMGMLVHNEYAPELKTILASYGVTTLD NFDPLDPVHPRDPALASLSLDDRATKAIHVHNDRMLRAIEFIRAPVKFAVARSFCSQG WISDDQLAEIVPPRPTKKDLDISIHTASITIPSFSDL PHYBLDRAFT_72102 MSINSETESETLRISCQYNYESQVISLLQAMHSEIISLKAGQDT IKLELNSTREELNSKIDYLQSQLDNRGFSEQETVPSATDIPCNSLIRAPIPNTWDITL KYIFKMMSEDLGIEIICDELAVHPLVKDLGSCSSWGSISVMVRKQMCAKHAMLMKDAG INLTRCHENWASASRISHL PHYBLDRAFT_147277 MVNFEISQPISVSEQTNVVSSIVPRYTSKENTATIDNQTVDAFN NGDNDNDQPMYNADLDHAMDDIHVETSPLIFDFSQPTPIPNNDDAKILKTSNDITYRA CSSYLGDKLLERFSSVKGDKYDICHNDCKLYNDSHETVCPNCGESHYKNDAKDKDGLP IPVKTMIQIPLARQLALCLADDTTRNEMMYCHNHQSSQNGSKSDVFDGQAYESIKHPF SDENDIAISLSVDDFAPHKVPGTVTILHATMLNLPPMVHYKCKQMIQIAMIPAATVPA DF PHYBLDRAFT_72104 MATGDIPALAKLACHAGHTSKNGCHICNVVGQTPGHGQYFRTLP GTTIRTVESFRSFNPDSALWKGLKRQSPFASLTSFTGPFFFALNEMHGLCHGIGKQVW GLVCGKTEGDRRSNGRNKMIDPNIFLWCLEGRGKTRRIFLSCGLSRFPSVCGSHSGGR ACPQSRCTEGIAWPCASMHSTHELGVISRGTNLYKKSFVFGTYSDLSLRNLIKWNSYL KGHFQNGKVGIEIFTIHQHLLQHYPAMINAFGPPRAYSTRLLERAIGEYSQSIKSNSA IGANAGNIMLRLAHTRRVDINGASVVKARTTARILQYNDESAGWLMTEEDSAFAHKVQ REAYHVCLQSQINKATNARPGSSPALKDFFGKVVLFFEHVNEGKRWPLALVLVYSTML YNGVPVARNGQMKPKVVHLADVKELVGLVVSDATVNITTATMTAYIVWPELNYGPKLH QGNFDKKTLSQFEIEERVQDLLLSKLVNNYKNNRHVPYIPEEHRLKSTFA PHYBLDRAFT_72105 MAGCTQSSIAPSTTTLPQPKPATLQCIELKTDHPAICVEFKTAV TREFHLVYSLQTSRDQLAQLQQTVTVTEYIDTFQDIQLELPEMNNEEVPNKFVHGLHP SICENVLAAHLVDVNDACNIALAYASGLQLEKFSSQASISNEPIKPKNSKDILDQYTN VINEEHKAISEEKCDPCLTADQNFLEDLHAAVDTDLPLYAAILNGQQILVLIDSGAST NYVSPQIAQLATHTLDIPGRSVETAGEHNIKINQKATLNIALNGYSNTVETFVFPTKF DLILCCTWLQAAKLVPD PHYBLDRAFT_147282 MDTSIPDDPALVSDNAIPFLYNLQQARNSADARVTANNKNFEKN IYKLTTMEGVSYTSWVYAEHLKIVKSDNFDHTWYHPTPVHAQMRQDLATDSFSALLFS LVDTSMANAHLDWSTEDWEKIIWTDEKRFQLFGHNGCKRVLHKNNAGLRTTCISPTMK FGGANGKKHVEVLEEAYPPSPSAFQQKTGWSDLVLQEDSAKAHTSNIAVNWKNQHKLK VLADWPPQSPDLNPIEHLWDYVSKRVW PHYBLDRAFT_170199 MKDKENWVNMYVYKHVHFGNRTLNHAESAHASLKHSLGTSSGKL KTVTLKVKKWYDELVADRKHWLMVESLGEGTKIVFDKVNATRLNDIRLKVCRFAMDQI KLELSKSIIPKKLAKECKCLIQYNYLLSCYHTLAKFDTIPISCIPRRWRKNYLEGEIP PNINNIKSITPEFNYALELICEHFANAQSKQEQINIYQLIEKTLKQIDAQKLEKP PHYBLDRAFT_72109 MEVYQDQEEWSKVKDKMLETFLKHQNNYYHGRMEHGNMPASNNP LIRSLQDKRSPLPQQHWFGTIDHPQLVANTFSRAVAVYWNTPIETGDCLFVPFATLPE KVEPIIIILDFFNLVVLHVLSVLNLSVTLPKANYK PHYBLDRAFT_75387 MIGRADIEESKSNVAMNAWLPQASYPCGSKGSIGHTFMVCIHTE NQNQGDFYPFILREISVLAESPLGHLRYFLTDVPPQPNSPLDNVNNVGHAIKALKTRR WAVKPNPT PHYBLDRAFT_155744 MPRHLISDAHEWINEIPTVPIYYLAKPQPRERAWQNQRGKKTLL SLTLV PHYBLDRAFT_27140 LDSQIPLVRTSSKLVVNCKPESNTWQPASGRKAQNQSLASRLPS AQTYPTLRANPYPEVTDLFCRLPLSTLFHQLEAFHLGDLLRL PHYBLDRAFT_114289 FIRQVSCYTLLSGFRLPWPPSCCLDELTPFVVSDERVFRHLNFT FGSSRIASSAYQKWPTRNSTFIAMASIKKTWRLTYLKFENRLRAFRPQGL PHYBLDRAFT_155746 MLKQACSLEYQLVDYVSVARVRPRTSKGITDLLLPNFILLSAGS FSKKTEKPKLNPTKKLVCLFSKIRSRSLSELTRQITPRTKNGHAPPPIKSRKSFQSVN PSYVWTW PHYBLDRAFT_147286 MLIAWPCAGDGSFDFLPYQVKIGSIPEREPEKRLPHPRKAAGAQ ITQSQFGEDLLEGKSGASSRGNSSSKSFALVMALTFGTVWLASVETIVMMNRNGWGDL YLVARGEILGLTEDKLLRRHFTQDVFIDQGRKLGDRRRLDTVVVLTANYAD PHYBLDRAFT_170202 MSQKCHTDKKRATMHGTRVIVGHCCQLVTIYGTNLIMLLIVMHI IQAQKKKFYAWGILPEVYLVPLLLGLVKVFFTRNFCWSPDAYFEPYTFASANFWEHLL GGSLCAANNLQIQFIGFGPGKYCVLLAFGYKYICTMMSGESVRRKSDFLFDGLKIITS IYLLSGKSLLLPDSSRKTVELAIWILFTEVLASKEARAERNNFTTLETTYSGGLLWVL MLVIFDRLYVHCGLFG PHYBLDRAFT_147287 MHQRKKNETSRGNHYVIFTCPYRKNRNTIVHFWLVGKVQSFYQC KDSRYSLHFLAFVEIMKEHDAAGHDSSVPTVR PHYBLDRAFT_147288 MPILSIFQGTLKRKTMLEMALLKIMRSLAIQYIIDYSVNAMEIN KVNSYKCGCSFEDTYRDIVRFVNTIILDHGETILTPGVRISPSEAVDDLLQSKSSING HEYNVCSKFCILYGIGDKQKNYVDCGEMRYKTNSELIETPIASMKLLESVAGQITDIF DVENYKKLVQQGLLSNPNDIAIGIYTDGFISQKVHGLVVECNGVELCRSKVYLLLASG DIPVVAYMAHFGSHNSLFGRCSFETKGKSPNNSRQGTYLETSSAPLRPLEEPEAGMPI NSFDKVSAQIDGTRAVYWLHFILYLVPTLVAPHLPNRAVKGCVLALQWTSTSELLDEI DAVFRSVQPYLVHTPYIIKQQDPLKCDSAHSMKRVIGIKSNLIKSKSKGGRNPRFIVR RFVIHNHDSMSIGVHNELDTIQPKSCDEESYMDFPNDLSGARLGEPFY PHYBLDRAFT_170204 MSDNYYFNMRHNIQSVEIDLTEEQKAIILQRALGDIQSRLQVTG HSLSEYPSMPQDYVTELEELSAEQSLEMAERRSYSAEEEQRSLGEYERLLNAEQQTAY SAIIASISMQDTEKATRLFFVDCTGGTGKSLLFKALLSYVRSQSEIALPVATSGIAAI LLPVLHLTQNTRLFQSGPLASEFAEKLLAIVNGEGEHEHIMYIPVQWHVDSEYFETLI NKVYPHISSVEQQPC PHYBLDRAFT_147290 MSNINTTLINSMQKIEVVIVEIDQSLRELQKQFSKQFSKQFVQT VSAKDLNTMQQIIIEQSEQTLVVLN PHYBLDRAFT_147291 MLICPATITLVQKLWSLIDPAPPPEVHLIDYGLNCLPRSFKSPE EKAHGQILIDLAAKFRATKPTRPHRIPLPTQEPVPGDPFPHLLSEISTVPRQLPPSVP A PHYBLDRAFT_170206 MFLKSQQKACAAMCKLSSSGVHMNGFGLPAAPRAYLIFIRPILE YGLAIVPASQSDIHILQKAQNMCLRTCIRRPDATMGVVHIAALAALPNVFIRSRALQA KFLCRAETLPSDSLIKALTTQLELSKEKTTWGELRRSVLWKKPQFLKEHQPRLKDPLK EAYALLCQK PHYBLDRAFT_182033 MLDINLLLEDRGGNPDAVKESQRRRGDSVEIVDEIIALYKEWVK IQFQSDQKNKEINAVQKDIGKKFKAKEDATPLVKQKEQLQKDKEALIAQAKESESNWK EKLSTMGNIVHSSVPTSMNEDNNEIIRTYNHNNVVPVKRTDILSHHEVLSRLDGYDQE RGANIAGHRGYFLTGVGVDLNLAMINYGLSFLARRGYKKLMTPFFMKKEMMAKTAQLS QFDEELYKVTGDGDDKYLIATSEQPISAFHANEWFEKPAEQLPIKYAGYSTCFRKEAG AHGKDTWGIFRVHQFEKIEQFVLTDPEKSWEMFYDMIGHSEDFFKSLGLSYRVVSIVS GALNNAAAKKYDLEAWFPFQGEYKELVSCSNCTDFQSRSLEIRCGVKKMSDREKKYVH CLNSTLCATERAICGLLETWQREDGLEVPPALVPYMDGRTFIPYEKKLPAKK PHYBLDRAFT_101640 MGGGDLNLKKSWHPSTFKNQERVWKEERKHSDEQRKIEQMKKEL AEERQLQELQQLQEQAGGRSRSDKLDWMYAAPNQGSNKAGGSDMEEFLLGKKNVDEIL RNRGNGESLASSNDDRFALSNANANTERDIQAKIREDPLLMIKRREQQALRAIVDNPL RMKELKKEKKSKKSKKDITSDRHRQHKRHSSDKHSNERRDRSKEKSKHTYERNTRR PHYBLDRAFT_170209 MLGDFNYSSYANASRAGLAPRLWLHFVANHFVDCVTLSDAQPMP TFHRDLSSSTIDYIYASKDIASCHSSSTVTFVQPLWTDHCLVRTCLSFPMLSHIGRGL WRANPRLANIPSFRSSLSDCLSSFIPLLSPSISPQSQWDLIKVEVARFTRSYSRTTRP SLATLEIESTCNIHHSRSLSIRGRATVLNSLILSKLWHVLRVVTVPLSFFRRLRSIMS KFIQYRSFPPISLGTFCQPIRLGGLGVLDPQVQQAALQLRWLRPLVRSPLSPSGLVPP WFSYVLRLDSSSADPLVPLIFPSLRSSHQRDFDSPLATLLAAIDLLPHNFSDVVVNLP TCLSLPLSYLTTAQPDHPPFPSAWRDLRVSDAYEVDPSFGVLAQRPLHRILRRPIVLH RFFERLYTRSLVLHPVLYRATIPPAICAIQFPSLDMPSGTAVDVRPFLTALVPGIPWH RLSTQSFRLLCNFHSKSARPISPTLVPRQLRRFWSFPLPHGARNVWFRALHKNIPCRS RLNSRIPTAFPDPSCALCSHPLDNQTHFLFQCPVKLSVWSSIWTLYFAQTATPTVLLS GLQSFTFPPCTDSSLFAASIFGCTLLAIWRHHWLFIFDHVPFVSSAAFSTVSLFSTAS RANLLSTFPPPL PHYBLDRAFT_70115 MELDAVGNATAADHFFGKHFQKAFKSGKTEFKCYCYGCSKEHPL LQIPDQILPENTTIILPRLSTFLNERITPIRNRLPTIPVNKATLSRNSQEIIPVLR PHYBLDRAFT_170211 MRDESPVAYTRRLFAHFFNFKKFKINDIEAFINLPKEKGKIFLN SIYIDGYTCRVLFARRTATDPLNSVELTTNNFNTQEIAGNFRVCTVDPRRRDAFNSYH ADDDIRRLTTKEYYNASDSVKRMRNEDARKVVQGIKEDETNIPSVKTAPGEQFLRHTQ YIIANMPTLFNFYNFRVAEIQDFRTQMRFFFAPI PHYBLDRAFT_170212 MTTVTSSINNKNQQFGYNAIIDDIQTSYKNAAVLSIDDFVQSED IELPTTGHKQNLYNEFPKELLKLLPESMPVPQETHELVCAYLQQIKELPNLQSQAAQA LEIVQNTQVILSQTTNSIDASSPSDDA PHYBLDRAFT_70112 MEQTKRPSETPMKRHLTRPTENTKSIPRGRSSKPVVQQTVNPNP TKLADPPARTGQLVEETSRITRKDFCKFKIFLDSVDDATRKNVERKLKLLGVTLEPFF SKNCTHFITTKPFTPPKQTKQINAEISTKSAPLTEISNRENNSDFKIIMNRKQLNPFG TGIPTRIPAPAAPPQEELVDKAFRLNLQVWGLHDMLRCIQTILDGSNRSQRKEDVAPR GLANVLKEEKTHGVRTGIAGDANSSRPHFVPFKGYYALVEDVTGVCRPTVIKEYTKSL SQSAVERRPWPFLKDTPYGKSPFAQAIIPDDSQLKTGASGSQEQQFGRPTQTANRLPL GTHGIVPLQPKRQTTRQIPSDSLFRVSGFHPSVVQSTTSRTALASGSTMVPAEGRHLA PGDNVGRLTRRTVEVKNTREPKNEAIANVVNSAVLNFQQMQLNNNNTQDNQVKPAAEK TNGQTDRRGGNAVEEVWYCENCNRKFSSYEKHIKEDAHQAFIRDSNNFSVLDDLLSKT RRSYKEPLPLHMKPKVDPNIDGKNVRFQHSNKRSYALAVATNDDDQYPNKFQRHKIED NEDTSEMTSTIVDDSWEKYYSVFFIWLINIGGDAKFYRIKKIQGCDIKTPSVFGLFIG TAFIPFY PHYBLDRAFT_182035 MSNNDSLSTQGLDQSMSSMKINDKPTASRYVPPHLRGRAAAAAP EASPASRTDNGWNTKPTNDIPRSNNRWKEGSSDSGVSRGRGYGSNNQFDERGSYGGGY QRGGGGYGGRKEEGRGQWKNGAHQLSARSAHVELDLFGTHDDTESTHTGINFDKYENI PVEVSGNDVPQPLEKFTSPPIDPNLLENILLARYTVPTPVQKYSIPIVGAGRDLMACA QTGSGKTAGFLFPVLSAMFKEGPTTKPDTNAPPGVYRSRKAYPEVLILAPTRELTSQI YDEAKKFSYRSFVHPCVVYGGADIGQQLRQIDRGCELLVATPGRLVDLLERARVSLAN VRYLVLDEADRMLDMGFEPQIRRIVEGEDMPGVEHRQTLMFSATFPRDIQMLARDFLK DYVFLSVGRVGATSENITQKIEYVEDEDKHSVLLDILEGEATKGLTLVFVETKRMADA LSDYLLQNKFPATSIHGDRSQREREAALDDFRSGRTPVMVATAVAARGLDIPNVTHVI SYDLPTDIDDYVHRIGRTGRAGNTGVATAFFNRGNKGIARNLLELLTEANQEVPEWLE GIARQASFGGRGGRGGRGGRSGQSRDYRRDDSQGSRGGSGIGGGSYGGDYQNGNDSYG GGGGGNSYQNRASKTSWF PHYBLDRAFT_177787 MKYLAAYLLLTTGGKANPTAKDITTLLGSVGVSVEEERVASLIK ALEGKSVEELIAEGKEKISSVPTGGVAAPAGAAAGASTEDAKVEAAVEEKEESDDDMG FGLFD PHYBLDRAFT_159288 MSRPQEDGIIRYGDHVSLKHVMTGRFLTSVPENYESGSYQQKTF AGEWESSEESTWIVIPPVETEEERGYEVGWDDLVRLKHVPTRANLHSHEIPSPVSQQQ EVSCFGSDNETDENDVWRVIKFYEDSDEYDNFWRVDQAFTLKHEQTGFVLHSHDFTIE DNRNEITVYPEGDDENNKWIVAE PHYBLDRAFT_170217 MENWSVPNNRYHKPIKGKILRNLLCKHGFDACLINEYATSRHCP RCCQLSLQTFKQVENPRPYRRTQIPTRTRHSLLRCTNLNCLENMDGSEVMHRLWNRDL AAVLNFRHILNQVSHDDTISERFTRVIQIGLNRIQAE PHYBLDRAFT_147302 MYEATKIHAVYIVNIKLKFDQNFCRVINILLDIKRRRQKLIQYL HAFTAIRPILTACPERYWFRKNSIYYNFKPSPHNHFLALFCIAQLLEQLGYKSINCFS LRRSWSPCYMQIDTRILCQQILRTTGTAKLDKLELCGRVTDGVGVSFLTQTQGTTKGP TSRRASIIKTENIYYVHNCKREQHERICGRCVFINPGRSDLLYCIHEDSTAYQVSPHR HYLKAQCPNVRAAENLLFHTSSRSNNLTNSAYHTWIIS PHYBLDRAFT_182037 MKENSAGSDTQHSRTHSILRVDSFASALTQESRRPRQEKSEAEK RLVRKLDRRLMLWAFFGYFANGLDRNNMPNAYTNGMDTELNLASDKYNWAITMFFIGY IILQIPANAIITKVRPSIMLPGVVFVWGAIVCFMALVKNYQGLYGLRICLGFSEAAFY PGIVFLLGSWYSREELGTRTAVFVAGSQVSGAFSGLISGAIASGLDGVHGMRGWKWLF IIEGLLAVVIGFCGFFLLPDFPHNTRFIVGEERDLAIERLERQGKKTLSTGLNLTTFR NLLTTPYVWLFLFTFICFQEGMGILQNFPIILSKLGYSSSFANYMMVPIWVWVAVVLV VQGWLSDRYGKRAWHILAGSAWTLLWYVVLVAVDGGKSPIVLVFVAAYMIPPIFGASP IMMTWLNEIYQNDSETRALAIAIVNSLGNLAPNFINIKAWIVTDAPDFRTGKIVTMSM TALTLVLIGITYYLEQNKILLPKVHQRITTTDEEKKKDIREVV PHYBLDRAFT_147304 MGKALKKRERNGGLVAVTIDEYNTETCNNCQAKNLAPANHTRSY SVQVCRICNTLWQRDVNDAKNMITIAFSTWRGTHRQTAFQSSDKGKQAANSNSSSNNN NNNPVTNISSLSL PHYBLDRAFT_70105 MLHRRLFHGMVYGFQWLQFHFAFYAELDLLVILLILLCGSIHKL CTTVNYVLCGQDTKQDSPFALIAIDRKCKDDFGNSANSLGKGSRRTSKGLWLLCSSSY SDRVCESTEIFFSGCAYRVAKVILSGRWLFNNWRVFVTDDS PHYBLDRAFT_70104 MPEFLYYIIYMRGKRKEGLFVHDRGPVSSPNGMKCQKKSSWSGE TSRGESKLIARQYAYLLWAKREWNLGKIVRFMTIIRFKPEDFGNNLGFPYKAFTIKLP LNTVVNNEYKELLRNKIIITLNDLHNIISRAMMFINSYCLVSSRDSIPSYIYNQTFWY SVCQLVNGRKIRDYIYRIQSKPTKRSQPMSVRSLH PHYBLDRAFT_125824 MTAHRYASRAPFENIPAQPQQVEHSLRTMSARNCSVDSNLSDLS ENSSNVVINQRWIPLGKIGEGSFGEVFEVEEISTKKHFAIKRERANMRHPQLENEAKV YKLLEGGPGIPRCYWYGRHEDFNCIVMDLLGPSLNQLRKTVHKIPLDVVIDLSSQMVS ILEHVHQKGLVFRDIKPDNFLFSAANELPEPEMYEVQPYPRLYIVDFGLAVDWANPEP KVSHSDKKRDQRNKVGTARYASINVHRGKVHSRRDDLEGIGYMVLELLLGSLPWTGIQ ARSSKNGWDKMRQMKEDTFMSDLCAGMPVGILEFVEYTRKLRPTDEPDYNLCRQML PHYBLDRAFT_134596 MSRPRFFTTTKKGENYELKSELNSEYRHIRKDAVKKVIANMTVG KDVSGLFPDVLKNMQTEDLELKKLVYLYLMNYAKTQPELVILAVNTFVKDTDDPNPLI RALAIRTMGCLRVDKIIDYLTEPLRKCLKDENPYVRKTAAVCVAKVYELNPELTIEQD FVNMVKELVSDINPMVVANAVVALSDIQEASPESEVFIINSTTLNKLLHALNECTEWG QIAILTALADYKVSGGKEAEGICERVLPRLQHANGAVVLAAIKVLMINMRHVKDDVLI KGFCRKMAPPLVTLLSSPPEVQYIALRNINLILQRRPEVLSNEMRVFFCKYNDPPYVK LEKLEILIRLCNDRNVDQLLSELKEYANEVDVDFVRRSISAIGRCAIKIEEAAERCIN VLLDLINTGVSYVVQEAIVDIFRKYPHRYEGIIPTLCENLDALDEPEAKASLTWIIGE YAERIDNADDLINTFLENFKEENAQVQLQLLTATVKLFLKKPSENQDLVQRVLQTATT ECDNADIRDRAYVYWRLLSTDPQAAKAVVLSEKPPIAGENSGLSPALLDDLLYHIGTL SSVYHKPPETFIAGKKYGADNVNRAQADPGDEDDVTAPPPNIQAAIKNNDIGNLLDLD WDEPSNAAGSPIVNESPRNQLGSLNDLLSIGNSGGSRPPVEQNSSNMLDMMDLFNSPA PSSGSQATSFSNDLFGSASTQPQQQQQTNQPKDPFADLF PHYBLDRAFT_70101 MGRLTSIIGQSLLISVAILLAISTLVKAHSPLLDPPHINPPDCA TPAFYSSLARPLVWSCLGLTVLLCGSRWLVKMRSAIDGSYEKNYWNPSTDTMPCRFEM PRIQRMSTRLDPTPPITPSTSTSSAVDSLIEPEKKEIVSEKKKKKKKKPTHHNNHHNQ NPDKQIDTRNTSSSLQTIQQPQSTSLSISISSSLSSSSSSPSSILLSSTLKSKETKTP LQTPDAVPQPMAAINTTVIKPQPEHKPLKEPQAVTHQRKTPRNKSKYSKHTLNCEPLM PSIHTNPYSSPSSPSSPSTSTSTLDLTETLPMTTLPDTPLPLLKPRQLQKLQPRQPQP QPPLQPAIIPPTHQPIQRQNWYSPFSTGLEINIAARPGPSRDYKLFEDTLIGSDPLSY RTTPIGRPPHLTPGWRPWSSNTTNHTDPSPPHFSLFDRKLSFHTQH PHYBLDRAFT_70100 MIVLLLVQKVILEEYCTLDCLKVAKLVLMKYESVELTIKSNLSR IDRKRSIWMMYSLVVKVTRMLIRNNVFKESHGIYLLAFQKVFRKAIECSTSLGTVEDG KEIKVLRQIDSKDNHTDTKGQKEEKEEEGFEQRYKTLMRCMIAFLRVRQKAIQGR PHYBLDRAFT_147308 MTPAGPICASCKQLGHSRGSNFSCPLNPRHKTLLIPQKRTSDNL SAQEEYQAEKINALSRAAQYPAESSRAAASRPRVEAVQSRVVLTIAEIIALSRAAQYP AESSRAAASRPRVEAVRDFVALQVSDVERVLDLTTTTATATATVIPRCSSCNGIGHQR SNSLQCPNNQRNRNFVPGQLTTTHNMARRTTASAMATIVTGSSAGKVVLIPRIKLNPT GSTMSIEFKRCQFPVRLAFAMTINKFQGQILDKVGLYLPDHVFGHGQLYVALSRVRTP NSVKIMVDMDSISTEATSNYGYFFSMQSRWGRRSTSYGVKITVPELLSA PHYBLDRAFT_187590 MSETHNDAKRSNSRTSSTLPDAHHQVNVMALQIKSLEQRTHAVT VPRNASVLHLKSEIETVFAVDTGRQRLIFQGKVLKDDKQLADYANLDDGKVIHLVVRP VDAPQNPMNDEPRSQSSRRAFSRGLPRNLPPLSSRFPMMEGYTLITVDTSMGDMSEAS SLISSVMSGISGIGPGYTGRSRPTTTNTATGGGANTTGGDHAGNRASAESRSGISSLN RWPFAFSLGQNPSDLPPASIAGAFESRTPAGIPFPSSVEVRLVRTMNSIRNVREMLEA PLSEEVPVNPVISNSSHEQTQHIRSRLQSGGNRQAAQVGVVVRELAELMELAVPQLNS MADALQEEGEEDNTEANERQFTIYQRTLRTARIVQGMSMIHHLIGSVLGNADIDAQSP QEAAVPEVSTAFSLSPTTEKPSSASHVRKMKRKFETDGVVSSKKHKEEEDEATSEEEK GKGKEKTD PHYBLDRAFT_114253 MSNVYTLEPQTNAKVILHTTAGDVEIELWGKETPLAARNFLQLC MEGYYDNTIFHRIVPHFIIQGGDPTGTGHGGESIYDESFPDEFHSRLRFIRRGLVGMA NNGENDNGSQFFITLDRTDELTKKHTLFGRIGGDTIFNVMTMAEMEVDENERPLYPPR IKSTEIVLNPFDDIIPRISEREKMVAKAIEMQKAEHLNAAKRRKKEKKQLNLLSFGEE AAEFEPPAELAPSKMKSSHDFLPEVEENTIEETPKENIEKAAENTEKNVEEKIKDRPE KEESKKSKRKHVDKKTLQKQPETESYRLAHNATEEVFTGFSQSQSAEFVKPAVASVTP R PHYBLDRAFT_182041 MSSLSGDIKSWLRIALKNYQDAERTVRDVDAVLQMYISLTPKMD TYTYNDGHTQLLLCLHGTIPITYRSIPYNIPVAFWIPSEYPIFPPIPYVKPTANMLVR EGKHVDKSGLCYHSYRSLWKNDVNNHTLLELIAILQGVFGQEPPVFTKPPSNPLLSTP PQLGSPQKQDTVPDRNMFIRPSPSPSTPTNMALSPPHIPPSANTSLSRWAGDGTAYYN IQKGLASMSLSPSDYTNHTTLPVPNPAPLSSSSTPTAATASPAVAAVSVAPGVGVGGS GVVGVVPSPVAGQIGPSLTDLGDLQDRLYRKVAERMEQFNQVISRDIDSLLMTNRQLN DGDIQIEHEQRMLLDIRERLKNNIRVISTKTVELDEAISTANTMPDVSMDESIYGTTV VYNQMFDLVADDNAVVDTIYYLSKALNSECIDLATFMKCTRSLAREQFMKRALLKKIT EA PHYBLDRAFT_125831 MQRLLFRTAIRQTTRVIQPAAVSNRLRVTALTPCLNIASSLQRP NLYSQRLYSSKPAVMIEQTPFVNWKLFPDFESILKYTKPSEAVPIFEALIDATKEDFL KLEREFNPTWEGTMMPLYELQDTTSRAISLLSHLNGVKNSDELRETLQKVRPRSVKLG LLMSQSAPLFKALDELVNSNAWNSLDKVQQRIVLKKLRGMKHSGIGLADGSPEKERFN AISERLSELSLSFGNHILDATKAFKHVVEDVSELEGCSETFLETLKQNAKSREVEGFC ITLDHTVYLPFMKNCKNRPLREKIYRASVTKASDGDLNNETVINEILSLKHEKANLLG YKCFADLSLTVKMAENVPAAEELVDRLFDASYESAQKELAEITEFANSKLNLQGPLSP WDTAYVTEQYRKEKYRFDDETISEYFAFPNVIQGLFDVADQVLGVQVRELTESDIKDN NITKWHEDVKVFEVSEKGNVRAYFYGDFYSRPLEKRSGAWMDEVTTRYKRENGEVTLP VAYLICNQDPPRAEGEPSLMKFRDVETLFHEFGHCLQHMLTTVDYPQASGINGVEWDF VEVASQFMENFCQEPEWLSRLSGHYKTGAAMPQEMIDTLALNREFMSGMAMMRQLQFA KVDLMLHSRFVPPRNASDPTIFDVDAQVSKKVNLVPSIPEDRFLCSFSHIFAGGYSAG YYSYKWSETYSADAYAAFEEVQAQGKSAQEIGQHYRNTVLALGGGTSPREVWEKFRGR DEVDVNALLRHSGLPK PHYBLDRAFT_147314 MRKLKLIVSRLPVQKRATYGQVVDELTKLNITTDLELHKCNVVN VCKQLDISKALLRAFRREISLMPISRVTFKSLVRLSTGNVRIDQILKGGILKGEIVEF CGDLCETSSFAMHVLRLFLLSYPSHKAHHVDTTGRFETKLMERILLESSIENPNGLLL RTDCSRTVGASDTIQLLEQIGALYSISKTPTGLVVLEDISALFYTDRTQVLHMIRTLR CLGRLGITVIIIREGNNRYEFGYKENKLESGIDLRVFFQQNRTIGNQFRVRGYMPRGI R PHYBLDRAFT_114328 MERTLEEAVYVNSPSPCSDISTQTDDTYFTGWSDDSRQPLDKIQ KPIPIRKPTKTANPKVHQCLFCSKTFTRKYDLSRHVRTHTGDKPYECPHCRKAFFRTD GRTRHFQIEVSCRNSPEVFALRRE PHYBLDRAFT_170232 MYVCVLYFVRLPILAITYSSNTNTIVTTPNGGHSQISGNKVFKK PDFIFSKFIILSYCTSLMTLEMYNIQSGYPLKHFLSAYRVLDKYLEVPKKTRITSVTN YCNQIINSFKLATLDFVEFKQKLNEDSTEFTDCAC PHYBLDRAFT_182046 MSNSNSEISLSTEDNHAIKNEYKTWYKHDGGKDGLTSMERLQQF MLMNGGENLNMYLGGDKEGRTFKSSKVTILNKCNQYFQEQGVYRTTAQIKSRLNNLLT KQYGEAYRVWKNSIKNNSNDEGSTSEKEGLESELNQICPAFFQMEKVMGNRKTGSPAV CDTTKPMEWIHDEDGEQSNGDDDNTDEESAENSHSSNNEEYVSQNDNMSVLCSPPPKS SSSRKRRRVDVEYKELAEEVTRQLNESSVSLEAKIERLYREKLEVLKDGLHIKREREF IHRKFENMMKAVAELAKVQNWSDEKVQEQTDDVYNKTYGS PHYBLDRAFT_114231 MYILLYIVDAAVIKGNLQACKPGYFALTFDDGPNTYTPELIDTL NQQGIPATFFINGLNFIIFAQDAIAKAYRSGHQIASHTYTHPHLTELSDKEIEIEMLR LENQTMHIIGKRPAYMRPPFGDINKHIIDLLNNLGYTIVTWSLDTKDYETHNLSDEMK SVSVGMEGSSHGYIALTHDVYKQTVEELAISLINWARDKGFKFCTVAECKFLLLDKV PHYBLDRAFT_147320 MLKEVVATPQLEDIFTNICSQQLPRLLSYFPAPRKTWASIHQQL SSLPTLLNQDVTDTPPTNKDSSLKMNMSSPELQAESITPSLTGQWHLFRKFYLSSYIN KSKANEHPAKYLRAEFGPDRVLAMGN PHYBLDRAFT_134606 MGRGPKKHMKRLNAPKHWMLDKLLGSYAPKPSSGPHKTRECLPL IVFIRNRLKYALNGREVQSILMQRLVKVDGKVRTDSTFPAGFMDVISIEKTGENFRLV YDVKGRFAIHRITEEEAKYKLCKIKKVLVGAKGIPYVVTHDGRTIRYPDPSIKANDTV RFNIEENKIADFVKFETGNVVLVTGGRNIGRAGVIVHRERHVGGFEIVHIKDSLDRVF ATRISNVFVIGQGAKPWISLPKGKGIKLTITEERDRRRAAAAAAN PHYBLDRAFT_79725 MGLLKDEQYEKATRGFERRQMDVCEDINRLKADFCPTLDPSLVE AIWVDTNDYSQAVDILSELSKESSVQSTFEAQSSPKQPYAPSIQSSRPCLIRPKSPTE SDDSDGLSDENEEYEYYEDEEDQEKKKTEHSEEEVGDDFRELENSVDFLQMCFPDHER SDLLDALLTHDNDTEKTTDFLLTTEYLKDSSSNDPMSSVSTPTEHPRKKKKKKKPKIV WASGGHLTPSVHTRELDNNGMACVSDNHWHKYDATLGALQPLFPQLSHHDLLMAAQKR PNDLIAMIRYLMDRHRDLDPRRYLSSDELHDLEQIQKALLEIMGSGNMNEREILSIGL GILSKDENSTCEEKIQEAIEYCLTKEQREAKRLDNERLKLARQMEHMTVVSNSGSKNQ KELTKDLPVVPEYLLLNNREYIEDDPEECRTMAMDLILQRNELFRKAGAAYQQAKNKN TGEGGIAFYYSDEARQLDSRAKNWNMRAARSLVRHQRLAHEDDHLLDLHGLTVAEAQV LIQEGVTQWWSRSNMQSGRRQIKPLKIVTGVGKHSLDGQSKLLPTALKWLKREGWQVV QSSPGCILVKGSTK PHYBLDRAFT_134607 MFTTASQFEPITLEDRIRITFEIANILQKQEFLRKLAKSLMMYG CPAHRLEYIMRQVSTTLGVEAEYVYIPNVMFVTFFDQSTHTTETHFIRQSQVFDMHKL GEVYRLEKLLSHGEVSVDEALEFIDQVTNQPLFYPVWLNPFVYALASFCGAIMFYGGR WKEAGLSAALAMFFAIYELYSGRFMSFQPIWEITVCIFIGFVSRSVWKFDFCFTPVAF SSFIIILPGYSMAVAIIELVSRQLVSGVVRMVYAILYSFLLGYGVEMGSQLYGTIEPE SISAQGQSSECQHALSAGTCITVENQWFYFLTVPLFALAYCIYLRARPSRWPTMVFVS VCGFVVNWALSCHANAPQQVLQVVPSFAVGLIGNLLTKFTGKMSFDAVLLAIFYLVPG SLGLKAALGLFGTSASNEYSNQGAGFALSMIESAIGITVGLFVATLVVYPRGSTHTPL MNF PHYBLDRAFT_170242 MPLDSVTLHSQFDGAQTSLICRRNQVSGLQDSISIENELSAAAA HLSLDSPKLPPKDFASPGSSQYDHKNDVQQKKIRSQTQNSPAKLVIKHYKPNGASALE ASLMDPTVSKYSFTECSYLSNSRYNFERHLERHSNNPSRSLCHVCPKAYHQHPPEIVY LTHVFQLWPHLCIVLFFIYVFFCTLNSRPIIGTKQNQEGVCATFTMHYVLCMLYFILL MFRI PHYBLDRAFT_170243 MDSTRLYSDSEFLAEHMGFLPERVMDEIYDTTNKVVYEAMKGMR LYFESLPGIDIAALSEKNCNFFFKKRFSYCQNWKVYDKRVETEIDCAHTELQKYVVGN VLRLPTSLHITLDHYKDLDLDSTEEDEVDLNKQLEKARKDLLTQKAFKNRLIIEEKQV DDQMTALEKHKRAYGFLSKMPQEGDVWPINDAMRMVTENVRALNQAIYRVLERISMDG SMDRLVRPDERTLYLRRVVKEKIDDFQNTMSDLRNSLPRV PHYBLDRAFT_125845 MEKYFYQRYSYFSKFDQGILMDKEGWYSVTPEKIAIVTAERCRA DVIIDAFCGCGGNSIQFAFTCERVIAIDLDPVKLHCARNNARIYGVEDRIEFIQGDFF KLAPTLQADVVFLSPPWGGPDYIGASVFDLHTMIPGDGTNIFEVASRITPNIAYFLPR NTDPDQIGRLAGPGGTCEIEKTYLRGSLKALNVYYGELVNPYLLEEEQKQEI PHYBLDRAFT_147328 MGISGRSQTYIDIDLHNNRFYFPGDIVRGEVILDLAKPTKTQHI KLALTGDVQADSNSVKLFTKTILLAEAPDNKKTYILPAKLHKFPFEIQIPTKQECDLP SSAETLKHGTIRYYLLATHNKAALFGALSLQTKRSLAILEHIDVSLPHYNKTAEVTAI LSPATTIHDHKVHMKASIPKTAIVRGDILPVTIEIKHFCVMSRKSAIKVQLIRRLHYG KTKGNILEQISIKTNLLNINIKNPANFSQVCLANIFIPTKTPPTIDRCGRLISVEYFI RIIVNMNERTIQPDNPKLFVFMELPVLIGTFPRPELFIDGEDDYDEEQEALEQAEEKK RCQSKESSNLNLSQFGISSPTLTEFLKDEKSNIPHPHEETFCVDIPSEGANRNPISPT RTLTRCPDIHRSSIPIALSIAMSHRNSSVSDTSTIVDNLTTSIIRHGTQRSSRSFDSR FSESTQGSISTLQGDIKQPTKD PHYBLDRAFT_187602 MTTNISESAQKLSLVDTMYVDEITGSDDTGKGSETAPFKTVLKA LETAGETAKVLVKKDADGYKDASGAAIKKAKKTIAEQLKKRQKQEEQKKKQEAELKKK VEEEAKAIEYAKSVVLVEDNSLPKAQKIKVKQSIESRGKRVKVSGWVHRLRVQGKDMM FVVLRDGTGYLQCVLTGKLCHNYDAITLSVESTITVYGVINALPEGKTAPDNHELVVD FWEVVGKAPSGDDAFNNKVTQDADPSFLLDSRHLVIRGETQSAVLKARAQVIKAFRAH YDSRGYTEVTPPCMVQTQVEGGSTLFEFNYYGEKAYLTQSSQLYLETCLPSLGDVFCL AESYRAEKSHTRRHLSEYSHLEAEMAFLSFDDLLESLEDLLCDTIERVMADEPTRKLV EQLNPNFKMPERPFMRMKYADAIEYLRANDIKKEDGSYYVFGEDIPEAPERAMTDKIN RPIFLTHFPAEIKAFYMQKVPGDRRVTESVDVLMPNVGEIVGGSMRMDNREELLEAYN KAGIDPTPYYWYTDQRKYGTTPHGGYGLGVERFLAWLLNRHTVRDCSLYPRFTGRCTP PHYBLDRAFT_24704 MSQYNKEYLVVTKDELPLVDTITKLVQDDSAGATTVFLGTTRDS FQGKRVLQLDYEAYELMSIKILKAIIQEARARWKIQHVAIYHRVGCVPVGQTSVIAAV SSTHRGDSINSTAYLIDELKDRCPIWKKEVYEDGSIWKGACEGSRSEKTQ PHYBLDRAFT_159295 MFGATPSHFYNISQITARNYTKTTSGFCSRRGDLGAALELLTVN VPWGNNDTLH PHYBLDRAFT_187604 MPPKTRLKPAAVTSTKLFNKQKTGVNEAPNEYLYLKNHLNNPEH NSNDLSENSSQSTSFSDYPDQATFRIDQLCTQSYLTKRKTPIDNWHIIESDHDVFTKM IKSYGIRDIIAREVVTLNFDELRETTQVYGLIFVSDYKDQKSNEYAAPNDPDDPDDPE VKDFFFATKVVKNACATAALLSVLLNCDSSLETCKELKNLNRLSKRANSDDVGAAIGK SKMLRETNNSLSSPEINAVSSSSECSDYHFVSYVFKNGFLWETDSTKSAPVKIKLSPA ENWVDSVKGILEELIERTPFNNSFNLIAIVHDELSSYKRLFHEKNMSANAYRNRIKLE KKTLEEAEKSSSTSLEYTASDQLVTKHVLRVLTKKYTKIEADLTVIKKKILKFTRKRE EERINKVRRKHDYYPFIDAFIRTLHNKSLLPDAPLGK PHYBLDRAFT_70073 MKVVFMPLGVYLNNYGYILQGTIENSFLFNIQAMSSTPPSLTSS NLRPPSIPFFTPEMNPNQAMLPLDVESRAHLWKLLNVWLAQEQVPTSPWAHVLMDIGI KVSDELVMTRQKITVASMATNVPHEAQSGMFIQAFSCDTASHSRYIPDAHHGDLLSSL LPDLPWHALTVSGLIRLYGVPTWATLKISKIMAATIYVLHSLTLEIHVMRDHHINLVS YSPDPPVVDVQQLDPDPEPVIPEKHTMKFKPFGWLWPRKKSTADPPKQKPRRGSHFIP RLSISKKPDGLASSGDTAVSLSGGLSFKSFRRNSQEYQSKESNQPGPIASDLDHYSKM HKQFEMAVVSSSPDCSFSVPSIILKLEAEEENLNVIRSVVYDTQQPQQPLQPIMPSKL HLIRRASSLFLGQRRKVDGEREEDPPQKSFLLPSIVLPQSVEAYSLLRIPESVRDHKL GLDHLLLENNTLDSFVRHQQMTLAYTCYPVGCPDRPCIGPILCKTVYFDYHLCKDDDL EAFHPTADQPLGKIIEHWCNRSQEACKHRIEERFSSPDGQPLAPALVHQPSLTFRIPP DSPSLEKGSPLAQPTNKPETRKTIFHECSQPMLDHILRFAQAKHRVDVYLCQEDGPNT PETVSTSVHNITIWMTCNVCDATTAPVPMSTGTYNYSFSKYLELMFYSKRFVAPSTLC SHANSRKAITRCFRLGSSAHSITVKMAYETTNVYNLNAPQIQVVPDEIVARPVDQEVH FDLPPAISEVPPSRSSRLSEAVQKRWRGVVEQEINGFFDAIARHLVILQNSLMVITAP TAVATAADGNSADTIVTTATTATAIDERNSGTNPTVTTTTTTTTTTTAAAAAAAAAAS NVVEIKASLAALKKTLLIDKEEFMHELGMTDGCDLNDYRRSFSFKTTSLLQRLSEWQH EHDIQAPECVWDAPEYVRSKQIHCFPSSSILVREDEPSSIIAYTLSSGDYVEEMKLES APFRKTNCERSKGLKRSDTIKSNDSIRSNGTQRTTLKSTDIPLPTMSSFDSTDNMRDV SKAAIEKTQLSNQPNVVDGYYSTIERKYIAPSTGAASETASFRTMVMETFKINVDEAR NSSHGSISGILKNYLWTPTAKEPVTPSEKEDLERQMSVRVLDTKTTEKATAPMAANES TKEIKLSSYFHGRRSSHQTLGLSLVNEPDNKVMSPHLKHKFVHETMEFTCVVYYAKEF EGLRKQCGIDQLFLQSLSRCQGWAIGGGKSKSHFYKTRDDRLIVKEMVNAWNLTEKDT FLKFAPKYFDAMKQCAEAPSLLTKIFGFYTIRMRSLEDKKVFLNIDVLVMEHLFYGQS IIKRFDFKGIQDRRMEESRKEKSVTTLWDGDWVNDYRMEFPIHEQARALLKEAIERDT EFLMKSNIMDYSLLVGIDEQKQELSVGLVDFIGTFTWYKMIESKSKLKLQPHKEVTVL PPELYRARFCREVSDYFVAIPGNSIVVIAA PHYBLDRAFT_114298 MSFIANFLLTYRSFCTSDEFLGLLEQRYNLLAPEGLTPEELETW TERKQKLVRLRVFNVMKNWLENYYTDEDEPILARLEFFTNTVIRDASSFSADQLNRLI RKRRELDPNKNLKKLVPNNAAGPTSIMPKNITDIKMLEIDPLEMARQLSIRDFKLYSS ILPIECLGQGWSRDDNDSNAATNVKQSIDYCNRLTAWATESILVHEEPKRRVVIIKYW VQVANHCRILNNYNTCMAILSAFDNSAIGRLRKTWELVGNRTNQTLGYIRKLMGANRN FVEYREMIHSVNPPCIPFLGIYLQDLTFIEDGNPDYLKKSSNLINFAKKQKAAEVIRE LKQFQTPPYTFRLIPELQDFIKTRLENSHDIEQLYERSVRLEPRNVEPTV PHYBLDRAFT_125851 MQRVVKNLDRLSDTLCNVIDMAEFIRNAHPNPVLMEAANKAYSD LCSYMNTLNTDTRIHQVLSQVLADKNIVKNFSRDEHAAAEVFLRDFEKSGIHLPKKQR TQFVDLSDKIIHLGREFIQRNPRAISHIKIPKSALTGMSHSTIQPLLRKDGYAYIQTD SAECQMVLKYCTSSEVRQQVYESINSANRESITILEQLMRTRAELAGLVGRSSFAELQ LQDKMAKNPQNVEAFLQTLIQHQTPDAQKDIKTLQKIKQRYQRLDYPPQLNAWDRDYY MHMANATQRNIAQHTSFTPYFSVGSVMQGISRLFNHMYGVQFEPARLQPGESWHDDVR KLNVVCEREGSIGTIYCDLFSRPGKTTNAAHYTVRTSRRVDDDDQENDVRHAFPHQDI SLTKIMPPVYQPSSTIPGRDGQFQMPVVALTCDFTNSRTQLGSPALLSMYEVETLFHE MGHAMHSMLGRTDFHNVAGTRCATDFVELPSILMEHFVWHPSVLPLFTKGIENPVSRE AVNAHLKQRRNFSGIEVNSQILMAMLDQRYHSEASMDSRFSSVNIWHELQNTNGLFPS VPGTMWPVQFGHLFGYGAGYYSYLFDRTLARRIWERCFEKNPLDREKGLAFRDNLLKW GGARDPWECVADVLDGEDGARIAAGDKEAMQTVGDWGINV PHYBLDRAFT_70069 MPLTWIDESLATNSCIYFLCSSVNFFFPLFLPRLNKLSGSSSYF FSQRCATLESIFNCFAAALELSNFSSFSLKNLMISSSVLKTMTILINYITQSLRTVFI YGAIGLKYLCIMVFFKRYQLLQK PHYBLDRAFT_187607 MKPRLSLLAMTIFGNSPLFFFLFLVLTMTSISLSFLAQADQSRY ESLFNQASGNLTYLSAVDARNVLSRSNLGDDVLAKIWDLSNVTQSPRLTFPEFALAMY LTSIKMTGREIPNALPDNIRGEIETAVAMIQSTDPRNQASSIVPTVQQSLPTGLNSGI GPQRSLSTSMLNYMPTGQPSLQAQITGFQSMQPTGIQGYNLDFTNGMMPQATGAYPMQ KRSFASLPGKVKIPWAVSKEEKERYTKIFKAWDKERKGYLTGEVAKEIFTQSGLPQNV LMQIWNLSDLNNQGKLNVDEFSVGMHLIYRKINGYDVPISLPAELIPPSTRELNDSVS ELKKSILQGIAQKKGISNFSSSPSLLSPHTQPSRTRSVSPARNKERSQEPDEVGYVSS ARRMGPDRGRWGQARDKSPGPAATRSVTSSYEYRGKTTRMMDLRKNIAEEKKRIENFE HDAIHVKPKSLSKLSYLDRKDIDDLKERIRELQTEIMKSEGDSAGHIWDSYIEKTAEL SHVADQEKALEQEIYYLLEDTLRGLVRQVKETEEDLKNKKVQLVKMNAEKTSKSGSPS LEIIGTGPGGAVTESDRIRAKAKAMVAARMGKITGKISTVDIRAETKKIEDECEEFKE YAESVSDGLKEIENSVRSIHMEISMIGLDSGKQAQDQKKIEERNRFEHGVRVADDLKA FIQQLSFETATAKAPEVDPSFERRFPEF PHYBLDRAFT_114373 MISEFQCPCHGTMRGYVGDQYKTSRVVFYPGAQYESYWKSSHMC AQLTDIIPLFNAIHPNAVAVFLFDQSSNHKAYPEDALLAQNMNLCAIEVKDSDSGQGK FRDSSFYVRKQYDYAEQQKNKKYKKYFIGLRGILQQRSMYRNEAERYSLKRSCNNVAT ADSRCCAIHIMERQPDFANQKSALEEIVEGSGHKFELYPKYHCECN PHYBLDRAFT_170258 MSQKPDICCKSLSVIEKPREGKNSDSTAIRENRQLNPKLNLQLN PQRNSHFFSRSGRASFLAASQYLILETHTKLRAPQMNNGNNTTVNDPSLQRMMDNTSA MYSSNQLVLAQNLDQRPTNTTKAYLAKQEEWRQWCLKKEFGDGELVNDQKLSFFMMDH VMNRGQHYKVGKLSRKVHSSSSSSQP PHYBLDRAFT_159297 MPLATFGSGCFTTRSHVNPCCITVFPPHFPPLIVRCVERKLTLK TIFSTHAHSSFLSGTHSGSRISAFPPNPLTFTMRYTSFPSLPPLIPLHILPQSLALFF PHYBLDRAFT_134624 MKRSFSGDSSSERSYRDARSYDRHSDTAIPSPARSWSSPPVPRP SVHANQHRFTGCSKITNYEFKTKLGEGTFGEVHKARHRDTGQLVALKRILMHNAKEGL PITAMREIKILKQLQHKNIVPLSDIAVETGDTSRKEPGIIYMVFPYMDHDLAGLLDNT SVRLTTPQIKTYMKQLLEGTAYLHHNMVLHRDMKAANLLINNEGILQIADFGLARGVE EDNREYTQCVVTRWYRPPELLLGERRYTSAIDMWGVGCVFGEFLRLRPILQGVDDMEQ LKRIFLLCGSPTNENMPGWSKLPDASKVRFEPSKRRVLEEFSRYDALAADLIDKLLVL DPSSRYTALEALDHNYFYSEPLPADPALLPKYEASHEFNRRKAKQDQHRSHTSHTSQK HSRHSHYSSQPHHSNSRRRNSNRKP PHYBLDRAFT_187610 MMNNKNDLSMSSSNTHTLFCIPKSQPDDELNAFDLTWRNYLPSM TQLCPCFGIFKGGSIQLDDDDESTGNLGPHYYNDQSIYQGRTIQGYLRNSRDREFESV LENGGGEDGAFSRGDYMPSFVTRNPFGRNRRKRPRRRKERAHLIQEEHDEPAVYEIFS EEQDRVDAESLGDDQIANLVFKPKTSDQYDEELYITVPRGGPKVQEMFPPTTHPGETT KYGLQSTPYLNDRMEIETEYDEDTGYEEDTEYENYRNNETDGCYDPDEPDEVDESDAG PVTRPTVAQTMLTEQLDDLTEKLVYIKRNIMDIGVKDSKVDPRTSAVLRPLKHLSMIS NSERAMSDLDSIASEALEEYGNVVLNNKTISNHTAEEINHSRLGIRKNSGASDFNMPL ADNHSTPFGSDQHPFTYFERSPVIASQTSSNRNSLGENQGLNVHSVFEFGKKWLNGTS PHYBLDRAFT_147342 MTRHIIDGGSWINKNGLRETHGKAIAEYMQQSSDGKFHETLLSG SREFAGNNGTGLTPGRILKDNTFALFRQSNGHIIIGMVLFSKVYHLYIEYLSAHAANN NYRLALKYADDIYTPLDELKAVCLLDMHLKVGCKYAVNLNKFGSYWSFLYSFY PHYBLDRAFT_147343 MNRVTKKLAPGRVSVPTPRILGQLNFSLVDIGKACSLCEKTFKD HWNLKRHLQRCHDITEMVADDMSIVQETEYQDIQTSDSPKNPLTPSESVEEESDVDNE YYNSILNYDECEESDDGSRVDNSDFDVEENTEPNASIPLFNHILNNILDVTLSDSTKF TASLNLPSEHIKLLAANPIKSKSIFSLPDRMPNQSVCLQQGAKWRTNPYFQQPMFTHN NIDFWSGDIVLLKDCSPNIRFLMESFHTMDTSNVFSRGYIVQTPKDGCSIGIKINHTD INIESFLSVDTTPLNTSLCCSISPDTIILLIPTHCDTSGNTSKQFNPYESWSMKCAAL SFEERCSIENILFISAIPKKKGANATLLLSEIVDDLKKLENGVVMFSAEDNAYILVVP PLLWIEADTFCHSELCGLGAPNSTYPCRKHSTRTKDHYIQAASTPDRDTVIPDIPYFD DKNTAEELSFKNKSTDKLLELKAYDQSKDTSAEILHYILLGIAKYLITDLVKVVLNKN KKELEELFYYIKDYKNSRGISRAFTRSLTHAGLFLSRDFKVLIQILPVILAIKFADTE VLQKITPLFVRLSRLCSLIFVRSIDSQYETYISEVDTAVRSLIEVLHKYDTNCKHKKH AFYTSKPKVHLLTHLPEDLRRFEPALNYETKKGEQFNKHIREHLFHTNR PHYBLDRAFT_70062 MNSTSQSISPQSSSDEKKSRKRTKQKNERIPMTLCKRIVDLTVK TDHKPVSEVASMFSLSRSTVDNIKDSFRDNREVIVKQRGGRKKECTKIMEEHSEYLIE ILDKNCTLTLEMMREKLYKRFDNLREKDIRSQTKAVEERRNDADVIEAKRKFVESLLE LGIAYVANCIFIDKAGFNVNLICQQGWSKKGKNAIVRTKTKRALNISILAAISNNVVK SMSAKLVPKGTNAELFTEFLKPTIKTLDDTNAVPQWFILDNTPIYRSHLVRDFMATTQ HHIKFLLSYSPFLNPVEESVSKLKGLAKRKPELDTTMGDFSRVANDCYVRQWLDFLFW IYYF PHYBLDRAFT_147345 MGKTHGRPNTNPIATNPPLPSYAQTASTKPTQVASILFSTLPAS PSHVWHESTTPHSIFFNPPIGTPQEDAFWDSLLGSMHLTDSTTCLDICSKGFLVNDER FFPSQGIHTGTKILCLYLTKLPFLPRQVLEQLIKDSLAKYGIVCEIGIHLRHSCFDST GYAYIERPPSPTILLLPLSYKIPTSDSTHFLATWTRMGSHCTYCRAMGHNIEACPIHP QDSRKCFTCHETGHLQNTCPRTPATESGPSKRSWKLPRTILSAQDPKTHPPPPPAPHP DSAAQSKTAKPLTSSLSETTQPKRTYTTRASPGIETANPFAVLAEIFKAAKSHAAQTQ EKSDTNPANSPSTLLYHNLRTAFIPEGSGSSPTILDKEFYESTFGLVKSGNPQTRSHF ICYLCSLTLDILALQETHTHTEDLQQTFTLKFQAKDSLWSPHCGLVSVLPFLSFTDSL FSLCHQCITATVVHSSNLSSPFCVCVLYAPATVCPRYDFLTSLLSSPHLVPSKPSQFL MLGDFNYDSHAAAPRVHLAPKSWLQFVANTLVDCITPSLSAPMPTFHHDMSSSTIDYI YASTDLAHCYGSSTVTYVQPLWTDHCLVRTCLHFPTLSNISKGLWRANLRLAHNSSFC SALSHCLTSFVPTLPYNSSLQIQWDLLKQEVARFTCSFSCKSHPSLATLEVKLQSKRD HLIHRFRHQPSQNFQLPIVKRQIQQIQQIQQECIEILALRAGRHWREQGETSAGFLKC TITNRQAHTTITSLRHLTTDTLCTSKEDLTDAAATFYQDLYTPTLLHKLQLTTYSPIY HPPFICLRLPLHLYFYLSHSSTFKLGLAVPPIPAAPALMDSHTRYYN PHYBLDRAFT_170264 MIPTDILTIRYPSLTLPTGLSIDIQPFLNGLVPGSAWPLLTLKD FHSLCKFHPSPTRSIVPTISPRSLHHWWSIPLPHSARNVWYHAWHKKILCRSHLHSQI PLAFPDPTCAVCSGDLDSQTHFLFDCPAKLAVWSSIWTTFFAFPVTSDSIHSCLYLLN FPPSKDTTLSSASIFGRTLLAIWQHHWLFVFDQVPFVPSATLSTAHILLNQLWNEIAL DHGSI PHYBLDRAFT_170265 MKEAVKEIKHENQQEYKELHAMICTLTEMIPRPAVGINSHVAND GQDLIPPPKNSSEKEKKSHIRDFIMKNVIEIDEDRANELLDILKKISLKACQSFALKD DSNDKTNGEKEWKGLDGEDYVHLVMSTLQKSVEETRELEVLNLSRGLWVVEFLTWIYG FLCFYGDINSMLFYVFFNGDIDSVSKNGDMNFAKKDMDLWFFMFLW PHYBLDRAFT_170266 MVQFCPICQVKVTERNRKKHIKMHEIQNMQALQERIRQERDWNQ VNSFSSVLSLKCTRAETISGDEQTYMCSNQSNDVDNLSVENNCDPADFEEGEKSPAIN AENNHMDTENSNVSSDNVETHEESVEERDDSLSLFSCLGAFKNTVESPLSFESVTNGK LFGTLNDTEQSNYKLILPYKCDKIMSDTLNKNSTTKYDMCINGCKMYYPGDASIACCF CNEERYEGILPRKTTYQLSIGRQLADFLANSANVSKIVEYKKTIIEDSLTVKEPVYKN VFNGSVFGEVRGMKELSLVLHIDGFNLFKRGGISITIIMVTILDLTPTERYKQENIFI ISIISGPKKPKNLFSYLYPVLQDFLVFESQGLQMNFEDDLTSLYRASIAFVIGDIVGI AELCMHSGHSSYYNCRVCKIRGDQQQKNQRGIYFSNMATSNNRSRQSFIEVDTEYEIK KPTPFGILSSFTGTCFFGLDKLYLWGQNIGKHLWAIVTDNGQKKSDISNPLFLRKPYR VTLALSNHHSKNRSFLNMATSAGYGRAIDYIDFLMFVVFTLLVEALELQTEDLKINLK NEKKRKGKGKAAKATILNQSNEVDPEEQSIGTGIETAISCMDRTAEALASLSLVCQLS EKLYISTEDVSAIEHHVNIWHAFLRDLVEEKCFTINQHYLLHLSKYRTEMGPLKEYSA CALERTIGLCKENIKSRSKPGENAINFMCNNFASAKQKWHYELNERDETHEADCTNIS SDKLDMMLDAENIPFDEMLLNFCVCENIPFNSKVPIVNRVEYTVAGNHQTVKKIRGRD GIDIISKEITLDMSLKLFIINI PHYBLDRAFT_147351 MSNEISSKVIVWPSAIEEQREVAEIVASHTRSNNNQHLKNQYLN EPYDSL PHYBLDRAFT_147352 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFVLLSDSAVINTHS QQVGLVMSDATDSTTTTTTKYTVWPELNHGPKLNLGQYRDL PHYBLDRAFT_70060 MPSIKKTLPHKCGSCKKTYSNMKMAEKCLTLCLKNQLENMRNAH LSATPLLSMPSQSVSAAIPDLMLKENTSTISHESTDGNNSTDLDDPMRDIDCESEIES STSSLIFDFSQPSPVPSNNDAKNLEFIKVINDFDISHQAHENLAAHLNSILGICMMFN DAEEVACKHCGEARYKSNKTDKDGIPIVKKTMVQIPLARQIVLSLANNGTRHEMLYRH NHEQKADGSKADIFDGHAYQSIKHLFSGENDAAISLSVDSFAPHNIPGPSAPLDFWSF LKPILADLKVLQEEGMVVVTPTLTIRAKVHVLVVTSDILEVAKLAYHTDTTMCTLESF QNFDPASLPSKGLVGQSSFSSLASFTGPLFLTLDKMHGLCHGIDKQVWGLIDGKYEIK HSLFLPANVLKEIGVAMAATRKTILTAFHGSWRNISKYSRYFKAVDWADFLLFIVPTL VAKRVRDTTARKALLGLVQACNLLMSWELSAEKQTSIKRKLQLWNMYLEDLYWNDKIE IMVFTINQHLLQHYPVMISAFGPPCAYSTRSMERAIGKYSRAIKSNSAIGVNAGNIMV RLAHTRRLLTDSNGGKWRDVVLQYEDMSAGWPITSEGEHAGADSNIEFWRPLGYKTID DSFKDISCLLILIQDFYRSKGVECGMIEPAIITSRKAFINGCVIDFSFAQNTLREVHH VCLQVQVDLFTNVRCQYIPIAKDFFGKVILFFEHENSGKRWPLVLVLIYSTVLYNGIP VVVNGQLKPKVVHLADVKELVVLWGENKAKYNIDERIRENLCRLFISKENVCLSNLYH DESSSRSIVLLERSGKTN PHYBLDRAFT_70059 MNNTDYTILQILQGMQETLFALQKGQEELQIRQDALKKDMNGQE SPEPAIVHNNLSGAIPRPVPNIKDITLVHIYRMMSHNLGVELDKGNKAILHSCTGFVC DELATLPSVQALGQYPNWSTISQENKNWACTRHACLLRSNGIDFTRCHKNWASVAKVS QLWKNRKK PHYBLDRAFT_170270 MILVINTCYALYNLCIDNSASIAMNAEEEAEICVYLESDTNGRA ELSVHSLSVDQFSTARSFNARLRHFKEQRIIKRNEVMNSFVCLSNLYPDESSSRSIVL QERFGKTN PHYBLDRAFT_147356 MTTNTVLDKPEQAELIVDNTILDANAFDYSSDEDDSFSIGDEED NIVDEENNIVNNFFDIEMNSNPVFNAFSDMFSSAAAADEISMTDDNSEIPEEVFETIG TVNDPTSCYPFCDLQTMILFAFINGDNDMISQQMLKKILLAMNLIIKIQQETSIGRTF KLTCLDALLNYQARKKFKMPVFPSQRISVPGSNGNAFTHINLPSDHLRFLMAKPKKSK LILSMPDCTPNQSICLEQGEKWRIYHLFQQPMHTVNANKNIFAREYLVRAIWIVCYGV EVAVTNLRVEQISHVDTTPVERDHYYSISSSLIRLSPAHDFLLFGVHPMEKPMPLSVL PGNVDCDAVFYKVRIVSIILFTNDTSGNCSKQYILFESWLMRCAALPFKDRNLIANIQ FLSTIPKKDGTNGMSLLPVIVDDFKKLKKGVKMFSTEDNAYVLVVAPIVWIEADMPYH LELCRLLGPATIFPCRRCYIKLRHAKDFVKDLFYFCESHERRTQEHYVLANSTPDRDT EIPNAPKIRRNTPANEISFRDCSTGRLLELWSFDPEKSKQDVCLKFAKQKSGTGIERF IKDNNESLFYYTFFGGLKELKNNNDSGDIEDDAIQNNSFDAFVFRDDPISRPCIELVS GSVVKFLSIVPRMDNDRNNNYVKTVMTGEHSDIANMNLVCKLDLHIFHNPFYIPRQRG RSSHPAAVTESHVETIDKSISDNKATGIGGVEDDASDSNVKESSLESTRHMQKIGYIC ANFFQDFTNDPELDELPHGFNALNFQEPTITCTAPSGRITRKHLGSSQPLEVIEEEIT PSEEPLIDIPTSVNHSVLPHHAQQLNNNSLNSVLDVMASLHTAIREEIRLSTQNFMES YLDTTEERAEKKRRVDEEFEMWARHTAAYEKDVETRAREAARREREVEIKAVDRERKA RRDEIFLLEQKRMNDILAGIVQQTDKDNNTWNNSVVNEY PHYBLDRAFT_170273 MKTTCFYYEDGQGKLVDEEGNDAIDWVEEATPFHLRTLTRITEY CRKQEEEGVSSEDSLGNLDADMEEVIAIVKKQKRLHHKYSNEQKLTFVYYNRIKLFNT AKSGCLAGGIAKRTAQKWAKKLKEDKDWNIFEKQTNLVNRLKPQLDERHKVHLLECYN NCPQAQVLDAMESLTQKFSDLTVKKSTVHNFLKNECNLSFKKLTHLPVARNNSDKIQA RKNWVIKWTATDMNYLENCVFVDESAFDRNMRPPSEWSVKGTPAITTTPTTKAVSHTV LGAISTKFVVAIELRNPQEESSKRIKIVHSGSKRKAPTEKKKKSGATRIAEACNNIPP EHLNAFAQHSGNCFDICLRGDPL PHYBLDRAFT_147358 MENPQAFSFEEGSEQALGTQGQTVSQRKRSHHFVHSVDCQPFSL EVFDCNKRTKLSTTFALMTNDSALSLTSLIDFQNNITSGIFDGRVSFSSNDTIEPVLK DSACISAKLEMTVRTSTVPKLLQELGPYQEIDAMVLDLLNYDFRLRSELIELIPPLFC SALLHDSITLLIITCEVYSHHSSVDIHSESTESSVPSESSRYKNHMSCTTYEKSDGGA MKLKLIIGTKTVNLLITCSAEISTEPKINIGPGVEFGHGSITDSNCKIYLMKSKVEEF LKMFETFKLNPLHVNISNLRQITSSFSKCSSYLLWRSTLQEFDSSIYLLATVFTLCDL PNKDGYGVEATSGAKLGSQILQILAKAILVNKGVIQPSDFYNVLLEYESIMKQKCDVK EWFSIIKVLDGITASLISSELSVPSFCNNNGGSVSEVANKLSSSISTANNMIAKNVKK KLLQLYQ PHYBLDRAFT_147359 MENPQAFSFEEGSEQALGTQGQTVSQRKRSHHFVHSVDCQPFSL EVFDCNKRTKLSTTFALMTNDSALSLTSLIDFQNNITSGIFDGRVSFSSNDTIEPVLK DSACISAKLEMTVRTSTVPKLLQELGPYQEIDAMVLDLLNYDFRLRSELIELIPPLFC SALLHDSITLLIITCEVYSHHSSVDIHSESTESSVPSESSRYKNHMSCTTYEKSDGGA MKLKLIIGTKTVNLLITCSAEISTEPKINIGPGVEFGHGSITDSNCKIYLMKSKVEEF LKMFETFKLNPLHVNISNLRQITSSFSKCSSYLLWRSTLQEFDSSIYLLATVFTLCDL PNKDGYGVEATSGAKLGSQILQILAKAILVNKGVIQPSDFYNVLLEYESIMKQKCDVK EWFSIIKVLDGITASLISSELSVPSFCNNNGGSVSEVANKLSSSISTANNMIAKNVKK KLLQLYQ PHYBLDRAFT_170276 MKTTCFYYEDGQGKLVDEEGNDAIDWVEEATPFHLRTLTRITEY CRKQEEEGVSSEDSLGNLDADMEEVIAIVKKQKRLHHKYSNEQKLTFVYYNRIKLFNT AKSGCLAGGIAKRTAQKWAKKLKEDKDWNIFEKQTNLVNRLKPQLDERHKVHLLECYN NCPQAQVLDAMESLTQKFSDLTVKKSTVHNFLKNECNLSFKKLTHLPVARNNSDKIQA RKNWVIKWTATDMNYLENCVFVDESAFDRNMRPPSEWSVKGTPAITTTPTTKAVSHTV LGAISTKFVVAIELRNPQEESSKRIKIVHSGSKRKAPTEKKKKSGATRIAEACNNIPP EHLNAFAQHSGNCFDICLRGDPL PHYBLDRAFT_70052 MVDVQDDDYWFEFFWCATDLLNASATSCEWSIVLNQCCGGRGDL LSLRLIMSGFVDADMLLFSILSWPFLLVLIYLHCYCSVSIVAICFERKDFGYMFRSNT SMQCSNAIILRFVFLGQLLMASTIVGPLRSSSFCLVIFSTFMNKLKIMIKLRRYVVIL AMFAVTVSALLIVK PHYBLDRAFT_70051 MALVTRHLENNNDVSTIFNSLKICGYTNVVCHDIENIKQHFGKD YEGKEIFGFITTLQDLDFYIRYTVDNTDDKRINMVFFVYKNVIEEAERMPEMIIIHAT YKTNSHRMTFVNIADTSNVTGKSCRTLKTFPIAGAWVEYEMVENYLWVLRCLCDAVWP DVKDNNRGNNLLPMMKGEESSEKRELLETLAEFIGKIALKCEMPEEVKKEADRYLEFA KENCKDQEKTANKKNWINMYVYKYPHFGNRTSNCAESAHASLKHSLGTSSGKLMTVTL KVKKWYQKLVDDCKCRLMTECLEESTEVVFEIFGIVYGLVSQ PHYBLDRAFT_70050 MVLWIANKITALFYYNISIIFLTFNMSNINNTNSTNDFVIVSET SSKKYDTALTEFNSIFLIGRQFSSTVAVCEAVKAYGATHNIAFSTMFSSETRIKMICK HADKYRDTYKAVKIALKTSASKESPLPG PHYBLDRAFT_170278 MNPNAPSYSAAISDVNVRIMEAQVSTFCEAEVVNQTHRRDTRKT IKSVKTFVETDVEPTDIFLQRALPLMARKLADMQNADSEFRREVLQEFGALHQKIDDL VSGRIPLWSLQEDGGERDGARSTEVQAFPVPANNSGPISQLPDIPVQYIMSRGVQTVP DLWRE PHYBLDRAFT_147367 MSTMWTNMKNYPLTTKGTAPHQQTIDVSPSKLRRHVTTNHWTNP PSRLTFWYRTP PHYBLDRAFT_170281 MSIYRYIGGRHYLRYRRCNKSLLDNNLIISINHKINFSVSFNIT IICVKNSTVIIRDSAPLEEISHCSIQRASTQLSNSWAFCLSLHPNFLVRLEECIYCLT SVGVTIMTSSHKSYFFSS PHYBLDRAFT_147371 MQNKKRQNQNLTKPPPPKRPGELNFSINTRDTTCNECGENFTKP SSLKCHLRTQHTNSNVEPSSSTGNALLDDLLAALNREQLATPFMTEVDINRYDYSSGK EYDNEDDNEYNRHYQDDQVFEPDFENDVSDHNALYNSITNYLSSFDNNDDLDLENESD NTDTETDPANLAEKLMTGPISGCHPFSNLQTMTLKKLLFTMNMLLKIQTDSAGQGTFK LPKLNALMKYQHNKKNRIPILSTVEVKDLTSDPPTVIAEVTLPSTHIELFMANPEKCK KIFSLPDQTPDQSTCFQQGEK PHYBLDRAFT_170283 MEAKARNFATCIPTGIQGLTDKHHDLLYKEYCLKILVPSEDDTS DNWSKQYNPYKSWSMKFAAMSYEELCMAINILLLGIVQKRNGYSGLSLLPTLVKNFKK LENGMVMYSAEHGEYVLVVAPLLLIEADTPCHSELCGILGPATLLPCRKCYCVLRRNV FLEVKEYFLKKHMPRTWKHYVMANSTDKRETIMPDVLGTNTPVNARALSFMNHSSGCL LELKAFDPSKDTPVEILHTILLGTAKYLINELVKKNLKPHPDKLERLANGLKEHDIST GLSRKDFKVLLQILPGALLRDFSDNQKIETILPCFVELGHLCSLVFVRQIESRFEEYI TQVNHAVNSLIAKLHESDMANVANLKHLPLSTKPKTHNMIHLTEDIRRFGPALNFETE KGEQFNKYIREHLIRTNQLNTLRDICHKFTKQAVMQHIFANGS PHYBLDRAFT_147373 MSPISHNKALSIAVRLRYRKSTAQVAKIVGVPQSTVKRYRQKYI ISFSVSGDFAVKTSAIHTLLKASGLTVI PHYBLDRAFT_147374 MDKNKKLIIKTYQQQKFIRTANISMYLIEWLVFENRFSLNNSQC VLCLVLMKVLPSLTSEIL PHYBLDRAFT_147375 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPQEIAPINNN INGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTTFADFATAYANDQTHMASLGPSLM PSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDDPALVAENESKKKWNLNEKINYRD NVAVINYLKSYISAQTRLAGTHPWVISDKIKNRYKHSHRTFHESPEQKAKKNSKGRAN SRTLQMFIQCKSTYMDNWVAIDAAMGYKTGNPVEKAYLKLFQKDAMSDGELDIEIVDN LPQRCLHVAHSTWRSEEFNRLLTMVDDIDCTHHVSNAGMGTKPRMNRYPATLLPCSVP ATLSQSLPH PHYBLDRAFT_147376 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFAEDIILSFSLTLR FSSNQYSFTTLSMTIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAVYKNPQIS GCKDVIDDSPFVNDWIEMVKSVDLLGQSYKGPTVSSLTYRNPHSSQHVFAFVKWSKST LDKTRELEGVELLQDEFYKQDFQSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKI YY PHYBLDRAFT_70039 MLILLNNIFVEIDHSVKAAKKKQEVVLELKAIEQKKELKNGSYV TKVWEGLGIEVSGARFPKKPEGTTLVSRDTSLVSTHCRRQHPRVWYRPSRLTARPNHQ TNPPSGVPHQKLAKAKCIYNTRCVKQPLLGVVPNLGYLPIVGHYFDESEKSG PHYBLDRAFT_170286 MQSMQRAPHQFKKAKSCRAQCFKNRHRRHNDIQTSQTTPVPGQV NVVLNTVSNDTINREHADAIEDQIMDTLNSEDNDDPIMNIFITLLKYDAELSNDMDII ENETSPLVLDFSQPAHNPDKDDAKNLEFLKIINDFGISCNAHEMIVKHFNSILETSTC ITYRACTPHLGKKLLKSFSGVEETVHDICQRNFAQHQYPYAGKFPEFFPGQCIQLQRV KRTIPLATLKVFSGPLFFALDEMHGLCHGISKQVWGLVSGTYGTGYCFALSSGVWKEI GTAMDVYKNPGSFKATNWADFLLFVIPMLVAEHIGDATAWNALLGLVQACNLLMSWEL SAEEQTSIKSKLEIWNMYLELLLTSGKIKINIFTINQHLLQHYPLIIDAYSPPHAYST RSVKRAISEYSRAIKSNSAINVNTGNIMLGLAQIRQAEARATLLTDEGEHVGAGSDIE FWGPLRNRTIQDSFEDISCLLKLLEDFYESKGEECSMIEAAIQTSHKAFVNGCVIDSA LDQNCVREAHNIRLQIQVDENRNINSAYSPVYKDFFGKVVVFFEHRLNNKSHQMQSTF TKNVKSVVKAYFCLSGDLIVGGRKHSHKSKKPPFFPIVNIFYKYIPHLQHLKHRQKTN WQSQIFYSEKSTITGCL PHYBLDRAFT_170287 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSSEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKLVSIFREITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKRLQSHFFML PHYBLDRAFT_70035 MALYTIHAEMLEDVTPGKPCHCTVKVVFGLPYHHSLLRDRVLTL ANILEKWLLSSVTSCQNATEDIDAADSITIKESAPWMKSVSQLEKLFWHCEGEQQVCG LMAKVNNFIENAKQYLDHPEMVFSVASEVKAAGRPKHIKKKDEIQEMIKQELRDVVKE CLEEEPLKKSIKKIKKEKEFAKEQEPLEEGSTHCKKWQLRKKFYWTLFFIG PHYBLDRAFT_170288 MKSIAQLEQLFRRCEGEQQICNLMAKSEIRKTLKEGIIDVMKEP LEEKPLKKTIKNIKKETQFTEKQKPLKEDLTTSQMTTGMIFPVQKKMKKNVHDFALPD QIDQAAILLAFNPKSDDWCGFYVFAHLKESGENQFPLVKKKMLVTMTTHCELYKQNFG MDIAAVTKVIAFGSEIDPVIAKNIPYCPSSMWFSASDCAQIIADTYNEPVCVYSDDRS VLPITFLSLHDQKLLKRKPLPMVLHHVHGCHWTTIKVKPHVHRSWPEVNALYFDAIRR GSIIDCFSTSWNHWGQFPKNKSYILPSTTITNSPTNSLVNSSNIIDLTHI PHYBLDRAFT_159303 MFPIIPRKPFSPKTFRTLCTPSPDNPVPPLHTHQWRTFWSAPIH HSVRSLWFRALHNKLSCRSVLHQTVPTIFPDGSCPICGDIKESTSHFLFTCPPKFSAW TIFWSTHFGNVPSTQDIHSALFSFRLPPSLTPDIPAVSLVSCILLAIWRHHWSFVFDD APFLSTSVLVTAASLVTRFHAELSLPLSD PHYBLDRAFT_70030 MPNTPPLISNISMPEITSVNRSSKDTTITSPQYIKQTIENLRAR LENLVEVLNDTVDQNQNLNQSEDQDQDHKNNYQKTIHISISEAQHDLEILESIQAKLN HTYMLQSHSKEELGCPIPTNTPYIQPDVTSLVHGENDVFFSIDEFLDQFEAIVLANGQ SLDTCWSTLFPMSIAKVQLPVLNSFLRNDPILPWSIVRSTLVRMYSVNTARQQVLLTM KLMKMTMNKDESVAAYTDRFQKARREAGAPDNLMTYTLYIRSLPTDVAHQVRLAQTKL SAEDREKLQPHLPLRSIHNAFADASSAFDLRSGSLHDDDVTMNGTYTAPSS PHYBLDRAFT_182063 MTKSWKVDQATIDEFFKERKPEGGAYNIWHHRYQGLDRDWSKKG LRSKFRCKVARDAGDTAGTSNPHAYFCLYFAKGMCAQGHKCAMWHRIPTEKDEQETTI DCFGRDKFAEFRQDMGGVGSFNTLNRTLYVGRIAVTPDIEEVVTRNFEEWGRLERVRV LKNRGVAFVTYATRSNAEFAREAMMNQNLDHNEIINVRWATVDPNSIGNRMDSDEEET ERKQTEDVYQYQASQSELPAEFTQTKRDVDEDGFSNMEMRVKRQRAEEAGDFRGPYYD TESAMAIYGYTQPIATADASSYCTQPATDEVSQSTDHNPPDQNHVLAYQTPASLASAP THTLKAGGIIPINVLNSLKSLSNQGKGVAPSVQPKQNILSSLTNYNSGSESESDD PHYBLDRAFT_170292 MTVFAYIATFFTFCALLLEVFTLLGNTHNQPFLKSLYFARLTLP DQFIQFGLWNYCIGNGNEVTHCSSPVAAFDWTKADELSNIADALHGMSNLFLVIFILY WVTFGLTLFAITITILSHFRRGPDFLASFSTFLSFLLMLVIFIMTLVVSLRGINEAKG MDKNASGNLGPSTWMTLGAFAGLMLASLMYCVTCIFGPGKHVREIEEA PHYBLDRAFT_70026 MTGTVYIVIYSLYHHIYKLAIDVQKGLEAAGVESKIFQIEETLS EEILKKMHAPPKLDLPIITVQQLTEPDGIIFGIPTRFGSMPAQMKALLDATGKLWATG ALSGKFAATFFSTGGQHGGQETTALTTVPYFAHHGMIYVPFGYANPHLFDNSEIIGGS PYGAGTIVNGDGSRQVSSKELEIARNQGENFGKIISTFVKGKAI PHYBLDRAFT_101399 ATMSQPKVYIVIYSLYHHVHTLALSVLEGICLESKGIDAKIFQV EESLSEDVLRTMHAPPRPNLPIATPSQLVEADGIIFGIPTRFGMVPEQIKTLLDATGQ LWAAGSLIGKFAATFFSTASQHGGQETTALTTITYFAHHGMIYVPFGFANSGLFDNSE VIGGSPYGAGTITNGDGTRQPSEKELAIAKNQGENF PHYBLDRAFT_97924 EITSRRVTRRTVQRRKDSDELQKSMNQDEMSENRMRFGTRVAEG HRNYILMYDMLTGIRIAVGRVSAKLKREIIQEDFKAAHKLVFDVTGNELTPGVKYDFK FKDYAPWVFRNIRERFHVDAGDYLMSLTSKYILSELGSPGKSGSFFYYSRDYRFIIKT INVTEHKFMRRILKDYYKHICQNPNTLLCRLYGLHRIKLPHDRKIHFVVMENIFPSNL DVHQIFDLKGSLFGRWTPNEEVAKNPHAVMKDQNWIEHNCRLQLGPEKRTAFLTQLEH DVTFLKKLNIMDYSLLIGVHDLKRGNSEGIRDNQLQIVKPLEPQKVSIRRDKAAVVRQ ALKKANPKQLDPSELPETPSKKQSQCVFYADNGGYCSSDKDDRDLDILYFIGIIDILT PYNIVKKTEHIWKSMTQNKATISSVPPTTYGERFSEFIR PHYBLDRAFT_70022 MSGSTCSTDPKQHPSELPSDTELPSLLTPWQTEDYENSIKTALV QPNDCSQFRIDGQVPRQNSMLAHSHQVYLQQNEQKRAGPGRRRLEGQKGLLMGLIDHC YPPPICPSCYYTGSSLSDIHMHYKLHSGVKAYRCIHPTCNHAYSSRPGLRYHLEHAHT VTMISDSSVQLVASKKSVTISKRPAPTRMKKSQISASLQTLLDNAYHPTLCPACQMSF KRKTHVVHHIVSAHRGDEIYKCVVSGCKRNKAYATREGLVYHLANYHS PHYBLDRAFT_79711 MGFLSSKKRSRVNLKVDESKDSTHSRSSSPTPSTRSLSPNPSMH YRTTHTLIVGQIYNDIILHVSHFPAEDTKLRASSTEQRIGGNCVNTCRVLTQFPKTHV WCMSAIGSKESSAGLLSELESNGIKMTTCLYRQSSMPSSYIIHNEASGSRTIISCNNT LEITLDEFIRKIEMTSLTKSIQFDFNAAPFTWVHFEGRNISEALLQIRWLETKGQKEN WRSKMTISVELEKPDRQDIDLLMSNGDVVFFSKIFAQARGFNNANDFLEAVKPDCKPE STLFCTWGEYGAACLEGKTGHVEQVKAIKADSVVDSVGAGDTFIASVIYCLGQGLSAT IALRVACETATQKVSQLGFDNLGGRIDPLYLDKINVIKPKASNDGRWLSADSYRVL PHYBLDRAFT_147394 MESIQPILTASKELLHNLELWFDSQPPQVQSIARMALEECWNSY YALSESIKSQDIEQQRENVAALYLDIARLKATLGLEGSEAAQQQQVLRSWMESREPDA IPHLTQAEQVLKTLPIQSSHPPIPPSPTPRPERLALQQTPPDEEHVHVSPEQLSRLLT GYAPASGRSHEQIAHEIIMDPEFKLYKREPDTDLESRVRTIATKAFFDRVAEELDNGH SEKSIPSLMNDIRTRLVALVREGSPWVERIKEAIDIELIEQETKKGAFDLEKTLDMIV DFMLQMCAPARDEAIQAIRSLPRSVDKIRAILETLEDMLFDLMNFRLRALRPALIPMA VSYERARFAEALSHGQAGLAKTRSWLQKAYRRVNEANKQRVPAEAAKRPQAFPSKDMV FEEAFVSLLTSTDPLIRITCPETLLLDVDRLADYQQHVHRITTVAALLMLTRNFGGTG DLTKLTNTLSVILEDSATVPIDHLTVEIGQHIKNPSVPHTMVRSMVEKTLTHNDMVYT LLARRVGSVLRHHLHTGQLVEQDTLVSSGLGYVRQPLKDLSQRLYVLVHHNRQVYQPW YDTIIQDEQEGIHVNPTP PHYBLDRAFT_159308 MEDISIEDKVKIASGFLLASPPGEVNDVFNDVRTLVDDDKALES GILTTLEEYNTEQLITVIPPGLDYEVIVSKHGKVDLDRYLDPRSKKSFQFDHIRLVAT ELKDHTEDLPIEDFRAAVETEAHKYVNDHYPNGVCSVYGLDENNIAIAIVDNKYNPNN YWNGRWLATWVYNRETGALKGATKVHVHYYEDGNVQLNSDKKSDSTVTFKEDVTVTAK AIVKEITTFDKRYHTAVNNSYEELAENAFKGLRRALPLTRNKLDWNKIMNYKIGNELS QK PHYBLDRAFT_134630 MSDSFYSKKPEWADITPVPQDDGPNPLVPIAYSSDYKDAMDYFR AVSKNDERSPRVLELIAAIIEMNPAHYTVWQYRQKVLFTLGSDLNKELEYIDSIAENQ SKNYQIWHHRQVVVEKLGNGDKELEFINEILEGDSKNYHAWSYRQWVVAHFDKWDEEL SFTDDMLVFDIRNNSAWNYRHFVLSNRPRKVTLEELEDEIEFSKKKIALAPNNPSSWA YLSSILEKAKKQLAEMKGFLESLREKEITSPHLWSMYIDMYEQNAVRDKKPIDPEAIE MCDRLANTFDAIRQNYWNYKKSKLMLL PHYBLDRAFT_187620 MNTSVRLPRVLTQVRHASTASKSVKPEIFLQTIVMSNGATFSVR TTSPVRAQIRLTKDTRNHPLWNPAMLKAGLTEESERLTKFQKRFGESADLADISWIES DEAISAAMKKAAASGGPAKKAPTKGKRK PHYBLDRAFT_182071 MQRIAIRQTTIVWSQINPIRSGPKWKTLTRNRINAVFTNVRFVT SQTNHKNDFSSINKYNDAKPDVGTKDSDTNVVIIKNKRRKTAREHLSSQKNSPESKRG KNKSKGFVSRLLSALEHDAKENPKPLEDHTLSSSWSQIDNEIVYKRVEPPREVKVPSL AHELERVLFNPGVHFLKDPRTKQYNFTPFLENITQPSEFNYDAMQPYITSSKDKNLLE MARDHNKRYLGSTSSVSAVLSHFYFTVSNFRPIDISILSKAFEGQSTKFTRGTRAPAS IYLRWKNGVYAVDVDKSHDVEDTILSLMGRSMEKVLTLEPEEYNRYLKDNAIEFPEEE KNLPESYAYGQIGKFLLRSQLDCYDSRLPRGTFDLKTRAAIPIRLDMSNYSDYLGYTL KRSHGLVESFERDFQVRIGHMDGIMVAYHNTSKLFGFQYISREEMDSRIFGTTKMGDE AFRNTLVLFEAVLDKATAKYPDQTLRLSFEAKESKNASSTKLNIFVEAVPPEEEAKTE SDAPLLEDNFGTKFFQTHDPTLDPYSKLTMFTMSTESYVNGKQISDYVNLRRDTDEWS VQYQIDETNKDEGHVESMFRSLRRTQSNIFSASKYPIPILKQLKSLSEKQLKMERQSR KTGNSKSIEPND PHYBLDRAFT_79705 MPALKTPQTQVVFQDINQSILSSLHTAATTQVYHYEVGGRCIGC DVVANIHRTAILVARQQEPIVCNGVLLELVSQTIRLLSSHLETHTTHYLSWFDNLSLD LWEFSKQLKDETNESDIHPLNLTTPPYSPSEEKYMVFEDPENYRRATRAEIYYFRALM NEYASTNIEEAIQYYRKCVTVRPTLLPSHHHIQQSAAAALQRLGATNSDSSSAFKSRT SSVSSRSLGSSSSSTSCSSCGKEKRVMPVCAKCKTRYYCGMACLKADKAKHSLYCTTK KKRFGN PHYBLDRAFT_187623 MNEQEIDHFCVVTGATRQEAESYLQIADGDVETAVTLYLENGET SHQPQVSERSLRTSHYDADEELARQFEAEEKIKEPVRAPIAPRHDILSGTSSIFDENA IWGLRESMHNTNRSVFNQGDSSSSTNPTPDFFTAFPTSDQTTAGHHAVDSAATAKAKR LADLFRPPFDIMFRGGFEEARDNARESNKWLLINVQDPAEFSCQILNRDLWSDITVKD IIKESFIFLQYGRDSPEGKRYLTLYPTQHYPHVAIIDARTGERIKVWDKQLSASDFMM NATEFLERQSSDRSRPAAMKRPRVTKDVLDMSEEEQINAAIAASLKAYGNAPEREDSV DIDEHDVSGGAVDDAVEPHGETEDFEDIEEEEPAVTGSLLDSIKANKRPEPTNLADST RIQFRLVDGKRVIRRFMKTDPVRYLFEFVKAEVPQTEQQGFELVFNRKQLIDMLEQTI QEAGLENSAVNFVLA PHYBLDRAFT_125866 MSSQTDALCHSADPQHPANLIPELCRLFYTLGWVTGTGGGISIR KDDHVYIAPSGVQKERMEAHQLFVMTLGDRTFLRKPDVLKPSACTPLFYNAYTMRAAG ACIHTHSQNAVMATLLYPGKTFEISHQEMIKGIRRGSSKTNLRYFDKLVVPIVENTPE EEDLTERMAKAMEAYPDTNAVLVRRHGVYVWGENWEKAKTMTECYDYLFEIAVKMKSI GIDPALKPASEPDYV PHYBLDRAFT_147403 MEVVARFVADLRKKNILSSNLSVASTAAIGVLILLALRYPDRAI FTEHREGVPHDKGLPLVGQLFSLLKNKYRIHDFQAEKFVKTMSVLGLPRGVMTIDPRN VEHVLKTNFENYVKSNWFNYCTEHLLGHGIFNANGAQWRWQRKAASLIFNVKNFRDQF TETFSVFIDEIEILCSETLDKAVVSGDAVDIHDHMFRFTLDSFVYLGFGVQLNALKTK GEVTFAASFDACQLYSFEKFMNPLIEFTSVIDRILHPRKKTMKQHIATVDQFAADVIS KRRTELANGEVHTDLLSRFMSAKNENDEPLNDKELRDVVLNFIIAGRDTTAQALSWTF YCLANAPEVEEKLFKEIKDYIKDEKKMDSTELYETIKNMTYSHAVFYEVLRLYPSVPN NQKYAINDDVLPDGTKIRKGDTVSWSPYGTARSKNVWGLDAASFNPERWITPEGDLRR ESQGKWSVFHGGPRVCLGQNLATLEALVAIIFLVKRYKFTMLPNQNITYETSLTHPMK NGLKVKVEKRA PHYBLDRAFT_147404 MQGYYIDVSLDASPRKREDWTVELIGARLSVLLFPTALFGFWKN TVLEFNSRGREILALNHSLLIAATLSPLEHLSLFLSTYEPTGWHLLSTKNVHHFALLV YRLFVLYAATNPKLPSLLCHSPHDNWTPFDHFPSVIHLVIYGIELSSIPSLTESPSTT VLLHYLLRLTVRSVVLLLILCINPFTYALKN PHYBLDRAFT_70009 MGGLCNFLNKIKKEIARLKRNSLFHTSKKDFVKRAPFPKIFCIL FPDHDVDLNMEMKLQKIVTASYIYDKIYVNHRVLHKVLILGDQFSYAVDTVGVVVGGR HTAEKVRSGERRLKWI PHYBLDRAFT_125872 MPSLGLPFSIATIDPQNIEYILKTNFTNYVKGPHFGYATEHLLG HGIFNADGEQWRWQRKVASHIFNVKNFRDQFTDVFVSKIDTMCESIFDKSIEEDLPID FHDAMFRFTLDSFILLGFGVDLKALTTQGEVPFAVSFDKSQQYSFDRFTNPFIGITNT FRSIFRPWEKSIQQHVQTIDDFASNVINQRREELARGEMPTDLLSRFMGAKNENGKDL SKTELRDIVLNFIIAGRDTTAQALSWTFYNLALHPRVEEKLLREINEKIGNEKEHDAQ QLYEIVKTMTYAHAVFHETLRLYPSVPENQKYALEDDIWPDGTPVCKGDYVGWSPYAQ ARSTAVWGPDASCFNPERWITPEGELRRESQGQWPVFHGGPRVCLGQNLATLEALIAI AFLLKRYRLSLLPGQVITYQTSLTLPMKNGMLVRVSKRQ PHYBLDRAFT_85799 MAVAAESIVFNDEDNGDSIAYVAPEPVVNDMNDHGQERLLKYLD PEFLDATPDSKTKFAEEIEAEEEFDLDEDLEENEGEDDKLITMMCPREYQFELYQRAL EDNVIAVLDTGSGKTLISVMLIKEIAAREREARMTRRSTKLAFFLVDRVPLVFQQSEV IKANCDVVLEQMCGEMNVDNWSEKKWKTIYEESDVCVMTAQIFLDTLRHGFITLNSVN LIVFDECHHATKKHPYNLIMREFYDRCRADERPKIFGMTASPMHSKTGVYFSATQLEQ NLNSRIYTAANLEELQTAIKLPDEYEASFSPSPGFPPTELTRRAREKLSHIKRFERVF QVTTEVADHLGPWCGDRLWQSILENMVDKMLLDTKGQPRENLCDDDQALYEIYQLSLA EAPSNPDITNKRIFTPKTMKLLQLLKVLQHLPEFCGIIFVERRHTAIALNLLIKSIDL FKIFRCDLLIGHGSSEEGDTKMRYQDQNRMIKKFRSGELNLLIATNVAEEGLDIQPCN VVIRFDFFTTLIAYIQSRGRARKPDSKYIILTDESNMGQRGMLSRFRDLENEMKDFCR MLPEDRNVANKFLQGMPLTDDSGDSDDSEDEDCEKVFIVPATGAMITMESAVPLLHRY CGTLPSDQFSLLKPVFEITSTSDGFQCIVHLPTNAVVTGATSQPTQTKTTAKKLAALE TCILLFEAKAFNDHLLPNNPKRELLGEMAPLLDKNGLVVGSRRRRGVYEKRTPRFWKP IVEELELEDEELKPSDPQDDAVFDTNPETALVNLQPRIKPEKKKKSGLSHMPLIIDEI EDVDGLLNPENQPEEGVDPKLILPILEEEDDGPFTLWFSVIEVNVGQMFEGHVRRMCL LTWKPFPDLPEIELYSKGETFTAIVRPLEESVDYDTDTILALSHYNLKLSAAVTNKEF VCQVRNFPYFIIPLTVYNDQEIAEDLSTLKACQDKIDWEEIKRTVEQKDSKIDLTNSS DLTLDDSIITDPADGNRRYFVRQVVHEMRPQSDIPPTEGKNREAGYTTFEEYYEKEHD LHVTLPEQPLVSVQKVSKMMNYLQPTVGMMAQQKGRTANYVIPEFCHKVPISASVYQS MMLIPSLMTRLDSFLLANEARERYKIPITDIQMLEAYTAPSASMKMDYERLETLGDSL LKFIATIRLYINFPFCHEGELHCLRIRVICNRALYRSAKRLKIYRYVTSQAFNRRYWR PHGFVAKSDTPDTLKETKTHMLSDKTLADVVEATLGAAYLSSGLEGGLETAIAMQIPF DDMKKWGDFLPTYIDSRKSVPARAEIKALRSVNLPKVYEAAGYTFKQPLLLVEALTHA SLPNSTAPCYQRLEFLGDAILDFLVIRYLFTKYPTFDPGMITDIKDSCVNNHVLGIIC IETGMHKHIIHYSSRLIRAIEHFVSEVDDLKKKGEAVGEYWRDFSIPKVLSDIVESML GAVFVDAGFNLEPVEKLFDLWIRPIFDKYVTPELIRIHPLRQFTTDLQKFGCEGFMLR NHTSTGTGPKSQKCVIFLHDKPLACGAADNVKAARRFAAAKANERLKDEPDLLKRVCN CSIGLRRQMQVEEDDD PHYBLDRAFT_125878 MLRAAFTGVAARAVAVRSVTVSRPIAQVMGKRFYSGHEDESFEA YTERYVKFFDGVEDLFELQRGLNNAFAYDLVPAPSVVEAALKASRRVNDYATAVRIFE GLKEKVESEKQYEEYLKELAPLKQELGVLTKEELGF PHYBLDRAFT_170314 MAPAQLMRFSGKFYITIANQNNRFKKINFGFIKLMEFNQAIPAI KQSSLTNMFAAQNGNQRHPSFQRLQSQVTSIILIYFDSYQYTTGKKEVLPGVHWGSAQ EVVQVGVFRLPTFSPPACYGLVYNSAL PHYBLDRAFT_114295 MRTITRRCIPENGSLLNNTRFSTRRFSSEKKPEQKPKDDEGKPF NVPKGFEGFFGKGAGAEAKRSADEAAKQHSKRSAEEIPKPPKNSSTGGSNGGNGSSNG NNKTPEIQITTNTVLGTAIGSWLVWKLVAPADNSREVTWQAFRNQLLDKGLVDKLVVL NRNLVRVHLNPGAGSLGVSPQQTFYFTIGSVDSFERSLEEAQNELGIPSNERIPVSYR DEISLGNTLLHFAPTLILVGVLYYMTKRGPGGGGQGGIFGIGKSKAKMFNQETDVKVK FKDVAGADEAKEEIMEFVKFLKNPALYERLGATIPKGAILSGPPGTGKTLLAKATAGE AGVPFLSVSGSEFVEMFVGVGPSRVRDLFATAKKNAPCIIFVDEIDAIGKARGKGGQM GGNDERESTLNQLLVEMDGFASNQHVVVLAGTNRPDVLDPALMRPGRFDRHIAIDRPD IAGRAQIFIVHLKPIKTSENMEQLSRKLAALTPGFSGADIHNVCNEAALIAARYHKDE VQEADFEQAIERVIAGLEKKSRVLSPEEKKTVAYHEAGHAVAGWYLQHADPLLKVSII PRGVAALGYAQYLPKDQYLYSEKQLSDRMCMTLGGRVAEQIFFDTITTGASDDLQKVT KIAYAQVTTYGMNTKVGPLSFHDPSQEQQFQKPYSEQTGALIDDEARRLITDAYKRTV DLLTEKRGDVEKVAQLLLEKEVITREDVENLLGKRPFVEKTVYDEYVRKKVTKKKIKH N PHYBLDRAFT_125884 MGDYQKVVVKKYPKVLSKKSSEAKYWRRFKSPILIKEYASVSSI YFSPVAPFDFAVTASARVQIYSSKTHQPKKTISRFKDIAYSACFRPDGKLIVAGDGTG LVQLFDVNSRAILRTFREHTMPVHVAQFSSNKTNILSASDDRTVRLWDIPSEKSINVF EEHEDYVRTGQVSQDNPNLILTGSYDQTVKLWDTRQNGCAMTMRHGAPVESVLMYPGG GAVVSAGGPTLKVWDLLSGGRCMHEVSNHQKTITSMCFDGSASRLITGSLDQHVKVYN VQDYKVVHSVKYPAPVLSVALSPNDTHLVAGMANGLLSIRQRQVKSSESVTKKKQQEY IRDGTYKYFMRGQTGAEQDDFAVEYKRQNRLSKYDQLLKKFQYGNALDEVLRTSTKST VVVVALLQELIHRDGLKRAITGRDDVSLEPLVRFLVKNVHNPRYTKLIVDVADVVIDV YTLVFGQSPLIDDLMKQLSTKVKQEISFQKDLTQTIAALDMLFTRSGVSSNAAALAPA PAPTTTPTRVSS PHYBLDRAFT_11237 DSEGEDLWDNAASGSDDDDEDLDDEFDDEEDEEMEGLDGEGLDE RKSRKIEARKAREAAMAEAELLDSTMQTNIQGETELYELPDEDEPELMTDVAAVNQRI QEVVNVLNNFKELRDPSKSRQDYMDRLIKDIAQYYGYSHFLAEKLCGLFPVSEAIEFF EANEVPRPVTIRTNTLRTRRRDLAQALINRGVNLDPIGKWSKVGLQIFDSQVPIGATP EYLAGHYMLQAASSFLPVMALAPQPNERVLDMASAPGGKTTYIAALQKNTGMVFANDA SKDRLKSLVANIHRMGVKNAVVCNYDGREFPKVVGGFDRVLLDAPCSGTGVISKDPGV KINKTEKDFVDIPFLQKQLILSAIDSVDAKSKTGGYIVYSTCSVVVEENEAVVNYALS KRPNVKLVSTGLDFGKEGFTAFRGKNFHPTLKMTRRFYPHVHNMDGFYVAKFKKMSNK FEDKKDKKENRENGEDEDDDPNDYTGFNDEEDLELIDESKTKLRKKKGIPTHAGK PHYBLDRAFT_79695 MLMFGNDSRMKRLLASRHRCRESLNIILPKASSFTCWFSSEHES PRQTDHSSQKEQPIPWQKPVLTSPIAVDLCEKSLEAEYQITSIPERHTSRFYHQNPDV QAQLERVSQSEQMALLSKHLRKQKLQGITATSSVQSIDIDIDTSSTPSKIDSNATV PHYBLDRAFT_170319 MNAATPFRALTVKASINATGPHNFQIRTYALSKHIFLSELALDP FFDLNGWIDQPFFVEVFLSLIDRNTGSSRITERTRLNHELVSHHINTYIHNSSYTRIS LLNAHQSAMYEATKIHVVYIVNIKIRLGQKFRRIINILLAMRRRQRGLTKHLGKQGAT REQIKRMLTQNIFQPIIMFKEKASTRRLEDVFTKEGYRNAFMAISPILTFFCIVQLLG KLGHRCFNCFPLRRSWFAMLHAN PHYBLDRAFT_79694 MGAKASKNKIESSQSVDSVQSHHTSAPTVIVDGRSYHNVETSSY FLPRDEEEQDRLNSQHFSIKALYGGNILSRVEQTLPQDAYILDVGCGSGSWVMDMAID FPNSRVIGLDMMDMFPTMIRPENVIFELVNVLDGIPYPDNTFDFVHMRLMLSAFRKQE WPLVITEIYRVLKPGGIVQLFESDFTDKSKSPLVGSFISELINLMQEREQDPWIAAQL GDLLRQQSFENIELDLRKIDYGEASNPISKEMLWNWKIVFLALKPYINLHFNASSQEY DDMVKQFSKECHKYGWVVKTLAYFAQKPLRPAQ PHYBLDRAFT_159322 MTYDQFSQLCDRIQADPDSLEGKLALQWLQATSHNINDVQTDDE ENDTRSMHPSLSVRSHPPPYSHANDLHEFPATNTPDEECVPYSQSIDTSPNHYIILLM AIVILYSFL PHYBLDRAFT_187634 MSKTTPFNMPISPSVSTKIGSTMTPLQDPLLNYSLSSSLLRPTS SKSMSIRENVHVMVRCRPRSAEEAAQNEEACWVLRPEEGAIDLIGPNRKMFQFDSVLS GINNEEVYSEGVHNLVRNCICLWPDCKWKDIYNGNKAQPGVIPRAVSDVFNYIREDHH GREYLLRVSYLEIYNERIRDLLGEDPNANQPEIHEDSKRGVYVKGLNETIVTTPEQVM QIIKKGEGSRKVSATEYNKRSSRSHTMFQLVIESKSKDAYPVDRAAVQVSQLNLIDLA GSEKVTKDFERQKEGGYINKSLLTLGTVISKLTSGQTTTHIPFRDSKLTRILQTALSG NARISIICTVNPTRSSKEESLSTLRFAERAKLVKTAAKMTKITEHSELQNCLKKIEEL QTKMQEKTE PHYBLDRAFT_134658 MVCRKRRNEKLNEYQVIGRKLPSDKEVSPKLYRMRIFAPNTVVA KSRFWYFLKKLRKVKKAAGEIVSINQISEKRPEQIKNFGIWLRYDSRSGTHNMYKEYR EMSRCEAVATCYQDMAARHRARFRSVQIIRVAEVKNADVRRQYIKQLLTPKLAFPLPH RVQRAEKGNRSLYLAKRPSTFY PHYBLDRAFT_69996 MSKPTSFSTRSASSSSSYYTRNTKSTLTELKPEKYLGYKCQISE ARIKQEPSYSSTQPIAHTINNGLENIPKPHYSSTRILESVSQSNNETHSLIQFESDGQ KISEWSSENKMKRTDDMEKLNNIPLSDTFLESQVEELTIQNRKEDETNIETEILEEKN SSFKQPEESNHYNSETSNTFDNMEAKLVTINDKKLVSIKSELNEVRQRIADISSFTGC SDTTKFIKGTLFLIDIWPEQRDTLRHLSLKIKRGSMGFSPVIAQALLEKLLTYYISYS IYNTGAYISEIVKHDGTILPRWRFQQKNQELPFEERQKICQVVRELERERKTEKVKLI IVDRLYTVYTKIHGDDDADEVRDQLWEIVDQAVEVSLDMWSQPMPVKSVKATEDVYKS EDYAGIPAGSEPGPNTIQLEIYPSFKTHNSFGEEYVLLKGKLLYLQ PHYBLDRAFT_147418 MTLARVTATHVYVPLIKLNKFVQKGIKFHLSFSFIVLIIINIVI IMLASHLPFEIVFIIASYLLTKDKLNCILVCKAWRQPLQDSLWNSLEITSQRKLNSIC NTLKRKRSVYKIHGVYVKQLHMSGECRTTDQQLLRIQKSFQNLQRLYIGRFCLDGLNI GMQVGWTLWKSLTELTIDMHKTSVICEKEREKLFSCLPYLKRLCFTQRKNDPPLPFSL DNFEDLHDSLPRLEMLSLNIDLKCITENDQIRLWQVAPTKHMTKINFCARSMDSKWLC YFSLKYPDLNTLGLEAIENDKIPDFHKEEDLSVFSNLPAIFIHLKKASIRVRGCFERS HTVLWNLLSLHTVPVEHLTYRFDSPPYSPQLSSIVTRKCMISCSNTIKTLSIECRDDP FKPELIASVFKLCPNLVDLNIDIFLATIMLDTIIDLCVSLKRFRASNGSLELSKNEPR DPIMHGLRIIELTNTTAIASVFHYLSFHCRKLNYARLNTVKVISRLSNETGNCLVDMH YTQFKVLHIFYVQCFTSYATMFDAIGMNIMVLSKPRVSTDGHTNRRGPFLSQGTQSDT PTVQMWTHTYYERLKNRRWVPATRALMKKEVKFARKYYQDFERNKNIKNSLEVERSWT GELVWNNWKEDLCRGYFVLNCGPIDEYCIHQEKGCDPTLFNRIYSTLD PHYBLDRAFT_125895 MPPVPKPSKQLYDYLVIGGGSGGLASARRASGIHGAKVALIEAQ HRLGGTCVNVGCVPKKVMWNAASIAEALRDAKGYGFDVQGKPSIDWNYIKTKRDAYVK RLNGIYERNLEKDSVEHFQGFASFVNPTTVRVQQTETESFEISAKHILVATGGHPIIP NIPGAELGIDSDGFFDLEEQPKRVAVVGTGYIGVELAGIFNALGSQTTIFSRTKHILR TFDEIIREPLLKEMESVGVQFAFDSKVKSLSRASTSGPIRIDYESDGQAASIEVDCVL WAVGRAPNVQKLNLEGAGVKTNEKGHIVVDSYQATSSEGVLALGDACGLFELTPVAIA AGRRLADRLFGGEAFRNSKLDYENIPTVVFSHPTAGTLGLTETEARKKYGDDQIKIYQ SKFTNMYFSMLEHKEPTAYKLVVAGPEEKVVGVHLLGRGSDEMLQGFGVAVRMGATKA DFDNCVAIHPTASEELVTMR PHYBLDRAFT_24615 MIPIDRSLIFRAVNIIVACFMVIGGVATILTGGFPQFIRGIFCI LFGLIVFVFEFRLPSVLTQHVSFMFSFIGRGICKPFLIGCIILNQLPLAIASGVIVAV AGVAYCILQFVPNIEAPSNMSRSALDESLGGSSGGGRAAHWAQNNENSYGQSAANTGY APEPVV PHYBLDRAFT_147421 MSGTEETVLLTTAAFDARFPNTNQTKHCWQNYVDYYKCISARGE EFAPCKQFFKAYHALCPNEWISKWDEQREEGTNPSNFSV PHYBLDRAFT_170328 MTTDPSGYSDWHAKSINDTADLLNTSTSDGLSTQEANARHTKYG YNELSSDDGPQWIKILLRQFLDAMNWIFIALGGASYGLKDYVTGSLLMVIAILNLYLS FSQEYAAEQTLAALRNLSSPQADVIRDGREQSVASRDIVPGDILLVKEGDSIGADARL VHVSNLEVDEALLTGESMPVQKELIVLSNPDEPLGDRINMVYSSTIVSKGRGRAIVTA TGMHTEIGKVAAKLNESNDGDRTRLQKSMDKMYIALLVVAVICVIIVLASVKFKVNYD VGMYAMTAALSVLPAGLTTVMTVTLVLGGKEMAGHKAVVRKLKVLETLGSVTNIFSDK TGTLTVAKMVVVRFWTLKEGYFYVTPNGLAPEGDVYSTPGIQGKENDEKVNQGELVDK TQLSPTIERLVQCAALCNMSSIYLREVDKEEKSSPNLDEVPETEDTWISSGAPTEVAL QVFAHKFNMGKPVLEQAGWDLISEYQFDSTIKRMSTLCHDKQTNRTVVFTKGATERIL PLCPALSDDEQTQILKTVDLLAAKGLRVMTLAYRELPLNQEYRGGVNRDDIERELVFL GLTGIYDPPRPESRQAVQEAHQAGIKVHMLTGDHEITATAIAKEINILNETTMSEETI RRLVMTGTQFDAMTDDQIDALDELPLVVARCSPETKVKMIEASARRHNISAMTGDGVN DSPSLRIADVGIAMGKNGSDVAKQASDIILTDDNFATIIRAIAEGRRIYQNMQRFLLY YWIALASLALVILVCLAVRDPDDKSAAPMSTIGMLFLYIAITPPAGALSTQPASKTIM HQPPRPPKESLFNREIIMDTIAYALVTAICCIIAFFVPLYTVGNGVGGVNCDSEYIEG ACDSFYRARASMLVTFTFFSLVIMIHCRSYREPEWDMAGIKETLKSKTFIGTMIFDIV CLVIFLYIPVVAIKGFRMMAITWEWGLDMGLTLFFIVFGEAFKMFKRRCLKPMVTRSV EVV PHYBLDRAFT_69990 MSTNYFVLLWCQVINVSSAFPVNDCNPSGTGFFIGVVGLFNNNN KNTNISYLQCHSELHHTVLLISESFDVQVHRAFPQTEFVHKYYPNSLQYLLVQDHNVN LYVACRYLNSKDPECHSLVHYLQTHKRLRIWRLLVICFRKCQLTRMIYKEIKDVYYMW L PHYBLDRAFT_170331 MVPPCSRRWMRPRNGSVLPEQPFKSSPNSTVSSIYNASTIDGNE RLLSAANSINAPAAPTSLPLSVPVPVPVPSLSAFYSSPQSLRLNLQNCTDSPRPRRTS TDLTEPPPVFAYTDQTQRANQDVCGPDFHLTTLGCAVQGTQGIQPVKILIERLEAWQI FARRLYDHFEMLSQVEVAVGKTYQKMHGLASCTPQETTQQGPEKLEEKEHLLQVHFSF EGGIRQVCDAWQGYHDKRAKDHQAFGLFLQTQWLPSLAAIKREIKWMIRAVRADDRLT LSTLGRLKEEAEKRLDRLDRQLTFFNQHPDHGYNRQDPWLMNAAVVEQIIKLHRQENK FHETVLRLQQETLISEEQLIEEFRSLCQQLYAMQEKSELGIDRGFQMVMDTFEKVKMD GDWQDFAERAKDNLVSENAAFRRPDERLEYPNHTHPLLEPVFAARMERKSSVLHHWHE HIYVLTPAGFLHEYKSTKTYPEHPSTSIFVPHYNVSTISTHLLHHNLVFQLQPQSSGG ASRKLFYPAPSSLSSVPREWGSASSSSPRSMLRPSSSKTITFRAKSAKDMQAWLEQLT ACSHRFRPSVSYTAPPDLEPTRSLQLMNPSPAANGLTSTTKNGLNNTNGNSNKNDNSC SNLGTVKTDEKQIDSIVAATDKIQEAFASPVLTGLLDPAGLIVAEVKKTVKEEGQEEQ GQEKKTVKEEGQEEQGQEKKSVREEGQEEQDQEKKTVDSTTPVESEDNVTMMNVVLCG VLPPEDQHTH PHYBLDRAFT_69988 MDYEEEIDPYNITSLMTISQYRVHQGRFPTEATEELDTHMEEIS EELAEDSATGRKYQVYSDKQKVVFYYFNRIKLWKAVASGRNAQVEARTARKKPSQPQE EHKHHLIQFFDEHPQATRRDSVESLTRQFENFSLKETSAGNSIFYECNLTVKRTTLHH VARNNAENIEKRYVWAKKWAESSDMNYLKSCVSVDEAGFNINMRSPNARSVKGTPTIV ETPATRAIAHTILGAITTQDKPVVKPSRKGNVTEDYARFISKILDEMDKFPEMRNFYI VMDNAPIHTSDNITGLIEKKEDIELYTPSPNSTI PHYBLDRAFT_170333 MEYSNPKVIKESLVSESLTTKWLTNYTEAQKLNFTGCPARLFVK FPDRPVQFRWSNTSSSESGSDKRPDAIISNVTQLEFDSALGFGEAKKVERNCNTYGLC HDLLRLAIFTKNMIDINKLNESLSFQIHGFNISFFLIRLDHHGIYTMVEVGHLKFPKS IKELPSLVTLRNLKILPCHQGCLLETMLTIQRA PHYBLDRAFT_147428 MVRFCPICQCTRAETTSSVEQVYMCSNQSNDVGNLSVETNCDPA DFKEWEKSPAVNAENNNMDTENSNVSSDNVETRKESVEERDVFLSLFSRLGNFKNTIE SPLSFEFVTNRKLSGTLNDTEQSSIELKSMLQANNASKNLLLRIPCQSKSQSIKMSSM KAFLVELEE PHYBLDRAFT_147429 MLPSLKIQITNPNVYEELLFGTGKEDDRYCDTKRGFEELRAMIP RPAVGINSRAANGGQDLIPPPKNSSEKEKSHEDFIMNNVAEITEGRANELLDILKKNS LKACQPFALKDDSNGKSNGEKEWKDLSGEDRVYLVMPTLEKSVEEAGELEALNLSRGL WVVELLVQPKWSNMLRNRRRNKFARLGKDTEENSSSS PHYBLDRAFT_69984 MTGTKFVLLFFKLLFERYLSVAAATKQLGIHVRTAQKWAAQYEK DPDSIFEKWRKTFRPRILHDEHKSAILECIDENPSIVLDEVMKKLKQMFTELKVSKTT LLDFVKQHCNLSLKKARLQPIDRNSEEKVQERLDWGALCSRVLVSLYWCEAKEDATAF VLDFICYMMLFESFEFSKLTTTKYPNVELFIVEKYNNHKLELGTKTMNVLITSSIQID KNQSPAVGPSSTNGFSDSDAKIASQLFRAVAASVWEQGENAILSRHCVNDQQKNARQT AKFGILWMRFSGCSITAQPSTSLPSLITANAEVTRTICQIFTI PHYBLDRAFT_170337 MTELSLRMTIHQALQASFGIVGTASIVTVLDWDETSLEGIIKIE QRQKETHKLHHSNMSLFFNNDNWTIIHYLLPIVYCLFELVTVWSALANHQFLMSGQPC AFDILGSSASLISLANDSRSARF PHYBLDRAFT_187638 MSSSFSGNVRGTGYRIVVAADNTQVSKKAIRFAVQLYRRLEGTD NSLEIIYAVGLNPTSETTLSLFGGLDRTNNLDIENSAKEDLEGLEQFMTDYKNLVDFK LFMVQDTKSVEEILTGYINKDPPNLVVIGSTNKEGISRWVLGSVSDYCLHNCHCPVSL VHNNVDI PHYBLDRAFT_147432 MAGYSNDFSDGFFFIRSKDRPMAVDVNDGSMLNDAHIIIWPQKH TDSINQLWMHEDGFLLNKKSGLVYGMIDYRRVEYNAKAESNAKAKYKAKYKAKYKAKV KAKVKAKTKNYTDEACNFIKHTYICLVLAGDIKKDKSLVQYARKSGLAYNQRWKYQDG FIFPAAAPHLSLDIRGDHKEGSFIFLNTKMSGVSSQQWLVEPFENERSKQDLELLRPS VKLSINRCSDSKTR PHYBLDRAFT_69981 MLLIQLLIVFLLGFFFTTTFRASVQRNSMLNSCASDINLCRPEW RPPHEAKVFKETIHQATIANQAPIALSQKQQKQQQQLAPPTFPYTIITGSSANHLCAL ENFLYALNKLRPELDPSEFPRIAVYNIGMNRTQLPVLDQLQSNGLIDDVVTLDYYKYP RFWDVAINAGEYAWKTGIVHEARIRYGGTLIWLDAGNIVTTDFLRYIPSIVRQSGGFW SPRSSYMMARWTHPGMYKYYDASPEEYARNINCNGAAIGFDTTNQTIVDTIMEPWYEC GLVKNCIAPAGSSRINHRQDQAALTFLAYRSGHSCKRIPSRYHNLQVHRDVSCRATLL ELELQGMLSHPSAIDTPKWERSDTLALFSHPEWRYPQDKVPSHIGKLLSPIENENEDE NAGVEGESEVEVKV PHYBLDRAFT_24620 MIDLGHPEPVVPGQRLGYAEDYSAGTGTYERDGLLYSSVVGLRH VLQAAEGQLPLLTVTREKEQSAVPEVGSVITGKVIRVSPMQAVVAIMVVGEVPCKEDF VGSIRVQDVRAAEKDKVKIYNSFRPGDIVQAEVISLGDARSYVLSTAKNELGVIFATS VAGATMIPVSWQEMQCPKTKAIEYRKCAKPF PHYBLDRAFT_69979 MVIVMVIVYLHKVVKFIFMISSYSDGVMTHHYLSTAAWRTSINH RTSILFHFQTLASPDEGLELLHKQFGSNIIAAKVDTTTTTSSCSSCSSCSSCSSCSSS SSSSSSSSSNIIGSGTDTIIEVVFGSLEYKTEALLKGVCVEYRESKMCKVTATRTLDP FYDYTILSIWGLPLESLKDAERKVQTSIAPLLGKVVGLVCSVHPVCGFYDGSMEVVLR GHEHSLLNTIYLRSYRAEFPLRKTLI PHYBLDRAFT_114211 MSNQPRSHGTNRSLATSANVVGVHYKVGRKLGEGSFGIIYEGTN LLNSQQVAIKFEPRKSDAPQLRDEYRTYKILAGCTGIPTAYYFGQEGLHNILVIDMLG PSLEDVFDMCSRKLSIKTVAMLAKQMITRVQSVHERNLIYRDIKPDNFLIGRPNTKTA TMVYMIDFGMAKQYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLESLG HVFMYFLRGSLPWQGLKAATNKQKYEKIGEKKQSTAVKDLCAGFPEEFGIYLQYVRKL GFEESPDYDFLRELFNKVLRVRGETEDFVYDWTLLNNGKGYEVSLLLLLLLVYMS PHYBLDRAFT_134673 MSSPVDTFIEYAEKNQEAYIDRLRKAVAIPSVSGDPAYRKEVFR MGEFLIDELKALGASVETRDPGVQDFHGTPLPLPPIVLATIGTDPAKKTVLVYGHYDV QPALKEDGWNTDPFELVEDEKHRLIGRGSSDDKGPVLGWINVVEAHKELGLELPVNLK FCLEGMEESGSEGLDDIIFNEADKYFKDVDVVSISDNYWLGTTKPCVTYGLRGVSYFH LTVKGPKADLHSGVFGATIHEPMTDLFAVMSKLVTPKGKILIPGIYDNVLAVSAEEEK TYDDLSFTMQELHDAVGNEINIHDSVKDTLQHRWRFPSLSLHGVEGAFYNPGDKTVIP ARVVGKFSIRTVPDMEPKQITELVEKYVNEEFAKLGSKNTMSISCTHAGNHWVASPNH WNYVAASNAVEKVFGVKPDLTREGGSIPVTLSFQDALKKNVLLLPMGRGDDGAHSTNE KLDRSNYVSGIKLLGTYLYEVAEAKPE PHYBLDRAFT_57807 MAALIVKRLSENAKIPTRGSAQAAGYDLYSAVDIVIPAQGKTIV ATDISICLPDGCYGRVAPRSGLASKNFLDTGAGVIDADYRGPLGVVMFNFSKEDYQVK VGDRVAQLILERIFTPEVVEVEELDMTVRGHNGYGSSGKR PHYBLDRAFT_159331 MLAATRRAIVSTTASAKASYATAAAAQGVQITTAKNGVKVASVE EAGQTAGLSVVVNGGSRLENGHAGVAHFLKNYGFKNNANRTAFRIAREAELAGAVLSS NLTHESIVYSAEFLSEDAEQFAEILADVVQNQKFQEHEFIDIAKQTAAESANAWATPE LASLELAHQGAFRTGLGNSVFAKPTSHINNAAVKSYAKQLFVSGNIALVGTGIAHETV EKLAETYFAGLPSGQELKSAATKYYGAEIRTEGSNALAQYVLAFEGAASNSAEFAAAQ VLRFALGGDKFVKWSAGSSLLAQASAKFGETTEIKAFNFGYSDAGLFGVQVTAANADA TAAVSAAAEQLKAVATNLSGEDFKRAVAQAKFAAVAGLETRLDRLETVGTQALLSGNY TSTSELVAALDKITASEVSKIAEKILKGKATTVALGDLSSLPYADSVSL PHYBLDRAFT_182094 MAPKLPYEVVSIIANYVEKDSRLTGALVSRYICDTSNLDNPYLK NADRVCELKIQDLSTADGKYYSKLSQIYHGIRRLECFESLENKCAIETIDWSPWNLLS HLKIHFQYRNSIELENLFTGLSVLPCLLHLTLHQVSSEYGDMVTNIVSWSDLELLHLN SPHLEDISIDFLHEPILYDNMDQIRRITPASTVTTIRYSSSNVDASWIFYFALKYPNL HTLDLQASDSYHQENIVYKCQLQEDMQLLSTLDQFFPCLKTVCIQTGVNSIWSFSTFY DALRIFGAKVERADVDIHMRDPDLTDSFDSCLDFSSASLKVLMTSLISMPGKVPLSST LTFYPCLVELNIEIMGLIEIVIILDKYPTLRLLSLSLARVCLSKQSNHNHNPHILRRF EVNRIETNTEVFRYISFRCKQLSFLKLGDVDLRASEFTENGEILIGMPYSQLDKLIVC SIRETTKLIKFYAVIQEDNTGVNQSDSDNQEQKTRSNWYHIYLDDTGEIKRSLAWELG KQGIEYFQRSYRDALSSTYDDNSHTVFDDIVNYYEPKEAWKADIAFGVFGFVAMGPAL PFEILSNIAVYVDPKKNLACALVCKQWTEPFLNKRWCSITVTLEVAKYICNTRSQNNV YLKNLHRTLELKLMNIPKADMKYLSKVQQIYCKINWFEYDRPYRSDCIIQEIDWSPWK SLVHLSIPLCNRSLTASEDFFTKLSVLSCLTHLTLKEAVTQQSLEETIVVSWQDIELL HLNLPRLTYIDIRLRIKAIPAIDANKIRRITPAHAITNIRYGSDYIDAFWVFYFALKY PNLHNITLGRSFESGGHYQSHTIDYDKKKYQEGVRLLLTLDRFFPRLRSIFTSMNSFN RYQFSVFYNALWHFDVKLKDVELSFEGEKLDWAEGSQSCLNIRSDALASLKITLSSAS SLNPVGDLLFVYPDLVELQIETYNLIEVNIILDKCPSLRDLRMKGTEVSFSGKLKRVD TPHALQRLELDCVSTSTHMLEYISRRCKGLLFLKLYSIRFRKSYSDELDKLHHTKYPY HLVLNMSFLNLDTLVIYRLRRFGNIKFCAIQEQHQGDEEEDIKKMEDGNCKSLVPDEP SQLAGLKWYHLCWKNTEGVKRASMWELEKQDIDYVKGFYKHYVSLNYVSKDSDYRDNI YNGYSPKRFWQKDLQNGFLTIRLKSVKAIIHNKVFSYYRNR PHYBLDRAFT_79681 MAENLPYEILALIAIHVKRDSGFTCALVCKHWTEPFLNAYWNYL SVDKRIIKQICSKSNLNNVYLKNAQRVRKLHFEYLSIDDIDSFSELQQVYQSIKRLEY FESYKNKQIFEIIDWNLWKPLSHLKISFEYKTPDTLKDLFTKLSVLPCLTHFTLKSTL DSWDEKKILAVSWSHIESLHLHLSRLEYLDVDFMLASIPKDDMELIKKVTPAHTVTNI RYNDYIDAPRIFYFALKYPNLRSIEFGKDAKAKPRHSNDYDQQQYLKDIRLLSTLDQF FPCLKNVHTYPEAYNRWPFSIFCDTLQHFGVNTECVKFHLYTSNRVSLNALSKCLKPI AESLRISWQHIFFAEDTESITDHFILYPNLVELHMDTHCQIEIDVILNKCPVLRLLDI KNSRIRLSGQPQYVHNDSLHPLQKVEIKSAKTSTLILEYISFHCRQLKFMKLDQVWFN AYDMGENGQLLLDIGFSQLKTLILYNIRSGVDIVSHCVIEQMGNTNRNLSYPDYNEQP VRSNWYYICLDESKQRKIALAWELEKSDVEFAKKYCKDFVRRKNHDRKHKSMEHNYCN RAPKKLWKKDLQYGVFVVRSGSVENYFLDSKRV PHYBLDRAFT_182096 MDPAQKEIAKKALKALNSRTELVARHLEPLLSHSMSEVYTKLPV NERAQFQVLISYAINSLFYTYLRTQGHNPQEHQVMKEIERVMSYVDKIKRVEGRGPKA SMKIDKGAAARFIKAALVNDPEESKENLKRKIDSTDQEEPAKNDSEKNKKKKKENELL EEGEVKGATSRSKRNRMDPFQGYQN PHYBLDRAFT_69970 MSFSFPVLHFEPQGPKQIPDAAIIVLQEWWGVNEQIKRHAQHIA NNSGAHVVVPDLYKGKIGLTAEEASHLMSHLDFEAAIGELLQLVTHLRSTNKTRIGAI GFCMGGALSLALANQATLLGAPIQVAITFYGTTSGKLDVTHISKDTAVQGHFGGQDNQ IGFSDPPSARQLELDVANTKDVHIYTYPDQGHGFLNVDDWSISVRKDMDMVAKDSDPV KEEKPIRDLAWSRVFEFFDKHL PHYBLDRAFT_182097 MSLPFKIFSFDPKGTKSIPNAAIIVLQEWWGINDQIKRHAQRIA DNTGAHVVVPDLYKGKIGLTAEEASHLMSNLDWKVAIGELEQLVEYLRDLKKTHIGAI GFCMGGALSLALAANAAEHGKPIQVAITCYGIPGAPFDVKKITKATSVQGHFGGKDQM DGFSDPASARKLEVDVSGANDLHIYNYPEQGHAFLNDDEWSIAKRKENGMVDKSIDPL KDEKPVRDLAWSRIFDFFHKHLV PHYBLDRAFT_69968 MVPSNQTNSQWDFDAPRYRDFSQVHGESSQSDTWLANRLENNPR PPRSIPQPNTFFKRATQAPIKRPSGITAKVPRDPPSIHTPSQPDSNYTEPHSPIRKAL IQREPQRSSPIAKPNPQKNIDKSKAKPSILAGPFTLSQPLSTSSSTATERYVPNRPPT PADKGKGKEKGVEKGNEQHDYKSENYSISQPMQDTQGISWSATSPNPPQKPSLGPTKY STSEPPKDVYEEKSIKKRSFEESVEVNPSPLKQAKTHQTNDSAPKTHYMPRFPASKNS TVRSQERLKPGTSSKKYFTIPKKSIQRDSPLKEKPGSPISKNHVAPEKRIATISTMVK ETKPLTETARKGVAPYVPRFARLTTPNSSEKNEHEPNTDNPQASFSLSKEIHKQTPPI QEVSAKEPPKPPLLNPQEQIAVKTPGQSNPKEVKSIKPTVQHAQRIPSQQSRLLSRPT SSNPTVKKSFASVVPPPSHPISKRKPDTLYRSHSKPSEDGYVEKIKTALFSDDIFKKQ LEKKQHEIKSKQVPSTLKSTVPRLDKVKATPTNATTSEPFSIKDSFSNTIKSTILQHM HQTPSKSHPHEPNALYSHPLSTTNTTRKNEQPLIKEKEPEIYTNSIQRKEDLDEIFKG METIRMELQNAQEATQHIVNKEPLEVEYTQLRSEKRPSIEEFIEDKTQRALRVIAESK RRSRHWESIITSPTPITRRVTVVPLQEKKETTGRYSQMYTSGQNYKSPYLPIEPTVAK SPVFATDSRMYLPSRMEIDHSDDYSSKQSTNVKPARRKTFSFDGPLDLPPPKRPRLTI PQSPEFLTDQRPPRKSYLDHETKQLNINNRYRRSSPSLRTHNKRETTASNQRTGLFAK TNYDGSSFRERLEVWKNRARQEELANASFSSML PHYBLDRAFT_147446 MAGANTPVLSNLRISAYAETRMLTSISVRHYLRQGNPAAVNSTN ERIHPFIDRRWLTDR PHYBLDRAFT_170354 MNRSSLYFTTLFGILAQNYLNFISEALRVLWLLFLGLEYVKFDG SELMKSVKIFIETSHSQLDTLILCSILSYCGLIKHYSTKQHINGNLNQLNLNNQEHPT LSKRYRICFNKTNKIDRSLAWELGRRDIECPQKFCKRFMNNDSPAYNTVFTKTWVALV GFFFKRKFFKNLRSLESGIVFGVFVIQFKFVVCYCIGKEELYAKYYGDFGYLEDFNLL LESS PHYBLDRAFT_170355 MNSKVYNALCAAFALAYLLAPALLFIPVMLWEASPMPSAFCMAK SAKRRRPSMAGVGAIPFVVVRPDGENQVEAHKNVMPQTILGMLSNWLGSLWSTGSQTT GEPSGSLLSKRHGGIPEHMFVDDSSSEAEDVVCVGKSSVFFGAGLRGYVPHLLPLHKL GRGIATMVFPVNGVAGTCGETHADLDGGVSSELVDKCDEAEVSVRLRFICDRFFSEGF ANPRLSARFLDAMLEEELNDSENKAHRDSLAEVAEPECGVVPVPVDEILVFEQEFIQT PSGLDNAGFVVPKPKFGTVEGLNEAFALSSWQSANGFFGECLSTGLPTPMEIDDDLAW SEVMEVEIPMEIDDVAEVMVLDANCGEKLMTHTYNPTVTDLQYVSQSANMDCDTNDLP ACVPQQAADDKMEDDTEKIVLSGPSSLKKYDAPKPRQKVGADEVKGSKEPSMAVSRPK EKSSKLPRPASQKAIEEAKAAIEGPESKVASASCDLPSQLPKSLFGLRTERAKLVSSL ASKVPEPVTTASCDKGKAPKKKAKTQGSTATPAAQVSVPVAAPSSKKEESIKEFKSDL PSASRGKKVKTSGGDEKADLVIEPIGKEIGEPQIQPFPARNPASKPAKSSSKGGKEVP MNLLKYALRFKGAPTVNKDTFPWDYLRGDSRWVSWLSCKGSLFYVRFLQLSNSKDWII VSLWDRHHAPPVSLVLSLGLA PHYBLDRAFT_147449 MSVRENLANLGRNPMFLARFPKTSWDYLPPGNRFSTIYISANSK SKKTYPSLPVSLRELTRKKRRGLTIAFQGPQERIRQGSKTHSARHDYIMNTVPHSIHS PWLKHKTQATKTQNPANQTRQANRTTVAPKTTQNQGLRKPYSYRNHHSQISASDLRGS QQEPYEQKVQANPTAVQAPPSNVYKRQIPEANRIENQSSTTTGYTHL PHYBLDRAFT_147450 MGTTRINSSSSFKTIGSGLQKGIWDDRVYIMDREHQQEQVEAGS WCGKPGSGSSSAIRWSNPFAVDPIAASWHIVSARSLVVYCRWSRIPPTGDQGPFRPVI KEHFAVPSPSLQMMLPIPKQVPLLTKQILSIKPYSALSTPIMRQIPKPESCARMTAAR TNRGAFLSKSKIWAVPPSLKAKSISKKGSNAKFQKQSSTPKGSNPKTEA PHYBLDRAFT_170358 MGTLIEHIFFTILANYMVLKLPLHLTVKHPDLDFGIKVEKAEFP LNSRDKDLTIHFNSYLNFISEALRVLWLLFLGLEYVKFDGSELMKSVKIFIEMSHSQL DTLILCSILSYCGLIKHYSTKQHINGNFNQLNLNNQEHPTLSKRCRICFNNTDKINRS LACELERRDIECLQKLCKGFMNNDSHAYNTVFTKTWVAIVGF PHYBLDRAFT_170359 MNLGYILFHYTVYKDPEKSYKQFKSLSHTVEKYSQNLLDRADNP IRKGIIAIIIFFRWSLLVIAGEKIALSRVVQWSRPNFGKANLNSTYKFLMVIIYKEFS WKYWMHML PHYBLDRAFT_170360 MAKKINRSASSGAFIKKIQLENEDYVDLEFDHEDDIVLDDRELQ GMSEEVTSAAYTNQLLKWHEGADKSLRRTYQKNSRTTEWRKRKADNDASNTQGSYRLT DKGFFIKVQKEAPIELKESIDSELENIQIDKIAVLKLAHEDVKKEIFPYTRAGPSSQS VDAFELCKLKSVECYLRYRISGAKTMEASEKASMETWLHKNTYRPAAIRKYAKEYVDF RSIALHQQGKHLRRHSLFSDEDIKSTICKWIQNQRPESRSLIEVKKYIDGEILPRKLG IPGNTSTNTIWKYLHEWGYVFRKNSKDIYYDGHEREDVIAYRQKWAKRMMVYKKKMAT FSENEETVVLPVLRSDEIEHVLVTPDESTFYANDGKDTMWLMEDENPIRKKGPGMSLM IRCKAVFSFDQSTNHKAYGQNALISSKMNLNDKEIEDDDPCSLRDTVFVRNGVEEVQS MYYEKDEWFAKKSGQWVQNKVKYVKGVRHILEERGLWLEKDPYNPIKKWRLDCKSKDA SEDSKCCAHHFLASQPDFMSQKTALHEAVEDSGHIFELYPKFHCECNWIERYWGAAKR EARLQCDYTYKSLDKNIHTFLDHAGKLPNIRRYYNRSWRYIEAYSQEMNVKEANDVVV GH PHYBLDRAFT_73936 MIPKFPYEIISLIASHLESYDQSTCALVCKQLTEPFQNAHWYRL DMNNYIIKGFFNKSQNNTYLTNAHRVVELTIDGLNEHCEKYYLKMQQLYPDIKRLEYY ESSQTNQILKMINWNSWKTLSHLKISFHFKIIIVPRTLFTKLSVLSCLTHLTLHSILS VWAVYKNQAPFSWLDIELLHTFLPQLEDLDVKFMLTPIPKQDINIIRRITPAYTIKKF CCSNHYINAYWMFYFALKYPNLLEIELEIDGLGISERPITQKEDYQNEIQLLSTLDRF FPCLKKTRVRAESFNEWRFSMFFDTLLYFNVNIETAKLSYYEKCTIEPNKPKSLLSVT PESLRVLWIELLYFSDEEPITKRFVLYLGLVELHFEVYVQIEIDVILDKCPLLRLLYI KSGKVYLSEQSNNITGPHPLRRLNLQGIISNTQVFEYISFRCKKLSFLKLNSVDFKEF ALTETRRLLFDMSFSQLDTLIICNIRLKYDKVKHFIIEQVSNVDDGSLDLDYLEGPAQ SSWYYIHLDPARAEKVPCTWKLDESDIKYAQEYCKNIKKGSFSGISIGSMGEAYGGYE SKDFWKKDLQNGIFVVRVQSVKDYYLDTEKIESMICML PHYBLDRAFT_73935 MKMIILIKLANSTPKISCKSLFIKLIGSITIGNLANNLFTPNGK RYSQEDFFCELLTIDEFCYICVRYIRYIVNQTITVILAIKQIHKYANTQYFSETELSR IIYTIGYWPSSFFVNHKKSHASGQLESRGILTKNPK PHYBLDRAFT_182098 MSPLSSRLGQISSHLNSSTTPTTMASAFSSVPLAPPDIIFHLTA QYKADKDPKKVNVGVGAFRTDKLQPYVLPVVKKADAILFNDESLDHEYQPIAGQPSYT KAAARLILGKDSPAIKENRVAAVQTISGTGANHTGAAFLAQFYKKSRTVYISNPTWAN HRNIFSMVGFEVKEYPYWNPTTRGLDYSGLIKAMEQAPDGGIFILHACAHNPTGVDPT RDQWKGIADVMQKKNHFPFFDCAYQGFASGDLDSDAWAVRYFVDRGFELFVAQSFAKN FGLYGERAGNLTIVTKSTGETNTVFSQIEKLQRAEISNPPAYGARIVDIVLNDPVLYA EWKENLKYMSNRIIEMRKALYGRLIELKTPGKWNHITDQIGMFSFTGLNKNQVTVLKQ KYHIYMTDNGRISMAGLSTTNVNYFATALDDVVRTVA PHYBLDRAFT_182099 MTTSDMRLPGFQQPVGTLAVQNNCLYNPNPNKDSPYPGHCIQPY SMPDTPTSAAFDTYQPLPTPNINTNTTIIINNNSSSSNGITSLTTPTSTHVTTDSPGQ QPCTYSILPFEEQPCPKYREFLEASRPERTQMIERLVDTAAEIIDSIWQPKFLVDQRK VKVIPTKGFIREMLSRSKATYSTLQICLFYLFRVKKVVHEKLRKRFTQPTNVNNVNNH TVHNHNNKSPQQSSINRTEDLMCCGRRMFMASLMLASKYLHDKNYRNRAWTKISGLSV SEINAAEMAFLKLIDYKLYVSKPTFDKWYTLLHSYTANRKI PHYBLDRAFT_57806 MKFAKQVLEFNSPRLVTESLQGLCYAYPHLRLDSKHNVIYCANV DEIAKSQVTMIAGGGSGHEPAHSGSVGSGMLTAAVCGNVFASPSSSQVLAAIERVQSP HGTLVIVKNYTGDCLNFGLAVERAKAKRIKVTMIIVDDDVSIGRTKGSKVGRRGLAAT TLVIKLAGAMAATGARLEEVSQMGSMCIKNAATLGVALDHCHVPGSSADSHLASLGPN EIELGMGIHNEPGFLKTPLTSTKALVATMMDILIDQDDPERSYLDLPFNKADHQSHKI VLFVNNLGGTSMLEFNGAVKEAVDYILTKSHLSLERVLAAPFVTSLNMPGFSLTLLKI VDPKMLELLDYPVKVAGWPLAPAHEFVTTKESLSSVQPSLTNKPATLQIPQNPEVIKA VIESAAHAVIEVEPEITSLDTILGDGDCGHTLKAAATAILKILPSLDLSSASDTIIGL ADTIERTVGGTSSAIYCIFLNALAAGLQQSPVPKDNRVLWATAARHALLILQQYTMAR VGDRTLMDVLTPFVNALGSKEMSVDDAVKAGLKGAESTRRLTAKMGRASYLATEDVLT SGLPDAGAWGLAAILKGLGQAIDSQS PHYBLDRAFT_69956 MPYTNFFILKTACVFCRRSHMTCDDGRPCQRCTKRNIGHKCYDT PRPSAKKRASATLDIISPVSADDKLVIWGNLLEFNPNSDSPFEENVNESVSRERRESM RENFFLIAADPTDGNIEDRLNQIINAKYEAGFLKPYNYVNGYARLQRYMDQHMSSNTR RRILNVMGTFRPAFREIAQSLTDIDLILVEEAFERLLLDYDRVFSAMAVPACLWRRTG EIYKGNKEFASLIDVPVDQLSDGKLCIYEIMAEESIVNYWEKYGNIAFDPRQKAVLTS CLLRTKKKSVVLSCCFSFTIRRDRYDIPTIIVGNFLPIEIQS PHYBLDRAFT_69957 MRLVFIWYILASKKKPGENRRDRQGSEKAKEKKRQNTVHTVPKL RILKQNAITGDLKSKYIWKFVPIEPGLILDMEVSGDSNGGFILNSDKGPLANPLNACL TAPSSALVYVPFTALYSQHIIHIEYSIISKPLCRRE PHYBLDRAFT_69958 MSKRLPYDVLSYIAKYIERDTQLTCAVVCKQWTEPFLDAYWHYP FINSKALKSLCKKSNRNNVHLRNLHRTRGLTTYYLTEKEMKLFPTLQQIYQNINHVEY IEATDYEPILNTTDWSPWKSLRRLKIDYDYTWTELVRDILFTLSPLTSLVHFIFKTTS SHDDDIKGHGAASRLLTTTGVLESVKEIAPAKTIKEVCFDNDSLSTPWMFYFACKYPN LQTIRFKDGYRSPESIEEDYEPKYYKDDLQILSCLDQFFPCLKSAHIFTESWNGWPFS LFTSSLKHFGVKLEFLNYGTNSFGPDWLVHSNRCLQPVADSVQTMKLDIAYADDNKLR TNDFNLYPSLVKLRITLRSRPRINIILDKCPKLRILDLSQGEALSLSNYAKHSQNQSL SIHPLRRLNAQSTSIHPEIFKYLSFRCQQLLDLKLCKINLIEGDWLKTGQLHMDMLFS QLNTFELAQIHFSKQKTFKLFAIAQTENTNTNSTSNTNTNTNPDTDINLPEQSNQPQL TQLKWYHVCLDNSSGKQKAAIWELGSQDIDFAQRYYENFPENQARDQAHDQEQENLPQ PSATGLIPRSDWQKDLQYGVIIIRVKSVKYFIFDSILLKT PHYBLDRAFT_147459 MDLYGPDTIDTIPNGTKANSEFLPVSIEPDQDDRLCLDNLDVSS KFFEFQTHVHNSTDNNGKILTVENSGQHLLALSSIPLLKPSLAHADLFQFVKNDVSDL IVMLKRLRAWVYQSPAPLLNLRLTREEYDFIQDALYGEYKATIGIVKDELLEQLLALK SANLFISTY PHYBLDRAFT_134687 MEITSGPLFTTSTGLIDSVDKKLMVVLRDGRKLIGTLRSFDQFA NLVLQDTIERIYVGNCFGDIPRGIFIIRGENVVLLGELDTEKEECANLREVPVEEILV AQREEMEAKQRLDKVRSKALHNHGFCVDNAQNDLY PHYBLDRAFT_147461 MSTPDSMPTTRNYGSVSAPAQVDEENPTQDPFTSPAESSSTNYI RKFSRHTLPKS PHYBLDRAFT_170371 MDPMQILDTIQNHVSQAWAYRKQGRDSDMETQIDSMLSLLEDLI DRSYDRFATLDDEEEIRPVKSQDIQKARQLTQTILVRIVSGEMSIADEQIDRAAKAMA HLSGRGAAGAMVGTWYIPYLDSNGVRESVSLKIHEPSFIGNDIGFKTWGAAPLMAKRL VQERFLPNLENQTVIELGTGTGMVGLICAKLGAASTDLTDYHPSVLENVAINVKLNEV QANVSKLDFIELATNPDSVWRNRKFDVVIASDLLYEMEHAEYLPVAIELLMTDVFYFM IPLRATHTKEVALFERRMEEIGLYLDQTSDQEVEEDEGWVRYRFYSYIRA PHYBLDRAFT_170372 MYTKLNSLAVNRSHALDGTSLGSHLKTTRETCAESYNSSSEPQT SYQTHDNPNDHLYEKRKRRRESHNAVERRRRDVINDQIYRLSTLLPDKESNKTNKGAV LRRSVDYIHLMQDTLRQYQQRIHEMERILEIYQRTETSYRPFSHAPL PHYBLDRAFT_88687 LQQNLTIEGLLRHSHKLQKIADKNHHTRVFGSGGHNDTLSYIAS SVLEQGYHTWLEPMVLNFTQTLHQSASVIFPPTPEPILNVRLMTFTTSTPVSGATGSL VRIGGLACDEIDQDLGGKIALVQRGECTFGAKAYWAGQAGASALLIYNTEPGSLSGTL GQDYESGAPTGGISREDGERLIRLLDEPWHPDVVLTVRLVEKREARLTYNVIAETKGG DKSNIIVIGAHSDSVAAGPGINDNGSGTAALLELAYLFRNEKPVNAVRFCWWTAEEYG LLGAKHHVDNLTKEEQKDIALYLNFDMIGSPNYYNGIYDGDGSDSPMAGPPGSAAIEK LFQDFFESKDEAHDPSEFDGRSDYGPFAAGIPSGGLFTGAEVPKTEEQAKKYGGEAGV AYDSCYHESCDDINNLNHHAFLLHARGLAHALAVYSQSTESI PHYBLDRAFT_93318 MTIYNLYIFDRHCQCIYFQKWAHQPKSVVNNGSVNGQSQTGSSR LGLATSRFSMSSPGSSSADHSSTPNSSSIIRSEERIPSHTAGTQRGTQQSGLAAGSMI SIEEEAKLVYGVVLSLRNFVRKLSTSQDGFISYKTSTYRLHYYETPTGLKFVMNSDPN TESLRAVLKQIYVQLYVEFVVKNGLMRFDDTRWEPLPNAPGTISNELFRTAVDRFIRS LAVF PHYBLDRAFT_147466 MGTTRINSSSSFKTIGSGLQKGIWDDRVYIMDREHQQEQVEAGS WCGKPGSGSSSAIRWSNPFAVDPIAASWHIVSARSLVVYCRWSRIPPTGDQGPFRPVI KEHFAVPSPSLQMMLPIPRQVPLLTKQILSIKPYSTLSTPIMRPIPKPESCARMTAAR TNRGAFLSKSKIWAVPPSLKAKSISKKGSNAKFQKQVPKEKPKTKNQIRGLY PHYBLDRAFT_147467 MSVRENLANLGRNPMFLARFPKTSWDYLPPGNRFSTIYISANSK SKKTYPSLPVSLRELTRKKRRGLTIAFQGPQERIRQGSKTHSARHDYIMNTVPHSIHS PWLKHKTQAAKTQNPANQTRQANRTTVAPKTTQNQGLRKPYSYRNHHSQISASDLRGS QQEPYEQKVQANPTAVQAPPSNVYKRQIPEANRIENQSSTTTGYTHL PHYBLDRAFT_170377 MNSKVYNAIYAAFALAYLIAPALLFIPVMLWEASPMPSAFCMAK SAKRRRPSMAGVGATPFVVVRPDGENQVEAHKNVMPQTILGMLSNWLGSLWSTGSQTT GEPSGSLLSKRHGGIPEHMFVDDSSSEAEDVVCVGKSSVFFGAGLRGYVPHLLPLHKL GRGIATMVFPVNGVAGTCGETHADLDGGVSSELVDECDESEVSVRLRFICDRFFSEGF AKPRLSARFIDAMLEEELNDSANKAHRDSLTEVAEPECGLVPKSAEETPVQRQESVQT PSGLDNADFVVPKPKFGTVEGLNEAFALSSWQSANGFFGECLSTGLPTPMEIDDDLAW SEVMEVEIPMEIDDVAEVMVLDANCGEKLMAHTYNPTVTDLQYVSQSANMDCDTNDLP ACVPQQAADDKMEDDTEKIVLSGPSSLKKYDAPKPRQKVGADEVKGSKEPSMAVPRPK EKSSKLPRPASQKAIEEAKAAIEGPESKVASASCDLPSQLPKSLFGLRTERAKLVSSL ASEVPEPVTTASCDKGKAPKKKAKTQGSTATPAAQVSVPVAAPSSKKEESIKEFKSDL PSASRGKKVKTSGGDEKADLVIEPIEGFANPRLSARFLDAMLEEELNDSENKAHRDSL AEVAEPECGVVHVPVDEILVFEQEFIQTPSGLDNAGFVVPKPKFGTVEGLNEAFALSS WQSANGFFGECLSTGLPTPMEIDDDLAWSEVMEVEIPMEIDDVAEVMVLDANCGEKLM AHTYNPTVTDLQYVSQSANMDCDTNDLPACVPQQAADDKMEDDTEKIVLSGPSSLKKY DAPKPRQKVGADEVKGSKEPSMAVPRPKEKSSKLPRPASQKAIEEAKAAIEGPESKVA SASCDLPSQLPKSLFGLRTERAKLVSSLASKVPEPVTTASCDKGKAPKKKAKTQGSTA TPAAQVSVPVAAPSSKKEESIKEFKSDLPSASRGKKVKTSGGDEKANLVIEPIGKEIG EPQIQPFPARNPASKPAKSSSKGGKEDPKSLLKYALRFKGDPTVNKDTFPWDYLRGDS RWVSWLSCKGSLFYVRFLQLSNSKDWIIVSLWDRHHAPPVSLVLSLGLA PHYBLDRAFT_147471 MGTTRINSSSSFKTIGSGLQKGIWDDRVYIMDREHQQEQVEAGS WCGKPGSGSSSAIRWSNPFAVDPIAASWHIVSARSLVVYCRWSRIPPTGDQGPFRPVI KEHFAVPSPSLQMMLPIPRQVPLLTKQILSIKPYSALSTPIMRQIPKPESCARMTAAR TNKGAFLSKSKIWAVPPSLKAKSISKKGSNAKFQKQVPKEKPKTKSEAYTKSSSPKGS KEIRTRGIY PHYBLDRAFT_69966 MNSKVYNALCAAFALAYLLAPALLFIPVMLWEASPMPSAFCMAK SAKRRRPSMAGVGAIPFVVVRPDGENQVEAHKNVMPQTILGMLSNWLGSLWSTGSQTT GEPSGSLLSKRHGGIPEHMFVDDSSSEAEDVVCVGKSSVFFGAGLRGYVPHLLPLHKL GRGIATMVFPVNGVAGTCGETHADLDGGVSSELVDKCDEAEVSVRLRFICDRFFSEGF ANPRLSARFLDAMLEEELNDSENKAHRDSLAEVAEPECGVVHVPVDEILVFEQEFIQT PSGLDNAGFVVPKPKFGTVEGLNEAFALSSWQSANGFFGECLSTGLPTPMEIDDDLAW SEVMEVEIPMEIDDVAEVMVLDANCGEKLMAHTYNPTITDLQYVSQSANMDCDTNDLP ACVPQQAADDKMEDDTEKIVLSGPSSLKKYDAPKPRQKVGADEVKGSKEPSMAVPRPK EKSSKLPRPASQKAIEEAKAAIEGPESKVASASCDLPSQLPKSLFGLRTERAKLVSSL ASKVPEPVTTASCDKGKAPKKKAKTQGSTATPAAQVSVPVAAPSSKKEESIKEFKSDL PSASRGKKVKTSGGDEKANLVIEPIGKEIGEPQIQPFPARNPASKPAKSSSKGGKEDP KSLLKYALRFKGDPTVNKDTFPWDYLRGDSRWVSWLSCKGSLFYVRFLQLSNSKDWII VSLWDRHHAPPVSLVLSLGLA PHYBLDRAFT_147475 MNKPEELCIGTWTWIEAKLRTGTRLWIRTSLAKRNPGLSADEAV KRITTNGPISYSPVLTKAARDFHRQFCSSSIHYAYAYSDLAIFRNFLSVPYIHLTWSD SCLVAVSFKLTATHETENGLCRNNPQIACNPTFCDKLEDYIYTISFTKYSTQTLATLE ARLQNKRCGIHIIIERRTDSTTRHWFLLANGPGKLTISRAVTRQTHENGEISAEYLRC TVSERQSRKNTNPLRHSSTDHLHTAIASMTEAAAAFCEASYTPKQVDQIPIDNVLHNL PQDFYLSNTRCSSLAATSSVANIREGILTQVYNKVITGGRFLPSWKVICVFLLPKKDN LSLLKILRQITHVNADAKGFTSLLKARMVKAARSILTPSTSPSGIRLLLDQEKAYDRL NSNYLKQVIVRICHTRHFQLTLFSSHKATLLCRQSFGFPLADPEYIMVTAPQHHIATC APASNARINLHYLGFPAIFFLTQTTTSAHKYQALSIRGCAPVMNSFIFSKFWQLFYVV SVSGTFFANVEYRITRFLQDRSFIPVKMGGLKALNREFQQGALQLHCL PHYBLDRAFT_147476 MNVVMKTDDHRTVTTAGTLPQSGAIRHGSWTGRHCCEARPTLHF QSVDWRILLRVDDLNYSSTEQSSGLLVGLLFKRLKSSNEKEIYHIQDLNGVATKRKEL DQLWKRAVE PHYBLDRAFT_147477 MAPQLPYEILSNIASRVPKEKLINCALVCKHWTEAFLDAFWHET KFEEVNIMKEMSTESNMGRVCFKNAHRVRELTLDCVPLDDMKNFSKLQRIYSGIKSLD YLDPYTAHQGFYIINWGSWKLLSRLRMEFEYSVPVIFEKLFTKLSELPSLTHLTLEAV SGYPGYDDEQTISWQDIDCLHNTLPQLEFLKCTYTFEPISRNDIDKIKHVTPVHALTS MHHKHDYVDASWIFYLALKLPNLIHIEFEDGLKDDHMDPMSYNQKHYLEDIKLLATLD QFFPRLQSAVTFTGSQNGWPFSIFYDTLQHFGVKISNVRVYDCRFPGGPLDGHDRCMR PISESLQISWQELTFSHFHIPITTNFLSYPNLVELRMESRYDIEIDIVIDKCPVLKVL NLYNSKICLSRLPLYRLSEHPLKKLRLIRCETNAHVLKYVSVCCKTLSILKLSSVQLY GLRFKKTGQLVLDMPFTQLNTLILFSIQLNCSPVKSFAIEQLDNVGTDQSNSSRKQQT TRSNWYHTSLIKTGKISKMSAWELGKRDIEYAEKYYQSFIRRKGYEKKFGNMGQYRGG YLQKRFWKRDLQLGTLVLRFKSVKNSFFDFGRFC PHYBLDRAFT_72862 MALNLPNEILSKIAGIADKNDLLNYAQVCKQWKEVFLDAYWHTT KLKPNTMEYIYNETEHDNICLKNAHRVWKLIIKYVKVNDIEETECVSRLQTIFSGIKH LEYTEDTLEYAYLCLNDWSPWTLLSHLKITCLYQDAEQLTWLFEGLSKLPSLTHLTLN SKPLYTYDIEYDDDDEEDESVPWLVIESMHNFIPKLEYLDSNPAFRPIPNDDIEKIRH ITPAHTITSIGYNYDFLDASWIFYLALKYPNLCSIVFFDSDKKNAKDSIVYSRNRHQE DIQLLATLDQFFPCLTSVNTLTGSHNGWPFSIFYDTLRNFGVNPESVTVNILKGGEQS IYSHNRCLLPISESLKISKQSLDFPRFKMPISNYISSYPNLFELHYYRQMPSTTSIEN TSAQNMSLESPIVPNRSHTLKRLDISHSKANAHVFKYLSSRCKNLLWIKLNFVTFDGL RLKKTGQLVLEMPFTQLKTLVLYNIKLSYDFVRHFCIKQTDSTDDDQLDPDHYQGAEH LSWSHTHLDKTNPNSNISSWQPWKHDVKYAQRYYRSFTHRKGYEKNYGNVGQYRKGYL QKRFWKRDLQRGVVLFHSRSVKNFYFCANKAT PHYBLDRAFT_114354 MGQVLLFVIASVFSIMMLFLSIFYVIMFTDLECDYINPFDLCRK LNKFIIPEMAIHAAMFSLFVLNGSWIAVLIHLPMFIFHAKKVYKNDYLFDATEIFRTM WAHKKEYFIKIAFYAFCFFYFLYRMIVEMVAADDTWKSSRKVK PHYBLDRAFT_114308 MPTFQSKPFGRQSTLGPLAAKAKKHPFVLFGLPFLAIMIGGSFG LAQLTQTRYDHRDMRNTKLAKEDALGIDKNRRKLSLQEEYWRLQAKSDDDDDWEQVRI ERPPGVQ PHYBLDRAFT_182105 MILSTIVDSAAKVLLEEAQALMAAAARLQSNTTTRDGYEQAIIH LFRAIDRGGKVVVTGVGKSGKIGEKMVATMLSTGTPAAFLHPVEALHGDLGVVHPNDC VLALSYSGNTEELLMLVPSLKRRQVPVVGLGGNPKSKLAKECVAWIDGHVTHEAGGDD LPAPTTSTTLALALGDAVALSLAGLRSFGTDAFALNHPGGSLGRRLLLKVEDAMHVAD KVACVSVDAPLDIVIMQMTRHPKGCGVIVLENDIKNSCLSNNKPIGPSVDNADVLDSL LPSPPSSSVASSVASSVTEDEAVCEVPPARVNVLGVITHDDIHRILRARVGIFDIKAV DIMTRSPVVCGADTLASEAMRVMVEHPDYELPLLPVVDREHGFRGVVTLKDLQELF PHYBLDRAFT_182106 MVARGIGSLSAKYCIHIGQQFWETISQEHGIDTTGAYAGDNDLQ LERINVYYNEGSAGKYVPRAVLVDLEPATMDAIRSSPYGKLYRPDNFVNGQSGAGNSW ARGYYTEGAELIEGVLDIIRKEAEHTDCLQGFQLCHSLGGGTGSGLGSLLLSKIREEY PDRMLCTYSVVPSPKVSDTVVEPYNAVLSVHQLVENCDATFCIDNEALYDICFRTLKL TNPGYGELNQLVSAVMSGVSTSLRFPGQLNSDLRKLFVNMVPFPRLHFFMVGFAPLTA FGSQQYRNLSVPELTAQMFDARNMMAASDPRHGRYLTVATIFRGRLSTKEVENQMLAV QQKNSSYFVEWIPNSVKTSLCDIPPVGLKMSGTFIGNSTAIQELFKRVNDQFSVMFRR KAFMHWYTSEGMDEMEFTEAESNMNDLVSEYQQYQEATADEEYLEEEDYLEEDEVLEE PHYBLDRAFT_72855 MAYDFVYKKHRGWFNTNSCTRFFWRTLVITLDSMITLVRFLIDV EQKIIWMLMSSRVRVKISTNILNTGAKAVWMVVQVPKELSSIGCPTKSTTIDGKETII MVPPRLLFVQKSGASLWQTVFSTAQSKTFGEEYPTFIKRLKHTLGQQGRSQPEISTII TEKTKVIFVYFDEFYLTIGQRPSIVCLRLSKLGCIGLFTTEFTRFLRY PHYBLDRAFT_147487 MPRPKKRSTMAKKINRSASSGAFIKKIQLENEDYVDLEFDHEDD IVLDDRALQGMSEEVTSAAYTNQLLKWHECADKSLRRTYQKNSRTTEWRKRKADNDAS NTQGSYRLTDKGFFIKVQKEAPIELKESIDSELENIQIDKIAVLKLAHEDVKKEIFPY TRAGPSSQSVDAFELCKLKSVECYLRYRISGAKTMEASEKASMETWLHKNTYRPAAIR KYAKEYVDFRSIALHQQGKHLRRHSLFSDEYIKSTICKWIQNQRPESRSLIEVKKYID GEILPRKLGMPGNTSTNTIWKYLHEWGYVFRKNSKDIYYDGHEREDVIAYRQKWAKRM MVYKKKMATFSENEETVVLPVLRSDEIEHVLVTPDESTFYANDGKDTMWLMEDENPIR KKGPGMSLMISEFKCVCHGTMARGAWSSREVFRPGADRDGYWTSVDMLKQLKNNVIPL FELIHPGCKAVFSFDQSTNHKEYGQNALISSKMNLNDKEIEDDDPCSLQDTVFVRNGV EEVQSMYYKKDEWFAKKSGQWVQNKVKYVKGVRHILKERGLWLEKDPYNPIKKWRLDC KSKDASEDSKCCAHHFLASQPDFMSQKTALHEAVEDSGHIFELYPKFHCKCNWIERYW GAAKREAHLQCDYTYKSLDKNIHTFLDHAGKLPNIRQYYNRS PHYBLDRAFT_170393 MTSAGPVCASCKQLGHSRRSNLFCPLNPRNKTLLITRKRTSNNL STQEEYQTESSRAGASRPRVETVQNSVVLTIAEIIALFRADQYPAESSRAAASRPRVE AVQDSVVPTQPMSIDLSFTEQYIAESSRDLTAAFEALQVSAVERVLDLTTTTAITTAT VISHCFSCNGIGRLRSNSLQCPNNIRHHTFVPGQLAVTHNMARRTTTPLPPTDNRGAM DVKCQFCGALMWIHGKNYTSKANNIKFSMCCRLGTVILPPFEPTPPGIAELLVWCNQR PHYBLDRAFT_147489 MSSKHLNCESLVLSAQTDLSRMDASANTQADTSLETIGRICNVL ATCSTALADAIEQNLSEERKNQIRQTITTIEGDLTILISAHGHLLHSSLSPAEQETHQ SCVVPREMPIFQWQGNEWDTTQKVYSSIEECFEKLEDVLHSSPELHWSFARDAFICVY GINDLERQVQLTHELMLMRMRATESAKVADNMQAAIAYTATLLPELACQVSLLQVNMP REKWDTIEKAASLARSIYNTVPHTPTRPSSACSSSFFREITQSCERSSSKKCSLHGKG NHDTEDCCILKTALATKGGNWVEKTPHINKYVGSTPCCWCGEVWSCKHCCTSVSGSSS FLGSVSGSSQRSAPHFAVHSVYAVTNNSTFLEASSSEDDQSMVMNFEQCSPYTGATIS SVNIKLCSKFEWLIIPCKRKIVLATFLDLAGNIDVSIATYLMLSLSIHLLGLAESWYS STMANRGGFLHL PHYBLDRAFT_170395 MSHQLKIKLRVVELNALRTIIKDIIISRLHRPRLFLNKGADAIE DQIMDTLNSKNNDDPIMNILSNDNNDESMYDTELGNDIDIIENKTSPLIFDFSQPAPA PDKDNIIKDFDISHNAYEIIVKHFNSILEMSTCITYKACTPHLGKKLLKCFSGVEEIV YNICQRGCIFFTSLSQSECSSCGQSQYKTRCRETERSDLVSAAIMLQLLLAKQLALAS ANKNIKNTYLKLLLTSGKIKINIFTINQHLLQHYSLIIDVYSPPRTYSARFVEQAIDE YSRAIKNFYGSKAEECNMIEAAMQTNCKTFFNGCVINSALDQNYVREAHNIRLQIQFD KNHNIHSAYSPVYKDFFGKVFVFFEHKLNNKRWPLAFVEIAAVRLVNDISVVNNMQMK LKVVHLADVKELVGLVKLDATINTITTTATTYVVWPELNCGLKLLLDSLTDL PHYBLDRAFT_72849 MNNTDNNFISLLHVMYNELLSLKVGKENAKLEMKVQIKKLKLKM KTSIKDLNLEITALQNQLENRNIPNQHTLPSVSVISSVNTMCKLVSIFREITIKHIFK MTTEDLGIEVASNKKTILNMCTKLICDDMAAHPLVITLGSNPSWGSIPVALKKEICAR YANIMKDSDIDFTRCLRNWESTARVAHLWRNCHKRLQSHK PHYBLDRAFT_72848 MSLHIEPLTLEPSEHIPIYDDTIMEEPNQDIKKQAKTKLVQLID SAKIKLCMLMVRLNQESMNNPDSQVLKSIQREMDVTNALLDSLQQYQQCNMFQDPKVP PDGISISFCNIPKFQIIGNNVRNPKEPVYDLVSHFLSMFQKILTAGKTNIDSAWKIWL PMSFDHDHDTWYESNLQGKDISWNDMKDIITKKFDSFNRQLEMGSLVFTMTMGPNESI LNYGIKFQKACREGGVKENTNLAMRFMSSLTPELSSNVKLAWFAQYSEMSQMIEQEST FARNISSTIRMLLPTVACFKNTSTQRKPVDIATSLGNTATPVKSISKPKQGPIPLKVT YANGHEFLHSFELMEKNQEDSLILGQDILPKLGITLSGVAVDWNIKTSTRENQEIEDD LESNNSPYGTKEQHDQFMSTIACYIEDNEAIPKTSFCTVPESIVELKTAEGAKTYQRQ YPLSYALRSVIDEAVNNWLKEGTIVRAPVNTA PHYBLDRAFT_147495 MSNNNINNTIYDISTIQQVLINSPLEGIKMLPLNSTILVKASEW EKCLERINVLCSTKWNKKHKYSGKGLVFGETKKCHRAGQYITNRQLRLAQKDTKACSC TAALKIIQHLDNPNVVTFCQTRAHVNHVPGDWDEVRTLPLPSEAIKIIEDQLKSGSSC RSTRISVLRQIDSWGVGVRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAE QNYCIFEINLSVYNDGKKQFAFGFQSPMQVSIMRISQSFCLDATHSISSRSDEVLYTL VTRHPQTGKGFPVAYMVTNNQTAIPIKLWLDHLRIKSSFVPMNITIDCSIMEVNAIKE ALPHATIHYCDFHVLRAWQHNLDSKIKLNASYTSEQLGNYKTALKNYLRHILIESNKD VFLRAIEDFKLMVQDQPQFLKYFEKKWTENEELLRRWGRPYISQQHQRYVTNNYVESW HNQLKTIYFGRARIRRLDRLIFILTNDVEFYFEQEVERIHFNNGKMGPIDNELARNSF VASKIQNDMLPSMILNPLGETGNSMDDYNGEWQIRSFVTEDKWYTVNISNDLIQSCTC PNFLTRQIPCKHSHLLKRYCGAKFSFIEQREIAGVVLNRQDAVNANENEVEEEVEEEL ESGGTAEDRGVYVFDEIAAYSATMHHGFEDLQTLKTIPGLDQTKADLIKRALADAVRL MDEYRSENPSYFRNLNTQR PHYBLDRAFT_72846 MERQPDFANQKSALKEIVEGSGHKFELYPKYHCECNWIKRYWGA AKKEARHECDYSFQSLNRKINSFLDSVCPPEDDVPEKIRRYFHKSFAYINAYSLGHDA EHAFEIVKQFLKLHKSHHCIIFGKSKSLNICTRVLTMVSDCLFSMALNKQKRVHTSTM TNRYMFLLSVGVKGRISLFYPTESLN PHYBLDRAFT_170399 MPRPKKRSTMAKKINRSASSGAFIKKIQLENEDYVDLEFDHEDD IVLDDRALQGMSEEVTSAAYTNQLLKWHECANKSLRRTYQKNSRTTEWRKRKADNDAS NTQGSYRLTDKGFFIKVQKEAPIELKESIDSELENIQIDKIAVLKLAHEDVKKEIFPY TRAGPSSQSVDAFELCKLKSVECYLRYRISGAKTMEASEKASMETWLHKNTYRPAAIR KYAKEYVDFCSIALHQQGKHLRRHSLFSDEYIKSTICKWIQKQRPESRSLIEVKKYID GEILPRKLGIPGNTSTNTIWKYLHEWGYVFRKNSKDIYYNGHEREDVIAYRQKWAKRM MVYKKKMATFSENEETVVLPVLRSNEIEHVLVTPDESTFYANDGKDTMWPIEDENPIR KKGPGMSLMISEFKCVCHGTMARGAWPSREVFRPGANRDGYWTSVDMLKQLKNNVIPL FELIHPGCKAVFSFDQSTNHKEYGQNALISSKMNLNDKEIEDDDPCSLQDTVFVWNGV EEVQSMYYEKDEWFAKKSGQWVQNKVKYVKGVRHILKERGLWLEKDPYNPIKKWRLDC KSKDASEDSKCCAHHFLASQPDFMSQKTALHEAVEDSGHIFELYPKFHCKCNWIERYW GAAKHEACLQCDYTYKSLDKNIHTFLNHAGKLPNICRYYNCLWCYIEAYSQEMNVKEA NDVSLRFEC PHYBLDRAFT_170400 MNSQLDINMLNIIRIVIWEELADIYTSVARIDKNVANQQQFIRQ TFNADRTNVIEQSVIKRQMTYLVSHSDDRGERSAEPAQGNSVNYSISEEESIVVITQP WRPKRSRRT PHYBLDRAFT_170401 MSHLAEVLFFQKILERLIVLILLKSYQFKNFVLLSKTRRFNDLP SFECNFCSLTYHTSKQLRNHKRIYKIVDAPVANKNLQESVVQSALAQTNLEDISFDPF QSRTFKASFNFEAGDQGHVYNNNIFTNNIFTTSKLFSICLNDLVTNFEVSTDLHHLLV DLMSTVICDKDKLKEEYNSKILHTRPVNTLIKSKTDLKSYVYNICDNICSLFDITKDE DKCFIFKTCRYKEINSDSSLVPVNTMKMISFGEQLARLLGNNKTRSKLQYRANRQSIS NEMSDYFHYE PHYBLDRAFT_170402 MTEARVDFILTRTNKIPSATARINALINYLWKKKQETDISVFLE EQKLIQLQFYIKVKQRSSRRRVETNATRKTHFFAVFFSSSYYIINSRLPFTKYSQCAS NYLGLVLQYGSYRTIFECKQIIRTSSLITIT PHYBLDRAFT_170403 MISGKHSSFTTNFRCFSIVWLIQLAIISGKPKDLDSFLLAIIDE ISSLEKHGLIIKKFNGKQIKAKVHMVMTSGDIPQVTQFCHHTGHMSNKGCRICEVEGV SPPHGKGKYYQDRNVTLRTINDFVRDKTATSIKEANIFAKLPTFSRLCFYGLDEMHLI GHKINKLVYKLVREGVRAIEYIDFLLYVVPTLLVSLFTRQTTQKALLALVKGCSICLQ WNLNENLIVEIENNFNIWHQFLDSEITKKNLSVRVFSPINHYIIYINLITRKIDNLRV YSTRSMEQTIDRYSKLIKNFDELQTDPQYTMNTIQIAARALIGSPVYSLEINKRKRSK FSRGNQYIKFHVIYQNKTHWFIVSVVFYYSHNISHHDENSRQFLMLVSVMNDHSAAYY DNYIPVVTLEATSIYQRLVVISLNDIQNQVGLVQTTMDSKKYKVVAPYYIFNEDIKST AEKLSHIKL PHYBLDRAFT_170404 MIEIDLAEVKQALRKLQRQFRNQFAPAVSVEDQTTLQQSIIEQS SLERIAKCILHEQNWKTRCKEVLQGQSLPLLVNLSDYVLKVKRLHLKTLDRTVKNDII NKDYLTVSKNGRISQRKQRILHDAFGEVGKECFKSDNQMHKRRMAEKNKTQQDLSDYL LSSPDMSETGYVELSIIADVLSASLTASVELACKRSRRS PHYBLDRAFT_147502 MSNNNINNTIYDISTIQQVLINSPLEGIKMLPLNSTILVKASEW EKCLERINVLCSTKWNKKHKYSGKGLVFGETKKCHRAGQYITNRQLRLAQKDTKACSC TAALKIIQHLDNPNVVTFCQTRAHVNHVPGDWDEVRTLPLPSEAIKIIEDQLKSGSSC RSTRISVLRQIDSWGVGVRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAE QNYCIFEINLSVYNDGKKQFAFGFQSPMQVSIMRISQSFCLDATHSISSRSDEVLYTL VTRHPQTGKGFPVAYMVTNNQTAIPIKLWLDHLRIKSSFVPMNITIDCSIMEVNAIKE ALPHATIHYCDFHVLRAWQHNLDSKIKLNASYTSEQLGNYKTALKNYLRHILIESNKD VFLRAIEDFKLMVQDQPQFLKYFEKKWTENEELLRRWGRPYISQQHQRYVTNNYVESW HNQLKTIYFGRARIRRLDRLIFILTNDVEFYFEQEVERIHFNNGKMGPIDNELARNSF VASKIQNDMLPSMILNPLGETGNSMDDYNGEWQIRSFVTEDKWYTVNISNDLIQSCTC PNFLTRQIPCKHSHLLKRYCGAKFSFIEQREIAGVVLNRQDAVNANENEVEEEVEEEL ESGGTAEDRGVYVFDEIAAYSATMHHGFEDLQTLKTIPGLDQTKADLIKRALADAVRL MDEYRSENPSYFRNLNTQR PHYBLDRAFT_170406 MVQITKISSHECSSCHLPYNSSSNATKCQNRSLKTLVDAVINGS FIQDVSLPVEIQSIPAPAPSLIVDGDINMISDENMDIVNRTENDEPMYDADMEHDNTM EKSTAIEEIEDATAPLVFDFSQPLPTPSTNDAKNLEFIQIVKEFGISCNAHEKIASHF NEILASSTSTYRACTPYLGKELLKRFSRIEKKTYDVCCNGCMLFDANETECPHCSEDH YKSAQDNDKSSRRGLTGQSPLATLDAFSRPYFFALDEMHGICYGVAKQVWGLVTGKYR KKHLLVLSVRVQKEIGAAMALTRKTIPTLFYGAWRDVSKNAGYFKAVDWADFLLFAVP TLVAEQIRNISARKALLGLVQVCNLLMSWELSAEEQASIKRQLIEWNVYLETLLAEDK VDLKVFTINQHLLQHYLDMTEAFGLPRSYSARLVEKAIGKYSNAIKSNSATRTNAGNI MLGLAQTRQGEECSLIEAAIQTSCKAFVNSYVIDSALDQNCIQVDENHNIGQSYSPIY KDFFGKVVVFFEHKLNNKRWPLALVHVYAIHETNGIPVMTNVPAKPKVVHLADVKELV SLVVSTATNGWYIVWPELNCGPKLLLGCHADI PHYBLDRAFT_170407 MNNIDTSVIQLLQGIQDGLISLKNSQEALEKKQDAMQLEITSLH NELNDRELPDNTIVASVNISTDSIPRLVPNICNINSNHVLQMIKQDLEISPTAEVKGA INTCTKHICDQLAALLSVQILGPNPSWTSIPQEDWTRMCVSHSHALKNYGIDFTRCHK NWASITKVSQLWRSRRKQYLSANTINE PHYBLDRAFT_114261 SQGWMEKFGKRHYIKMNRIHGEAGSTDIESLQIDKAAIKEKIEA YSACDIYNFDETVLFYAAPPRTTISCQKFSGWKENKKWLTVGLLCNADGTDKWSDILM IGHARRPNCFNKNNKKQEAVDHGFSMYHYNSNDWMTRSIFHVFLHRFDRSMKAQNCKV LLILDNFSGHIVDYAPTNVELLFLPPNTTSHLQPLDGGIIWAFKAYFKRKQYAKAYQY IGMIQNDQQNKIGAIDKIFEIDQLWAMKWIREAWESVSAKTIENCWNATIFHFIEDKD SEGISKTIYWL PHYBLDRAFT_170410 MLHEKLEEYNSAFEKIMEELEEPEKPEDPKSSAPSTTDETPKKS RGQYQKPTDKDIKKLFYLYFIRGLTIKKASKILTVLPRSSDFMETFEGNADDCNLNYH PIQTRPVKKSCYAFIKKPIYMEGVSTQDKVSDFEDLIMLEDKPKGKQCYKAYTEEETL LVLKKYFVDEMTMSEVEKSIDISRSTAGWVIRHIRSRLNLPARKSHMHKYASLEEYEK TLCKNVQTMLLQKKL PHYBLDRAFT_170411 MPTSNNSLEFVMDKHCEMVSHSSSADQAQFSGIAFDPLCDFNQE IVDEMHGKVVLRSVTDVFVNHANMEWTRTSAGNPAVTNLLSLRSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYQKYNQNQVNKLFSLVFSENQTAAAAAREM GINVQTAQNYVRLTREKIQADFDAVTVETDESNGLETMEVEEVSASKERKNGNQKLFQ FFKNKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLPEKRDDIST IEMRRERILEWQQLADFNYLSNCVFIDEADFNMHIKSTFGRSVSGTLAKTTVPMQRGV SITILGTMCKRGIVSLSLKKPTAVATKKKRKLNIYTNVEVNGQISTRIQHYLDFLSHT MDRAKALSMSFMSI PHYBLDRAFT_147509 MFTPASVFNIDKCAGSWDGVNASIHNYSIQSLVHPNKTILLFTS PGSEVLFNRNATVFAWARGINPVSAVFSIGKMVSKDEFYELMTRQKATTDVTTVKGSQ IIAFSGFSSDSNIIKVHLYNISACQVEIDLCDPLIHAIEPYSKVIYVCAYVAQYGQLH GKAAVFLNISALSLIHPLQSLIYIGECFNASILTCFKTMLDYCCYCQQEEHLILACST CSPHRF PHYBLDRAFT_72820 MEIDKDISYKCGCHFEDSEGEAHIYYSNRIGSNTFTKAELMSIH LSQLMLRHRISRATYRDIVQFVNTIIPDYNEIMLEPGAKISHGKTVDALLKSKSSVKD YEYDVCPNGCPFQTPSASMKLMSVSDMFSQMLTDPATRELLHYRANRESVAGQLTNIF DGYSYKQLMQQGLFSNPDDIVIRLYTNGFINQKKGKSSYTIVHAVVFNLVLSIRYTNE YLLQLAILPGPKKPTHLDSFLMPIISKIKDLEVHDLVIKSNGVEVCHAKIHLLLAFVC LVAESAKQREKPQTTDGTECTLKIVLLLCDLWKTSKLAILELSTFSRSSFFALDELHL VARGIGKHIYNLITVSLTKETKFFYTHPDDTLSTTEYPFFIPRTGLVTIGNCITSSRP YISVLFQGSFDNVFSIIDSTHAVDWLDFLLYIVPTLVVPFLPNRAVKTAVLSLVKGCA LTLQWTLTSELLDEMDVQVIMPLTLYYYIQN PHYBLDRAFT_147512 MNPSAENYPAASIPAHDEDAMSVMTEEDLIASLSDNISFASSTP GQFVAPSPLCPLVANAATTVLSLSEKLRLELQQHGEAVSAAHAMNDEQAAELALNKVR RIKEMIDIEIACSQYLLPSVKIIEKSSRTGWLTLNRRDLPKFQLADDVIRSFLNEEVF HSVDHFLRTFQKVIESSLQDIELVWKRFLPLCLPHSDDGWVEMDLKKCVD PHYBLDRAFT_159340 MYAKATQLIPGANMHTERRMDKKRMEAYPRKKVHSSNNQQHRIS KPEHSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRQCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_159341 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFLAINER VLRINEELKKKYNHKNILIDIPKGTHVRVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVQDIRDHRDAAGEREYQSHNNRKV LEEGQRNRTFRE PHYBLDRAFT_147515 MRIAQASSQKERSDLQKFAAFLLRVGSGVVHTIGDENTIPVPSE MLIKSRNLLHLTSAVFPNLSTNAIVPSFLMGRAILTPKECRHQNNQSISEQDLLAYPV ELLNSINPGSLHSTAYD PHYBLDRAFT_170415 MSSTQHRCAACHMLGHSRSTHKQCLMNPKNISLHIPQKRTNVDE YPAESSQTAALRIRSEPVQDQNLDIETSTFISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEVTGREEEVEVTLSDEEVTGREEEVEEISTVNRGSILPHCPHCNGTDHRQI TSRFCPNNNSSRARGSRNRGRGLNNIARLPAISEPAVDNRGDMDIECRFCGAMMWAHE KNSRSSLRSPTFSMCCNKGKHVLPQIEPTPTGIAELLNYRTRDGKKFLENIRSYNSTM SFTSLGAKIDTSVGNNINGAYNFRIHGTICHRIGSILPVTESDIAHPKFAQIYIYDSA AQIDQRQYHSPQLERSVLEKIQSILMETNLFVHLFRTMDRISREKGQSIDLTLRLVAE GPRDQRRYNAPTASEIAVLIMNNEEGTSRDIVLHTRANFQQNINEYNRSYDALHYVLL FPHGEDGWTIDASSLSGEHVTVMQWYSNRLMYRHNTQHLLHLFGRLFQQYIVDMYAKV EHDRLHFITSNQNRLHVDLYSGIQDAVIHNDCGTGKTFVFNALLQKTRQQGKIALAVA TSGIAALLLDIRLAFAMTINKSQGQTLKSVGLYLPAPVFSHGQLYVALSRVRKPSTIK IMLDTPANSNETANTVFTDNVVFKEVFDI PHYBLDRAFT_72816 MTLIKSSLADFHLCESMDCQITPDWNLVTIANAYGKGFFDYPAR NFSCCMIHLNRSLQVISSAMDLQSRLYSQCCKQACPSARVYPRFLNILGDFADILLNH FISKSCNLITQTSGESNDEFNVNFSNFEYEANLARYNNISRPFATLANAEDLSSDGQY DLRDFVLEENIFSHARLMDVDIDFNQDISTDIESPLEVGPVYFCVRNVVEHRESLNQP ESVETVINALMSHYKMNTHLKREYTVRPVTYNMCQEVCICFDTVEAGQYEDEEVQCPH YERGTLIPVQTFQLVPLSEQLRFKLGNAQKQTKMAYGRNHLSDKVGCTTSVILDGNAV KRLVQSDVIDQNDILISMFVDQFNLFDNSKMSATVVHVINLSIYPKLKYNIRSYT PHYBLDRAFT_72815 MCFVGKEVPASMRAVESLHHFEGNRYGVNGPNVFRNLHTLTSPA FFGLDEMHLIGHGISHQLYNALNGEFNMSNKTENNKLYWQVQTRHLSKLHWHLEIAEG NNQQTEGSQLARLPSVCYTNSCGP PHYBLDRAFT_72814 MKNILLCKAGVQYCLGGWAAQIRLIDRRTSNFKIVSNNVAGPQL WSNPIRKTLAVIAIECNMNCHNLVSSLACLWDQNSTVIEHKTIELVCTTKIWKDNVVY RVRTSFDSRHIRANDLVVLEHLKEYGYVIKFFSHSVLGETRLFTIVDCLQGSNIQFSP KMTFRIKNQKYVFELNLKKQKQLKVCYIWYGDRSLNIVHLPSLEYFYSILTLEKYFED ESLIINLGNHFNC PHYBLDRAFT_170419 MSCLNWDGLNDFQFAPLILSVSQDFSGLLTKTYTLEGFTKCHWS LSQSSFFDYTPTLILYRQTSKQCHCILCLATLLFSELSDIHLFSCLTLFKQTGQEGVP QQAMEYSRGRLHQGWTNGCSKGIT PHYBLDRAFT_147521 MSSNTQQSKKTKKTTTTKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTQLNESHSLLEKVYHNIGATNGQNNNSNHSPIGQALTTGEYIKYR LPTVLRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEGKSFARKDSL TKSDFLTPVQKEKHYKAIHLADKANLESKFGETVVDLLDYDMLSDIESDEEKNKTRYT PRNRHPLVDEYFTVLKKQRLANKGPDVIGNSVYSIILRNTELSNEKKARVAAWIHTCQ Q PHYBLDRAFT_114300 MNNLPREERMKPENIILVGVMPGPKEVKIDQMNNFLEPLVDELV ELYGSITMKTPEFPNGTSIRAALMCVACDIPAARKTAGFTGFASINACHICKRHFTVA AGTSKINYSGFDYENWVSQTKEENATEAEMWFCAESDAERAVLEKQHGTHFSELHRLH YFDPVRCMIVDPMHNLFLGTAK PHYBLDRAFT_170422 MSLIFVINNELIYLVHYVIDYIELTVPIMDSTALLHNPANGTAA SSWSSFAAHNGASSLSAGFHKGKKRSFSRERGSQSPKKSWDFKKAMKDSICFSCKAPW VKGHSCPEREKYLTKVSRMAVCFSAGRPARASTMVEGSSSLSWSEYQDNTSALAKMAL DCKYNLKDMAIKRDFKNMSTNITFPILANNSIRIIFLLDCGATFSSVDKNFCLKNGIA ISYINHINKDLLNKNNVHKYFIRLADSNTQIKRIGACVISVTCNSKTIQREFEVMNLT NSHEYDFSIGTDYMSSLGIGIYGLPLSYDDADSSEKRREADRRFNNKSDLFESIAREN EQKENNPAVGPKQFEDAMDYI PHYBLDRAFT_72810 MIDLVPGTTVMILVTEKQNKLDPKYKGFYTVVRKTAANTYVLKN EKGFLEPRNYPPSLLKKVSDKVLEQKNDFFEVEAIIEHMKDDKNNYLYRCKWLDYDES HDTWEPEDHFTDPKFIKEY PHYBLDRAFT_170423 MSQLLPANCMQSLPAKLVTFLTSIQPQFNALNKRTAHLESLAAK NVQLHAQLANVQQENADLRSQLLQNNVTGPVPSSASLPVPQSTADLGTAASTWATKTS LILPAKTSQVPSAHRVAASQRLFSDKTGPDGFEYVYIPRSRCITHSEVRRSLCTLDVD TGHLLDINFPAREVIGILVHVQYLEEFKSQLASAKVSFVNNFDPLDPKNVADPKFANL SVSGLETQALVLQNARCLQALKFLRSHLVLPVAHFFVQSGWIGLEEIPAQPVAEHFGL WNANGLQPRAITDVLNHCQSLYMLFITETWLLSPARLPTLWSQFHLYGSPVAGNYHGS IGVSLLVSPSCPYAVTQIPMPNNYALAVKIGTLQLICLYLPPSMPTHKALDILSAIPL TDDIIICGDFNAHLGSVTGDYVSNSRGVALEQWLEERSLTVLNGVLSPCTPTYISFCN EVEISSIIDLFITNTNFANPSLHIATELSLGSDHRLLSLSFTYDLQHSPPAPPPMHQT WNLSRLYEDNVRSLYVTTFVTKSASLLTTLKDLVQNPPTICPPIDALTNSFNALIYDS LSSSIGSRPPRPSHWKSFWTPALQAAADHRDGCYKQWRRVCGIDKINWWSWHQHAHKE FRQQVQTAKYLSWHAFCCSMSSDFNKVTSKIKQLKRCRQPQHTFQHNDGPAVAATVMC DHLASVYSGHILPDIRPSPPPLNTSLMPFASVDSPFTSSVVEAFMQFMPNCKALGPDH IHAEMLKPIQALGLPTKLAPYRSDTVYLAV PHYBLDRAFT_147526 MFDDVSISVLLGNFSSHSFSPTTGVLQGSILSPHLYSIYINSLP PILCTVASPLTLTHIPSTSPSSLDAYNSLLAPSDANDFRHIHLPTAINSLLFADDVAI FGSVTDVQSMLDLAAQHSFQLGYCWSPSKCAVLYPPSRSLPCFTISLYGELLPAVNEF IYLGIPFHNKGIFGPPVVTHRRSGALAAMATLTAVGACRSGFSLLLSSRLFKTFIRPK FEYGLAITCLLQKDVLLLEKIQDKCLRMIVGGHATSSTAVLKHICNLPSMAFRVDILK TKFCLRAHTLPSGCLLSLLHSHHLQASTLSTLHTNLLFASIPPDLNCSSRIKLSKHFE SFRQEKFAHFHLTNTKILIQACRPLLEVDPVLFLPATRIERGRLVRWRMGWLPGKPKE CACGFDHTSRRHLQFCITIPSQLFSQLLVPPTDEDNIIDFAISVLPISSTHPSPLYWK ALLTILWHIDMLCNPNGNYTHETDHDSLWH PHYBLDRAFT_72807 SIGNRPSRPSHWKKFWNSVLQAAAEHRNFCYKKWCRACGTDRIH WWDKHLKVQAEFRHQVQSSKRQSWHAFCKSMEQDFSKATSKIKQLKRQQQPQHMFQHS DGPATAATIMCEHLASVYSGSILSDQRPPPPLHSTSLPFASANSPFVSSVVEGCMQFM PNCKAPGPDHIRAEMLKVIQPQIAPLLSLLFTICWQWSYVPVIWRQAQVFPIYKKGDP SIAANY PHYBLDRAFT_147528 MPTPNCRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNATAINGIDALSALPASAHVLTSVASTSAALPITESSDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKPKWTVDVHFDRSPNRELVKQLLYYLEKKFAGTDMRTRDLPL NTNSRRSGRETDNYTRHHLAYDAYKADIDLKMGRNCSGLIQKSVMSEGESDDDMSPSQ PRNEIRVARPSWRSDELNKFITEVDSFVVKQLGANSHQLLKRVYGRTVESTVPIDLDP ALPQWALKYGS PHYBLDRAFT_170426 MAETMVVPMDYVVLKSKIGKGFPYMKADEWKSWVLVYSPILLKA VLPIEMFRNWISFVDACHQLVKPSITFSDIDNGHKFLQEFCTECQRIYTPTILTCNMH LHLHLRETICDFGPNVNTNRKDFFEVTYMNSFVQDTFKGDFVHAALTCPSQVPFLPLL AKLTATAQPSTSKNTITFPQRPFRLSAFIQAYSNPSLPVLGNEPLPPSAFPLHIEPPS AMSDVDYPHLLDYYKVAYCMPNLEGYQHPSSPFSFVNNQIIKLKSINLLGQVYKGCKY TSGCSSFVQSLFLGSQGNNRLAYTGQIQYLFLHSFTPSVDNTELQTRVIYQDKHVFAF VKWFQIEHDRSRELESVDICSADFIACDFESILPVHRISSVVATCDYKTSTNNKKILV NALPHKQYN PHYBLDRAFT_170427 MPSIPHCHNVVCRCAQCSRNSQGYSLVTSKTAERHIRKDELERI ERLDIAERLANTVQEEQMMDVDTQYNQANSPDSNATMMADNVSVDDEISEVNGNDSDI ERDMNSDSGSDPFDAPNMSENPVYQFIATFAVLFISCYVVNKGAAILIEFINQLLKIY GKDFQLLTSLIGLQRMTGFSNYANGIKKSVVCEDCHKVYKQDVPLPTHCDFKKHGS PHYBLDRAFT_147531 MSTLVPSSIIQGMSPDIASFLGNMQAQFMSLLQRTNELESLAAT NARLTTQLVNTEKLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTKASTWATTAA AAHNSVVVPTALSVRKTPRPPSVCQVTASARMFAIPTGPKGYQYVYIPRSRHLTHREV RNSLKTLGVDTGHILDINFPAKDVVGILVHNQYAEKFQITLTTVAIEILDTFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCLKALKYLCPHVAVSVGHFFCDQGWISKEDIP VHSVSGPGAGIHDFQSPSHRISPRAIYDVLQHCHSLHMLFITETWLLPPSRLPTSWSQ IHLYGSPVAGNYRGSMGVSVLISPSCPYPVTQIPMSSNYALAIKIGSLRIFSHPFL PHYBLDRAFT_147532 MPTPNCRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNATAINGIDALSALPASAHVLTSVASTSAALPITESSDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKPKWTVDVHFDRSPNRELVKQLLYYLEKKFAGTDMRTRDLPL NTNSRRSGRETDNYTRHHLAYDAYKADIDLKMGRNCSGLIQKSVMSEGESDDDMSPSQ PRNEIRVARPSWRSDELNKFITEVDSFVVKQLGANSHQLLKRVYGRTVESTVPIDLDP ALPQWALKYGS PHYBLDRAFT_170430 MAETMVVPMDYVVLKSKIGKGFPYMKADEWKSWVLVYSPILLKA VLPIEMFRNWISFVDACHQLVKPSITFSDIDNGHKFLQEFCTECQRIYTPTILTCNMH LHLHLRETICDFGPNVNTNRKDFFEVTYMNSFVQDTFKGDFVHAALTCPSQVPFLPLL AKLTATAQPSTSKNTITFPQRPFRLSAFIQAYSNPSLPVLGNEPLPPSAFPLHIEPPS AMSDVDYPHLLDYYKVAYCMPNLEGYQHPSSPFSFVNNQIIKLKSINLLGQVYKGCKY TSGCSSFVQSLFLGSQGNNRLAYTGQIQYLFLHSFTPSVDNTELQTRVIYQDKHVFAF VKWFQIEHDRSRELESVDICSADFIACDFESILPVHRISSVVATCDYKTSTNNKKILV NALPHKQYN PHYBLDRAFT_170431 MPSIPHCHNVVCRCAQCSRNSQGYSLVTSKTAERHIRKDELERI ERLDIAERLANTVQEEQMMDVDTQYNQANSPDSNATMMADNVSVDDEISEVNGNDSDI ERDMNSDSGSDPFDAPNMSENPVYQFIATFAVLFISCYVVNKGAAILIEFINQLLKIY GKDFQLLTSLIGLQRMTGFSNYANGIKKSVVCEDCHKVYKQDVPLPTHCDFKKHGS PHYBLDRAFT_72743 MKEDENGDRRGFLDELASHLDQIALKCTTSEEEKKEIDAYLRFA KDNCKDQGKSAKAFLERKMHDKENWVNTYVFKHPHFGNRTSNRAESSHASLKHALGTS SGKLKTVTMKVAKWSMIESAD PHYBLDRAFT_170433 MDKHCEMVSHSGSADQTQFSEIAFDPLCDFNQEIVNEMHGEVVL RSVTDVFVNHANMKWTRTSVGNPAVTNLLSLKSFLPTEVNEATTKTIVAQKPKTNLLE KVNKLFSLVFSENQTAAAAARETGINVQTTQNYIRLTREKIQADFDAVTVETDESNGL KMMEVEEVSAPKERKHGNQKCYLGTSKIAVMEEFSGLQITKSAIQKHLVKKCALTMKE LEKLPEKRDDVSTIEMRQDRILKWQQLADFNYLSNCVFIEEAGFNMHIKRTFGRSVSG TPAKTTVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLNIYTNVEVNGRIG TRTQHYLNFLSHTMDRAKALSMSFMSI PHYBLDRAFT_147539 MANNHQSITPAPFPEYAEFLRRLTAIEESLKTMDCNIDIVIKGN KDPLEILDNIANAFGELLAVIAPTTISASASVPFAASSIGSTLDWHTTPSETFLASLL LLLLSVQWFLLVQTLVKLTLIRGELKKHNFKSNKLELVAANDSKHSWDVNVDYRLLPN RQLMHDLHAYLAPKHFDHRKLTYYTFKTEIDVKVGKSCDRLLQKEAMSEDESENNMSG VLSNCYNHFLVVVDDFMHNCMDFNSHQILKRSFGRDAILAVPSRLTSLLSHWTFRDEF Q PHYBLDRAFT_114208 ALMMVACDIPAARKTSGFTSHNSTCTCYKCNKHFPHLENDVNVD FRGFDFSRWVLRDGVENRLHAEKWESASTPSERHRLEVKNGVRWSQLHCLEYLDLVRG MIINPMHNLFLGTPKRLMDCWIKDKDIQDGDFAAIQKTAETMIVPGGYTSLNSKIGKQ FLYMKADESKSWMLVYLSVLLKDALAKNRFENWINFVDACCLLIKPTITFDEVNTAYQ FLQTFCTRCDELYNAEILTCNMHLHLHLRDTIHDFGPVYAYWLFGF PHYBLDRAFT_72739 MSNINNINNTNDFVIVSKTLKKYDAALTEFNSIFLVGREFSSVI AVREAAKTYGVKHNIALTTEYASSSCIKLICKHSGKYRDTRKAEKVASKTSVMGETLF EWERKREKDMQKHGCPCFMYANTKKGRKLTVRSHEAEHNHPIEEDRRAYAMYCKLSPE AMALVVKHLENNVDVFTIFNSLKINGYTNIVCHDIANIKQHFGKSEKGKEIFYFITTL QDLDFHVRYSVGNTEDNQVNMVFFVHQDVINEAQRMPETVIIDATYKTNSHQMTYVNI VGTSNVSGNSRTTLKTYPIAGAWVEHETEENYL PHYBLDRAFT_147542 MKDKENWVNMYVYKHAHFGNHMSNRAESAYASLNSSLGTSLSKL KTVTLKVKKWYDELVADCKHRLMVESLGEGTKIMFDKVNATRLNDIHLKVCRFAIDQI KLELSKSIIPEKLAKEFKCLIQYNYLLSCYHTLAKFNTISIFCIPRRWRKNYLEGENY LTIQNATPVPPNINNIKPITPEFNYALELICKHFANAQSKQEQINIYQLIERTLKQID AQKLENLKGPTVVEAIKGRPKNTKCKMIALEHCINTKKEKITKKIKTEEEQKKQKIFS AKEQKAIKNIINLGSPCNPTLLTNLTIAPKHISTIFSPEADGNCGYRAIAMEGRMEHG NMTASNNQLIRSLQNKRSPLPQQHWFGTINHSQLVANTFSRTVAVY PHYBLDRAFT_72737 MSTQRNMMEVDDEHKILTKCYILNVAILTHQLGALEESYTQTKL PVWEGASMSDTEDVSVTNDMISNGDNDDSRSNSNEISEDESEDDVIELNDNELNSEDV CSPNEVLTSKTYSQLQQLSILIYNTPDMPQNPVHRFIATFVVMFASHYVVDKGAVVLI KFINKLLTIYEQDF PHYBLDRAFT_170438 MSSFKIVYEGGQEKSVNEDSSQALSHIIDEDYNGLETIATHSQF LESRNGDRISEVSESAQTENQVGSPSIPPPKRSKTTRQFFKLKDEKLLSAKAAAKQLN VSARVTQHWVKKYDENPDNLFNHGKKGRKKGLTDEHRKCIKEFITEDTSVYVKDVMDH LVLVFGKINVSKETVRRFIANECNLTFKKVYKQPVAKNTFCKPVRKM PHYBLDRAFT_72734 MSAPVCRFPPPKYNVIVDFIPLADKAHDSEIVPFYKKTQSALAL REIKVWLSGGFLAVLDGGVLAVFCLKSILLPLSLNTFYKSLLPYYFLLAFLLDMASFF IV PHYBLDRAFT_170439 MYNEILSLKAGQENFKLEMKTQMEELKLEITALKNQPETQNTLN QGISPSATIISGGNYIHKPVDNFRDITLRHIFKMISEDLGVEVTSHVKATLTLATKLI CDDMAAYPLVIALSSNPSWGSIPAAVKKDMCVSHASIMKDSGIDFTRCLGNRASIERV ALLWRDCHRRLQFHK PHYBLDRAFT_72732 MSSNSILDSYQCNFCKEHYPSLKKTKNCRVQCFKNRHRRHNHIQ TSQIMHVPEQVSIVLNTVSNNSIARENNTSNDDQTVNSFNKSDKNESMISIEYDNIIE ESVAIKDIKGVATSMVYDFSQPLPTPSYDDAKNLEFIQIIKDFGISCKAHKKITSHFN EILGTSADITYRACTLYLGKELLKHFSRIEKKKYDVCCNGCMLFNNEHETKCSNCSED RYKSTDNNNTLVHARTMVQLPLGRQLALCLANNSTRTEMLYYHHHEPSQDGTKSDVFN SQAYQSRKHIFSGRDDIAILLSVDEFAPHKVSDLSERMVVKTSTETFKAKVHVLMAMG DIPALVKLACHVGHMSKDGCRICHVVGQSPGHGQYFRMSPATAIRTLGSFCGFCEADE SSRRGLTGQSPMATLNAYSGPYFFALNEMHGICHGVAKQIWGLVTGKYRKKYPLVLSV GVQKDIGAAMTLTKKMIPTSFHGAWRDVSKNAGYFKAALLGLVQVCNLLMSWELSAEE QASIKRELVKWNVYLETLLAEDKVDLKVFTINQHLLQHYLDMTEAFGLPRSYSARLVE KAIGKYSNAIESNSAIRINTGNIMLGLAQTRQGEKCSTIEAVIQTCRKAFVNGCVIDS ALNQNCVRKAHNIRLHYGGENGNMLPLW PHYBLDRAFT_170441 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFVPAVSAE DLTTMQQSIIEQSSLEHIAKSVKKAQLTEYPDQLGHTVKHDIIDKDFPAASKEWKNIP EKNREYYMMHLESFKSDNLTHKRRMAEKNKTQQDISYSSLSSPDMSETGDVESPIMAD VLVNAYFTEQVSTLYKEIDHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFF PHYBLDRAFT_147550 MSSSNTSQQRDRISTQQYQYDQCILFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDKNDTSNEDKSVSNSEYTMESMELDNTISYKCACNFEDNE GEAHIYNSSQISTNTFTKAELMSIHLSQLMLQHRIAKAAYRDIVQFINTVIRDHDNIM MEPKAKISHSKTVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQESCVDCGKPQYKTD PDQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANWESVAGQLTNIFDGDNHKQL VQQGLFSNPNDIVIRLYTNGFVNQKKDKNSYTIIHCIIFNLDPSTR PHYBLDRAFT_26485 MPFYQSLPNVEKGDYLYQEDNASYHKTTLAEKFKKDLGLKILEW PPNSLDLSPIENIWGLLDNKIRARRPQPITL PHYBLDRAFT_170443 MATDNRTFVCTVCETERVLESLQGLRRHYTKKHPNEMGEYEKLL KRRPAMFDGPSSSASTATATTTATTNLNSNNGPAPMEFVIENPQDTYGHEISDEDEYS DDHILFDSSDDYDETTDDEDTDTRVEYDSQDYIARMAAEMRTFQSLSHAMNAYSNEDS SRQTLYRPNDFADIFTGPTRPFKSKVEFILHALFYSDEDLASERSIKKIMFAMKMVLD IREESGVALDFPTRNAVINYHKQKKNQIPVFPTASFDVVNQDNERNVLWMNKPSDYIK FTMTCPRKSSQILALPDFMENQRLNLNQGKKWKENPLLQHPMITSNGMDYWVGDVVKV QGSPNRYLLEKFFTKDGSILANAFQVYGGHDPRLNHPDDTHFLRFGNSTNFAVSTLKY TIEVDRIMSTVQKDSDLFLRCGFSVSYCPAEIVTYALTGVQSDLWLNKSHVEEFKRRL PGSGLMKVVVCPLNLYSDDTSENALFICTSNHTLNAVEMLPPIVDDLVRLEKGIEMYS EDHGEVVLIVAPLLLFMGDNPRQSQLAMHKGTSAKKFCRKCLIPSPRIEQGSIPDAPP YSPVDHHGSEERMRDFLCAFANADSQSELYLNGCELSYIKNGSEEFLRLEAFDPTKDM PVKILHIIPLGLTKYLMTFLWKQKMLTTSEKGRLQEALNSYKSCKSYSRTFRNKFCHT GSFVGCDFKELIQVLPGIMSKLFSDKPLASLFIKALHALGRLSSLVYMRGVDWCFDYY IAQIKHAVTDVTDLIFQLDVQILQKGFSKQDFTFKPKVYLLHYITDDIVCFGSVLQYE TENDVATRFSKQFICRHLCNGGLYVVEKPAGNGTRSVRSSIGDFVKLAPVNFPGFYLH FFGSCVNSDNSGLSTPTLCDTLAGVFQSNGQLFLGQVKIVQARDSADRMRKAFFMQKY QIVPNSNVNCIYTPAVVMDNYNNIVVLPLGGLVEVNKDDINIIQAVDIHLSVGSSNNQ KFLNIAKFGMFWWMLMNIAKIY PHYBLDRAFT_170444 MTSINEISPEQIQELLTLSKRLREQELQQDRSDQQDLPKAILKD VANSLHIELKNKLKKFAQDTIKYDGRAWTKSEAVNKLYIPELKWYQVDAVQMVTSINK ASDRLRTAGRSATKIYQDFEHLIEEGGNKSNIRTVLEKTRHLSVYSFAISKELENDAK DIITQALHLPESLRYLEDKDEQDKDLVFSANLVERIQQTHFDEAVIKNATARQFGGFG NNRGTTGRNRFRGRGYTGEQRGNFSWGRGQELSNLTQQHIANHNAQSNPSPGN PHYBLDRAFT_72725 MLFPKSLLNQLVYIIDTKRFRDIRYVWASRKSITAESTNETIII QVDCRDAGEDDSYDSGHRGSTTSYQVFIMRFCTMKELNWWMTQSQAKNGLMITTRTNS VMKEPAVMIHVDALDSGWGVTSSMVETAGYWTDEEKEMSINVRELKMILFALQLHAQK YKNCIIQIFSDNTTALKYAKKYVARVVGTNDDRHICLPSKYTTKRFLELPAGSRRSSD QCISTTLAENRTLLTPPMAVDPTSDTEIERRSSEDISDDNTRLVKPVLVADDSTTNCV NINTNTTAQFVEFDRMAIIREYQVRQEINEKVATYIRGANRSSTHKSYDNLWMKWVR PHYBLDRAFT_170445 MQIQCMKTRSSNMLNFEISQPISVPEQTNIVSNIVSGYTSDREN TATIDNQTVDAFNNGDNNNNQPMPNVEYDHIIKELAPMENIENKTASLVYDFSQRLPT SNYNEFLIKNTKILPIIPMRFVPALQISCIEGVKHIPATMTEACVDFIPTRTNKIPSA TARINALINYLWKKKQETYISVFLEEQKLIQLQFYMYTRQCQHIMREDGILSREVQGE ELSQCASNYLGLVLQYGSYRTIFECKQIIRTSSLITIT PHYBLDRAFT_72722 MMSEDLGIEIICDELAVHPLVKDLGSHSSWGSIPAMVRKQMCAK HVTLMNDAGIDLTKCHENRASASRVSHLRRDRYRILQSQSNEISCYKNLEYNVVYGQ PHYBLDRAFT_72721 MLYLHQLWKLIPQVVQKVYKGKVKEKLYQEKLVNNHTLSSPYLD FMGYFIPLVFRIFWSGRTSLARREPIATFLWKVEQFSPGIQSNTSEEYNFKPNSRHAQ GIELIYSIYFMGMMSDSTSEGVRDSPATSRLQNIVYCVTWDTCLVARDNKLLLKDSFS TQ PHYBLDRAFT_147558 MASASDRTRSKNMLHSTNTKHKPKKRARKRAIDEITIQESQDMV TTWQRAVEDVNEYPDADYDRMVDQLNKIKAERLVRAKLLRDTQRKLVVNWFAAQTEQA KNDFQSGHGESIGRSQIGIAKPWGSQIGEERKTKYRREKRDEEPAVAI PHYBLDRAFT_134698 MASLSTRLFLRPTLFRAVRAETRSFTLGSTLRNQAVSETETQEQ QDDAKVEAALKRAERTMKRFWKRAGVKTEGDECTVILDHRNLRTPSNNVMRFPIAQRN LALLTAAEWDAQTENLKAHTLPLTSIIARAIDAFDPSHATDPTLRAQVIDKLMVYFDT DATCYREEGPELLVRLQEQHWSPIHAWIKEKYGVGINSTTEIFAVTQPQETKDVLRKE IEAMDNLELSAFEKGVMSSKSFLIGFALIKNALTVEQAAQAAHVEMNAQMERWGEVED SHDVEREYIRQTLGSVACAVMHKHQGL PHYBLDRAFT_159345 MVTSITHLKPNNLSLAHSKLRLIAVSPTVSVQTALDLMYKNKIT SLPIFSHNNTTIVSIVNLFDILIYLVADSRSVVQKDQRKLNEPIENVLGLDSDRESYR VYQCDCQDELLETLKLFASGIHRVLVVDYSDKNDNPWLLSQTDVIRHISGHLDCIADL VSVDSTVESLGFLKNKPMVTVPLTQSALDVYRLMAKKDLGGLPIVDSEGRLQGDLCLE DLPGANLEMIEQLVLPCGDYVKKMAGHQVITPTATPDTSLKDILATMAKEDTHRVWIV DSKKTLKLIGVVTMSDIINLLCKKHH PHYBLDRAFT_114263 MLKLEEFDGQIADCFLRASQVRDRVNEKLHKMADLASDHMDQIK GAVANGAKRLLHYEELPIQWRNNEHILTGYRFLNSTAECWHSLLYVHNETGNIYTHLF GLVFLAMVGTYHLLYSPVLSDIPLSDKVFFGVFFVAACKCLLCSTVWHTLSGISDLQT YRKVACLDYVGISVLICASIILCEYYGFYNHDIWRNTYITATGSMAILGVAMPFMSWF DHSERRWLRTMFFVVMASSAVVPIIHLCIANGLQETANFLSPFSKSISSYIIGVIVYS NQLPERIWPGKFDHFGHSHQLWHLFVMGGIWFNYTAIFHMVNLRETYGQNNILNTTTT TTTTI PHYBLDRAFT_134705 MKLIKAVVKILTLFFKKAKRYIYSFVHKLFFMAAMESKNLKYRF QSEIQQMMFVFGEVAGPLPETTLLVEDIVRSQVIEILVQAAAQATRRNSRYLSAEDLI FLMRHDRAKVNRLRSFLSWKDVRKNAKDASGDQVDEMVEEATSGKLYRSIIKLPWELV NQFLDVLVKPSNPEEESDDEDDLEAYMDSVQRLRDADEITRAMTREEYVHYSECRQAS FTYRKGKRFREWSNMSSYVDVRPNDDIVDSLGFLTYEMVSKLTRTAIQIKNDLCRAGN SNSSISNSSNSNSSSNNSNSNNGVKKDEPTAVTCREHESEDSSPSSTANDNEGIGLFL QPSSEPTPLQPEHIHEAFRRMQQTIQPMRNFRGGLARTRLSLI PHYBLDRAFT_155775 MTPPTTSIDWDNLGFEYRDLNDYAKFIWTEEKGWSKPTFEKEPY VKVHICATGLNYGQQCFEGLKAFRDPQGLVRVFRPEVNAARMIRSADMVYAPAVPIEL FEESIRLTVTKNLEFVPPGSSGGSLYLRPLLFGSGPFIGMGPAKEFTFIVFGMPVGNY YKGNAVPVDCWVIEDFDRTAPFGTGACKIGGNYAPTFKPMKAAKDNGFPIILHLDPKS HTMIDEFSTSNFIALTYPDPRTGKQTLVTPDSSTVLRSVTRLSLCDLAKKLGYDVEER PILFSELEQNKFVEVAACGTSAVVTPIKKIVRGDRTEIGKGFLKLFNEYRGIQKGEIK DTFGWMWPAEGF PHYBLDRAFT_147564 MADQVTAVDFPDEIFRLICDKLSQQDIYQCTLVCKSWNPHFQSE LWKTILLFGKSDLYRIFGKNDPISHGLANNGQFIRRMEIDANTGVTRFDMRDFQLFAK NVEKLTAPGYGYPLRSLRRAINWRCWKTLLYLKVTCPGYDSTTDINVASLTERYTKYQ ETLNSFRWAIPSTAGWQLSYMESLHTNLPQLIRLSLDVPLTFSQYDPDLFKPLVPASS IKILHIFTRTDFSLWLHYISRKYPNLQELILERTTSLVAYVDSIERYFHYFGNPPNLQ HLRKLKLVYEVNSVYDSRLIGSTLLDNPNIKELSLTLSYCMIFNVNRVHLLKENIGLI SKGITELFVSCNLIFPVPDNDVLQFSAFSYLVVLHIDVAYTCIFIDELMNGCVHLEKL RLCATELAKDKPPKITPPKHKLCHIELERNTLHCDILSYISSRCYCLCTFYLIDSLVV GPVDRRTGFMYIAMWETTFNIFFVLRVRFRAVDTNWKPSSAVNLFCIYQSDKNESDDP RSSSAVSSPSSSSSQWYSFSPWSSSSSSSSHCDPENKEVQEKKKIENVAVLSDWFHIY RLGFGPTAGFDYQNLEGDDRQKAIDYFKGFESKDVEHDGRRNNLPHNSIDLAPSEYWQ LDLPCGSAVFLCKGINSQTVVGGTFSR PHYBLDRAFT_187661 MIQLIFYEPLSKSDDSSWFLRAEARYIVSDIMMGHRGMSTAPGD THSKWPEFLDFMSYEVGLLVISAGKIQDPATSLEHLVDYGYWSKKKMNAKLMPIFCTD CSGTFLVIFYCESLFNKIKTTEKDKLSGKKMNTGGKTILLHHHFKVDFNERYQKKSRR AIKIHLVYLTKEHKYNKTALKYKFKIKIKETKHNDNIKVK PHYBLDRAFT_147565 MARRPTRKAKACARRKGQTAPQERPKHVPEEKGKPPHKKGHSMC QQKGPTSPQERPQYVPAKMANYPTREAKAPNAHQRKKGFSETLKVTVKIQSQIKIDIK PRSIAMSKSKSKSNSKSCPSPSPNVQKLSKYCSSTSPSTQTYTNK PHYBLDRAFT_147566 MPSTASVASASGTFLPVGLLLPTAASCRLPVASCQLPAAHFPST GGSIYLTGRSHLSHWSDICFLLLPVASAGELDGSISAAKLSAMSCPQDTSSKADKAHQ KPNKGA PHYBLDRAFT_147567 MARRPTRKAKACARRKGQTAPQERPKHVPEEKGKPPHKKGHSMC QQKGPTSPQERPQYVPAKMANYPTREAKAPNAHQRKKGFSETLKVTVKIQSQIKIDIK PRSIAMSKSKSKSNSKSCPSPSPNVQKLSKYCSSTSPSTQTYTNK PHYBLDRAFT_147568 PRVNHGLSSKSTSARVACEPVVRIPVYYWLLSVVSSGGRGWYHL FWSVASASGTFLPVGLLLPTAASCRLPVASCQLPAAHFPSTGGSIYLTGRSHLSHWSD ICFLLLPVASAGELDGSISAAKLSAMSCPQDTSSKADKAHQKPNKGA PHYBLDRAFT_147569 MHASIHPPPPISPNLLIDLEKNFRVFWFSFFPFFFVLAVSTGGD DEELLPRVNHGLSSKSTSARVACEPVVRIPVYYWLLSVVSSGGRGWYHLFWSVASASG TFLPVGLLLPTGRPFPPTSEPVISRQWTFLYSRQLPVAGCQVASCQLPAAHFPSTGGS IYLTGRSHLSHWSDICFLLLPVASAGELDGSISAAKLSAMSCPQDTSSKADKAHQKPN KGA PHYBLDRAFT_125940 MLRTSAASFITRRAFHVSSVRAIKEGDKLPSLEVARKSPGDTVD IHKLFSDKPKAILFGVPGAFTPGCSKTHLPGFIKLADELKKKGIDYVACVSVNDPFVM TAWGESQNNNNGEVELLADPTGALAKAMDLNFDASAALGGHRFKRFAAVVEHGKVKKL FVEPDKTGLNVSLAENVVKTL PHYBLDRAFT_134710 MSSSPPFGWQKQSNSNKDDSKKSIQMLMESFLKEHTAYDVLPVS YRLVVFDTSLLVKRALAALMQNGIVSAPLWSSKTQKFAGMLMVADFIKLIQYYYAHAS DPDTLLELDTLQIQDLRDPGRHNGTLAPQQLLSMHPMASLHEACRLLAESRAHRVALL DRDESACGTEMIVSVITQYRLLKFIAVNFKQTDALKQSLADLKIGTYGSDVATATIDT PVIDIINTFAEMNISAIPIVDENGVVLNVYETVDVMSIAKSGLYNKLNIPVGEVISSR PTDYIGVHTCTMESTIHSIFNMIRKQRTYRLVIIDDESKLVGIVSLSDILGYLVGYKQ PHYBLDRAFT_72687 MFLKIAVQIHLHWAQLMFACQIPSSLFQYQYSQISCNIVPCTNL NHQNNKLGIDADNCQLKIFIRPLSGTRVKVRHKDNQYLSLWALYVPYMVERKVDPRTS ESKGITALRIDHAFFPLGFFGEVQDLQGRISTMAICTFFLYEAFLGAVFAPSKK PHYBLDRAFT_187663 MSATLTQHFDGYIDSDFFRCPLCPPTEHTLFFSARAFSLHGSHK HKRKFVNDWKCYECAHSFATRDDFIHHDCVTSYVEPHLVRVPPILGKAPDFRPIDDFS VISTAKAFICPCCPRQYTEAYSLQRHHLLKHKRYLRIVWTCPCRCGFATVEKLDVTNH VKYDCSVGTDVDDVDLDNSICYGCDQYFPSCDIVAHRQTHPGFVDALAGTWRLPFAGT DLSSLHEIIGLVGWDSATVTQHLRQKRIWKHPNIISSFLHTSSNSPQQSGILSLVGNY PFSALSANVDVGVDYENDLDDTPIEAFDTPLGALDNTTSSVIDDGIEDNISSGYATPL AVSPALPPQPSLIFLLGKRTLNDRSPQQTGHRYTRPPAKTLASVAHDPQIASAAPIIV SASEQASNFLRGLDLKLPSLPFSSPSPSPSPQISPLLLASSAPVGDVLADILGDDATD ASDTADNATAPVVDNSIIGDTAELALCNDGAPATVLSTLSASPPSSHLSSSSHDVSSS AVNWNDCWNMDPAHRARLFADQDRRNSAVAAHPVTPPLALPATLTPVSSLRPPPARRF AAIHPQRLALMESSAASSSPIVTFPLIGDSMHPSRTALLNLVNADAPILTPIDNLSSS LDVDVNVVPRRRSRRNKSAAVRPGQACVPAVRPMTVSMIEAALDSSNDDDENNDDNDN ADVEAMEIYVEKVETKKTRRGRGKKSDRAKGKATIPEPPMLTHTPVSPASLVTDTCTP PIGPALDTLPGLSPLSPARWIHKWIVRVSKRDFSLELLSLLKSVPNSGALSSGSQYAK MRKA PHYBLDRAFT_72689 MVAKIPSEDISAKVHVLMTTDNIPALETMACNVDYISKDGCCIC HVVGQSPGHDQYFQMASTITMRASESFKSKYFWAVDWVNFILFVISTLVVEHVCSQVA HKALHDLIQTGNLLISWELSVEKKTLIKT PHYBLDRAFT_170460 MQSSISTLLQAQSSTWSHHCGLVSFNPEYHIEPHLNSFDPTHLT DSRLLTATIHHVHKIHDPLNFFVIYAPAHPEQRPLFYSLLDHYLAFSSPPLPKSVLFG DLNYNTRLTSSPPLPTAWTQWLSHHWFDAITPVNCTPLPTFTTGSTLDFLFVTNDLKI SVHSPVVEYVAGSWTDHFSISIQLSIGSPQHGPGIWRFNPYLLSDKEFCNTMTTFLDA AETQISNVAPHIQWDLIKANLKAMAIDHSRKARNKAKTMQKILLEQRSAIVTQLHSQS QSDSQLRHPSNPHSRPHTQHTQHISNKEVAQEYLGTSQPQSHRIVDEPHTSPTPLTEE QEYVPNSLDDDPSSVLHQQLLEVEHQIDCQQEVDARIIALRSGHKWREHGECSNAYFY QCLKERRQAQNI PHYBLDRAFT_147577 MPVACNYCHGEGHKKANCEKRTKSPRLCYGCKKPGHIRAQCPDK TIEKERKCQRQEDPQVISPENGDNNRQLEEELARLVRENAKMQEALVQSENALEDKIA LVEEQQRSLEGITESSEITVGPTTEAQGGLAGDEDTIMTNEAMANPPKATGQKSRLRN DVDPTLIINGKRNQNNKPTQGLFPDPSAVHKTIITDGLGQ PHYBLDRAFT_147578 MHSTINNPRISPQPSLNGSPTHLHTARIARGKPVTIFDDASAKA ELAQQKANQMWISSNEDNAIVFDITDSGLDAAQFFQALKSQYPSIVGALGQDCRDRNI AIISFDTIEDVPRACSEGVVIGHQTLLATPTFGGDSNILRLHLDKLPLRRADKLEPQV QEVMGHFGRVIHIGLYMDPQFQLFGGKGFVMLDTAPKEGIEYIPLTHKIDFCEE PHYBLDRAFT_170462 MSTPEGLNPQDRAHTLRQGNPPNRAQSTTLRPKNKSLHIFTAPN FDKNMIVDLASAAWASTIHPISVLFNLGKLVPTRDVDDVLSDRVGRMTSLTLRYTRSK DLLAEAFFTDPSSRTKATSDGLTYQNTRIIAIPRLPSNSHIVKVNLYRINACDPSTDL LEPIENAFRPFGKIVQLRAYLSHRGTFRGEATIYLDTSGQDEVRSLPSHLHLGGSLSC LAELCSTDITPVCGYCREEGHYLHSCTKRPPRAPRCQRCGVLGHAIDSRKCPTHPDNI EAERAIQEQQQIALADTASLRAHQYSVAMIETPIPPVTSSTLTPTHASPILNPPATYT IRCRSISVMNTRAMTTRNRTPHNGNPPISFDTTMYDPTGRGLNASKHSPGARGLHSRD DGINPAIYTDDELRQSLFEATLQTRAQGAFPYTDLDGNTPMTDGTEKSDRSGTLNVRG LLSTINNPQSPKLLFIRHLHTLSPSLSLLALRETHLLPIHHSSIHLTFQAQASLWTPH CGLVSFDPLLQLSQLHVSPDNRALVVKVTHTDHRVNPFVVVVIYAPNIPSHRHTFFSS LARTLPTVIPSLPTFILGDFNCDLSHRRSHPPDWKGYIQGNCVDAITNSSSPPLPTFH SSRTHTRIDYIFRPHSIVTKRPELRNHCTLLSQRCLSVRGKSIVANSLLLSSVWHMLY VTPAPLSFFAAIHTHIRSFLRLGFGSPGWPLLCLPHKSGGLGLIDPDHQQRALQLRWI LPILHSHSFSSTSFILPYLEFILPLNFRAPSASIALLFPCSSATCPTSLLPLHRACTS LLPASLPSNLQLPPTVVQHFPLTSIWMNVPPFVDSSLNLKTILVSDAFSLETDDRLVR KVRGTFSWARNRIGHFFQQIDSGRMVLCPWFISCLEHRPSTDTPSPNLSSLLSLSGGK CFGIECQPENVSIYLYLDASPAHLASFVKMLLKISITFSLAVLSNGRCGMSFSLAFAR PRTLLKSAFSLPEAASHHVPPIKAFGLSFLLSLLKRFGVLIGNLFLMTNPFCQALWHK KRPL PHYBLDRAFT_147581 MQVLPNVMTVLFSGNSKFKLLTKTLHAVAKLSSLLYMRGISKDF DYYIVLIKHAINEVTNLLLALDIHIKKSKHTKQGLTFKPKVHLLHHIAKDIVCFGLVL QYETENGEQFNKFICEHLFQTNRQSTSRDVALRFGKQFICHYLCNGGYYNAMRDVNGT SQQVRCTAGKYVQELSVSPEFRRHFFGSRPNSDNSGLLTPTLCDTLAGVFQANGQIFL GQVKTISTQDIMNNFVKKYYMQKYQMIPSNSIECIYTPSVITANVHNIVVVPFGHLLE ICEEEVEVVQAIDILLQHGNNSREKLLNVEKFDVFWWMLMNIAKIAY PHYBLDRAFT_147582 MTHIQEAREEVGEKLDFPKPDAVINYHLQKKNEIPVFPTTTCTA VNRKGQCHEFSINKPSEYLRHTLACPGKTAQVSSLSDFTENQRLNLNQGTKWKENHMF QNLMTTSEGSDYWVGSVVEVQEWSNWFFLEKFYTKNGSTYANAFQVYGGHGTMLSHCD DAYIWSCGGSTNFAVSLLKYTIEVDKILSIVQKDSNLFLGCDLSVSSCPSEIVYATLV DIQSQLWLNTSFVERFKIKLPRGRLMKVVICPLNLYSDDTSRNSSKQYNKYNSYLMYF AAMPLEVRNNQENVMFISTSNHVLNAVEMLPPIVDDFVELEKGIVMYSKDHDEDVLVV APLLLFMGDNPWQSQLAMHSGTSGKHFCRKGHLEAPRSTQKDNMPEISYLPVDHNGAE KRTKEFLNAFATANTDSKLYKHECDLNYSKNGSKEFLRLEAFDATNDMPVEILHTILL GLSQYLVTYLLKFSKMSTAEMARLESALSSYRVCKSYSRRFRN PHYBLDRAFT_147583 MQNTFSTKRNKFLSINSTANRELVCTVCPKPKTYKTLNGLRRHF NKCHPKETEEYERILLRRNSVNLGEPSSSAAITPETDNMSMQMEFILESPQNFYSECT SDDSEDDIPKSHVKRWESTDFDVESDVLVLNTEMGAVFMGANPIAATMNAYSDGDSNT QTVYHQENNSEGFSGYTSPFKSKAAFILHALFHDNKDLSSERSIKKIMFVMEKLLEAV VFGIL PHYBLDRAFT_187664 MFSALIPIAKLLLISHKYSPIALTSDPALYAPKKPYSQSSIYIK INSELDITPTGFFKDQLPFFEIKLVALNFLIRNKETQNLFKKRFIKSRKYGGPNEVLT SKVNVFMSYNYAYNNPLMYPILW PHYBLDRAFT_170466 MKQENLCFLKRLQLMTIKSQRSARESSNSFRPQLSSDHTTYVVA VVVIVFIVVSDFTKLTNSLTSASIDKDKQSPLVVELVLKENHSPPNEILVDQKIALPN VAVLINLNLESDVICLSYTIIVKCRVYNTTIYKCFMACFTGCFNHVTFFSL PHYBLDRAFT_72696 MLALAESVLMLLMLSFFIRTCKLLASKCKPNGPSHHICLLSCFR TLVLMSLHLLITTELDDDASKLTKVPAKPTKLAMAAISTWRNMMSSVTNLNSPVDEDV DWLNSLLGSCLTWNSNTSTTHPFPDLQSMVLLALVDGDNNMFSCRILKKILFTIRLVL KLQEEAITNGSPFKLSRLDALLNYQSRKKSKLPVLTSIPVTIDLLKNKSVLAYVNMPS DHLKLLATNPVKAKSMFAMPDHMPDQFVCLQQGKKWRTHKNFQQPMFTHNKIDFWSGM LLSLPVALPMPVSFYNVLLPENNHFVHIETKRTSMRVKKLLQVDASPIDIDFCFSVSP RKIDPVLPVHWSLLLVPHFLKRHIPQDLDNLNNKNHFYKVRIAMITLFTNDMLGSWSK QYNPYKSWSMKCAALSFEEKSLIENIYFLSAILKKDGASGMSLLPVFVKDLKMLEAGL VMFSAEDNEHVLVVGPLLWIEADTPCHSELCGLRASTCLTAEKLKDEIHYTGSHASRT KERYQIATSTPDRSSTILDAPLTGKNFKASELSFQYMAMNVLLDLDSFDPSTNTPVEI LHNILLGVAKYLVTDLVKVVLKGHPGLLNKLIDSLNEYEKSQGLSRKFTRLLRHCGSF LGRDFKILVQILPIVLATEFVNDNDLSLITPCFVHLGRLCSLVFVRAVNLIQKLYFYD KNCGIEGHKPYTSKPKVQLLTHLPDDLQRFGTALHYETEKGEQFNKHIREHLMHTNRL NTSRDVCLKFAKQAVMRHVIDGGSWMNKDGQREHYGGDTAVFLHENVDKNFQDILFGR LRDFANNNDIDNIADLPPRNNTFGVFVLNESRDQPVHYIIGKVSSLRVEHYRVESSAH GQENNFLLA PHYBLDRAFT_147586 MVRKLDMHTHYDNKLVINLNKFGSYWFFASQVYNGRKLLQIDIP KTSNFLNQSKCLGPDGMTEAATSFYKGLYTPEPIDQLAIDDLLRHLPHDLCLSDTICS SLTAELCIEDI PHYBLDRAFT_170468 MGRFLQHQSFPLIRLDTLCLPTKMGGLGVLNPKLQQGALQLRWL QPLLQSTSSPSGLVLPWLLYLLRHYLLDVHPHLPFIFPDLRHPQFRTYTSPFFNLFAA CDLLPHDFDSTVINLPTCLDIPLASAVVVPHNLSAFPASWRHLRIQGAYKINTTLDIL SHHLPSSFPRSPRILHKVLQRVDDHSLFLHAFIIRACLPQSILTKQFPDLMARMGTEV DPSTLLSALSPTFP PHYBLDRAFT_147588 MYRTTYESSNGANSGLSSFRMPLATFGSGCFTTRSHVNPCCITV FPPHFPPLIVRCVERKLTLKTIFSTHAHSSFLSGTHSGSRISAFPPNPLTFTIRYTTL WRHHWAFLFGQSPFVAANGTTSANSLLSRLQSEENLDQRPFSV PHYBLDRAFT_72698 MATKLPPSVLTYMTTYVDECDHSKCALVYKQKTPYSEHSITIFL TDIESLHRCFPRLEYINMDFILQPIPKEEVETTRAVKPAYTTTKIVISSDYIDTLWIL YFANKYPNLIKHVTIHFEDMSLEENGIGNWIKYFSESVNFLDIKCYSGLLTKAKLFVP CHNLTLLNIYRGGAVDMDNILDQYPILLSLYICGSKICSLKYPQPSQSQHSLETLEIK DI PHYBLDRAFT_72699 MPLLRKKDMTLRSQDEAFSSILFGSDEECSQTRIFANEQMNLNM VVPLGKCKGIAFQLKEDSTKYDIHIHNEVKEKFLTSDDSSCTLDRREVGKSVVLTLCK GRSELWQTTSLQGNKSAILAGVLKYKGMRHLRKSIEKELFANNRGKADPNPHIYVADR EAVSQGYQSSGTGMSEYMCE PHYBLDRAFT_170471 MARLFSFISHRCVTIQGCLYHSDRDLAFLNGLSFCKCNLLPLLD NLLCFNVVWISGAFTTFNCMAKGAARFAKRNAFFNVKQDSRRIDGTSPCSECKIHDHI LVKFVSSESMQRFVLLMWCCGLEILFTLWITVLSDAKTNVPAISVGICGRVTFATIRY IHYHFGSIKIAVIYAPATVSRPRAFSTSILQLTQFGQPAPSSRFLFLKHFNYTYSSTS SYPCHTSLPWLHHVHETLIDCVTDEGLAGLKYEPSVDYDNPIRADYFLTTITLSFGTA HTERGLWCADSRLKIPSYFQERFSVSLQGIFPSLTQLPYP PHYBLDRAFT_72701 MSCSNRLVWRRVCDLVDEAPDNEKACILRRYVNREEKFGNTVDN DTRKAKSFQSSLEILRMYTIVRFCLVKQQTNGVNGGELCLFHSYAAHKPIIASDLEAG VLRKFSKRAKALISVLINEIGFQFFKVERSAFIGSRDKQVELQDGGNIPCKRARL PHYBLDRAFT_147591 MQFVESACHIYSQPSLLLLGRSTFLITLILSRMQRVLHVTIAHI KFFDKLGSIMRQFLHQKILPMASLASLCFPWTQDELGILNPQFQIPTKGLYHAMVKAL YISTSVLLLFHSTDTTQSSSCPNTRRYSTTFVVSTSATPSFFVLSTMKPIPRNFSFVT FLCYMPQPIQSSNLLLCHSPHDNGAPFGHFLSLTHLIIYGIELSSIPSHSKSPSTTMP LHYLTL PHYBLDRAFT_159347 MKLAGIPAPEESNYIIALKERTAMELYIPRGVKRQLQEEEYEGQ PANVDGSWPALEHLIKRKKLWFEGKAFVKAREQDIARAERFQTPLSELKPTAMIPEVE AFCKRKALAMAYTQAVQEGVAEEEEEEEEEEVAEVVAEVAEVVSEVVAAEEAIQVQKQ AETLAPRRAVKAMTLAARKRAAEALAVKRREAEAAATTTTTKPEPEPKTEAKAESEPE PETEPETEAKTKTMTMTKTKTGTEAKAEPRLAKSPYGGCHFRV PHYBLDRAFT_170473 MAVVLRKWKQVTLFSAVLYPPRTIRPSMSGDHIEKHGKVRQIAS LGSQNMRPRRYMSNTQKRVSVMEKGDFRLAGCTSGKKIGVEERPEMRESKIYTSSYNC ICDMCRRFITMEYNPIAEQVNFIIVKYDTDQRNNNNEISSMLYYLAIVYRTLLTVGKS YKELNIGQWPLPPREGGTKAPKLFFPGYTYDSYSSSSYDSNSNSSYHSTSNSSYDSNS NFIYDSTSNSSYDSNSNSSYDSNSNFIYDSTSNSSYDSNFNSSYDSNSNSSYDSTSNS SYDSNFNSSYDSNSNSSYDSTSNSSYHSTSNFI PHYBLDRAFT_74083 MWSPLIEGLMVRGGYNTAEKRVTCFHFLGLFINLGPIEYLITSN EVLQKTGSKRRSRKRLNLEDLSDAECKKGFCFTFPEIKRMSAFINLDKILSFRRSETY YIKFRCEFAFALVLYRYAFPRRYCSMERMWGINEKNLACTVNQFSVLLFDIFKHGFEF DSRKFSEENCESFLCCRVCKKRYIPKCNWTYRRHNAEGLSPNYKRGAESHHIHCLKYQ AIAIPDGITNSKERADETVQLLYSIAKQISVNEVTLEERTIDVLLNMCFHKIRKALEL EDVEEPRKRTREYTRRWVCIFGNTRDKVSTYIFVWTFRSTRRSKEKEKGKLLNKH PHYBLDRAFT_147594 MAVVLRKWKQVTLFSAVLYPPRTIRPSMSGDHIEKHGKRVSVME KGDFRLAGCTSGKKIELFFPGYTYDSYSSSSYDSNSNSSYHSTSNSSYDSNSNFIYDS TSNSSYDSNSNSSYDSNSNFIYDSTSNSSYDSNFNSSYDSNSNSSYDSTSNSSYDSNF NSSYDSNSNSSYDSTSNSSYHSTSNFI PHYBLDRAFT_159348 MKLAGIPAPEESNYIIALKERTAMELYIPRGVKRQLQEEEYEGQ PANVDGSWPALEHLIKRKKLWFEGKAFVKAREQDIARAERFQTPLSELKPTAMIPEVE AFCKRKALAMAYTQAVQEGVAEEEEEEEEEEVAEVVAEVAEVVSEVVAAEEAIQVQKQ AETLAPRRAVKAMTLAARKRAAEALAVKRREAEAAATTTTTKPEPEPKTEAKAESEPE PETEPETEAKTKTMTMTKTKTGTEAKAEPRLAKSPYGGCHFRV PHYBLDRAFT_170477 MQRVLHVTIAHIKFFDKLGSIMRQFLHQKILPMASLASLCFPWT QDELGILNPQFQIPTKGLYHAMVKALYISTSVLLLFHSTDTTQSSSCPNTRRYSTTFV VSTSATPSFFVLSTMKPIPRNFSFVTVGYFIFVILLDFLCYMPQPIQSSNLLLCHSPH DNGAPFGHFLSLTHLIIYGIELSSIPSHSKSPSTTMPLHYLTLYRTIISKSQLYTLYR LKSKLLISLREWNKNFYKWFMCMHLEP PHYBLDRAFT_147597 MSDTDETKNKNQTNQQPTVGGLPRPLTPNNIAVIYAPATVSRPR AFSTSILQLTQFGQPAPSSRFLFLKHFNYTYSSTSSYPCHTSLPWLHHVHETLIDCVT DEGLAGLKYEPSVDYDNPIRADYFLTTITLSFGTAHTERGLWCADSRLKIPSYFQERF SVSLQEKAMKSKLDRLIHKYKIYHIRDTQLVVVERCLKRPVATKTAQKHIPFLRHSVS NATCSTPSTKADAASAFYGEVYTADPTDHSTIDDFLHNVPEHDVYSDMDTTIYSRALL HAHPPPSHWVKQYQTGLFKRQLITNNGLMSRIAMEQTQLSSIDSRALERFCFPGIIVH CISKLFFSIDVSVNDNAFISPSIEQQQGLFQEDPLSLFHLAFEPLP PHYBLDRAFT_147598 MDCEYESDRDLAFLNGLSFCKCNLLPLLDNLLCFNVVWISGAFT TFNCMAKGAARFAKRNAFFNVKQDSRRIDGTSPCSECKIHDHILVKFVSSESMQRFVL LMWCCGLEILFTLWITVLSDAKTNVPAISVGTLEQPEAENISAGNQTTVEACMANLAN SECEAKASQVSRTLSEDSGIPRI PHYBLDRAFT_147599 MPENIYRVPEAYSITTMASTNRYFLITNIESLFLSPLFGLSPKA QPYTLTWIPENRALAVKAKHTNHHLDPFVIVVIYRPNISSHWRTFFSSLTNALILLDT FRLAFNANANLCKSIAAVMSEQFHLDLGSVLDATGIHSLHNRHSATAIYYLGFSISSR TS PHYBLDRAFT_170479 MPLLRKKDMTLRSQDEAFSSILFGSDEECSQTRIFANEQMNLNM VVPLGKCKGIAFQLKEDSTKYDIHIHNEVKEKFLTSDDSSCTLDRREVGKSVVLTLCK GRSELWQTTSLQGNKSAILAGVLKYKGMRHLRKSIEKELFANNRGKADPNPHIYVADR EAVSQGYQSSGTGMSEYMCE PHYBLDRAFT_147600 MSATGGLMTDSLMMETLKMVPSNSQVFSIETFLMEALLVGKLLM DALPMEVLEMSGFVMFALTFQSLLLEVAGIMVFIWGVLMMEAFELEPFAIGVMFNLLV IFLKKIQFTVKNEVFEIVYELVSQYWER PHYBLDRAFT_147601 MPHGMSFPSSLVLHFPLGAIFHTTDTTDEAPVLKGVKVLDAFKL SQDNVILRRPYHDLLKARNRIQHFFQAIDSRHLQLHPWFQDCMISLPSSPASHDLTSF LHMVHIDNKSLAQVTTGAFRRHMAPPVKTTNLTPACWKSFWRQTIPHHCRNLCSAPVE DPIHFFFSCPPKSRVCNIMLARHAPEWNLQAANDILFLGKLPKRSDTSALLVVLVAVT AHAIWRAHWNFIFDESPFLAGVVAEKASSAVARNLAMRTPHIA PHYBLDRAFT_182117 MHLVFREEINRGDVKRPDVEDKASVSAPQGQGAAANNLTWPNME DSFPYCPLLWALGVLTRQGVEYRTIAYVAGGVMGVESPKMNAILAVATQGGHWEAMPL NLRLGGDSLEALIKHKCTRSINALPTRGVRCRRSCVGYTIQQLQRKTSGAAQNVIRSL QPTNEGEGPQRTCSVLSVESASPTRLSLFAVSENIEDRIAIESIFRRRKRPKMEQSLS KNLLRTVFIRRACQTQERINVFTTVARHMTERNHYRRMLQDDLTVFGSEVQN PHYBLDRAFT_147603 MIYVNQCQRFFVGVNSTKSSSQKCDILSPVLYNFVFEPLLRLPA ADSSLPGFGLSTDDHTDQPSPPPVKYLAYADDTLIFPKSPSDLGTLHRHLDT PHYBLDRAFT_147604 MLDYDNNGSDKYIDKHGIFEIKVKELNNEVYSYISIFTIMFAPR CVVNEGQIVLIGFIYHVLKTHRKGDTKICGIPGLPYSHNLSINSISRFSMRLFNDWHA SCLNFRRAWLLHHFVYELRYLILAVNIVSFKPSGGITYLFGATYLANNNLSYSKRLNP KNLILAGLIARPKKPKSSAINSHSNPFADGL PHYBLDRAFT_147605 MDENLATAQGHIVSVNETLTVKDGVIHVLITVKYGIISSCMRNL TWKTKPGSILPADIMIMFDNNKFRQCGLKKMLPKNFIRWYRQRRMSPAERALMRSLSR KAGRSLEDCAITILDLRNYDGESATEFYGHGKKGVIRIAHSNWASNEREGKRSPIDR PHYBLDRAFT_147606 MEVHGDPGNTPTKMIKASILMDARDQMLRYITINSPTHYGDMGQ VHSLIHDKKAEGARTRDAVPLSLFEKNLLSRLCNTSSASGFPKIIAMVYNEAREYIEV YTKLMNTNDTNADVDMKKVI PHYBLDRAFT_155778 MLHLLTRFLFAEWKEAFSLYDKKGNSTVSSSNLGDLLRGLGQNP TQAEVRELVKSVDIDFGTFLTILTRPDGFRPAGSSQEFIQGFQVFDKEGDGYISAGEL RYVLTNLGEKLTDEEVDELLKEVEVGKDGRINYVDFVTIVLSN PHYBLDRAFT_91584 RVAIIGAGSLGASVAYALLMLNSAKEIILVDLSTGILEGQVLDL FDAAYSTKTLVRSGTFQEAGQSSIIIITADRPQGINETRKTWLQKSRKLIQSIAVSME PIHPKSLVVVAADPVDVFVRYLYEKNHVGRSRVFGTNGTAAATMRLQRWIADITSTRS KNVVVYVVGSEEHPVVLWHSAKISGQPVRSLPILIDNRHMIPSIVSCEKRLKIKSLKG DACFGKGAHLARLVHDILIHNTCTHIVTVYIQKVQTCLSIPVSLGRTGIQYILEPELS PDEAGSIQVAVE PHYBLDRAFT_147609 MALVCHELILQVSANSLSIPLKRKQSKAINQLTQLENNTPEHSY ILPREITTQQDFAGITLTSILVDSEYIGVIGVGTPPQYFQVGFDTGSSDVWIPHSGCY TCSNRSLFDTTKSSTLDMNGSNDTWTIGYGDGSRVFGINANDTITIGELKVSNQPIGL VTTQSAAFERNSEMDGIFGLSFSNISHTQQNMPVPCAMKQQGLIKNATVSFWYGPFHD GGGDGEVLFGDVNRDHHSGELEYVPTTGRGLWQVDMDGFSIDGKKHDQQKEQHEKREK LLPMNVSSLPALVDTGTTQIVLPSKLAQSFHNAINGSQFAFGGRWHIPCDLEGDDNNI TVTFTLGGKNFSVPAVALVRERTLDSNKTMCLSAISGIEGDKVILGNGFLRNFYSVFD YENSCIGLAPSKF PHYBLDRAFT_114655 MVLVGILRHHITMLITSAPKAPQLKAIRESKALLRGMRLRTFGN SIPQHAFACRKAYLAQAFEAGKYLKNPDAGKEGTPAPNPMTDPDMMEGMMEGMKKQMM NMVPQMLIMGWINFFFQGFVVIKLPFPLTPRFKSMLQSGVDTRDMDVTWVSSLSWYFL NLFGLGSVFSLILGDNNDMAAMSSMPGAMPGMGAPGQQQDFNKLFLAEKENILITPHA WDLENVEERLLKKYGKTVKKSITSSGSSPSANPVKKDSSMREKVKGVQNKGNSKRR PHYBLDRAFT_147611 MNPFYYPWLGPVFPGGQLQGQELCHYNYVHNSNNNNNSSSSSSS SSNNININSNNIYSANEPICGSFNQEQINVDTNFEDLQYSTESSLMSLNINNWQASPN SLNSSAESSSLAILDHFEYNPPGYDIKPEVLDSQVVPEDSPSSQFSRSSNERPQRRYV PLSAGKNSKKRTLDDMNEEYSTQATDTQSTATMSSSSQLGSLGMPGSCNRRVGLFTEA QAKSVARYLRLLEIIYAAIASDTVITKRDIFYRDVTLFGGQNYIDRMVDNICYYFNTP RSSLHIASTASSKGLVCGPITITLKNGKRINCKYSKKSNSGHEDHQGCLVPSFSQITK IELNAKFVIVVEKEDISTRHIVKHISVNFTGIPIMALMDNDPHGLEIYSVYRWGSLSQ AFDTANLAPLTKADRDKARGIITMREKFFGSPKGFWSDECPQRNSKSYKEFIKELCAI LHINMKCELQGLCVAGGPFAMPRYVEKCLEKFKTS PHYBLDRAFT_134721 MVQPSFVVFSGGSACNNIAQAFHQISNNNVCYILGVSDNGGSTS ELLRVLGGPSIGDLRSRLTRLIDVFDTNGYSTERAAIRELLSYRLPSNVSEHEVKDEW AAIVEGHHILWKEIPIEKREAIRGFLTSFNFEILKRAHKRFNFSNGSIGNFFLTGARL FFGSLEAALFLFSALTGVSEPTAVVPVINTNHTATIAALLENSDILVGQCEISHPSVT VSASDLTKRTRPNPIDAFSQLASDQNDLSPWNGSSPPNSNLVFSKHADEKLVSRIKRI YYINEYGQEIYPVPNPKAISHLSLKQTLVYAIGSLYTSIVPCLVLRNVGNTIAQSSSL KHKIFLLNGTNDRETGGYTALDFIATV PHYBLDRAFT_187670 MTDTTISRSIETVLKIVNDISPRIASAYQTTTRNELILVGGAAL FSVYNLVQYIKDKSTAKYYLPPRVPFALPLVGHSLYLLWDSNQFIDWCAKKYGEVYEI SIMGKIVTVASGLSGQEALKADTDFLSLEEGVIKDVLYLQYAIDKTTFEIGFYVNPVV AKAVISHKKVPRHTEGILKGMDAGFKNLLPATDSFVLKDPNHFFQRLIAHMSVPTLIG KEVGDNATVIESFAAFTADVTDNIPIFMSVPVVFHRFITPYLQSFKRHRVIMSKFIAP VVESRRQAADAAKLKGETYKAEDDFLQGLLEFVKPDGTNYTADQVAQATLLVAFASVH TTATNLAFCAYWIVSRPDIKQAILEEIESVVGKDINTELTYEHFENMPYLDKVIRESV RQGADVLAVGKKCLKTFTFSNGYQVPAGRVVETTNRRLNMGLSANRVDISEMDPLESG SRPPTTASRDYVTFGMGKHLCPGRFFAVLEIKLTIIQLLRQYDITPSTSSPAHPVKLI GGFMATNSSAPIRFTKRKL PHYBLDRAFT_134723 MATNSSAKQYKTLGEDVWKNKVEKINAELFTLTYGSLVVQLVKD YEDYNEVNKQLEKMGYNIGQRVIEDFLARSGIGRCSEFRDTAEAVSKVGFKMFLNITP TVTNWTSDFKEFSLVFDENPLGEFVELPDEALEGGLWYSNIYCGIIRGALEMVQMQVE THFVSDVLRGDDLTEIRVKLVRYLEEEVPVGED PHYBLDRAFT_114461 KKINGYLNTSSTKHFFFWFFESRGNPQKDPFLLWLNGGPGCSSM TGLFMELGPCLVDRQGKSVSFNRNSWNKHANILFLDQPISSGYSYGQSRVSDSGTAIE EVYEFLQLFFTQFNEYAPLDFHIAGESYAGHYIPPLATLITRENRKINDFSNLFHRKM TPHSVVLKLKSIAIGNGMVDPLTQYKSFSQMACKNSYAPVLTQTACRKMDEAYPHCAQ LIKRCYAEKDSYYCEEATTKCNEAMLVPFMNTGKSVYDVRKECKGGPLCYEHMYAVEQ YLRKPEVIRASHSQITTFKTCNSAVNHRFVVNGDWMKPYHASVPRLLDEGIRVLLYAG DADYICNWIGYKDWAKSIAWSQQNEFNRAFDIPWISKSTGRIAGEIRQTRNGRLTFLK MYKAGHMVPYDQPEHSLDMIHAWTQGILGHRL PHYBLDRAFT_66597 MPRWTVQQTQWIRQLLPVCDHDAHLAQRQLAWLKEKVLADHRGS SALESRLISHLSVAECNQLDEHVKERANNKPLQYILGTQPFCDLEIVTRPPVLIPRQC KITSKNILKPHAAARYSKENPLRVLDVCTGSGCIALAMSAHLPAARASILGLDIGSEA IDLARHNLKVLDDELQNPVEFRQQDIFDPVKEPFFDVVVSNPPYITTEEYAVLDADVK DWEDERALVALEDGTRVHKRIIEVVSAHTKPNDSNNDKNKNIEEREFPRLIMEMGGSH QVDALSYSLEKHGFRNISVWKDLADKDRVIVGY PHYBLDRAFT_155783 MLTNLGVSRQNSTRHSADPEEFYIKQERIGKGSFGEVFKGIEKK TNKPVAIKVIDLESAEDEIDDIQQEIAILSQLDSPFVTKYYGSYLKNTGLWIIMEYCS GGSCSDLMKAGVIREDHIMLIVRELLKGLDYLHTEGKLHRAANILLSASGEVKLADFG VSGQLTASLTKKNTFVGTPFWMAPEVIKQSGYNYKADIWSLGITAIELAKGEPPYADM HPMKVLFHIPKNQPPVLTGSYSKAFRDFIALCLQKDPNLRPTAKELLKHKFIKSHKKV SYLTELIELHERWLSHGHGRESDSSDDETK PHYBLDRAFT_94492 TLLHLAALCGYSRLVRVLIRLGVDVDRVDRNGFTALHFASWTGK QDIVHTLLQTSADRSLCNNVGKTAKALAADAGHTRVVAL PHYBLDRAFT_66594 MIIQLVHELLAQNQSTSSSLSKAYIVARIQIVPNDNNFSCPIIQ LVDPTTHQNVKCQVDQINPYSNNQIVCLRQWTWTILTDGSVFVGFIWDPSCLDGLDVI MQKHTARCQKLLNDSIIYRPLQLRKSNQLAVLNISGKVSAISGVYQRKAGSIPCYFVE LEDEDYTLCVWFLGKEFIKYYWDFRIGNYYVFSDVQVATLALNQTKRGILRYIPIQSK YFCITQYQGQILENAAARIPSLTEHTSVFPPAVWLKSDADDSHTYEGVITRAIDSVLG IYEIDHQYTLCLFHSARLSLPCHPKTRIRLHHIHSVQIQADNSLLLNNHWKSPFSSDA PKTYSFLIACPRSRIEILSIPIYTTASEIPLDMKACSMNSLDQSSFDENMNFMQLLQT LEFKWTLYYYQLFMSISMSPNALLQATQNYASTLLSQDHNEAADQKLSRFTSFLEFNQ FCNAVPSSRNAKFSLQTYPSIEKLKKLGSQKSQSKYSPPLSDLNIQHASFEDCPIIGV VDAFSDGQLCLKDGTGYIPLLVVYPKDHSRDIILIETLCIIRRFDYINEETSFKNSSG NACKTENIYLTCYIEDVDILFTGQSHLLTNLPDASVINSFLDHFKAEEKDDVLTILHI RNIQPTRAVFSSKNTVVLESHIIAICYDVIHPDSTCQTVSPHFVTLVLSSERDSLYQL AQFHIGRWMASSYKNPRQSLWRVGSKMKIVPIATNTSKIHIVPVLNPILHFKVPKIYD VKGIQKISAQYNSEYSGKHIQKTINLIGLVVYKEYVSSRDSLMPENRQRIWELYKTHR VGCPQMTSHSILVRLRSGLSTFDIYINLGLQIMPLGVIPGSVVLFTNLGLKPKRQGSV IYGFGTTCTYFTLIETSQDLNISVDQDIPTYMLGESVPEQRRLFKAVCHIKLLKLHMQ WICKTCGLCAMADKCGGLCDDARAVFKVKMTLEVRDGTAACLSYTEDESLLFLLWGCS QSQRNNIKQQVFSSGEPFSYNSLDKYQEQSQLEKLCLKNNTPGRYWVYGYFLTNGDME GLPGFYFSRMIKTVHLEPITNLSDSKVKAPFEYPTFVSSSLCDQYKVFRLIPYAVQNN IKEIYQLTERINKHYIKGGQ PHYBLDRAFT_66593 MSGNYPNNRIRETIINITNQQSLPYSDGENELQGEMPAPPLSSK RNNQAQTSPKVEEIRKMIRHILTKLGKRKRPAPSIAHLGEQLRSNNVSTQFENEDTVE LLFRLREVLLLCQDMDEKLGIQILTQRLIGYRKQNSMALLSSERTPSNSPASSRSNSP VPSPKSAVPSRSFEASPTNAHNSTIKKESRVDFEKILFLLDELVINDCRYKSANPKPT QPPYMMQSVLVDIAIILVNIRSDSVGLYNIGATMLPAFETFNDGALAGKLLSFFIDIL LPKLMACKEESKSRGDRFPSRRKQQPSTYNSVTVPSTKHQHTQSVTPTINIQSADVED NTDKEHTQPVRSSNLTIDTRLSQSQTQSPMNPRSPGGPPRSPAIQSQYSHQQLMETHH AYALFTPLLFFMIQYLNPYLSSSRAGTQTNLSAAISRQSSSINNFHCALRFMIATKPD LYFDILDVISHSTPEVKFRACQVLFHYYNIGVGHVSVAEPLPKLGIVEEIAILERNRK RQEFESIRQQEQQQSDPTFLETMIGTNVAGINRKYRNGAGGNTRSPQLNHRGSGTSDS NDDDYNDDFHVWYPHMFTKPYSQTESGEQSTQQIPNATYIPDSGVIDYANGSYCNECY KEMEDYGLRCYQCKCNVHYNCFNQRMATNDLDIMLYVKEGGVQKVVSPQFCHIPPQPR FSHLHGNEHGGYGVCAAQVDIFGHHFHLVNLYTIMLCASCVLPLWGISQQGYRCSTCN RFIHPECLAQAKRDRNTNNQHSILHTCQPYKSLLESDTKITQKELSKQLFSFYGNLVP KGENELMGRSFEEVGTVLNVLLLQENILHCGVAAGCLLVCYLSDDPLTSNTRDSGALT FAQPCPALRRGIELCITYLESGSCKGSSFLSDFYSNRHHSLGEWMLSKEDYLSHLAAM MKSLAKSSNEGTIQSVIQTASEVKRRSAGDARGFLQVVPNPFTERWNDDDDFDDESCL PQEIMERSAMLAWLMENLHLKSKKTGEIMLQHMCNLGLFERKDAAPILFTSDTTATGS FLQSPHSLPLSSENEPVQCVFPVPFAIDCSPTVETLINSIEACFDDIDITLNECGMLL LVRRCWPDPFMSRYTTDRLIHAILTWIFQEDEKLSTLHAQYISNKHQIPGVRQNRYTH AAQLALLSRTRGLAGEKQRQSIAFGTTAGMSNGTGSAYVTIRTALRDRYVFPWLAAVH SMDPSMYASILSDTIERIVDGRREECMIPEWMEQQNHKKTTSRRYDEYMGYILKLKLG GLTFSSQDYMLERWLNKAYDDFEATGVLKDNEPVDVSNLARLCSTKNVASKYSAAPSV VSDSTHPYDTITNLFETKDIESIDQGIRWLTLFMHSGFVTLLIGISSEALSKISRLLI AAGASIRTLAEFLKLVWFQAVNVLKITTSRAAIIDIVGYINEKTSGSLFSLNETPNLP TERLASTQKFVKYSVVLTCFAYNCPLANITELDIVPYFGDHVARVMRTKRASLDKDNT SPMRADENTPIIRCMIKYLRYDQINVRTDVIKMFYALIYWGFGISNKSDFATKCMSAL IPAIWEMLPPNHDHISDINLNLLMKLLSIDVRYFQACVYEIFEDSNWEVRYKGLDHLY GLFTKMDYAFQTKWLQMLTHLGPVFSYFVGCLWDKEENVRSKTFALIRTFGTLHLRSA FWCWEAYFMAASDRQKIPLVSLMINLHAIFPEWQVLQWEALLGALEMKRSDKSDTRSL DILDHYMRSDHSTKDKDHDDSASEIAADSENSKVLMLSLALQMLSNHISITPTQISRF KLILVEQMGFNNCRRFENAADEYVVTFGEFCYDPKDPSQDAMMISTSRGLKKVMDSFS PLPAETVASMPSDVLEQNRLKLTENSSPGIHFIDVVLKMFNSSVDLTKVSHIIVKAWL EIILIVVYKHNILVHEYELSVVNCMKQIIELLIKEISEENKLLILEILKCLLRRSDHL TAMILLKQIMALGKLMTKLGGKVTEPVYLKAKQFLKGAFLRFAGAGLFNRTVQDSGNR DVDLFYILRTIIDPEDVVPDEDMREVIYLRDQPVRDVLDKLMKQQMERSSFSTVLYNM CRYVETVHSHPYSETILNDYAGFLTTLVKHTSGWRRSEWNINPVLTMSAIFLKEHPYH FSILLAPIQILFKHGIAHCDLEAESVVKLMAAYSAISSIPGTQPQNVFAETIIEEVKA GMNNRLRLNRTTLMTLLQLILWDNDKEGKTWYTSIENEFLGEMAHGRQRNHYFKDKLP FILDPVVNFIKSQVVVSPFTENDFRTYNTASQILVLLCREDRKYLSRALALQRLDETR YCLRFLTWFILALLSDGADECLKGILEFEDVFTDLLTQTLNSVQAALDSTEANFADST SSEALALCFLVLKSWLLLRSRSNNVCVNVDEKEHVQSDPLMLWMSVWPALRRILYGIE SSTLFVPGNYGLSIWSMFLSLLQFLFGCHSSIVLINANEWSSLLDTLVTQLSVTNGID ELMSSSVEETSPLYEFKGRVTKVRQMFDVPPIEVPADMLIDQLYLVLRSVMQSQADNL AFPGATRVMTTAMGLPQ PHYBLDRAFT_147621 MWLLTIMQKRPNMVVSYGFTIESRHNNYASIYCHSYSHNRYTYK PADTSRNRIARSQTECSNDDASLDSHSEDVALLSLATVSALTTLTTARFSSSNYARIR FANLKISVGQYLVNNEAAQGVRMLGGITFLGCDPASHLALPHYCQPYTVFTYCDREGV KKSMDNESSSVIASKLFQRIEYTVIKSPSEASIKKDLVVSFMANATMIAKSRIFLLI PHYBLDRAFT_182124 MTSLPKFHSFGKRSSVQPKKAPKEKPRVVIRTLSSSSFSMVSPA TKMRKRLSTFLNGSSSTSNNSSPEQGSFRHSLFSIRQNGPGDCSDNTSTTSSESDHTP SSPLSQKQQLLLQQQQDNNNNNHEHHHQPSSVPVSEFGVVSIDSHLEHHANDPAITKQ PQDVWCTHQDIWVVSPPNPIKNQNQNQLQYQYYNHHHQQQQQKRQQPQLQPVTPHTNP KPELVRLQLAQQVSRVLGSVMADVDEEIDQEWEISRAALTLSLSHCV PHYBLDRAFT_114767 MPSAEQRKIYVNMPIAPDEVDEYGQPLKSYMRNKIRTAKYTWYT FLPKNLFEQFRGIANLYFLFLVILQMFPTFSTSASPILVILPLAAILLLTGVKDLMED RKRQSTDDGVNHAMAYTLSNWKNINVPEYKVSLGRRWLNSFVKFFTRWKKPKQMITDD YDDNDDQNGKRLSRALSRVQTNVSRTDSFLEPSTPTSKTSSLGRTLRSGTAPFSQVFK KKKKNKIPYRPGQVPHSVLRRSVQNSNASEKKKKKKKQALMPKRPMDKRWQGVQWKNV QVGDFVYLRDGEAIPADIVVLSSSEPDGLCYVETQNLDGETNLKIKRSLQATIEMNTP EDCERAQFYVESEPPHANLYSYNGVLRWKVERTDEGMEEQKYPGVPAITGSSLLLRGC VLRNTGWVIGLVLFTGNETKIMLNSGKTPSKRSKMEKATNPHVIANFVLLFILCIICS IAVSIVYNETSSSNFFEQPDAESSTMEGFLMFWTTLVIYQNIIPISLYISVQIVKTAA AYFIHTDIDMYNVRLDQPCVPKTWNISDDLGQIEYIFSDKTGTLTQNVMEFRRCTING VVYGLGETEAAIGAKLRDDPNAVNARGDSEYELEAARQKMNAEQSKLFEHKYVNPNSP FVDPLVYEDLAKNDSQSQSVVHFFSALAFCHTVIPEIADLDKPNVIDYKAQSPDEAAL VATARDVGFTFVAREQDTVKIDAMGEIRSMQLLNVLEFNSTRKRMSVIMRSPQDGRIV LLCKGADSVVYERLSQNLSEDDEDDQNQKRIREETLQHLAVFANDGLRTLCIASRVLE ESEYQEWAARYKTASNSIVDREEAIENVCEEIEQSLTLIGGTAIEDKLQEGVPETIAV LAKAGIKIWVLTGDKIETAINIGFACNLLTKDMLLLSVNGRDADDTLEQLRQAQAQVN EKSTYQKCALVIDGESLKYALEPPCKKELLTLGTQCMAVLCCRVSPMQKAKVVNLVKK GLKVMTLAIGDGANDVSMIQEANVGVGISGEEGRQAVMASDYAIGQFRYLSKLLLVHG RWSYLRTSEMILTFFYKNIMWTLVLFWYQLFCGFSGTMMFDYSYITLYNLVFTSLPCI FAGVLDQDLKAAYSYKHPQLYLMGIRNDKFPVSRFYLTVVDAIYQSAICFGIPYLVFV DAKMHSSGYDTEGVYELGTFIAGIAVIIANALVGFTIYSWTYVMVLVLVLSCATYFIW TGIYAHVMTFTFYGEDILFRGGTFWLCLLVTFIICMLPRYVTKYYLHMYYPFDNDIIR EIVL PHYBLDRAFT_100614 DSSLSFNQRIQPFVLLAKSVKGVATGQLIMDCLAAPGVYVFEEL YQSPNVIKAASLPEVAPYHSLLRLFLYGTYRDYVDNKANLPELSPAQLIKLKHLSIVS LSEKNKTLPYELLKLYLDIPTVRALEDLIIDAFYQNILQGKLDQRQQQLQVERAMGRD QEESQIEETMAILEAWSTRTGNLLTEIDRTVQKVQDEMVKDQHEREQYDKQIAAVQR PHYBLDRAFT_170503 MLRAYVYQSFECAMLCSTLIYHCDGWDIPTTVEWNTNSTTGGFL RKLVQLENKILIMLTKTITSVSNVFVCFRKGLAKQLCFFKVKRCSDAKRKIYVPKIRV SDVFLYDTNFLRNHTLETTCLELYNASDTSIITFNRLE PHYBLDRAFT_182126 MQTDMPKLPSIKLLLDVALARDQPTLSKEEQHTQHTQHQQHQHQ HQHQQQQRQRHQFGHRRHVSDQTAALPGLSQTYQKRKQPTWPMMPTIPMSPHEPPIGG LPGHMQSLSLSGHSPAPVEPRLSEDTLPNFSFGPMHAPRLPPSMLLHRPARNMHSRSF SDYSHPYTRGANNGPYLAPFTTNSTSTSTTPTTMTSHRRAVSTNTFDLPLPIRQELPP TLCSSSSASTITSNPPLSPTLSAPQSPTSEEYISCYSDYSDEPQSQPQNNNKNNNNNN NISEEVSSATNKYHCPYCNKGFSRPSSLRIHTYSHTGEKPFECPEDGCSRKFSVQSNM RRHLRVHRLGRTFKRSSNDRSERPILAQKPIAAKPSWIGVKQ PHYBLDRAFT_170505 MAFFCFLLPVMLKIKVAVENESLNIFLCQPRLSELLSDNTETYF LETQVLINASQGSFDYHTLLALSWLSRDHLPYHIFLRLPCLLSIDFCNIEHNLSKRSM QGFLRSRLLVNMMRAEMLYYNILYDGKIDPVQWKFNRFFCELGMSYCVAQLSDQNALY HLFC PHYBLDRAFT_170506 MARINFCRSLPKQKLRFLCELYENKEYDIHSLKNNCVHTIFHVN SCRFTNWLNGGKITGSVTDPHELKIFTDDSQKVLVVYPCYAIAMRGRGSLFNTPLVWF PHYBLDRAFT_155785 MLIGTTGLLSAAGAQATVSDFLANMSASADVLALAKAEVELASI PEGKNVTIKWRGKPVFIRHRTQEEIAEANTVNVKDLRDPEQDSDRVKNPEWLVMLGVC THLGCVPIGEAGDFGGWYCPCHGSHYDISGRIRQGPAPLNLEIPEYNFQDDKLIIG PHYBLDRAFT_147627 MIVGPLDKNMLSYSGGVLGLIVLILDLIVIFEVINSSRAISGKL GWSLLVFFFPIGGLVLYFIFSNRAAHTQRYDPIA PHYBLDRAFT_182128 MSLRLSCSFQRRRPSRPKAEPPVCDIQNYTDPTFASTKNNNNTS TSTSSNNNNNNSSNSRTYHKTRKDQDPLPPNNPPQPNSPRSTPSKPKQATRPPNIDTL LQGIGQYTFLGPLGDGKFSRVILAQHYLTGERFAIKVIDKRIHDYRIMSRLVREVVLM ELLDHPNIVHLHETIETADSLFLVMEYVPGMNLDEHLIGSDGLLGENEARAIFRQMVS AVDYCHRRWVVHRDLKAPNVMLTPQGQVRLADFGLGNRYGLHRLRTICGSMLYYSPEI ISGQKYVGPEVDCWCLGITLFRMTAGFELFSHARTASELKKIVLSRHYPMPAHLSDAL KQTIQKCLAFDRKKRRGVRHALRNDPWMNDHGKLKDVFEDSPDVTMADLMLVTGERDG DDRRVGQDLDSGNGNGSGSGSGNSNGRNGHDGNGSQKMQKANRTVVYHPLHPSIYFTS NSAHSPVLQDNIPYQEQVCGLLFKDLQKRLSHIDLRYSQPHPTSRLSRLFHVESTTDR LLRRSTSALNLPQLNPSVNKDHIYHYSVKSCSTAPPITATTATHAFAFDESLYAAEHD EHAVALLIASVCQILGVTYHHTTSSSLDCVFSLHPSVQKTKSNPLLPPKSPELKSNRR WHDRLLSWPLSIQSGSLSPSLSPFNHHHNNNSNHSNNNNNNNNSSSGQHNRNSPPFAS SDQSMFGLWDDSLQKSPRQEPIFTNTSPTFAPLRNAQTIDQSAQESILFTVRVFVDPI QKSGNTMRGMGVQFIKTKGSSKVFKLATNWIHDVLVSSTIMK PHYBLDRAFT_182129 MEDITSNYGRMQLESAQQDDWRFNHQDSILDLIQHINNETLRQA MKSEIKHMAIDHARLVNMLKQRTDHLEFENAELKIATSEHQRRYEKAVREMQFFKKRF EKAAEMAQQQQQSSRPRSLSIESGSSVEGPQKSSFQSPPSCPLTPTSPPPSFSIDGTT IYDVSPAEAIKRPVPTSSASSISSHVQYTSAFNDTPSFQTARRHSNATQSVLSGQSNS SNPHPAAPLTDTQSSSIPATSDNTNLQRKASTVPSVNSSGSSGSSVHSSPSVPRNAFQ PIAKQHRVDPLTFGGSDSFWETIAKSKSTDSAVEKIISNFLRRGGSPNTANQTLSSRG IQYGYGLIHAAIAVKAITSLELLLQHGANPNAMSLAITEEDKISPCYLAASVGWLPGL QALVQAGGDLMTARGYGQKGKTALHVAAEKCHLTVVEYISSVTHNDLALLTDNEGNTA LHYAAASGHTGIVTYLIKSCHIPPNQPSQKDEIALHWAARSGRLEVVTLLVERCGSNV NAYVPRKLGTSLDLAKAGNHKRVVDYLKGAGAVGAKKMDKRREEELAKEVPGHLAARL AKNGLFFS PHYBLDRAFT_170510 MSLREISDRRTHLTNGIHVLRVIFHSGLERYKERARSVEQKRSV AMVHHLVKDARKTIYSVIMTTPEKDTSNQSIYTNPINSPLQYQRTPVGFSSLLYESIH ISSDTRLPPLFCDFFHLSVQPSTIWKSLVHIFQKKSQKSQKTQNSDRSHQEELRAIIT ESLGLFSVHNILYSSFIDMAHLQNVMSSVVANPLDKYKSATEWLLIDIIVALTLQAAH RTLLSVCSDVAPDIHHCSQSFYSQANRQFWRLTYPTIPEREPNMVLSQNLVRAAILIS HYQCTSISEDQALVTLRTGVGFAQICKLSSYSEMTMMPMMPKAAILDTEEQQRLCILY KTLIGWDTWFRFYLYQPNPGYQDNTVDHCLKLFDSNFYYTQGNQQRVIHIIEIYTSFI KSLLRSRTSPIGVQTIKDQFDWLERASLINLSNTRSPQNKYQYPTELTSCSASVISLY HQILILQVTSSFWSLPYSQSSLDNNQILVKICTSDAAIAVDVDTDVDTDADADANTED EAEIETEAAVSDNELSFYECGASMCIEASIKVIQIANESISRNTTAAAIMSDVHHPTL LHALCWAVSGMISSIQNGSHHSPRLSPILNTSTLTRTRKDDQSCTKFSTSLSKLKALL EHLESVAPSQNQWKNLVELLNTAAADIPNTPPTNLTGSLTPDSQDFKDNTLPSISISI SSRNSSSSSSSSGSGSGSTACSFPITEPTDKTKTKNSRVKKKRPFTYLTNNNTIGSDQ NIQKEIRQRVRVRSKSGPIKIDYAQSPFLPPSAKESLVTERDSQHSHIMGNEDQWVPA PFTTSLQTYPALKSPKANTRPRVKRTRSCSDSYSSLDMDGLNKLSLALDMCSTVGTRC KLSTLPGERIATNTKTFQKHVWSGLEPNIPTCPPPILNYLNYQNSGYHKEQPSEMINV NKGLDKDFFNESTGMIETLHQPNVPASLSISTTPNQSVHNGSVFGYLSDMSPILSTGN LPCERQPTTLFEETQPSKEEKTFLWAYNNSNNSDNIRSVQQNSYQGTEDRSVKVDELR RDGSCDLFYTSVTPQITPCSLFSSPLPWTNPPAYSFQRAGFGQSRSNPMKSTAVGLFE HSTEYVSSSSTSPQETTWETTSKEFLLYPWDSRH PHYBLDRAFT_147631 MSNSNSEISLSTEDNHAIKNEYKTWYKHDGGKDGLTSMERLQQF MLMNGGENLNMYLGGDKEGRTFKPSKVTILNKCNQYFQEQGVYRTTAQRKLRLNNLLT KQYGEAYRVWNNSIKNNSNDEGSTSEKEGLESELNQICPAFFQMEKVMGNRKTGSPAI CDTTKPMEWIHDEDGEQSNGDDDNTDEESAENSHSSNNEEYDSQNDNMSVLCSPPPKS SSSRKRRRVDVEYKELAEEVTRQLNESSVSLEAKIERLYREKLEVLKDDLHIKREREF IHRKFENMMKAVAELAKVQNWSDEKVQEQTDDVYNKTYGS PHYBLDRAFT_147633 MFMSTLSPNKSTNKCGIRAIRAIRAIRAIRAIRAIRAMFPYETE MYDKQQFFAMTIEQKSHDDDGVSDEILTFRLPNNRVPGKDQFSKQMLKTQGVIDLVVG ELLDVPDGTYMSAETEWQNASRSDILYKPRLAIQNSLPPILIEIQLTVNEPFMQRLVS YSQSALSIYKTYPIVLIVCTDRVSPIQLMAKFKPISGKPWMSSLLATDFWAQSCFIVS KLTLSGIAPSDQLTPLQALSSFLTEASPTLYGHPFAENRTIRQLYHIAMAISENQVEC DKDVSNVVDIICYNNEKILMKADAALFGVSGSSKAKNLIERALVFNAAAKRKYYQTVD SDSDSSLEPLPKLKGKTRETIQDKKQDDLEFVIKYRKNLIGKMNWKTCLSVGHEKNLC EDYSTGESLRQFFYNATKK PHYBLDRAFT_170514 MNIQFLYENGKGSVVDEYGRAEPMDYIVDEEQFRLKTLSSHTQY LAQLPLENEKTVEAMQVEKEVKPGSDLVMGETSMKRNYTRYSDQDKVRFFELLFEKCL SAAAAVKQLWIHVRTAQKWAEQYERDPDNIFEKGRKTGRSRILNEERKKVILECIDAN PSVVLDDLMKHLRQVFTELKVSKSTLFDFVKKHCILSLKKARFQPIDRNSEEKIQERL DWIHKWEKTDMDFTTNCVILDESAFHINLKRSMAWSKKGSPAVVTVPKTRAKTTTILG AISAQGLIKCSLRLPRPPSNKKRKQGENVVRVSKGTVTGHYVRLRLRREYSTELRLAP FTIGFLNRPIITIYNLLLMEWHVDELVHPITAEPNIRTIRSIRSIRSICSIRSIRAIR SIRSIRAIRSIRSIRAIRSIRSIRSIRSIRSIRSIRSIRSICSIRSIRAIRSIRSIRA IRSIRSIRAIRSIRSIRSIRSIRSIRSIRSIRSIRSICSIRSIRAIRSIRSIRAIRSI RSIRSIRSIRAIRSIRSIRAICSIRSIRSIRSIRSIRSIRSIRSIRAIRAIRAIRAMF PYETEMYDKIWFQWSV PHYBLDRAFT_177816 MSEKTHLDPEPQHKKNYNLYPHSHTGSADTVIEDAPGNQGTYGE TDVNTVNIQVPEKTILHNISGFCKDGEMLLVLGRPGAGCTTLLKIISNMRGSYTEVNG DVSYGGIDSNTFADKYRGQVCYNEEEDQHFPTLTAKQTLQFALRTKTPGARLPDESRH DFVNRVLYMLGNMLGLTKQMNTMVGNASVRGLSGGERKRLSIAEQMTTSSSINCWDCS TRGLDAASALDFVRSLRIMTDVLHKTTVATLYQASNSIFNLFDKVILLDDGYCLYFGP VEQAKPYFEKMGFFCPPRKSAPDFLTGICNPLEREFQPGYENSVPQFAHEFQTRYMAS DIYKTMMAELEEYENTFKSDSPAETFKQAMNEEHQKRAPSKSPFIASFYQQVIALLIR QYHLLIKDKEALYSRYGTILIQSLVTASNFFNLPLTGAGAFSRGSALFFSVVFNSFVS QTELVRFLMGRPVLEKHKQYALYRPSAFYIAQVIMDVPYALAQVALFGVCYYFMIGLN MTAGKFFTFFINLFFINMTMNGFFRFFGAITSSFFLATQVSGTLLVVALSYVGYTIPY PKMYPWLYWFYWINPLAYGYKALLVNEMNGQEYSCEGPGNAVPYGPGYDNWDYKVCTM TGGVPGENFVKGESYLLEKLSYRPWEAWAPDFLVVVAYFLLFTVLCALCMEYISTGGG GNTTKLYIPGKAPKARTDEEENERRKRLAKITDEMDSISTGTTFSWQDIKYTVPIKGG NLQLLNGINGIVKPGHLTALMGSSGAGKTTLLDVLARRKTIGSIEGTILLNGEALMSD FERITGYCEQMDIHQPAVTVREALRFSAYLRQDESVPKSEKNEYVEKIIQLLEMEDIA DAQIGMLEYGSGISVEERKRLTIGMELVGKPQLLFLDEPTSGLDAQSSYNIIRFIRKL ADAGWPVLCTIHQPSAILFGHFDHLLLLVRGGKTAYYGEIGPDAHTMIDYFQSNGGPT CPPAANPAEYILEVVGAGTAGKATRDWSEVWAGSKEAKALDEELAEINRSADMNPTRV SRAYATSTWTQFKLVHNRMALAYWRAPDYNFGRFLNILLTALIHGFTYWKLKNSSSDM QNKLFALFSTFIMAMTLIILSQPKFMTERIFFRREYASRYYSWFTWGISTVLVEVPYV FVFAAFFLFCFYWTAGMTMSSEATGYFYLMLVLLVFWAVTFGFVIAAASELPTVAAAL NPLMLSLLIVFCGLMQPYSAMPTFWKRWMYWIDPFHYYIEGLAVNELESLTVVCKDED LLKFSAPPGQTCGQYMANFFSNGGLGYIDNPDTVGPELCGYCTYKSGEEYYSSVYGWE ASNKWRNIGIIAAYFGFNSLVMLVLVYWRRKAKR PHYBLDRAFT_78484 MGIISQVFGKSRWTRAIFLCIIVQALLAIIFESVIFSYVANEIS IIEQERLNQKGFGESLATAYANARSLLVYFVLFIIAQVFTVILVVDAIYQKNTIQLIA LVAFELGMSAYSIIQYHQSLTLFDNTEDASVKLALAFLGSAYHASSWAEITQICVMIL STIVFIFLAYKLYLEFGWHIYNKIGADLAMRDRYKMYQIFMMLLKFDFFFFLGFSIQY LALLIVAWWAEAKTEEAKKSIVNELIEHIVLSCLVSVAMLILAYWGLRKERKPHMYSF IVLSCASMAYFIYMLVQIGQHPERFVGSRAFLTFFLCVDMVLILASVPVAVVCLRNFG FLKTQMPNGNPASPSHSMTPIGKEKPPTERWSIE PHYBLDRAFT_66574 MKFRGTIQTDGIGISVLKQTQGTTQGPNPRMTTTIGTEHTHYIH DFTPEQHESIRGRCVLIDPGRRDLLYCIHEESTVETPRCYRYTSNQENVRLKSGKVSS QYFWITQSKPQMSVKVAFDQDSMFQRVIVSVCAYERERLKSARGRVNYKEIRFRGVP PHYBLDRAFT_187684 MKALKLRWDLKIHKLVMSTESNRYKLVIAMDFGTTFSGCHVLSL MADPVSNCDMSMWRFKNEQTTMFYKKRSKKLFALGKLATNEYETNPSSGYYVTKVKLW LDRTIKEDLPSLPHKMTPVQKSYDNFTVIADYLRQMHKSVCQEIRIEYPNFTDLSMYR YCMAVPTMWSEQSKQIMKEAAVLAGIIKKRDDSEKLLIVDEAVAAALHAESKSSDIEL TNGSIYMVCDAGGGTVDLATFEKDHSVGENGIKELTMGTGSSCGSAFLETRFEDLVKE HTLKYPGYNKIILAFVMEYFATSLKRRFGDRDKEDTYMIDHINKEYNNGHEDDSDYKE FSLDEIRTIVFDPIVDKILGMIEKQFEQLGGRSLDVMFITGGFGQSPYLQKRIKDTFA DRVKHFEIPVLSYKAVMEGAALFGANPRVITQRILRRTYGIKMCSATDKSDNDTGTPS KRDQFYVCVRKGSPVNEDTWITKKIAWNKNILPIISLYAYDGDEPIPEYPTIQEIDLV SVFNTKFPLDQKRETSYEIMAMKMRFGLDKIEIRVNIAGREFEYVTVWDVTGEKKTQN FTEPNPPFSVKLKKWFLMEEIVKHLP PHYBLDRAFT_78483 MLCIQYELCTDQYQERLQIWIFSTNEDKKASHLFPKTSKRRNYN AMRYSDSHQASLRLLLLGSVLLTAYCAAAVQTTELVGPLSQSTGIMCIQRVCPTLDTG VDGSEECPTECSDSCYRDDDPCCPGNYVMTCDSSSSSSSGSSSGKTSSTPAHPSSIGV PTGLSSGAPSSSAAITGASLSHSSAALSSSAPSPSGAKPSSIQSASSSSSGANAGSSD TSAANLVTIKPFVVLSLSLILSMKLIL PHYBLDRAFT_147642 MTRRKVWSKREEPSIEETPSIKYISKPGLGGVSSPGAINISIRK VGNVKRRKVAGATKRKAPEDRLSLPKDITGSHFVQFVVDTMDIMDQFPEVKGYHIVMD NALIHVHSIVNPTIIRRGYTPAYLSTYSSELNKIEQFWEDIKHYFNREKLKDNKTLTY RMMDACERVHLQNI PHYBLDRAFT_170521 MNPGGARSFYLTGLIDRNHLSGARFLSLNLHGKMACPKKIQYIQ LIAVCDLNLYLTSVMQSNIPIDQFNAIIDAANMDPVPMSAYASDISNALHKAPLSAFA LRETLYDAGYSKVFVPVAYYDANFMEITIRYLLFSYLIINLVSQLYIANNGETELGRL KREVYSTDQSKFDRVLLKVGKKSISPGLIEFSGEINDRTSSRKKNSKYIENLYSSMIK VMNGAKANRMFCMGCYYIYFGKLLIVDDTMDKRTKVTMVTPNTPRKPKAFIKALRKNK EIKPFCTIISTCDHFDQKLVKLFCKTVELNTLFGHKFNYTDDLTTIDLDSNNERQVCS QLELVKQKKKIELNENNNGSGNEKYSDSSGRSLRSLYKAKYSQFKIVHRAKLYASEI PHYBLDRAFT_147644 MRLLDRFLHRQSPKEARPLSTAHPKHKTYRFLPKRWFGTLSPPK ERHKVQSDEILLSQCIQTALSPSNRWSMGTLPADLRTSNRPPLPSFPLSPIPENPTIS RRQSRVV PHYBLDRAFT_39550 MVTDKVARDALLFSLRGRAFSEMPNTLAIHSKYLCNSDISATSI NIALDESQRSISPFLSFWDYHDAYRSHKTTPFEVAKLLIAKLKETNQSHWIRNFDEDS ILTQAKESTDRYNRGESLGQLDGVFVSIKEEMSIKGFETKCGTNFIHDGEPAEEDSTV VKRLRAAGAIVGCQAVMNELGWDTFSVNPFTGTPKNPYISNHSCGGSSGGSGGSVASG LFPISIGADGGGSIRIPSSFCGVYGLKPTCGRVSAHGGEPVDPTLGVFGPLAATADDL ALTYTIIAGPDPNDPLSLHQPPVSLKDYDLTETLAGVRIGILPDWHSDNSDEIFNERI EQVKAHLKQLGATIVTTSLPDIDLVGVAHSITICSEMSSYASSHTDTTSRFLPHTRLM MSVSKRLQGSDYVKAQQIRTRLMDQLHELFSGPMDLILTPTTGSQSPAIPPQAAAYGM SHATWTFRAMQYVTLANLTGIPALNVPAGFHNGLPVGLQFMASWWNEALLLRIAKIIE RMPGLEKKTADSYIDCLTTA PHYBLDRAFT_182137 MSFLRTIGKAWPLIQRVPIRSSWVTSTSAAGPAVTRRFQNIHRH SLHTTPCTQLFIPAYSQPEKEARTKAPVLQNIPIQNVWEEFLERVKNDDDITEDYLIL VCRHIKRDGRSESIPMMQTVMREINKRKEEKNLAKAFTIGCNMLIHLFINKEDLQSAR TIFYRMSKMNTQPNEVTITTMINGIAKLGTTQDLHDFYELFTDEEKKTCSADVYKRFI IAFGERGDLANARKYLDLMSENNQIKEDSPYNTMLTFYENINDHAKAVDVFEAMIKHD VKPTEHTYAILLATLKKCEEIEKMDEVYQHMLQSGTIPNSGHLLVMGMSPEMALDELR RLNVNTSTRDYNACIAITVRQNRFDQALRMFRKMAKDNVSPDVFSYAIVIDAIAKDDT QDADLAFELFDEMRNRGIRSDVVLYTSLISLCSRKNDMPNIMRLLRDMKESGVKPNIY TINTIIGSLLNKHKLHPKDIEIADSMWTQIELNNLNPDTRTYNMLISLLSRKINPTRK MGDPYIQNNKYEEMPFEMKRILRLFKEMKSRRSKSTRPDFITYTVVINSLVGCGQLKQ AVLLHEEARSYRINLSLSAYNNLMDGLEKTSQMTQVMTIWHYMKTQNILPDDDTYGIV LESCRTLGLTDAFSSIRMQRKADFARLSELDSIRAKRPGQLSMM PHYBLDRAFT_170524 MQEVRHNMRDRKIAIYLYILCMKLHEYTRDFISLEMPYILEAES ETATRGSDAPVLWCNTCHTIHSSNDSCTLRNLSVSYKKEIRFKEIKQLELDGAFQRVC IKIKLTSGWTRRFIVSYHNKVNRISRNLDVKYRQELLVHHGTLTCIY PHYBLDRAFT_10834 MEYVGKLSSLFSTVHNFYNEINPATLSGAIDIVVVEQKDGEIAC SPFHVRFGKLSLLMPQEKKVVIKVNGTQIPYLMKLGEAGEAFFVFETEHDVPEEFQTS PIMGATSAIEHAHGENESIVLDIAGYKTGDSAWGKKNGSREISSDQKMVLEDMERVAS PPSIEEEDHIHTNHMSRGILQSESSDKVKDEINGEIENVVKDDTKVNVKDDVKEEVKG DIKENVKDSVKEEIKEDIQNIVEEIKKDDKDDINEEPKIDIKDSVSEETKVDIEENAK DKVETDTKDDVKISDKEETKEDGKSDTEKDDKDDNYAKTLRLTSDQLKSLNLKKGVNS VSFSVSSAYQGTATCAAKIFFWDHDIQIVISDIDGTITKSDALGHVFTMIGKDWTHNG VAKLYTDIHNNSYHFLYLTSRAIGQADYTRDYLRKVEQNKYQLPDGPVIMSPDRLFTS LHREVIMRKPEMFKMACLKDIQRLFGGRDPFYAGFGNRITDAMSYRTVNVPASRIFTI DPNGEVKLELLQGFKSSYVHLNDLVDQIFPPINKAIDEEYSDWNYWKAPLP PHYBLDRAFT_182139 MSIIARISRKSMPVWRPVVAWSRAYTLIPSTPEQSKPSAQDIQD HNPIHALYNNHNQSVQDTHYADKKIHVANPEHYQSADTFSPAFNTVFDETRNLWAWDC IYY PHYBLDRAFT_182140 MYNQNLFPNYAGNHSHGPQGYYSPNQGFSPFVNPNFANQDPIRM QPSQERIPSETASMYQRQEDGPLLWFAGPPVNPTPIQGPAHSLAYLKWKQAQKSS PHYBLDRAFT_147650 MGKKGNERHNLDEDAFILEEGSSQHYARSLGPRGNHQHEVEFAD GTQKLVVLPPRFRNTIWVKRDPMVGTTSDKVGGEIVQVLFPKHIKMLKQAGKWPGTFD RKDEQAKEDDDEEEEEEDASDDDLFVNNNRPVMSGTESEESSDEEDDR PHYBLDRAFT_170527 MVECTPWFIFGISFMLASDLPIEILIHIASFLKTSDILSCTQTC HQWTGAFETSLKGIISIRNKWKLEAISGIMASDNNIYAHNSSRIEEIQLCPWLDMSSD QLGLLQHQFRNIKRFIAPHQSLNSKTFGLGEDWELWGYSLTYLFISLERRESGKQTRA FVECLKYLTCLEHLEYTETCWAQVLNASLGDIESFHENLPSSLTYLGLDLRLCTLSLD EASRLKKFPSIPNISNVKLAIQEMDLRWLCYFARKYPNIDTMSWNSPSSMYFPDLFHD EALALFDSLPPAFSQLKTVNVDSTPGSKPSQIVFWRLLQHSNVALDTFNYCLVNDLES QGLLETNISEIICFHANTLKKVTFGTDILIDNPYTIPTALGKLNCVVDLTINGISNPI SIAMIMDNCIVLKRLVLTAKKIRAPPISTSTPHGLCLLKISCAILETDFFTYISYRCR QLRWMCLDRTKIIDSVLPEVGLKIKMPYSHLAFLQLNQVRFMEVSNKSNIPLGLITLH SSCKLLHPEDPTIYRLSFTGSGPLWIQARWKYPDYTVAKMRILPKNEANYVQEAVDAV KTKTFSQYQLELKEELSRGFVDWRLAAVDRCWMSGKKTDNDVHIWRRYTTSNHDI PHYBLDRAFT_147652 MVIKMEYHHHRFMKFIVVVRASTKKNIPSSRSQAKPFSSHLGYY RSLSATLGFSQKLSVLFRFTPACFFQLIPNFPSSSPLCSLDHSLPPVETKRKHMIYPA SLRRRG PHYBLDRAFT_114782 RLVEGWNSTEVKWYPIPVGLGFAVIGYIQYRRVLERETRLNSDN DRKYIATGPWQVHVAAALPLRTMSRIWGAFNSLTIPTFLRPFGYRLYGWIFGCNFDEM KEPDLYTYPNLSAFFYRELKDGVRPISNATLVSPSDGKILHFGVVEEGRQIEQIKGVT YSLDALLGEAHRSSSPLAHAVNGQKPTVVDEEEFANVNGITYSLDALLGSDNHAVKAP SSVGPDGLAKDEKTNDKEAREMIKAADLSPGHSDEDYNAMHGHNVKPGNSLFFCVVYL APGDYHRFHSPANWVVQSRRHFSGELFSVSPYFVNLLNNLFVLNERVVLLGKWKHGFF SMIPVGATNVGSIKINFDEALKTNRQEDLPTGTYTEVSYKSASKILGGKAVRYGDEMG GFYLGSTVVLVFEAPSNFKFDITAGQKIKMGEALGDIQAEA PHYBLDRAFT_102509 VCPHCNGPRYQRERGTISPVQTYQVVPLSEQLRFKLAHPEERAK IAYGTEVLAGGRSNVRKDILDGDDIYRLLAGGIVGQGDIVVSMFVDQFNPFKDAAMSA SIIHVINMNINPAERYKKGNMMQLAIIPGPKHPKNIASFLEPILADLRALQTSGVKCW DGDQ PHYBLDRAFT_182142 MADTTITPVSIDTSKLNPLSHEVISKQATINIGTIGHVAHGKST VVKAISGVQTVRFKNEMERNITIKLGYANAKIYKCENEACPRPGCYKSYGSSKEDHPM CERVGCGSKMKLIRHVSFVDCPGHDILMTTMLSGAAVMDAALLLIAGNESCPQPQTSE HLAAIEIMKLKHVIILQNKVDLVKEKAAQEQHEDILSFVKGTVADGAPIIPISAQLKY NIDAINEYITKKIPVPVRDFTAVPRLIVIRSFDINKPGADVNHLQGGVAGGSILKGVL KIGDEIEVRPGVIIKDQEGKIRARPILSKILTLAAETNKLEFAVPGGLIGVGTQMDPT LCRGDRLVGQVLGYPGSLPSIYTELEISYFLLRRLLGVKTDDKKQAKVAKLTKGELLL VNIGSVSTGGRVVGVKADLAKIVLTGPTCTEVGDKVAISRKIERHWRLIGWGKIKRGT VLEAEAN PHYBLDRAFT_125983 MQSASLRIWLGALLVLCCAFITSATSPTNSISKTTIPIPTHFQN IKVLRIIDLRTPIVHEDIGFRAKNIGNQPVNEYYLTFKAQDEENIASINAFLRQGPKT ALSIEQAGFDSEKELQLYKVTFDSPLQPDEEIRFGVKVAYTNVIEPLPKKLPQISRQH LIFNGNVYLFSPYNTEEIKTTLQLPNEKILSYTGNDEIVVKTGNKIIYGPFQNIPAES YNILSCHYEYLKPVLTLTSLRRDFQLSHWGGNLAVEEHFALRHDGAKMEEPFNRAKYQ LSRSVHAQTNVLQHLPLELPAHARDIYYRDDIGNVSTSALTYGPSATILDIKPRYPLY GGWNYTWFHGYNIDLGYFARYSKKSGVYILNFKFVENVIDMAVEKVEVNVILPEGAKN VKVNAPFKLDSIEHTKHFTNFDTTGHYKVVLKKFNVINEHSQPIQITYEYSSIRYLQK PLAASVGFFALFIFSIIISKLPVVIGQQKKSLLKEE PHYBLDRAFT_125985 MAHANVWNAHPRSNGKGSRQCRVCAHRAGLIRKYNINICRQCFR EYASDIGFNKYR PHYBLDRAFT_155789 MTSIPNYYTVLDIPITATQDDVRQAYKKQALLHHPDRLDDQASE TERSKAVKYFQLIADAYYILGDQERRAVFDRSHSNTENISFGGNLSTTERQAHLMFSD VFEELLRPEVEHPSHVWSVLGAGAGIVLGFIIGNVGGAAMGAVAGKTIGQIRDNKGKV SRCILHLNV PHYBLDRAFT_187693 MPAQELTQEVKQNLVNLAMQAKDRSYSPYSKFRVGAALLTEDGT LFQGGNIENASFGATVCAERTTYCKAISEGHTKFVALAVSSDEENYISPCGICRQFIS EFGPDDLPVLLSNTKGEFTETTIEGLLPFIFRLDH PHYBLDRAFT_39533 MSIVIQDPSSQSNLSDIKTTHLHLNWTISFENKNIAGHVLLDLV TLKDNVDRVVLDTSFIDLKSITLEGSPLKYDLAEQHASLGSALTIYLTEPFATAGQMF QLKIDYATTEKCTAIQFLTAEQTVGKKLPYLFSQCEPIHARSFIPCQDTPSIKLTYSA SVTSPLQVIMSALSTLSQPSILDSNSKTYGFEQRTTIPTYLIAIAAGNLVGREIGPRS TVWCEPEVVDQAAWEFDDTERFLSLGEDLLTPYEWGRYDLLVLPPSFPYGGMENPCLT FLTPSLLAGDKSAVQVVAHEISHSWMGNLVTTRNWEHFWLNEGWTVFVERKIIGRLHG EASRQFSAIIGWKALKESVELFGQDSPATVLNTDLSSGINPDDYFSSIPYEKGFNLLY HIESVVGGASVFEPYMKSHVQKYAHKSITTQDWKNHLFEYMLENNGQETVDKLNTIDF DLWLKGTGMPPVIPQFDTTLADICYTLADRWDKARDEESLSEFSSNDLNNMSSLQKVV FLERLSDDKPLSHAALAKMDELYQMTLIRNADVRFRWQKLCLLASYEPIYPHVVAFVS EQGRMKFVRPLYRLLYRAKNGSELARETFLKHKTFYHPIASTLVEKDIGLK PHYBLDRAFT_182147 MSGRHQAPYARYNQSNQPINSVKHNLNIPFNPQNNAQGIGHSSA SSASYNNASSMASPYSSSSSPGHPSPNMAKHVTHNTQLKKYTLKPPPRLQPLSKTMTQ LGYPGIFPQRSSQDEDVLTESNMRNGFNDRPIVSNEHTCAHDIVYGKLQDDQRLMNEL GSFMVDVLKRKRKAAKVTGNTSFKPPLRSTLGDNKKDQWMQDLAGGTVPLRKLARNVP HGFKGEKLLETLALRQVPFLRASWYIKIVGLSEMTQRNANNTNPPVSQANQWTIIVIS HLKKQLGELTATNTASHHNNTNSRGHKGHNNTSNHYDGSTKPWASPENRARFEQRWLY STRLTRWQYCEGLLDQRTFLRSSLDTLLASGSFEVMWLILTGLVQDYVDEYRRNRTLM KLLIETLIKTYNALLSHTAQIHGDKSYWIYCGLQKEIKQMLQSLFLSTPDVFVIPKLY HQYRDVFDAILGEDNSESALQTIPDVCNTMKKYWNIVKARNEVFCGTAEENKIKQDGN SREDKKTTAQNLTEEVRDGEHVVHVLDNIGRHVGSHSGLLIDQNGWMSVNGKTAKSAA KAIFGTGDVDQQAFVHIIKTMCQWATSDARYGDWRPYLIASILLHWRDSSKTTERKTM LQDSFIRFLDEESLSDETEIQNSDEINANNDPTFESSTLIRLQLFSYQKYLLRLIARG ELEPSQRHKRSIRQSLHYLASFPLLTPPPAGLVHQRRVAIYGVRNVNGDYQEKEDLSH MKRLAKLSMTGNMENESFLFGENSREMTSPEPSDSIASFDITLLDKYIQEIAQTMQNS TRYVVQLFCDWMVDQVMRFVVKSVQIGEDNWRVMTTPGSCLLNTRQYITIIKILEHAK DYTNIVQIALWVLKKTNERALYLYIIDSLRKYASIWKLTNYSNQVAEALWEKHQDLQA RGIRERCIMMYIVQLVQEGYRISDERRAQLQHDLQAKPKFMSRHRSNPLPITAELGQI IQDHSPSNIQSVTDSLCVRYQGTAGWIGIILQGIVEVMSPVGAVRRIELATSKVPAKE TQDSFARSFEFYQIVSAFASLMEEISNQATITGELDEAIAGWLSRQCSPTTMNGTILI DEMNQEYSWVPLFITLLVVHGCVSLEIVLKDFAIPWFRHISQETQQANGTDAAEHRVL QFSKNLVALVRLLVVREQCKPNFEDAINTSQPWILRIEDIFRLETSRQTYLTSGLDKI EPLFGLMEYLVIIGANLPLSSTLLRELVMLRADMLKVERFRQACIRDLDGVYQRFATQ DVGGANERKTKKKMLSIVDELIGGNPCFERQGMAHDLAPSFVEKLSHIFMNVSQWNEE LCRVQVNLLLDNILLSDGSSSNPNNPHILGDDSTTNLATTPGMDSILRSTPTSTNEEL VLFVDFSFNMALSDDSNDKKSQKSQRRFTFLKNMLNGIREPVLSELLSHGVRLLEGCG ATTFPENVLLLTTEGCIPTSFESAKFSRRSHAFLNIMQHMIAEDVWTSSKKIELLKTL HVQIKRYKDGADVYKVMEGAQVSYNNAIRAMSLVKNNVDMAIALLMNDGIPGNSRDTQ GIDVMLQDIRTSLLVRLKLVVPFAALIWEHPKAEECDILEWVKVLVSLLGNPLVHGNG SQEKFFEFVLDLVSLLIDEVPRELRKPNLLHLSSMNGELAFLPSMFLSRVKRILPFLT HNIYITSTRLASSLLGSQSSNITPQQQQQHLENCMEHSKPWEWLEDYVSDPPAENDAP INISLFDARKVKKAEGTYAKWYKSGFSDKLASEDDHDEPLRVSLIGKSRKRSVDTMED NGFIFIVDDDEDVNSTTTPKRRLIDMEEGELP PHYBLDRAFT_66555 MADEKKLTLFSGHPSLKVLWILLSRRNALKEITRNINTLWSRYQ PIDSKPNTLNQSNSFREHVLISINSNQPLILGKKIQKIQTHKTTVSNFVNTVEARIKD SPFCTVKRSVQIDAQTFWRLAKESGIEDYPKSSKNEEMLSDFYYKLFDFSKIGFRARE SISIGRKIFKQMSSLGLGPWDNSSIAVQGKRFQCYLELGCDGRRKTFLIFSYTSQKTM ALACPRSSALHLQNNYNQFRLKPSQLQDEHKKYLISYVDEFRQTHIKDAIGSLSEKFQ KFSLK PHYBLDRAFT_159363 MKAAFTGMRKLFILRVYLLAIIKNLPNVSMAKKLIMYLDKYHCS ADKIWFRNLIFASPLKL PHYBLDRAFT_134765 MLAPDVSRVLIIYTGGTIGMKHTPEHGYIPVPDYFTKSLASYGR FHDQKKDQGSGSLSRSSSSDSLDSLHLETNELSPSLNVTNPVRIVSSSGSSVGKETIV QLPSLITPVSLYGKRIRYSILEYDPLLDSCNMTMSDWVRIVRDIEGNYQFYDAFIVLH GTDTMAYTASALSFMLEDLGKTVIITGSQVPLSEVRNDAVENLLGALTIAGHFVIPEV GLYFGQKLYRGNRTSKQSAVDFDAFDSPNMAPLIDVGINIDVKWPLVLRPMQIAKFQA HKVLNPNVASLRLFPGINATTIRTFLAPPIQGAVLETYGAGNAPARDDLLSALKEASD RGVVIVNCTQCRKGLVTDSYATGKQLSLVGVVAGADMTPECALTKLSYLLGKHTGNPH LVRQLMTRNLRGELTKKPEDLSEIPMNDPNMSVEEQLLAEKALGPIMMCSSAEANDLD SMKLILENMSGEFNWNCVDYDGRSPLHLASRQGNLAVVEYLLLHGASIHVRDRAGHTP LYYALIGKRVEVVSMLRKAGAHFAECEVNDFGPLWLRYVSTDDIKMVRLALDAGWGIN WFEPVEGRNAVDVAVCEGHLAMLKLLLGYSDISLNHKDRWGFDVLDKLKMLRLSNKKD ASGIYRKVPDTVLDSMEALLTPRIQQAL PHYBLDRAFT_147663 MANGLDDYDLVFGVDFGTINSGYYLLSLKNDTSRDKFDQSHLWK YKEEPSTMFYKKNSKKLFKYGELADCEFKNNLNSGYYANRVKMWLDRTIKNPALPPHL KPVEVIADYLKKMSVPMDRQLRLYNNIGDGFKWRYCMTVPNMWPEQSKSIMREAAILA GFVTEGNDIDKLLIIDEALAAALHSDRVSSELKLAHGSRFLICDAGGRNINISTFEKD NLSGISGLKEITIGDGGSCGSILLENLFEALLRKRMYIHSGYSERNKENTLWYFNYKL KYIFGGDMKKTSYYMKMINQEYIRGHPNIGEEEGISLDAIQTSVFDPVVNEVLGMIEK QLIQLRGKTLNAIFITGGFGQSPYLQKRMKETFKGRVKHFKVIEDGHEAVMKGAALFG FNPCKITQNIIRCTYGIRISLPSSTSDDSSDLKSEKEKIYVYIRKGESVNNDMWISRS HVWKNNSLPVILAIFNQKYPDDQTKEIIDEVLTIGIRFGSNRVEIKVNSGGRQFEYVN T PHYBLDRAFT_31750 MSTSAASTAFKNAHRLHVQSLYKRSLKLSLDWYIQRDLWRQKAL DIRAQFERNKHITSPKELQAIIAKTEQELKDWAHPDPYKLPMGPEGTKWERNLPPLMD FPTPSGSHH PHYBLDRAFT_182151 MSEGINTEFTSEIKELGVIPIAEQVLARNQEVCGVISNIRDIIV PHTQRRDSSRFFTIVDPSTGTLGGRKIQSFHSQKECLPMGQVGDILICRGLTLSDFQG EVRGVLSARYFSASWTTLSIHDLKPIPEVVTDFIASPKNMAIAKVLQKWYKQTGCPLP PTPGDGSREKSVEKSKNELTYFRGKPFLTTAQIGEGLFAYCNFIGVYLGCRYANVSMT LSLTDFTVNPHTGGATKPSYGVSPDVILLCTLWDEHAENCPKLERGDYIMILNGRRKI NSHGVLEISIHGDVLSPVYIQKVIKLSPDDERLDDIKARSNSANEHSKNVADPKNTGE KPSNLLYTESIELKEARSVITSPIILPLTTFLQMQTDGVAGKLYNIRGCVTDFRALDP AEWFLRWCLKCEKYSARFLKTCKSCKNPLSDLKYRFCLFLEDARGDQLYIFCIGSNIY NLFPGLPPSLALESVEMAEQLYARMLKLCPKKDDAKTYLDFRVKCIQGSGPRNLAKYA LKDTKFYFE PHYBLDRAFT_66549 MHERNHGMYSTCNCSSIFNIVNTGPLVTHAPLESPPEMLDGVEI RALSGPVVKTSDLMAIFPPPRVRPSMTAGVVLLEHKAPVLFPRKILEPREEVLSQSVH AFFAGVETFLIQIIEQSLPSEEEKSSSLWFDQYSEVLKPTIRFLNQAFDIYIMSSIQP IKVPYMSRQQIS PHYBLDRAFT_182152 MSKFADFRLHAQKAVSECIEAAAHHRHSSNTNTLPPQFVQSLVQ THIAPIGGSENTLNVVLVEGKADWRKSALELVFRRSLLNIMNKWPEAEKERFYQLSDC LDIALTSSESDYLDTVIPLTLIEELLDVHTIAGCEDFFDYVEKRKEHLIVNMVPGRGK GLVLLRMCNEMLRRLSQEKNMVFCGRILMFLANSLPLGERSGVNLRGDFNNEPIHCDK DEDVNADPTMTDEQKAFYKLFWSIRKYFSSPPTIFQGENFIELQRGAEAILERFQTIA SQEKAMSGDSDIVETSGRKRKRMAGSSIDDPDDAEEMLKEINHDYQFPRLLSSRKLLE LEMEDARFRRNVIVQFLILFQYLSGFTQTEKENTQQLLAARGATKQSLAQPPFSLEEE QNQWVINMRESLLNLLRLTKPHGELYTDIVLTILRHERNWIIWKASGCPAFEKPPINA EELKQIWQNKKPKMTRPSPPYRFLYGNVEMTQMYGKPHETLSEVMLSRRKMPEPILVL EKALLELNKNAATSSIEERFDLANGALFQATRLMCRSHAYLIPKVYQSKKEVYKVLWE SNMNIDEKPGTDAKPTGPERGTGEPVTARHVEAEIQVLQNAKEILSKELL PHYBLDRAFT_21611 MHSSFRTFIVLLAFAGVTETLNHQKQIDVVNQCQHGIRVGYQTN GNRHSRTFSVRPNSDSHRLIVDSEWAGRIWARPSCGSRRCGSVAGATSPASLAEFKMT GANGVDYYDVSFVDGYNLPIRIAPTVVSGLDHLDSNDLMHCQPTVCKSLPVCPVDLRY QDSKGKFVACRSACSRYQDDAYCCTGEFSTPETCSTNHYANAIKNACPNSYSYAYDDQ TSVYVCKARHYTVTFCPQED PHYBLDRAFT_66546 MGFFDSITSMVNSGFHQPPSYEKRKLYRFAEVLGSGTFGTVRQA TRISDKKEVAIKVISKKFMKGHYDMVHSEMEIMQGLDHPNVIGFYDWFESRDHFYLVF ELATGGELFERLFERGKFTEKDAVLIVKSVVNGLQYIHAHNVIHRDMKPENLLFKSPD SDANLAICDFGIATEAVADPSGDQPICGSPGYVAPEVILGHGYSSAVDMWAMGVITYV LLCGYQPFQAEDQVELFDSISHARFEFHERYWRNISLDAKDFIRGLLTLDSKKRMTAT EALNHKWMTGVDATDIDILETVRANFNPRRTLKKAVRAVNMLNRMRTSVSSLSPTNSE TTKTDVPATKPHVIQEEITKHQTAPV PHYBLDRAFT_147671 MTETLNDYDAVIGIDFGTTFSGYYVLDLNSEQNKNYYDSYFWRY KNMPSTMLYKKESEKKFTYGRSAEHNFRKHPDSGYHISKVKLWLDRTIKEGLPPLPPN MTPVKIIGDYLSRMHKDISKIPKKYPKFRDPSRYRYCMTVPTMWSEESKNIMREAAIL AGIITKYDEPGKLLIIDEAVAAALYAEDQSPELNLTHGSLYMICDAGGGTVDLAVFEK DDSSGTSGLKEITMGTGSSCGSTFLDARFEALLREKVSKYPNYSEKDIQDALWEFSLV IKETFVGDQEEKSYCIKKLKRMYIQGHPDISDDETYSLDEIHKKVFDPVVDEVLGMIE KQFAQIGGRHLDVMFITGGFGQSPYLQARINDTFGSRVKHFKVIKDGNMAVMRGATLF GARPRAITQRILRRAYGIKLCSSNDMPEKNTPSVKDKFHVYIHKGEPVSEDGWITKHI AWKKDILPIVSLYAYDGDEPVPEYPSSQSIDLVAIFNTQFPIDDRKGVKYDILAMKMR FGLDKIDIKVNIRGRDFEYVTVWDVTGEKTTQYYSEPNPPPSVKVRKLWLMDAIVKYL P PHYBLDRAFT_147672 MSPSDLPVEILTKAAGFVSKYDLLQCTYVCRAWKEPFQDVFMQE LVLSGTRTINNAVNPSANIYSQYQRYGHLVRRLTLKPKRLTANEKFLILQQHFPHIRS LCISELGLDNSLQADSFDWSSWGKITELDITIRLYGNTNPQNESLDTLRSFRNLRRLS FLSCIEINSYGIDIFDYGKVGFAFHNIETLHTYLPQLEYLKLETGFGVFSKEDLMDIQ NILPAKKMSTLIITSGNLIVPWLCYFAQKYPNLKMLELQSGKAPRTLFEPNDPYTEIA ISRFLNTSSAFNHLHKIMIKEYDVQERIFPVFWKILSPSNTTINSVSYITRYTKNNWE HFKKIIKIPSGVRSTTLKALFIQARTNDAIAAPITGVVELCPNLVYLNLECEREKVEL DVILDQCSRIRKLRIHGGQLVTSKYATLDSPVHGLERIDMLALKVDCTVFSYISSRCR SLSHMNLQYMRIYGFSQETRELCISMPHTKLSELLLYAVSLHLSDEHPRSDTDIKFIL TPKVDNSDRSDTTTVDRISSYTWRYMFWVSCAYTYRKTDMREMDNEEVEHATQYFNQF KPRNSIVNGLEKHMAIEGCSDIYEWEKDLPNGYAIFECLAQALLIALTITSLPSTVNL DSSDHTTSFHWSKLSVKAGLWDVIYDPKPKHLRQTIAAAAAAVVVVVAVFLQTSIFLF FKEPLTLEYEASLLNPFSMLCKSHLIHSLNDVLDIGQAHVNSR PHYBLDRAFT_147673 MITFVDDDPLTTHMSFESPPEVLMSVFLLPQIRAGLAQALLIAL TITSLPSTVNLDSSDHTTSFHWSKLSVKAGLWDVIYDPKPKHLRQTIAAAAAAVVVVV AVFLQTSIFLFFKEPLTLEYEASLLNPFSMLCKSHLIHSLNDVLDIGQAHVNSR PHYBLDRAFT_147674 MSFCKLMVIGRPSWLLCTNDDQPETDQCITRNLPLSHPVGAASI KKRKEGSMDL PHYBLDRAFT_170546 MSPLSVSPCITGLFLLSLKVGVARSVASASGTFLPVGLLLPTGR PFPPTSGPITSCQWNFLYGCQSPKFLLLPESLSLPLGGPFISLTKRFRFCRKISRCYA TYVRQIESPFGFQHRPKVKVKSRSRSKIKIETHSQGQGQKSKSRRIVKVKVKNQNRDA ESKSRSKIKIKIHG PHYBLDRAFT_170547 MSRIISEYQVKSQVKSQVQYQNHSNGQVKFQVKFQVNAQVIYQV ISQVISQVISQAKVQVKVQVQVQAHVLAHVLAQAHTQSRKSPFSIFIQIKNPGHALQI SMSSSFPRRVSTQVPNQEKGDHLTQSQSQNSGFIPKAQLLIWFPLKSKVLSNEVASQA KSSQIKSMVFSNGVTSQAKSSQIKSKVFSNGVAIQAKSNQIKGVF PHYBLDRAFT_66507 MFGQISSIINSTSSASAFASASSSAIMQRAFLQDFITSFEGDHA LSNDSFEETDSGYCSLDELELEFDNRDDQDDDIEILLDPSQLNIEEQLVYEMLHISII PHYBLDRAFT_170549 MFAQTSSKSNSTSSVPAKRQRSYSQESINISTESSKSSNDCFMD IDSGNRSLGEFHIALCNLGEETDEDDDDIDILLDPSQLDVESQFVYEIISFVPTIPVT KFALLLSVKSIQILNFCLWHVASVFKLLDGPNNGLSHDLGESMVVRDWTEIYSKS PHYBLDRAFT_182154 MLQNDSHSSSTSPLTVHSKHALNQHTKDKDYDTSESARRSLEEQ LNEMLQVLFELSVVVYDFQPEGNKLVWDKINAITDHYQKMDELKDGLEAFVPEEVINY VENGKNPDIFTQTFVERAASENQFTNGKIKAVDSFRNILSNEFLKSFPELSEQEYDFD ALMQHNQPNSTQ PHYBLDRAFT_147680 MFSDDGNGNIIDYRDQQAPTFVDGTPFRSKIWTDLQKCIKNDVQ LEWTTMNAAVMGKDASATIQDTVDNLTTNFISLQIKKSRVAEVMSEEWNLIIKDISRH PLGTNKEESLQARVNWIDYWLEESLTAIDTILVIGIDLSTREIVGATKRKALQNRLSA PKVIDPIIMKRDYTPVYLPLITCLTLIQSEKSWAIVKGNIKRNKSSGIESFAMHIIEA SKAVPVENLRGSIQHFTQKAHGCLQENLHNYMCPSK PHYBLDRAFT_134774 MSKKYSIKRKATKTPLEACFPEIQPAGSWEIPRKIFHYSIGFCV YYLYNSGYDTTDIYPSLTAGFAVVVTAEVLRFSFDWFNRIYCKLLGPLMRKTEVSTRL NGVVYYLAGCVIVLWAFPKDIASLSIIYLSWTDPTASICGRLWGNKTPRYGNKSLAGT LGAVVTGSLVTYGFFGPFGLAGGSPSFDNRLSSIGLPLLSVYGGLVAGLSEGIGGVLG VDDNLTIPVLSGIFLWVPLVGLGLGRS PHYBLDRAFT_159369 MQMSYPSALIPEKRSRSLFPRCGLHARYVILIEQSRIWLKCSTI FSWARREIPNSWINCMNARFFLSSLLGTGRGWLKSKTSEGVVPSISSMTFSHSSPKSY YTYPKAKLGTIADVNWL PHYBLDRAFT_170555 MNNTDNTVIQLLQGIQAALISLKSGQEALLGRQEALEKRQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTGIIPRPVSKINDITLKHIYKMITDDLRIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGILASTIHE PHYBLDRAFT_159370 MSWSMSLFGQYGADNEPSSAINIPGAGTSKLSLLQDNSSNNTNS NSNSNNININNNNNNNNSSSSSGSSRPKLQDRMPESSFMPFSGVDDDVPFYMEDTEAP TQPQKQQQNQDLDEPRLNPFPFPSLVSIPK PHYBLDRAFT_159371 MVPLLLLLLLLLLLLLLLLLLLLLLLLLLLKLNLLLMLEMLLVK FGYTVEWRKG PHYBLDRAFT_170557 MLKTNLEKQYVGLGNAYYICGYSQNSINPVKICFIFHCIFANHD KIDMWVVQVSKTIIYAIVLVYMKEKRRVLIDGKYLTCVTDVLISSLYFFNYVPLYYPL HQYVPILYRKYSNF PHYBLDRAFT_114742 MSTNLTSLIVAVKGAQDLEEEGYIIQIGNGASVDTVRNLAAEKL DIVGSTANIVLEDAEGNTLDEIDRIRSQKVVYLNTKDSIKSVIPGPTKLPYIGNLYEM MPDMNAGFLRFFEKYGPLIDVSILGTRMVATCDPDIAEVFVKESEYFTKKIKTTLKEI KLFAGQGLFTTDTSDPDWKLAHKLLMPAFSPRAIKVYQNEMGIIAQETIRILEQYKPD EKVEILHWTTNLTFETIGKIGFGYEFGLLEKREAPPHPFIEAMGYCLKQSIVRFSQAQ FMKSLPLEMNRRYDREVNLMHSIVDKVIKDRKESEDATDIEKDLLGFMLNARDEHHQG LSDENIRDQVVTFLIAGHDTTANTLAWFLYEISRQPEIEAKVLQEIANVGITHTELPS SEQISRLKYISMCLKETLRMHPPVRMLGKYCQKDCILPGGYKIEADTPSVVHLYGLHM NPKVYPDPYNFDPERWTPEEEQKRSKAAWLPFSTGPRGCIGMAFALQEAKTVIGMFLH RFKFCYDGPAVKYDPKQPTTKPVDLFMTIQNRTDFPEPS PHYBLDRAFT_114694 MASVKLPPITFLYGSQTGTSQDYASVLSNQARQFGFKDVTLTDM DKWKVLDSGKYEGPTGVNEDRELVVVCTATYNGMPPDNAERFDKFLDKSDAQGNERLL HGLQYAVFGIGNKNWRTYQYFPIKVDSRLDDLGAERFFLSGKGDTDGDIDSDFNDW PHYBLDRAFT_114614 MQPRRYSIASSPHMFPKEAHLAVGVVDDVVNGKHYPGLASSFLA HQIPGESKTVLRAKFKSSKGVFEMPADAETPMIMISAGTGISPFRGFLQERAYQYKHA SGPVGECLVFFGCRREDQDRIYGDEFDEYVKEGVISGLHVAYSRQIPPSNRKYVQHQV LANANEIWRLLVPADETKKPAVVYICGSGAMSRDVRATFRSMAISFGAAKDEEEADKF IQKLMQDHQYNEDVWG PHYBLDRAFT_126006 MPPAKKSVGKKVAPAPYPVKGKTSSKSPVNPLIEKRPKNFGIGQ DIQPKRDVSRFVKWPAYVRLQRQKKIIYQRLKVPPALNQFTQVLDKNTATQLFKLANK YRPETKAEKKDRLRAAAAAKAEKKEVAKTDKPVVVKYGINHIVALIEAKKAQLVVIAD DVDPIELVLYLPALCRKMGIPYCIVKGKARLGTVVHKKTATALAFTDLKEADKADFAN LISAVKTNFGDKWEEHRRVWGGGINGPKSQAKMAKRAALAAKEIAARQ PHYBLDRAFT_170560 MTNGSGEYDLAIALAIGTSFSGYSLQFLNGESVPNSISPDIFTG MDRTPLIEENKPFSSGIGGFYWNCINPLSQFEVQNSPHSVQYSIPSFKYSTGSITTSQ KFLCGHSADNEFNRNPVSGYYVPKIKLLLNSNSRKDLPPLPQNMKPAQIIGDYLRRTF EHIRTQVPAKYFEGNSKFNYKIFIAAPTIWSKESKDIIREAAILGGLFTKHDESEKLS IVDEAVAAALGVESASSELNLIHGNIYMVCDAGGGTVDIATFKKDDSSGASGLKEVSP GISSTCGSTLLDTRFENLVREKVSRSLKYSEVYIQPALDYFKNELKKKFCGDGHLYGD SFNYIDNDCYHYGRVYKKIWATKHSMDLSNVYSTQELIEAESSYGTKVPDDVLREISD YEIFTADEIRIKVFDPVVNKVLDTIEKQFKQLGDITLDVMFITGGFGQSPYLKTRIID TFRSRVNYFKITENEKSAVLSGTLLFGCNQYTPTQHTLRQTYGVKLCSIHDKSDKDMK SEKDKFHVHIRKGESVREDFWVTKSMVWKESIPPIISLCEYNGNDPVPEYPAEKDIGL VAIFNTKFKLYGIKNTEEVMVIQMRFGLDDIEFKVEISGKDLEYFAVWDLSKKNRMKG WSEPKNPFSPKATKTSLPTCSCRIDHSQIP PHYBLDRAFT_182158 MSSTLKTYDIAIVIDFGTTASGFKILNLNGLEPNPDYTYDYDSG SDSIFYHKYTPKDEKEPSTLQYRIFSNKYYHGREADYQSVATSFFGNYASEIKLWIDG PAKKDLPEMPYNTTLLKLISDYLRVIRKDAHKSTPKMFPTCTDSSRYRYCMAAPTMWS EKSKNIMREAAIIAGFITKYDKPERLLIIDEVVAAALYAEHMSPGIILTNGSLYMVCD AGGGTVDLATFEKDDSPGMNGLKEVTVGAGSSCGSVYLDFRFKGVLQGQGKAIHAQDY KITAFGDYLKPYFDITDAMKECPMLNSPKTTYDSVDFGSNTFDGIPLDEIRINVFDPV VTQVLEMMKKQFAQIGDRNVDAMFITGGFGRSPYLQRRIKDTFGDRINEFKVIGNGHM AVIEGAALFCASPRPIAQRILRRTYGIKICSPDDRSKKDTESEKDKFHVYIRKGEPVK EDTWVTKSMIWKHNTLPIISLYTYDDNDDDQIPEFPTAKDIELVAIFNTRFKLDAKKD NPETMIMKIRFGLDKIEVKVEIADRNFEYITVWDISGEKNTRLYSEPNPPFSVIMKKM FLIEWMAEYFL PHYBLDRAFT_170562 MTPPTSSITKPKRQELDAFIRGRIIGQWEKDATYGEISKALDIP KSTVGNVVKAFRDKGVSKPLTRLGREPKVTKRTQSAMVRSFRSEPFVSIAAQHQRLVD VGISICMTTFQTLKDLTRFASRYLQNTTESQDTYYSRIT PHYBLDRAFT_114449 MEGNPLNEIDKIRSQKVVYLNPKDTIKTVIPGPTKLPYIGNLYE MMPDMNAAFLRFFEKYGPVVDVNIIGNRVIATCDPEVAELFVKENEYFTKKIKAALKE IKLFAGQGLFTTDTSDPDWKLAHKLLMPAFSPRAIKVYQKEMGIIAQDTIRIFEQYKP DEKVDILHWTTNLTFETIGKIGFGYEFGLLEKREAPPHPFIEAMAYCLKQSIARFLQA QFIKSLPLEMNRRYDREVKLMHTIVDEVIKERKQSKDANDIEKDLLGFMLNARDEHHQ GLSDENIRDQVVTFLIAGHDTTANTLAWFLYEISRQPDIEAKILQEIANVGITHTDLP CSEQISKLKYIHMCLKETLRMHPPVRALGKYCQQDCIIPGGYKIEAGTSVSVQLYGLH MNPKVYPDPYRFDPERWTPEEEQKRSKAAWLPFSTGPRACIGMAFALQEAKTVIAMLL NRFKFCYDGPPVKYDPKQPTTKPVDLFMTIHDRTDFPESSINP PHYBLDRAFT_94832 VNEDRELVVVCTATYNGMPPDNAEKFDKFLDKSDTQGNEKILHG LQYAVFGIGNKNWRTYQHFPIKVDSRLDDLGADRFFISGKGD PHYBLDRAFT_92828 MQPRRYSIASSPHLFPKEAHLAVGVVDDVVNGKHYPGLASSFLA HQVPGESKAVLRANFKSSKGVFEMPPDAETPIIMISAGTGIAPFRGFLQERAYQHKHA SGPVGECLVFFGCRREDQDRIYGDEFDEYVKEGVISGLHVAYSRQIPPSARKYVQHQV LANANEIWRMMVPLDKSKKPAVVYICGSGAMSRDVRTTFRSMAISFGAAKDEEEADKF IQKLIQSRQYNEDVW PHYBLDRAFT_170564 MLSFITGGTNKTIEYDYLIAIDFGTTYSGFEVMSLNKLEPKAVD LFNHTKCCKTDWKFKYMESALLYSPTRRALVKYGKEADGYRRRYPNYGYDYVSKVKLH LDGFIEEKDLPPLPSDKTPLTIIADFLRKMYKDINNDIYKVYPKYYTSKYRYCLTVPA MWTNESRDLMRKAAVKAGLISEHDDPKRLLFVDEAMAAALYAERNTSGPKLTDGQSYM ICDAGGGTVDIAVLEKNALSEKTCYKEITIGTGRSCGSTFLDKRFKVLMEKSLHKHPE YTEADIEPALDEFINTIKRYFGDSICNLRPEMSEICDGAHQNPTFADKFSYDEIRKEV FDPVVNEVISTIETQFAQLGERKLDAMFITGGFGSSPYLQHRIKETFKDRVKHFEVVR FGTMAVMKGALLYGIDQSIVINHVSRRTYGIMLCEPSHAFDEPRSWSNRFDVCITKGD PIKRDRWISRTLDLEKNHYTAISLFAYDGDDPIPEYPTEKMAHLVAVYNIKFSIKDRS VSNKNLVIDMWFGLDRIEVKAEFVDHQFRYKTVSDVSGKVTELPFLSLKPVIDKTSSD DYLTQSLFGSLP PHYBLDRAFT_187705 MLSYLTSGKNNSNNTSEYDFLIAIDFGTTCSGFDVVNLNEPIPK IANPNDVFNKVKCCKRAWRSKCMESEMLYSPHRRALVKYGKEADEYRRKYPSYGYDYV SKVKLLLDKTIQNECRPSIPSDMTPLTIIADFLEKIYKYIKKDIYKVYPKYYTSKYRY CLTVPTMWTDESRDLMRKAAVKAGLISEHDEPDRLFIVDEAVAAALYAERNTSGPKLT DGQSYMICDAGGGTVDIAVFEKNVLSEKTCYKEITIGTGRSCGSTFLDGRFKALMEDN LYRYPEYSEEDIEPALYKFTNNIKKRLSDPVSKFEPETREMRNDKHNGPTFAGEFSYD EIREEVFDPVVREVLEIIEKQFSQLGERRLDVMFITGGFGSSPYLQHRIKETFKDRVK HFEVVRFGTLAVMEGALLYGIDRNIVTQHASRRTYGIMLCTPSQAFSNPGPSKCKFDV CITKGDPILKDKWISKELNLENNQYSTMSVFAYDGDDPIPEYPTEEEVNPVAIYNIGF KFGDGESGLNKNLEIKMRFGLDRVEVKAAVAAKAFRYKTLLDVSGEITELPFLNPEPV IVDTSSDFLY PHYBLDRAFT_11194 VFRAQSIKNAFRHAWSGYSTHAFGHDELEPLTNGTTDSRNGWGA TIFDSLDTLIIMGLEDEYINAREHVRKVDWSATKDPSKTFETNIRYLGGLLSAYDLRQ EPIFLEKALELTKLVILPAYGTPNRVPAAYVDVESGRPLKTKSLTLAEFGSMQLEFVR LSQITGDERYSTLANNVIHRMTNVHTDIPGLYPMSWDPSSFTPINSYVTISGGADSFY EYLLKTHMLMNGEEETQIDMWRTAVESMRSILRSETSDGMVFLSEFSNGYKYLQSGEL ICFMPGNLLLGGRYLDDPQMDTLAKELMDSCYNTWIRTPTGLSPESWSWIQKDQDNTQ FPGSMQQMMKDDGFVANDKSYDLRPETLESLFYFYRMTGDPSYQDKAWTIFEAIEKYC KTSGGYTRVANVMDKENVDPLNFEESYFFAETLKYLYLIFSDPNLISLDEYVFNTEAH PFK PHYBLDRAFT_66524 MESLETHTLSVLMNNMMVDGLILYLPPKEFAHDLAPVIVEIQNK VNHAFVARAIRYCLNIFDDHRWFLEERSFVIWHLTNRMPIHFTRILSRHRRNKFKYTL QIQLRNTLTAPIDPMIALACIFTLQEKSIVMLDEYDDPTIQDIYKTELNVFSFDKDTT NDLTRRTESFCDAMASQFVKILKYKKRLRQYAEDRIDFARQFKCWQLYTKSSSVTPIR ATTPEKPSGDPTFVTNERAQSAGRFNWALCYEKGRAVGMFIRYSSHISLKQAFSRNTL PHYBLDRAFT_114627 MSQADQQRQGSLLISTMMAAAFVGSLAAGPLADIIGRKGLTLLG TTIFVFGDVLQVGADSIAMVYGGRVMTGTSDDICSYQSEIAPKEMRGRLVSTIQLSIG LGMGLAYWIDYAGLKVQGSMSWRLPFGLQLIPAIIFFVGMLATPESPRYLVQKQQDRR AIEVLALIRGDGKRDHPDVLMEFTEIKQSITYERQHTSGDYWYLFKRGNDNNQRRLLL GMAVQIFQQLTGVNAIMLYAPMLFETTGASGGNATLFANGISGIINCVSAIPPLIIMD RWGRRPTLVMGSIICSMCLIVMSIISGIHGTVEANDSAKPTGSLADESSLTLLALDGR GYTIAFMVTMYVYIFSYGCSWGPAGWAYPTELYSQGKYKALGITSAASWIATFAVIQL SPFILDQVQWKFYTIYAVICAVLALVIYKYLPETMGKSLEEVDLIFTCDFNTYDHAVH HPQTAAEALERLEHMHNKQSHLFNFGTSGISNNLPPNAIRPDNTNNV PHYBLDRAFT_170569 MATFNDATFALAHEKAKQQGLSEQEIQAIDTARTQLKIHTGIGG MAAATSGFLLGKRRKSSPFQLLAITAGCYFMGAQMGIISGVISGTSTLRALPNPKRVI GAIRDAQKEIAYGNHNPTNNKTVSHETSIDQNERAQFSSLDSFATNSNDNDHAKLESG FEPNDSMRPSSWGNKRSEQLSGTSTEQIENSRQSTKPHTTTTTTSAWDEVRAKNLPNN TWTRLRIQAQQNSDAGAVRSPQERMEAARRLKEQREFGTEEMPRTREETEQRSTTRKN QWGDAI PHYBLDRAFT_182160 MSRRKIGNSVPDVGDRGYIRQKTAGKLWVRERVDGFTDKGSFRE IGSFAGKTKYNKDGSIESFSPGNFIAGKATANGRSVIVGADDFSIRGGHADGAIWGKS LYAEQMARKLKIPMVRLIDGSSGGGSVTLILDMGATYLPPLIGMHDMIASLSEIPVVA AALGPAVGLGAARATLTHFSVVSEDIGSLFAAGPPIVANATYEEVTKETLGGALIHTS NGTFDNLASTEQECFDQIRQFLGYLPSNTFELPPKHNSEDPVDRRDDQLLSIIPRRRQ RMYQIRDILTKVIDSQSWFEIGSRWGDGAVCGLARIGGYSVGIISFDCTVNGSVITAA SCQKFRRHIDLCDTFGIPILNFADYAGFAVGTKAEREATIRHGSTLTAALYQCDVPYF SIVLRKVFGVAGAAFVDNRVPNMRVGWPSGDWGSLPLEGGINAAYRRELEAAGENRQQ LYESILAKFEAVRSPLRTAELFDMPEIIDPRDTRPLVCEWVSMVYDHILPHRLEKVKI NGPRVLYRP PHYBLDRAFT_170571 MTSTLDSDDSKSKVLASKYNENQCAFEYCKDFGKRFSDKALKNH KYQYHNESYKLNYTDQQGNVQCVEINRVNNILSCKYCSKEYTSADTLRKHIITSQANC SNDDVSLDSDAVDGTLLTLATVPTLTALTETPTIVTKLDKRHDFNQAIVQACQSIDAA EEEKGKNKWIIEQLKLEPFSLIYRDHNNNIVEYNALSHHNNIRGMSDHTFECKPIIPS KRLFDGNVVEQSFCANLTSLIHRSPHRGMLSGRQYFELDKKTALLLNKDWTHEPHIKF GCVQVLAGSILMNVNNGEAILVNTVETYGRTKSVDIHRELSTSATSSILTTTPMYPNI WPCIQSTRDGSKLVIGTQSCDCLITSIVRLDRNEKPKVGPLSFYFAASPQHTRIFIDQ DSWEKAKEIESNTSIRSVEPFNLVGQARQLRSHFHHPSTYFLCRASSHLALPHYCQPY TVFTYCDHEGVKKSMDNESSSVIASKLFQRIAYTVIKSPSEASIKKDLVVSLHGQCNN DSKIKNLFTDLIMLFGNCTEMPIIGNMELNNCVLKNMANIISPDICKANETVAANIQR RLCTY PHYBLDRAFT_66529 MDYIIYNVVCNVTAADDFFENISESTLKQSQKLILMYECLKGII EFEDVFTDLLTQTLNSVQAALDSTEANFADSTSSEALALCFLVLKSWLLLRSRSNYVC VNVDEKEHVQSDPLMLWMSVWPALRRILYGIESSTLFVPGNYGLSIWSMFLSLLQFLF GCHSSIVLINANEWNSLLDTLVTQLSVTNGIDELMSSSVEETSPLYEFKGRVTKVRQM FDVPPIEVPADMLIDQLYLVLRSVMQSQADNLAFPGATRVMTTAMGLPQ PHYBLDRAFT_134782 MESTTIGSEPVLDKREAETLEKEVQPIKKQKVEPDSARPLPRSS RRKPDDFRSIDDLNSAEYYIEDGLRKVKPYFFKYQAYAKGRWLGRSLLSVFTEEFRDR SEQYYKYAIEKGLITINDKPVKIDTIVRNQDIIGHQIHRHEPPVTDKDIVIVNQDKDL YVVNKPGGIPVHPAGRYRHNTVIHVLRKTHSIPILFPANRLDRLTSGLMLIALNAKRA QELEREMTTGKIRKEYVCRVEGEFPEGEIVCEAPIKTISFKLSLNYVHEDGKDCTTVF KRLSYNGKTSVVLCKPLTGRTHQIRVHLRYLGYPIANDPLYGNNTSWAPILHAGKHMS EEESKSLVDSLLANSEYQDGDWQPVAPVPLPVPTTNRAPESEPGLESAPESVPESVTE EITSNEQKCDECSIALLADPVQSDLYIWLHALRYSGEDWAYETELPEWATETFEDTS PHYBLDRAFT_170575 MVPIHDNIIKVKSTNSVEFTNPYTQFIRQMQYENNRKLCRLKID IRIVVTLAGIDIYTGAVKLLVNTKYIVNGLLNCIHDITDAREIFGAYIQVIFLVDEDK NIETNDFGDKHPIANHDDYLFIYF PHYBLDRAFT_170577 MYGLCHGISKQVWGLVSGTYGTDHCFALSSGVRKEIGTAMYKTR NTIPTSFHGDWRDVYKNPGSFKAVDWADFLLFVVPTLVAERIGDTTACSAKLVSWNTY LENLYVKDLVELPVFTINQHLLKHYPEMVDAYGPPRAYSARSLERAIGEYSCSIKSNS AIGVNAGNIMVRLARTRRVDLKDSGEEANRATALEYDDVSAGWPMTEEGERDGAESDI EYWGPLRRRLIDESFKGISCLPILIQAFCESKGVGCSRIEPVMTTSRKAFINGCVIDS SFAQTPLRKAHHVRLQVQVDLFRNVRRRYTPIIKDFFGKVVLFFEHENSGKRWPLALV QVYSVEEYNGVPVAKN PHYBLDRAFT_78464 MPKTSDRQAVLRSLKDRILERMIERLLLGKSCVPSNIIRDMPEV AMYAAVEEQRYLTTRTKRRKPCKKRAPKLHNTVSNKDKENEMETIQTTQTTQIIETAN TPNTTDIAHTADTAVTTVTAVKLEDLNS PHYBLDRAFT_134785 MFGRDNPNNQDNPNRSQASPALAAQAVNPNNSPHVQFAANSPTV MPSQYPSPSPSVNHHGFQTPFSASESSSPSQNRIGSPVPESRPSEKTPQDSQTALLLD NGNGIDGGNELHKRSVGQPDSIAMNNMPRADGFSRLDSNQSPQPHQYQQHQHQQQYPY QYSQYQYPPPPPQGNGPYIHPQQLARPLPHGYNRSVWLRLLIGPVRKPWFSWLSALAM LGALIYEFVRNYQLTSSVIETSPFNPMIGPSSTVLINVGARFTPCMRALPAYTASTVF GGCYQSTDATCTLEELCGFGGFESGIPDQSFRFVLPIFLHAGIVHFLMNMLTHLRLGI DIEREIGLPRYVLLYMGSGIWGFVLSAMLSQGKSASMGCSGALFGLIGYTLVDIIINW KTNPDICRELSTLFVSIIVSLVLGLLPGLDNFAHIGGFAVGIMMGILLVSIRRKASTT TKGVRWVLRGIAAALLVVMFVVTIRQFYASADPSQICPNCKYLSCLPVRDWCDSS PHYBLDRAFT_31745 MVKAVAVIRGDSPVKGTVTFTQESENGPTTVEASVSGLTEGKHG FHVHEFGDNTNGCTSAGAHFNPYGKTHGAPEDEERHVGDLGNIHAGKDGLATFKITDK HIKLIGPHSIIGRTIVVHAAEDDLGKGGHELSKATGNAGDRWACGVIGVSK PHYBLDRAFT_66539 MTSLVHSIYDNASQYRKLSHELSRESESINEMRSINNERTLLKQ RIQAKQADLRTLEAQSKKEFDEVRKARHLSLRSAAAALKGKKKELIAKEEARYQQAFE NEQRCKTEYEELCGALSRLDMQSEGVQRQLDQFNRDRELLNRMYDQVFSNNDPAYPLE TQLKTEIHNYTEQRRLAQRDSGRFRDADHNLGQALRETVRVSALLDACLTYVPFDIFG GTTVDVQQVIYVEAARKHVYEAQRRINIACTVLPEIPNPALLNVVSSNRFLTMQFNST FIDTTWQAATHEMLYRIVLVQRNIENSMTWTRQYMQYAEGAVAKLDQAIENTKKSLEK ERKRIFERVLAGAPPPPQITDTAGGSSSASTSANASNYDDSLFSPSYDAPPPVYEAPP ASQIAQNSSNGNVMPPLPTNNSSFPSVNTPPMDNINIEPPIQLSPSQAPTHPPRPITP VTSNPDPISSYPPPQYVSQNGNNPFQQGRRETTQ PHYBLDRAFT_187713 MSKYSDLEIKQAITAEDKQKCIDIRVRVFVDEQKYTLESETNDE YDATCDHYLATCLATKDDGTTVRVPVGTLRYIAYGDFVAKLGRLAVHSDARGLKVGLR LVQHFVEVARAKGDRAIVLHGQQDKRGFYEKAGFSVDACDEAGFLEDGTPHIRMWYRF PHYBLDRAFT_170584 MFSQTSSKSNSTSCVPNKRQRSFSQESIDVPTSRLKTSNDSSMD INSGDCSLQDSEISFCDLDEKDEEEHGIQLDLDPSQLDEESQLVYKICNVSNFKFWFS RSSN PHYBLDRAFT_147712 MFAQTSSKFNSTSSVPAKRQRSYSQESINISTESSKSSNDCFMD IDSGNRSLGEFHIALCNLGEETDEDDDDIDILLDPSQLDVESQFVYEMCNISTF PHYBLDRAFT_170585 MFGQISSITNSTSSASAFASASSSAIMQRAFLQDFITSFEGDHA LSNDNFEETDSGYCSLDELELEFDNRDYQDDDIEILLDPSQLNIEEQFVYEMLQISII PHYBLDRAFT_66506 MSPLSVSPCITGLFLLSLKVGVARALSSYQWTYYLLPVELSLRL PITQVPFVAGEFITSTGWSIYLTGRSPLSHWRACCFLLLIPTIKLLLVGDKTVPFLPQ NFPLLRDLCSPDRKPIWLPTQAQGQSQGQKSKSRRIVKVKVKNQNRDAESKSRSKIKI KIETQSQSQDQKSKSKSTVKVKVKNQNQDARLRSRIISEYQVKSQVKSQVQYQNHSNG QVKFQVKFQVNAQVIYQVISQVISQVISQAKVQVKVQVQVQAHVLAHVLAQAHTQSRK SPFSIFIQIKNPGHALQISMSSSFPRRVSTQVPNQEKGDHLTQSQSQNSGFIFKAQLL SRSK PHYBLDRAFT_147715 MSFCKLMVIGRPSWLLCTNDDQPETDQCITRNLPLSHPVGAASI KKRKEGSMDL PHYBLDRAFT_147716 MITFVDDDPLTTHMSFESPPEVLMSVFLLPQIRAGLAQALLIAL TITSLPSTVNLDSSDHTTSFHWSKLSVKAGLWDVIYDPKPKHLRQTIAAAAAAVVVVA VFLQTSIFLFFKEPLSLEYEASLLNPFSMLCKSRLIHSLNDVLDIGQAHVNSR PHYBLDRAFT_78454 MASRLLSSRSFLSLVNKRQWTSRGLATAKNLLPTFRTSVLPNGF TVATEENPASQTATVGVWIDAGSRAENPQNNGAAHFLEHMAFKGTKTRSQRDLELQIE NMGGHLNAYTSREQTVYYAKAFKSDVPKAVEILSDILQNSTLKPGAIERERDVILREQ EEVDKIMEEVVFDHLHATAFRNESLGLTILGPKENIESLSQTDLANYIKTNYTGERMI LVGAGAVDHDLLVQLAQSHFGQLPTVASAQTSKSATKQPKFTGSTVRFSDQNANLAHI AVAVEGASWTSPDYYPLLVMQSIIGSWDRTMGATGHLESGLSSVMHKHQLANSFMSFN TSYKDTGLWGIYLVSENRDRLDDVLLAVQNEWVRLSTAVTVNEIERAKQQLKAGLLLG LDGSTPIAEDIGRQLLTAGSRQSPKQVEEAVSRVTAEDISRVAKEYLQYKKAAVIGIG PVDGMGDYNKLTGRTP PHYBLDRAFT_147718 MNPSDTQETKNEPINITWEPSSWINSFSQSKGDSQSTPWNSTPS TPRLGNSSQNLTLSLSDLLSGNINKNTESTENKPKPETNTETSTNKLHVKNAPSLSGD TLIDTGDIDPTNSASNQLVTTKRRAAETGVDKITISFATLLDLDYGECDKHNAIKVDI SLTDLILGEQSKVTQKLLASLASGETSSSTPFTYETRPDTQDAYFELDPAPNNTPSFL QPSLLDEPIVEDFVGFGVVKSISPIVSTKKNVFLSQESDSASSHSKLNPKASEFSFSF GTNKNNKSSEFDSPANNSTSQSDADETFCDQSDTFYFTSGSPLTATETEITYNIDSSK FNMENFTPLSKMSRSDTDPRESSVDASESDYAGLDTSTNTNDSMWNPRNLAKKSSLVN SNTQDLVTETLLSANTTKFNVNASEFKLNTPLFDFDIPKHSKNMSELNAIASAFNVNV SEFKPVKEEYNIFTQFKFDAPKFDMGFPKFRVDAPEFKVGAPEFKVGAPEFKVDVPEF KVDAPEFNLNAPEFNLKAPEFKVDASEFKLDAPEFKVDTPEFKVTTLKFNMDTPELKV NAPELKLDAPEFKIDTTEFSVDAPEFTINTTEFSVDAPEFTINTTEFSVDAPEFTINA TEFSVDAPEFTINTTELNVDAPKSTTNPTLSTNGDNIESDKVSKEFNVNASELNTNAP EFNVCGFGLNVDIAPFDPVSNTAADRFSGPGIFSPTAKDPYDFSPEEYYDPSYLTNAP KNVQDTNDQNNHNHNDNNENYHHQTPHSSMATPEFSPTATSHKTTWDNKPFAWEN PHYBLDRAFT_147719 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIR FLVESFHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGN RSKQYNPFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKDF VKDLSYFCECHERRTREHYVLANSSPGRDTEIPNAPKIGMNTPANEISFRDRSTGRLL ELQSFDPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTG LSRKFTRNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLV FVRQVTSDFDNYIIRVDNAVKRLIRALFDYDKGTKNELHKAYCTKPKVHYLTHLKEDI IRFGPALNYETEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWIN SSGNREKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFV FKDDPISRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLD LHIFRNPFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_155800 MTALGGAAGYYYHNSEKSIKKDIPQKEKAIDYEKIYKEIADMLE DEDYDDGSYGPVLFRLSWHCSGTYDKKTDTGGSNGATMRFLPESGHGANKGLDVARNL LDKVHAKHPEISYGDLWTLGGVCAVQEMGGPTIPWRPGREDALTAKQCTPDGRLPDGM KKQDHIREIFYRMGFNDQEIVALVGGHTVGRCHLDRSGFEGPWNEAPTIFDNEYFTAL VEREWVKKQLPSGIWQWVAKENPDVMMLPAEIYMYNDKEFRKYFELYAKDQDKFFEDF AKAYKKLIELGVHFKDGDKEYKFKTVNV PHYBLDRAFT_66502 MLLRIFMSKLDLWETFVDINLKAFEISRMQVISHIASLIHRSIA FVVGSYHSPLDRHGEPIKFVGMRRLLCEHGFNICLIENVASLQFEHRLRGIYITLSKL IRLIQFGTTLSLRKAVPNGRHRKMLCVDYKNMVGKRWHIKRVSSLLIYNSENKCEGLG LKHKK PHYBLDRAFT_78453 MSSPPNSMSDLDDAASNKKRERAQSVEPAPAHVAEIEEKSINVS APKKTKRDETSPASVSTIRQNLKDMTTSDSTGKMLSASSSIDGDDETMRTIAEEENNV EDVSMCHSNDKKRTAVGEEEGCASEKSEENGGTSNGDLEENQSFPRKRVTRRASQSED TPSSQTDSVGEVQKAPCSEEESKKDKDPEYMSKVMALFGGKGDSDDWGEFAEEPEKKE PKAQIPAGKPKYAFGTSSGFGSKGWAATHQTAPVSQKSSFGSSTASAFGGFSPPTTPL SSSKVTPSFGSFAKASASPFALAAASGNSNALSASPASNVLSSGNKNDTNPEEGNVTE EDQSESVCDASDALSTGSSAFGESGKPKIPIARPTEVKTGEEDERTVYQTKAKLLALD TQSGNWKERGSGTLHINMKDTNRIGAQQHTRLVMRADSVYRVILNLALFPGMKVFIMQ EKFVRFAGFETETKENGETEAVLVNYALRVRDPSAAQELCDQITMCIPHGSKTSAGP PHYBLDRAFT_182170 MRLSSTHDRPFGSSQFSSRRQQSGGTDLPLRGIHINISSDPFQH RIPPYLQRLWQESKTRRHLSIFRIRLQRRYQESSPLELMTLSLGIFFAGLFLLLHVGF FSGKKYQDWQQDHYGRLEEVDLLEKMYPDDGRALTTAILVFQPSNPSAFQHEANQNIK PILEKLCQYDMFGTIVVWNNNPEVNITKETISAPGCPPNKISIHNSPTNMHLSARYIA CASAKTPFCYFQDDHGSVRHLRSLYANFLRSPSLIHGESRNAKDYADSRWKQCFINEV GAGTFVSKANVDLFLKMSEKDKIEDQFKDMYFMSYINQEPYQLQGPENEKSKPNVLSS TEKQHMQKGLKTVYEYLERDVDVSLEDENPNMYRRHARSPCQDDRCLFLTNVNVLPDA RLFSYYPHIDISTFDKMHIDYNDGSQFIAHAYSNAADGSDKTSWKSRQNIKSGDFIGL DLLMPMRIPIKYRFLVRHPYIYRRSLSLQISFDGVIWIDIHPAPSIECTVFEGDIGDN DPSLLECRFVISETGYRFIRLESKHDMDFAYEVYDFSFSARVKKDTNGQILDIGIDDN VVFVEEREEYNHYLDNTTDR PHYBLDRAFT_147723 MSTPVQGAHHLVNSKITQYFEKSSIPVKEPRKRTDENATDEAEQ VRQKQRDKRLGGQLRVPLKDIGTNIGVPLRPPSGRPIPTGSQIKVWRDEPSTSASVRK DKQTMGSNVLASGSNHTQNKSSQFQKRSLGSLSSTPLKQPTKPSTKQSIKQSTKPSAK HTTDDHKYPITSNDQASITDLKRTRQERSLQSSSPICKSHDLSRTIKTHSSTLSKQNI THKSTPIIDKIVCSDSMFSVLSTDQLSMASITTNPLSFHTDLVSASESPFLQRSDNEN NPEERCPNEPSAQDLNELNDFFSTSSFNSQKQYCNRPVNATTDKSDV PHYBLDRAFT_182171 MFEFEEDSLGPCLRSDAINGDATQLPSGLGNSFARLKLGDDSNP PSSYNSDTDEPAPRNYDTSRDKNYEVPLDEDFVASTAKESKTLGKVGPDDFQSLCVLG KGAFGKVFLVKHQSSSALYAMKVLKKASFVVHGKSAEHVKTERQILEEVRHPFIVKLF YAFQTPQDLHMILEYAVGGELFRYLDQEGMFSESMAAFYAGELVLALEHLHSLGIVYR DLKPENCLLNAEGHVMLTDFGLSKVPVDGKTNTICGTAEYMAPEILMGLHYDDTVDWW SLGILIFDMMTGSPPFSSNNRQKTIDSILSKKVNMPYYFTSDAKDLLAKLLRKNPNAR LGAKPKGSLAIRKHPFFRKINWKSLERQEGEPPIVPVVTDPEAAENFDTLFTEEPLVG SPIDTSVPSNEFNFVNFSYVNSSMLSL PHYBLDRAFT_66495 MSVWPLFRIILALIPICVCWQVQADQDIILKHDLRKIVPTAQFG FLSRGQLTLTLQDYKLDNPSAPGPIGFYIRKGEIYGETWEEKADQGCILEKSEITDEI ADGISVVESLEEITERWQKTLTVEPSEEGVWKIILVNCKNTGVSLNLEMSMINPGNNH LSAGDTPLFKVYGAVFLCYLCLSIYWLYLIVQKDTTVFRAHWFMLLLVMSMCFNKALQ SAKYYYMKIGLLSNGWRIGFYVFAFIKGFLSILIIVLLASGWIFIKPFLSSKDKRVIS VLVPLQILANVATAIQSEAAIKSTDWTVWTAILPLADIFACGVVLWTILQTRKHLGAA ASADGKELDVLKKYDLWSSFYIVGLVCATLTSIVTVPLRELVRSSCRRACYITIFWVY WVRDSIGPSLNNPYMDLPDQHSIDWRDSREDRGQTMVMNRRDI PHYBLDRAFT_126021 MSVIKKHLNDRLEEGDWVDAIIWNNEKPDPASMRIMLDLNDPNM LFDCEEVEATKAQLIEPKHIPIVYNTEPEPHSKLPLNPFNLSNDRHYEMHLAGRLVRV RQTLGELIVQHALPASKLHPALYKTRLSKAELRSFHRPMMQLPVGSDIIFSRVRVSKR KKKDKKKGPPEVLRTTKELTLKDNSPFVLMEYSPKDEHVPNSDIGEPFVLDIGDTSPF LNFGNVEPGQTMSVLYNNLIRAPLFRHDVKHTDFLLVKSTHNNITKYYIREIPAMFAI GQTYPVQEVPGPHSRRVTTTIKNRLQVVAYRLIKKNPLHRLKMSRLAQKFPEYSDLQV RQRLKEFLEFHRRSKDGGGGYWKTRGGGDPPNEEALRKMATPEMVCLYESMLVGERHL QDLGYGDVNDEDETGEGDSKLEVEQQLAPWFATRNFINATQGKAMLKLYGAGDPTGRG EGFSFIRVSMKDIFLRQGESAEEKLAQIEARPKSAHRYNVAEQQQIYREEASLWILSI ARIWKAQLDSLSNKAEPNLSDHEGDEDSELDEREPASPSMRLIRQKNGEKTWQQEVVR DPVVIKSYLRQRQMIEEEATSAEALEPTDDVEKNARMKKRIQDQLAKLKRNAERRRQR QLAKQAGLAENPVLGLIRGKREGAMRKCGNCGQLGHMKTNKSCPMYYIVNNPANAPQN AATPAPTTPQATTDSPAP PHYBLDRAFT_170601 MVVFNDFCIILPYDIIQSILSQLDLKDILVCLQVSKTWRDITLD TPCLWYDLDYESINISPCFDFRLSSARRLTLAKGTTQELITRTFDTIISSNCHSFRTL ELSMPCDIGILFKTIESLRSSLTHLRLDNTSLPLDICFNTLLPITQLTHLSLRHCAWS SKRTFINDPKSSQLLSLKVLDLSYLDWRYVRSGCLVSVLRRCRYLQQLVLYGIRIDAF CPILRLMEESWPDLQGFHYDPYTNLLDHTWLPSSNNVKQLSLRTCKVRSDVLSDDLVN SVARISHHSLYKLDISRNWHLTDRSCSVFASFGLPCLRELSLFHCSCLSESGLSALLL ACPVLTFVDMSYCQGVTDQVVNVLSKLVLVQYVNMSSCPYITSSSVMSLVNQNQKLKQ CLVDNCSLVIREKLVCFVKTLMREIRLFFQE PHYBLDRAFT_134797 MIAHPYYPQNIFLPGYIPNTLSTPQLLMFATIVMSVFFTCAYTM VRNKVGGVDALRFIWFLLSGFLHCGFELYFILHHDTLANRTDIIAQLWKEYAHSDSRY LSSDPLVLSLETITVCILGPLCLVAALSIRSHHPSQYVWQLIISVAHMFSCSLYFVMD LPTGFHNCDPHPVYFWVYFVTFNAFWLVMPFLLVIQSYHKITYNAQNKPKAS PHYBLDRAFT_114596 MWYAKEYHPIQVGSIDGTDKIPHDAAVERAQQVKYRPPKLSTDP TKTIFVGRLNFDTTEDTLNQHFGQIGSIESLRLIRNQVTGASEGYAFITYDTTEAAKE AYQTAHQTIIDNHVILIDYERGRIMEGWVPRRLGGGFGGKKESGQLRFGARDRPFRRP M PHYBLDRAFT_187721 MEQLSVGHVQKLIEGLYNSTDPRTAKDVQEQLQAIQRLPQAWGI APELLSIQSDQCRFFGAHTFQVKISRDWDTLPEDRIDWLRDEILVWIVRLCAGPMLVT TKLCLALISFALHAVPNHWHSFVAESVDALRRGGAVYGVATQNIDAAILEFLTLVPEE ISNTELAGNRKLQLIQELNDAVPLVLSVLSSVLFTTSYADGTAQQKALRCFQSWIQYG IEFEASYPIIQQTMRLLTKEDTFEPATEVLLEAMQQPSWARYVTLRDDLLKCFTSEEM KNQFTTCISGIHDVLLLMDMIMQLTAFHGHFPADQEVSEIPLNFWYVLQEVLFDNGIA PIRDDSYAPSLDGDDDASLDKTMPSEEQRIWTRQCGEAAVIIYRQLVTILQQKAAFPE DSVWASWAQDLKDKFRICRRDLGDTMINPYYILRGEMLAILLEHAIAVINHWDSIPLA SQDLEATLFCLKSISEEISPGEDEHIHRFFGPEVFGRFPRNGSIRLQNTTILLMGSLA EWLKKHPQFLAPVMNYLVPCLSIPKLAPSAASAFSDICDACRNSLVDDLDSLMNIYNA MSHSQIEASGQYIFPNVMQKVVESIANVIQVLPMEIAITPILTLTGNILQSAAEALEI AKTDQERARLMILTQIQYLSACCKGIQSPNDDYQSMSARLAFYDSFASGKSIAAFSDI EGLSQINDAMRKLTREIAGIWNGDEKVMKALSLFLESGVRSVSPVLALPFLDLVMIIQ ASYQSAPFPCWLDTAKFVITVYGGQDAYFQNLRELLQSLTVRTLEFITNPKDMEQSPD VVDSYFDLLSAVLKRCPIVFYQLSYDQINTIFMFCIAGMGLQERLALKAAVNFMAEFV GQEYEEGTEIGAIVNTLVTNMGPQIIEKLLVGIGGHVPRSFSGPLVDVLFKMTIKYTQ ACREWLPILLLRDGFPSALVTDTEKIAFIKGIIGTRSLKKFKDVVNTFSVKCRGLSNT SFGAV PHYBLDRAFT_126028 MCVSDPYNADSASVPIILDRKYEDNLCVEMLNLFEELLPTRESH NRRMALVRKIERLLNAEWLSQNITVHLFGSSVNDLGTSHSDVDLCITTQWSGLRNIRT LAKLFRKCGMQQVACVPNAKVPIVRLFDPESRLACDINVNNTLAIQNTKMIKTYVAID TRVRPFTMIIKNWAKQRLLNDAAHGGTLSTYTWTCIVINFLQMRQPPILPVLHQLKKD SSSELFFSNIEKLHGYGEANHESLGGLLFAFFRRYALEFDYDTQVVSARHGTYLTKAE KGWDTGRNVTSFCVEEPFNVTRNLGNSADIESVHGLRLEFKRAL PHYBLDRAFT_159383 MRSSYDYQAKEWSVECILARYSQALPTHPQDMCRPSDSEGSSDS LSVNRVHKRKENLPRDTKAGGYQRHLSAHPPNGFNEWPSIIFSEQSPLSESKNNIDHR PRRWSTQKRRTSHAIEREHSSAKSSIHSELSDNPKGTSKLERVTSRSVEQSTGEILSN NAASNKTYVRRGRHH PHYBLDRAFT_97384 DHATDEWINADSASSCNACISLLQMLKNLSFVSEKLMVSSLINA CVRTKKVHPDVCKGAIEEQAPILRQVLKTMDISGRDGRLMCAAVVNSCPYPAVEPYNV IFPKPKPKMSFQKPSVGKTFTVLQLSDWHIDPEYEAGSEVACDKPICCRSSSTDYNNI TVPASKWGAYNCDAPYALIESMLDFIPTIAPNIEFGILTGDVPPHEVWSTLPILKTRL IQDASFTMLHNHFDSPFHINTVLYPAVGNHEAAPTNIFPLKSSSLPKEPGKKYLDLQW LYKSLSKSWRGWLSYGTNTEVENNSANYAVRPINGLKLISLNTNFCYTLNWWLYEHPI ERDPNGVLAWLVDQLQDSEDQNERVWIIGHVAPGDITCFHDYSNYYHQIIERYAPHVI AGQFFGHTHMDELQLFYKNSQKNASEAISVAYVAPSITPYEDVNPGFRIYTIDSETFE VVDSITYVADLDKADTWEDGPNWHIEYSAREAYNSAIAPLNSISEPLSPAWWHNVTVA MESDANTFDQYWNYRTKSAPEVPECGEDCRASTICNIRAGKSELRC PHYBLDRAFT_147734 MITKHAAVDAESQSDNQWNDDRMRKYRSLKRKMIEFIAKQRSAQ LTIDKANRRIQALQRENRRLLHKSKGKGDAMDASPIGDEDDAGEDDEDAEKNEEEDQL DENEDEEMFGYTDDMVLQPKRNSASRKRQEIPRDSEGNVIFPFSFASLKVLNLGTIMI NQPAFHNDRYIYPLGYSVERTYMSMVNPDSQTTYTCTVKEQDNAPLFTIRAADAPSHE ISGQTPTGAWSLVIKQANLIRQKDSTNAISGPEYYGFSHPLVIEMIEELDGVDKCSRY IRRT PHYBLDRAFT_182178 MYRQKLFTSENDLRKPFVPTKRVDLCSFKSQEELYKLIEKQCTE DGLPLVIANVDCHPKWKADLLSLDHLKKFHGDSVLDLYSMRTRSIDNKRTMKEYIEAL QWSAKNLDINSNQKPHKKDCIKSVRRQSSQRLQKTRQVPDPCLLEPLVDASIDPTQNS LSSVKTSTSSANNTTDNGNIETFTKITLEIDQIDSPDLPELSSTIISPVEKEKEVTVN TENPSNNSDIVQHTELQTPTYSISHSSMATPPADDIKDTKHELIDEETSNLSAAEIKR KTNTSLLYGKDISCPTEYKDVLETILPEYLLSLGSCDLFNCLPEDMQAENLMCYLGSS GTGTAIHRDLCGTFGHNLMTYGDDGAYSEWLIVEDRFRDQLTKVLHSPDSKRSKDNDD HSYNMTDQTSSFVESDQAWVNLKTLRNANIKTHVILQKPGDLVLIPSLCYHQVRNHGI SMKVAWNRVTPQTLELALKFQLPIYQTIARPETYRCKSIIHLTLERWLDIIKPFSQKR GGSDVQDFFSIPLFERGIDVFVMECRIMLHLYSEMIRPEIIEPIPSEDIRESICKPTK DVKPHTLKCDFCHCDIFLRFYHCDNCLDKEGKCEGYDICLECYSQGRTCQHMDELVMQ RTSLSLENCITLYNSFIKTINSILKDTSLTPLFYSNLEQKISVTTTSELYGSKGIELR GLFGREPCYKLEYKQNGENIYTCDECVKHCHGCRPVSVKPKKAQEMVYYIPPFYDPRN WGGVTDKGVYQTISETKRDATEQIHRCLDLALLDDECLQEEFKGRIVGSLLKNMRPKT VKRIQNDDIDELIQVATSSASSWATFIQTNINKRKNFEDGPDKFTSNKKQKFWDQADE LFDMKKCTA PHYBLDRAFT_187725 MSDLISQLLENHSLQRNATIIPHRINSRTSTQTSPHHSKKLPTY PSYLKNTVYAALVKEQYEHQKIKRDRTKTSGIISTFRFKESDDNPLDDMCLALPSSWN QLDKSFNIQIRKNSLDLFYTGPGENDRDAASVRSNYAIPPQCGVYYYEAKILSKGENG FIGIGFSSSGNDLDRLPGWDENSWGYHGDDGFCFSGSGSGVAYGPYFTTGDVIGCCMD FSNNTIFYTKNGTLLNTAFKDVKPAFKLYPNIGLRTPGEHVLTNFGEEPFVFDIDRYV ADQKLKIWKEVTSEPHRMQAPNITSPKYKIIADPNSNETQDNQNGHKQIMEQLIIPYL MHQGYTQTAKSVIKSTEDVMRTSSASIHALPYDISNDGEVTSLYSDEIKKMEERHVIK AAVLDGNIDLAIRIIQQLFPNMIKDESQSSIVFELKCQKFIEMMKSYSEQINRANQTE EPLSHLLVSHKNEDSHMDEIDSKASLLKDYVCEDMEIDEDFEDRTHVPSKRANSGIII DKAIGQGSGIESGSQAKSLSKIPCLEDLIQDIMRYGEKLQDEYRYTMTSEQRAKLTKI FALLAYNDPIESPLAYIMDKSNRDVLASKLNTALCVCQQQRATTSLESIYKQAILTNK ELVWSGNAKSSLININQYLKSEY PHYBLDRAFT_74923 MDSQSEQRRGTYKARNAFKPEEVRRRRETAQVEIRKQKKEENLA KRRNFNMENLEDDSEDEANVGSMEHQVSELPMMTQGAYSSNEDAQLQATAQFRKLLSK EKNPPIEQVIACGVVPRFVEFLSSANTQLQFEAAWALTNIASGSSQQTTIVIEAGAVS HFIKLLSSPVVDVKEQAVWALGNIAGDNPTCRDFVLKSGALAPLLNIFENTAKLSMIR NATWTLSNFCRGKNPQPDWRLIAPALNILARLTMSDDEEVLVDTCWAISYLSDGTNDR IDAVVNSGVCGRLVELLKHPSPAVQTPALRSVGNIVTGDDSQTQVVINCGALEALLYM MASPKEGIRKEACWAVSNITAGNHNQIQAVIDAGLVAPLLHIMTTGEFKTKKEACWAI CNATSGGLNHPEQIKRLVQEGAIKPLCDILNAKDNKITQVALDGLDNILRSGEIEKAN MADGINPYALLVEEAGGIEIIHDLQAHENQEIYKKTYNIIDKYFSAGDDEQDSDMVPD AGQFTFQPLAMAPQGGFDFGPR PHYBLDRAFT_104330 ALICANCRTTTTPLWRRDEAGNTICNACGLYYKLHNVHRPVSMK RSVIKRRKR PHYBLDRAFT_134804 MGFFRNVFVVLLLVNVAFLGYDHLNGGNIALNLVDNAKGLDANK VQVHLHTALNEIKSTTPQKIAGHVNEAFAQLKEFNSPQDVLTYLRDKTAPVAKATVTK EGSVSVLTQDNFASAIDGSKPALVEFYAPWCGHCKTLAPIYEQLGEAFAHADDEVIIA KVDADNHRDLGAKFGVQGFPTLKWFPKGVTSPEGVEDYRGARDLAGLSSFVRDKTGLR PKIKSTKSEVTVLTTKNFHSVALDPKKNVLVEFYASWCGHCKTLAPIYEKVATIFANE PNCRVAKIDADVEKDIGAEFDISGFPTIKFFPAGATEPIAYEGPRTEAGFVDYLNKHC GTRRTVGGGLEPEAGRIGAMDALAIEFTGASKDIRERVFKEAVELAKELNDKYASYYS KIMAKAVKQGDAFIQTEKTRLQKITKSNTITPAKLDDFTIRQNILAAFDKQAKPVKDE L PHYBLDRAFT_134809 MADFNAVAKAFVDFYYETFDRNRQELTPLYRENSMLTFEGQQTV GAAAITEKLVNLPFQKVGHRISTTDAQPADFERGSILVSVTGLLLIDEEQNPQMFSQT FHLIPEGGSYWVFNDIFRLNYA PHYBLDRAFT_147742 MNSFVCHPSLLHRLKTRLELAKYKQQHGCESDDLLTLENRFINR HKRLSFERVCKPLTSRRFYCPTSLRAPFKQPRYRRLYGVLPPTQIQCPLSAKRSNLVL SVPEHNAARVLVLLHHKTSFTPRE PHYBLDRAFT_170616 MNSDGSGTVLGFTDPVTASETSLFSFNPLVYLYFMLSFLLVPYP IYRLIAARYRWETNYKSMARHWSDLINGLSYGTLLFVFGNYSKAFSWVTVVAFYPALF GYALIAELSFTKTSLPNIKSWPFGMWAVFITAVVIILAFAAFHIYYATTLANPFVAYY VCSLLIPLFLFAVGLMMIKENNQNWVRTRLFKKSIKLNTKPDIERDPASPESAEAQAQ ADAEAQQAAQAEQIQTLSPYRNRVGLHLHHWQIFYMLAFFTRKTKTYVYTSGITSRSG YRTSMLHGRRLCM PHYBLDRAFT_92150 EGDEIDDYVLNKIIGYGAFSTVRQGFCISDGRRVAIKVIQRPEP DHTNTAGQFDGLERELAIWQSIDHPNLVSIEKILETDYATYIVCDYCSQGNLLSHLIN QPPMSLPEQENKVRVWFRQLCEAVQYLHQEIKVCHKDIKPENILLTDDGSIKLCDFGL SYNNYSQPENESAGGSLPYVSPEQILSRTPLTCPKTDVWSLGVVLYVMITRRLPFNDD YEPRLQQKILLGQFEMPENISPPLKDLFEHTLCVNIDNRYSVSQVLSSSWLQSPQPK PHYBLDRAFT_182185 MSVDKRAFHASAPVPPPVYHTDPYFEMTDQSPPPPAYRAHHLSQ YAIHPSQQPDVYYYQPTPPPQSVLVHSRPKKGNDACCLGCLAALLLCFGIQECC PHYBLDRAFT_187730 MAGQSSRLYSKGRVLGYERAKRAQNPNTSLIQVEGVQTTKDAQF YLGKRIAYVYRAQREVNGSKVRVIWGRIARTHGSNGVVKARFRKNLPPKVFGASVRIM LYPSNI PHYBLDRAFT_170619 MFEKVKNSHIYEDWVKTAHLLDPVFDYISFVDLANVDKDLTNVS YLKRRESEFTESIRNQRLQVVEKLNADTSEKITSVSKKLIVRYVSAQLLLHHSHHSHQ YAYQFHQPQFHPRKESSPKNTNDIGNKKFLANIIHAVNGICDELPDDLVISIDDKGIN KLVKSYLGEQRFQSLESNTGLPEHSLTPPCQKLLDLINNAPPSPVVIRKIIRKSTLGD EIFNFIVHSDLNFAETTITHFLNLMMSPCNPLVHQTLERTAATFTTVTIINNLFFANN DIINLACIQKKKVILLGRFEKEVWTAGNTKWDGVALAVKDKTVAPVLIEFSGGYYVQQ HGKEGEGR PHYBLDRAFT_66459 MQSLLMLTNTINQENQAFCTVFKLLGGRSLTHIKKIIYPRSYKK SWKFNPVVNLSRKKIVCSTISRLKYSSDQVNCIKLSKMHHQIIQPRSLPFHGSAKSTS RISTGSTISSNMNFIHWSKAVVSYIKKKVLAIPEWILQTILWHQYIYYRPPSASKKIF RKELRKHNSNNIAIQRNPALGVFSEKQHKAKLNPFQLGVTKILRC PHYBLDRAFT_170621 MQSRCRLSCGSLHLLLITVCIYSKVVVATLWFSKSFGLVETFLS STPQGYDRVLGFLVFPILFGRYWSLLFRCLDFGCLCFWMLFSGNRHPCIWTLFACVTG AARRPLTAVKLGVFSRVFNYCSHAFDCHISGLYPSIGLVAAHRHLTVACIWISLSVFL AFVLKRMSAVLLQYLCLWIVLSINRIWSTLVALGVLEKHYSSFCVSLVPSSEFRRADR HISSSLGVILLTFKWISRSTIGSP PHYBLDRAFT_147749 MISYPIQLALQTLCLASAYFCALLFAAISSLLVPSVFNMGLFSY GMKVIHKDDEGCLQSFRTSLEVFSSGSCRSGSLGDALGPISCLAVSSEINGASDVEGL SSSTSVKQQNSACHRMNPTVREDDKRSHMEQVAYIPVRHENQGLKRLVRTRLPYYGEE LEEQMFEEIVPKTNPSEPIGSTPEPLKNGIQSETHSICYDKVSASVSATGCFLASPCL PEQGTFTEQRPSFPLVGELPSSGQEMILSEISDLVSLFGSMSIEDTSEDLWAGRDLSV CTAQQVGAVGAEVASAMEDCWYGGNTVWSEEVPQCPALVGGLFAFGQQERMDFLSTVD TPLEQMLTDADEEDLDCAMSIISEPESSEMLDVEYAGVVVADVSTACWYNVNNELAMV PEDLYGDSLMDLAVEVVPAIKGNLDHYQDDCLMYWDESLVSKASPIMAATMFSVSGAT TMFPASGAATRLSVSGAATMFPVSGAATMFPASGVACPFPLEQVNITNKGATCVPQQV AEDLVGNPEEGPRLPSSPLLPGSDSGAGLPGQSGMSLPENKTPEPTTIPGVVAWLTTA PESPEEVLEGEEDDDDDEEDSDKEHEDDDEGVTLQDSVLADLLAELEESDFDDEA PHYBLDRAFT_170623 MQSLLMLTNTINQENQAFCTVFKLLGGWSLTHIKKIIYPRSYKK SWKFNPVVNLSRKKIVCSTISRLKYSSDQVNCIKLSKMHHKIIQPRSLPFHGSAKSTS RISTGSTISSNMNFIRWSKAVVSYIKKKVLAIPEWILQTILWHQYIYYGPPSASKKIF RKELRKHNSNNIAIQRNPALGVFSEKQHKTKLNPFQLGVTKILRC PHYBLDRAFT_147751 MVVEHMRAKIRNAEKNQVSQQSKACKQHQRRMQTPTNSNQKQRE TKPRYTQQSNAFQLRNNRMLANNNSEKQAKKASLTAAKDLQAAPEIHANKVQIRGSQM LEINNQKHRRPKSRYMDDDCLKITSRNTDSQSLDNETKGTKNTTPRLPSLYYPKTLDS EPNKQADHGVKLEKE PHYBLDRAFT_147752 MISYPIQLALQTLCLASAYFCALLFAAISSLSVPSVFNMDLFSY GMKVIHKDDEGCLQSFRTSSEIFSSGSCRSGSLGDALGPISCLGVSSEINGAGDVEGL SSSTSMNQQNSICHRMNSTVCEDDKRSHMEQVAYIPVRHENQGLKRLVRTRLPYYGEE LEEQMFEVLVPKASPSKLIGSTPEPLKDGIQDEPHSICYDKVHTSVSVTACSLALPCS PEQGPFTEQKPSFSLVGELPSSGQEMILIEISNLVSLFGSMSIEDTSEDLRAGQNLSV YTAQQVGAAGVEMASAMEDCWYGENTVWSEEVPQCPALVGGLFAFGQQERMDFLSTVD TPLEQMLTDADEEDLDCAMSIISEPESSEMLDVEYAGVVVAGVSTACWYNVNNELAMV PEDLYGDSLMDLAVEVVPGIKGNLDHYQDDCLMYWDESLVSKASPIMAATMFSVSGAT TMFPASGAATRLSVSGAATMFPVSGAATMFPASGVACPFPLEQVNITNKGATCVPQQV AEDLVGNPEEGPRLPSSPLLPGSDSGAGLPGQSGVSLPENKTPEPTTIPGVVAWLTTA PESPEEVLEGEEDDDDDEEDSDKGHEDDDEGVTLQDSVLADLLAELEESDFDDEA PHYBLDRAFT_101037 IGNTVVQRLFEKCAEDTKTSMLVRIAPHLASISVHKNGTWAAQK IIDTAKTPAQIRLISDQLKPYVPPLLLDQFGNYAVQCCLRLGEGDNQFIFDAIVEKLL HIAQGRFGARAIRGTLESQYATIEQQKYVASVFTQNAYALATNANGVLLLSWLIEASK IEKRMSMIASRFVPHLQMLCTHKLGSQMILKLINQNQDTDAQEMILTRLLTTPGLLTE IITDQTRGLAFIQKAIASTFIP PHYBLDRAFT_93332 LSPSSVSSIGYKKLQWTHNQENITSELNNLIGSIDQARSRGQAV LVHCQCGVARSATIIIAYVMKMMNLSMDKAYTHVKSRAPAISPNMHLIYQLQKYERSL SNSPI PHYBLDRAFT_147756 MNIKDLLNNDSETRTSGHMLDDSFFVKRSNRIEDRIIAQACEKF NNRNKVATTAPMDFDKFLAAGNSNHNEAESMDTDDVQVESVEEINENIDYMSDNDYGY SRVRNPDRVYNAPVDHVTDYEHFVPTHVSNSRAQAVSLELFSMFFENNVSREVYDKCI KIVNKYMAELGSTKVDSLLSYYRVDILLKEEYPVKSVAYDMCINGCCRFSTVEEGDFI DEDETCPHCGEDRYKVERASVKPAQTFQIVPLSEQLRFELAHPEEWAKMAYGTRCLAG RREDVREDIFDGDAVGRLLDRGVVGQDDILVSMFVDQFNPFKNAKMASSVIHVINLNI DPKERYKAGNMIQLAIIPGPNHPKDIASFLELVLDDLRNLGANGLQFQTDSGLVIAKV HLVMATGDTPAVSDLMNLAHHNAHHRCRACFSYGARDSSTTCIVERDGPSLLRTEESL HQSVGGMYGVKGPNIFKDLPTMTSTAFFGLDEMHLLGHGTGQQLYVALGGKFCPTIND GGRNAHGIHLQDRLKHHRYPFALDVSLEDIDKAICASRADIPADFTGTWRSLKESNGK RKAVDWIDFLLFVVPTIVVDHFVFDRTKAAVMKLVTACRIAQQWRITAANIQEAEEAI GRCHAFLRCEIEEKRLKPTVFVTNQHMLVHLSYMMREMGPLRAYSCRPIERTIGVYSA AIKSRKKPGKNMENLLLGKAAIHHCLGCRPVICATNDRRTSNFEVASNDVAGPQLWSR PTRSSLAELAAAIGIECQDLVRSLVPFWAREGIVSFEENDEVVCANKMWKDSVVYRVR SSVDSRHGRANNLAVLDDAREYRFILKFFSQTVNRVTRLFAAIDCLSDVQRVNQDLFP AWDSLAPGVVKVVDVKSIKGIAGLVHDPNDEAIWHIIWPLLKYNQ PHYBLDRAFT_170629 MSTHEITQILERLAYLEDSNASLRTKVALLEDRLESLTNNCEAE LALSTRDNARTAMMLLPATGDRDIKRPSPHGKPEGWNQSTKCFKGVLAAHFTGGDLDK AELVYKAVGKLARELVHYSFSKYSGRNIAIPSWGSLADDQKAIMSSSLEEKAALKNIA LHRFENSWGALLILSHKFKVLVFHGSDLPRDVAPTELFLIFIGPLRMCSLAHNRSKCA LRNYKLCHFLQ PHYBLDRAFT_182188 MKNACVFFSPRTVTLFLALFGITTHLASVGTTALLASDLRLTYF TGLSLLSYSLLSIYACYNGVAGVIQKSPRKIEIYSWYYCFDTLIQTLFSVGAVFFTFY ADLGLCQNIFETSDDVLGSYACDLTFNNSIWFLSAIILANVLTKVYLAFVISSCARDL SKQLDEERFVGSNVIVVPSPAALAADEEKVIYVAGHEFVPYNDEKKN PHYBLDRAFT_170631 MTGIFNFNTTVKSHYQAPSSIVHVHSPDIDERWDTFLDSQQRTS KHVQCPIIYIQPHQLHSIDILPASPKEYNDNDNDNDNDDDNDDDKIQNLANKTIDYFA HPSESLLDNYDTTPDIQEISTDDDDDDNDNDNDDYDYAYDYEEVQVKSNDDDDDDGQS DLCCSEDGAKIETIVRPRSQKSISVEAYHRMMAQRYTARLLKIASVCQTSEINLPLVY QKQLDSLQTPLLPPPSQYSR PHYBLDRAFT_96728 SGIEYDDILRLAVTTHNRTFCLHLVPNLELFHPDAVLNNGLGKE EPLQHEEFRIYRGYVVDPAYSEQRWAADRAGVLRDEFSTDSEQGILGWARIVVRHDIK HQLDHPVFEGTFKVYEDMYHVKVKHNYNLAKRSDDADVEQTDAAMVIYRDSDTIKVSL DRRASESAEPECGFDNLLHPPQSTSKLYPRIAAPAPGSSSLSSWTGGGLEQYNPLNVI DPFASSHRLAKRATGCPTTKKINYMGAAADCTYVKYYQSMTNARMQIINDWNTASAAY ERSFNVSLGLITITIMSETCPTTVAANATWNRPCSDSYTISSRLSDFSLWRSKIGNDG AGLWHLMTSCATGVEVGVAWLGRLCTSTAITQTDKGVTQHVSGTGVSSIIRDEWKVVA HEIGHGFGAIHDCTSSNCPCSGSSCQCCPLSATQCDAGGTYIMNPSSNVSTNDFSPCS ITTICSAFPASGTCLEEPGSRSVKTLQMCGNGIKETGEDCDTGGANSTCCDPATCKFK ANAVCEDFNDNCCDKCQLRPASYVCRPASTSCDLEESCSGTSATCPEDKFVTDGDSCG GNSTGLACASGQCTSRDAQCKERGTTMGISRACSSNSNSCELTCDNPGGLGCLIFNGK FIDGTPCGIGGACKDGKCDLSNFGNNAKNWLEKNKAIAIPVGIVLGLLIIFCV PHYBLDRAFT_66464 MSEIPNLIVNEEFNIASQKDPWFPKRKFSIYDDQQLCRSYLYVA FDADYSPEQKSSGSTFWSKIKEHYRENSIVGSVERHSRALPARWHIISKAVNKYAEIV KQVEKSNEDNPNIDKASDFFY PHYBLDRAFT_170633 MAEMQKYFNLLHCYRILSRHPKWWESVVNKKKKKTPKEKVAKST PIFIDYDDVPEEQGARADVEADVEVDVDVDVDVDVEAEAEAEAEAEVGVEREGSIKKL LRFAQKELIQVRKHTLVTERQNAINQTSEDVKHLLIDASSIKDHLNRRAILNLQKDIR ERGIGVPPYLQTMLEDSSDAEVLESD PHYBLDRAFT_66462 MNGNILLMFLEQEEKDARLFKLINDYLILQLRRNYQDLDSEEDE VDESEDVSEDEIEEETEYETEYETEDNDILSYAVSVKSFKDPWSAKEKELCPGIKGSK KDVEHDREDILNVGVGCDKGVQREKGIRVVQERSEMETILERTIYLISILEMTLSDGN PVAKRKEN PHYBLDRAFT_66461 MGVKLYLNELLCLGPNRSRSSSVFYAIPMVSDYAKPAEKFEKDP KSEQDKFRVYIPKALCAYDDDVLIQEFPAAEKIDLVDILNTKFKLYNRDNEKKYFSKV ATSTKCSI PHYBLDRAFT_147763 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPENFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_170636 MQSLLMLTNTINQENQAFCTVFKLLGGRSLTHIKKIIYPRSYKK SWKFNPVVNLSHEKIVCSTISRLKYSSDQVNCIKLSKMHHQIIQPRSLPFHGSAKSTS SISTGSTISSNMNFIRWSKAVVSYIKKKVLAIPEWILQTILWHQYIYYGPPSASKKIF RKELRKHNSNNMAIQRNPALGVFSEKQHKTKLNPFQLGVTKILRC PHYBLDRAFT_147765 MEGLTATASRQKTKYGCKRDNNKEPTTRYRDRKKKASKNKHNSV QQPDTQIGKTDFIENKCICAQQPDTQIGKTDFIENKCIYTQIGKTDFIENKCICEQQP DTQIGKTDFIDNKYICEQQPDTQIGKTDFIENKCICAQQPDTQIGKTDFKKKIASVNN SQTHRLERRIS PHYBLDRAFT_170637 MQSLLMLTNTINQENQAFCTVFKLLGGRSLTHIKKIIYPRSYKK SWKFNPVVNLSHEKIVCSTISRLKYSSDQVNCIKLSKMHHQIIQPSSLPFHGSAKSTS SISTGSTISSNMNFIRWSKAVVSYIKKKVLAIPEWILQTILWHQYIYYRPPSASKKIF RKELRKHNSNNIAIQRNPALGVFSEKQHKTKLDPFQLGVTKILRC PHYBLDRAFT_66458 MDTKILSYKTWTFGFVAAINRIWSTFVALGVLEKHYSSFCVSLV PSSGFCRVDRSDYQQEIQSISQAYDAEKYLKFTAHTNHKSKKPRLFDMTTTQDGLQET SAWLFSILLRLQYIVDTMQDIENFIDSYEYLIQPWVEHKPAYYELSSNLADIWNQDSI NWVNRVMFGAVKKSRLKQAISTMSVYQGQTTYI PHYBLDRAFT_147766 MVVEHMRAKIRNAEKNQVSQQSKACKQHQRRMQTPTNSNQKQRE TKPRYTQQSNAFQLRNNRMLANNNSEKQAKKASLTAAKDLQAAPEIHANKVQIRGSQM LEINNQKHRRPKSRYMDDDCLKITSRNTDSQSLDNETKGTKNTTPRLPSLYYPKTLDS EPNKQADHGVKLEKE PHYBLDRAFT_66457 MISYPIQLALQTLCLASAYFCALLFAAISSISVPSVFNMGLFSN RMKVIHEGKTAIEHCNINTDTNIDHMSDDEGCLQSFRTSSEIFSSGSCRGGSLGDALR PISCFGVSSEINGAGDVEGLSSSTSVNQQNSICHRMNSTVCEDDKRSHMEQVAYIPVR HENQGLKRLVRTRLPYYGEELEEQMFEVLVPKASPSKLIGSTPEPLKDGIQDEPHSIC YDKVHTSVSVTVCSLALPCSPEQGSFTEQKPSFSLVGELPSSGQEMILIEISNLVSLF GSMSIEDTSEDLRAGQNLSVYTAQQVGAAGVEMASAMEDCWYGENTVWSEEVPQCPAL VGGLFAFGQQESMDFVSTFDAPLEQMLTDADEEDTNCAMSIISEPESSEMLDVEYAGV VVAGVSTACWYNINDELAMVPEDLYGDSLMDLAVEVVPGIKGNLDHYQDDCLMYWDES LVSKASPIMAATMFSVSGATTMFPASGAATRLSVSGAATMFPVSGATTMFPASGVACP FPLEQVNITNKGATCVPQQVAEDLVGNPEEGPRLPSSPLLPGSDSGAGLPGQSGMLLP ENKTPEPTTIPGVVAWLTTAPESPEEVLEGEEDDDDDEEDSDKGHEDDDEGVTLQDSV LADLLAELEESDFDDEA PHYBLDRAFT_66456 MESPYFIQTPQPDGSEIASQIISMCSISILSLLFGIKSYNVQYK YLSLSRWLVLALYLFSWSFTTSSMIFTSTNNGNYISCYLSIMACDIFYSATKLTTYWW QVWVVSSVRQSRWRTPIYRFHCLLLTPYAGIFSLMIVFHIAELKDTGECIIGLETYAS VPLMLYDFLINFYMTILFVKPLWKSSKTLTIDWKMSRLHEVAERTMVASIVCLFASLA NLVLLLVFHGRERGLFCLSCCTVDVTINVVTVHWVTSSTTTKKPKDSKISSLTSPGEN YSNQSVNQPIDRTVAESSQQIDPKNLQNVVVQQAENDMKSQFDLAPYAQSTETPLDIV GYQSRYYVANRPANNLEIDYKQPSFDSHYSSPSRSHESSLSLTKSIANSG PHYBLDRAFT_78431 MLRTEQTNNSNNNYRNIIPKNNSNINNNSNSNSTTGVFVLDPTA KPISHNQRSWSKPDGGKDNMSGIQRLLHFLLKNGGENLRKYLGKTINGNPFKASKIKT IINCRQYFLEQGVVRTTSQIKTRINKLVTMQYHDAYRVWNLRNKGSVEFDDSASGKRK SNRKLDLICPQFFDMKIIMDTIETKFPMMPNSNSPIASSDDEADEENDDEEADEAEAE AEDETVIEVSNRPLLSKRDSPRSSSPSSSSSSSSSPSPFTSLKPRVRGLGKKRNQTVA EILESQEESAEKRFRVFVERIKDYHDSRIALLTTKYENHRKDRLNKEREDREMDRALL LIKTKAEVFGWSEERFDEEIAKHINKETSN PHYBLDRAFT_182194 MAVSHIQRETSQFKPHTDVQHLLVSLFNGDNPLSGHLPPQVFQG CQQQKRQDLSKIQVSIEFARAIAKDSEISLAIMIRSLGATTNTNFELLTKALHGVAKL SSLLYMRGISEGFDYYIVLIKHAVNEPKTLLVSDQSLRYETENDEQFNKFIRQHLFQT NRQLTSRDVAPRFGKQIICCYIRLFRTYLTLVGEDSNVMTPFLPSTLIVFARYMTMSL DPFLRSSSELLLHSRSISTLSLFVFAALQHVLPSVSPLFPV PHYBLDRAFT_147772 MAITHAHIEAFNRYLATTAGREKACRLVQYFARFYAFYLLRTGA PKEAIQRWADLKSSLGTGRKFFRLLKPVEFAQNGVKSLAIKDEVIRAGTFVKQFGYFF YYCCEAANLANTIKFYKLENIKTITRFGSKCWLAGLTASLLTGLYKFKQLEVRAQMLK KSAKAMATKHEDSVDRLAELQAEKKQLAKDAYSTRYQFLQDSIDILIPLGGLEILPLD EGILGLAGMATSLLAMNTQWKKVNK PHYBLDRAFT_187737 MTMELTEKIDSDTQTVIDVDLGSKQDTQPIDRKTLVWIMASLCV ISFLAAFDNIIVASNLPLVASEFNAFGLYSWVNTSFLLTASTSQPLYAKGADRVGRRT CLLFATCCYLIGTVLCGAAQSMIMLIVARALSGLGIGAFDSLMKIVVADYIPVRYIGL YQSLLGISWGLGYIVGALLGGYAATESGWRTVFWMALGISVVALVLIFFSIEGNTRTG ITAAGREGVLSHQLAQLDIAGMGLWIAGIVCLVLALSWGGTTYAWNSSIVISLLCVSA VLLAIFCGWEYKWAADPIIPHTIFTNRSTVLILIAAFAYGGCFQSLMTYIPLYLSVIR KEDSMATNLELLCLVLLACIFNVLTGFVIVRTGRYTWATRLSLAILVLACGLLQFLAK DSSRGLIVGLMIVTGIGSGGMINSEIITAQASVSIEHVPVMVAFMTLCDQVGGITGIA AQGSILSNRLAYNLYILDLPGVSAPLVRQSSDYLWSLPLPTRDIVREAYMEAVRMSFW GSTSFAAAGLLAALGIKAYVMRTQIHT PHYBLDRAFT_95219 RKRRGNLPKNIIAILKQWLTDHCNHPYPTEEEKVELRTRTNLTL NQISNWFINARRRH PHYBLDRAFT_182196 MPPLHREPRKIIAVSLDQTLAHTLEALISWHNQVHQTHLHLSDF DTTDYSKVWGGSHQERDAKMREFYESDNFKRIRPIDDFALETLKTLKRRRFSLVIITS RQQCVAELTKKFVDRHYPGLFESIYFCNFGMSDTADQLDYVSKPKSAICQEIGADVLI DHRLDHALDCAALGIDVLLYDRRGQYKWSHVDRARPRPKATLTSTSRRLYQRTPPRVL PSNVKRIKSWKEVISHFPKPRSPLRFCHFPIPQDDYCSNTNTNINNSNSSSSSSSSSN NIVSSSSSSSSLSSSPSSSLSSSITVNSILTPATTPISSSTSSSSSSSSASSVNSNSN SNSVNSNSNPTSTPTPTPWKDSLVWV PHYBLDRAFT_114570 MPHELQRTVQRQQQQQAEQQQRQLQEQPWWQYYYQLYHYHPPLG RKPTVFGPYLLLQTLGEGEFGKVKLAIHIETGHEVAIKLIKKDNIDSSTRMTKVEREI SVLKTVRHPYIVKLYDVIETEKYIGIILQCASGGELFEYILAHRYLKEKDASRLFAQL ISGVHYMHQKHIVHRDLKLENLLLDRHRSIIITDFGFANQFSSSRDALMSTSCGSPCY AAPELVISEGLYVGSAVDIWSCGVILYAMLCGYLPFDDDPANPDGDNINLLYKYILNT PLAFPDYIS PHYBLDRAFT_126049 MHIGKALGSYAVDFNDSKLRTHHGAVDQDALTSRPPHEVFVQVK QALGSMGIDVKRDGEYKLKCTRRKRKAAPDIIVTGSQVSGVSETTETVYGDPVVDSGE EVRFSVELCKIKNLPGLYIVDIRRMKGSVWAYKCIYHALLETLDLS PHYBLDRAFT_66447 MNYLIWGVFLAGLTLLSLIEASYDLPLEFAGSIKRRSGAATLVH DNVVYTYGGEIYDHPYSNLFTSVSLSDSGDIIYQNVSQQTPGPMCTVSNIILLPDNET IILFIGRYVEYKFPDTFQIYTYNLNDPLASWTKLEPPTGAVWPPARKDYSVTLAPNNK IYIYGGADMNTSAILNEMYSFDPNTNLFTNLTQPDLIYVNSHTAIALPNGTIVYATGR IGRLATEGLIQVPPDQVLLYDTNTDTWETVHTGGASFLARTNAGALLDPTQRYIFLFG GLYTDDVYQELLNDFYVLDTMTWTWIEPNITGFPPGPRNQASMAFVADDLLMIFFGQA MTISRNDFNILRFNTDNSIYSWLKDSDELVYPNRKKDKKGSILSTSAIVGISLAGVCV VLMIFCAFKFWSQVKTWPLLVYNGIIWSPRFGEPTWTEGFRLSSRCISLGLLAFYFAY AIREISDSTKATVVLKSSSSTIQSPDIRFCFDGWNNSAGAKSSDRPHLLCSTDEGYDC VDFVTGLDMSVHQPAFSDRIGDVSCFLFAPPKRFNLVEDAGQQGLGTTLIFALYGDPG VNGAIHTTIYPPGMDPNVGIYGVKTTDVGQKMSDKQVNDWIVADLDDRYAINVHSVYP KTVSTLSYQIQDHQYLVDNKWNKIGFLQDYDHIPEISTIYRPGVVSDSIKARGKYHVS TLRVFPNEYVTMISQEKAMSTVLNMIGSLGGVISLMAAVQLVLFGFRPTSPWGIVQRF AFGSFKTSIDRSLRNEFNYPYKSIPLVHRISNLHTSPSSETVVSLNRQDVNSQLLEND TVPETNSERRFHQIEERMQLMEKLLQAYYVDNEIFKELDKAIRRDEPRGRSIDEMIPD YEAQTGTRNRSHQRRLSESKL PHYBLDRAFT_147780 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_170651 MQSLLMLTNTINQENQAFCTVFKLLGGRSLTHIKKIIYPRSYKK SWKFNPVVNLSREKIVCSTISRLKYSSDQVNCIKLSKMHHQIIQPRSLPFHGSAKSTS RISTGSTISSNMNFMRWSKAVVSYIKKKVLAIPEWILQTFLWHQYIYYRPPSASKKIF RKELRKHNSNNIAIQRNPALGVFSEKQHKTKLNPFQLGVTKILRC PHYBLDRAFT_170652 MGLFSYGMKVIHKDTNIDHMSDDEGCLQSFRTSLEVFSSGSCRS GSLGDALGPISCLAVSSEINGASDVEGLSSSTSVKQQNSVCHRMNPTVREDDKRSHME QVAYIPVRHENQGLKRLVRTRLPYYGEELEEQMFKEIVPKMNPPKPIGSTPELLKNGI QSETHSICYDKVSASVPATGCFLASPCLPEQGTFTEQRPGFPLVGELPSSGQKMILSE ISDLVSLFGSMSIEDTSEDLWAGRDLSVCTAQQVGAVGAEVASAMEDCWYGGNTVWSE EVPQCPALVGGLFAFGQQERMDFLSTVDTPLEQMLTDADEEDLDCAMSIISEPESSEM LDVEYAGVVVAGVSTACWYNVNNELAMVPEDLYGDSLMDLAVEVVPGIEGNLDHYRDD CLMHWDECLVSKASPIMAAIMFPASGAVTMFPASGAATRLSVSGAATMFPVSGAATMF PASGVACPFPLEQVNITNKGATCVPQQVAEDLVGNPEEGPRLPSSPSLPGSDSGAGLH GPSGVSLPEDKAPEPTTIPGVVAWLTTAPESPEEVLGEEEEDDDDEEDSDEGHEDDDK GVTLQDSVLADLLAELEEMVVATLWFSKSFGLVETFLSSTPQGYDRVLGFLVFPILFG RYWSLLFRCLDFGCLCFWMLFSGNRHPCIWTLFACVTGAARRPLTAVKLGISLSVFLA FVLKRMSAVLLQYLCLWIVLSINRIWSTLVALGVLEKHYSSFCVSLVPSSEFRRADRH ISSSLGVILLTFKWIPRSTIGSP PHYBLDRAFT_170653 MQSLLMLTNTSNQENQAFCTVFKLLGGRSLTHIKKIIYPRSYKK SWKFNPVVNLSRENIVCRTISRLKYSSDQVNCIKLSKMHHQIIQPRSLPFHGSAKSTS RISTGSTISSNMNFMRWSKAVVSYIKKKVLAIPEWILQTFLWHQYIYYRPPSASKKIF RKELRKHNSNNIAIQRNPALGVFSEKQHKTKLNPFQLGVTKILRC PHYBLDRAFT_170654 MQSLLMLTNTINQENQAFCTVFKLLGGRSLTHIKKIIYPRSYKK SWKFNPVVNLSREKIVCSTISRLKYSSDQVNCIKLSKMHHQIIQPRSLPFHGSAKSTS RISTGSTISSNMNFIRWSKAVVSYIKKKVLAIPEWILQTILWHQYIYYRPPSASKKIF RKELRKHNSNNIAIQRNPALGVFSEKQHKAKLNPFQLGVTKILRC PHYBLDRAFT_170655 MQSLLMLTNTINQENQAFCTVFKLLGGRSLTHIKKIIYPRSYKK SWKFNPVVNLSREKIVCSTISRLKYSSDQVNCIKLSKMHHQIIQPRSLPFHGSAKSTS RISTGSTISSNMNFIRWSKAVVSYIKKKVLAIPEWILQTILWHQYIYYRPPSASKKIF RKELRKHNSNNIAIQRNPALGVFSEKQHKAKLNPFQLGVTKILRC PHYBLDRAFT_170656 MQSRCRLSCGSLHLLLITVCIYSKVVVATLWFSKSFGLVEIFLS STPQGYDRVLGFLVFPILFGRYWSLLFRCLDFGCLCFWMLFSGNRHPCIWTLFACVTG AACRPLTAVKLGVFSRVFNYCSHAFDCHISGLYPSIGLVAAHRHLTVACIWISLSGFP AFVLKRMSVVLLQYLCLWIVLSINRIWSTLVALGVLEKHYSSFCVSLVPSSGFCRVDR CINSSLGDIENFIDSYEYLIQPWVEHKPAYYELSSNLADIWNQDSINWVNRVMFGAVK KSRLKQAIPAMSVYQGQTTYI PHYBLDRAFT_147786 MISYPIQLALQTLCLASAYFCALLFAAISSLSVPSVFNMGLFSY GMKVIHKDDEGCLQSFRTSLEVFSSGSCRSGSLGDALGPISCLAVSSEINGASDVEGL SSSTSVKQQNSVCHRMNPTVREDDKRSHMEQVAYIPVRHENQGLKRLVRTRLPYYGEE LEEQMFKEIVPKMNPPKPIGSTPELLKNSIQSETHSICYDKVSASVPATGCFLASPCL PEQGTFTEQRPGFPLVGELPFSGQEMILSEISDLVSLFGSMSIEDTSEDLWAGRDLSM CTAQQVGAVGAEVASAMEDCWYGGNTVWSEEVPQCPALVGGLFAFGQQERMDFLSTVD TPLEQMLTDADEEDLDCAMSIISEPESSEMLDVEYAGVVVAGVSTACWYNVNNELAMV PEDLYGDSLMDLAVEVVPGIEGNLDHYRDDCLMHWDECLVSKASPIMAAIMFPASGAV TMFPASGAATGLSVSGAATMFPVSGAATMFPASGVACPFPLEQVNITNKGATCVPQQV AEDLVGNPEEGPRLPSSPSLPRSDSGAGLHGPSGVSLPEDKAPEPTTIPGVVAWLTTA PESPEEVLGEEEEDDDDEEDSDEGHEDDDEGVTLQDSVLADLLAELEESDFDDEA PHYBLDRAFT_147787 MSVHKLSLLKSEGAFSKVSLHSRLKVSVHKLSLSSNQKLVVNKF SQSKSEGVPLPSIPPLKSKGQCLFWLKSVDVFTNSQLKSEGSLSQNLSQLKSEGVLLP SNSPLKLYGTLYKGSPVTYLQVSFYKIFLIKAEDVILQNPSRLKKQGVF PHYBLDRAFT_170658 MQSLLMLTNTSNQENQAFCTVFKLLGGRSLTHIKKIIYPRSYKK SWKFNPVVNLSRENIVCSTISRLKYSSDQVNCIKLSKMHHQIIQPRSLSFHGSAKSTS SISTGSTISSNMNFIRWSKAVVSYIKKKVLAIPEWILQTILWHQYIYYRPPSASKKIF RKELRKHNSNNIAIQRNPALGVFSEKQHKAKLNPFQLGVTKILRC PHYBLDRAFT_170659 MRLSNLCVWLLCTDAFILYEMRLSNLCVWLLCTDAFILYEIRLS NLCVWLLCTDAFILYEIRLSNLCVWLLCTDAFNLYEIRLSNLCVWLLCTDAINLYEIR LSNLCVWLLCTDAFILYEIRLSNLCVWLLCTDAIILLKSVYPIYAFILYEIRLSNLCV WLLFTDAFNLYEIRLSNLCVWLLCTDAIILYEIRLSNLCVWLLCTDAFIVYEIRLSNL CVWLLCTDAIILLKSVYPICVSGCCAQMQLFS PHYBLDRAFT_147788 MHLCTTTRHTDWKDGFQENNCISRHTDWKDGFQENNCICAQQPG TQIGKTDFIDNKCICAQQPDTQIGKTDFIENNGICAQQPDTQIGKTDFIENKCICAQQ TDTQIGKTDFIDNKCICAQQPDTQIGKTDFIENKCICAQQPDTQIGKTHFIENKCICA QQPDTQIGKTHFIENKCICEQQPDTQIGKTDFKRINASVNNNQTHRLERRIS PHYBLDRAFT_170660 MTGIFNFNTTVKSHYQAPSSIVHVHSPDIDERWDTFLDSQQRTS KHVQCPIIYIQPHQLHSIDILPASPKEYNDNDNDNDNDDDNDDDKIQNLANKTIDYFA HPSESLLDNYDTTPDIQEISTDDDDDDNDNDNDDYDYDYDYEEVQVKFNDDDDDNDGQ SDLCCSEDGAKIETIVRPRSQKSISVEAYHRMMAQRYTARLLKIASVCQTSEINLPLV YQKQLDSLQTPLLPPPSQYSR PHYBLDRAFT_170661 MAHIMSMRVRSRLLPINTHCYFTVLDIEFTSFPPVSVRATTSSL PQWRFTKNLEHKAPYKQTNKKGFLCISRKQVQGLQTNLDIIQKEVVKAKKFHELRHMC INRTPTKQVQAQVQIQDQEQDQLQALLGNHTIIAIKTNLDTILRPVYKTLITEKKGQK VPSLFSLSSVLSLIWRFTLISSNTFSAFATIPLPSTYATNLEISLEMFDLSRLRLDRD LDISLLGDVMQNERLEDIFLCGLDSGRYRVFEESYGDSEIPRQFRRCSTKEYYKYTGS KRHKKRERERMVAELLDIFSCLLLDIMCSMNHLGSQSMRLGI PHYBLDRAFT_126051 MDRCIDRLVNREEKKRKKDLDRYISRNGRGSQTKRLTLPLPLQM SAPIEEKPVAVEETTQEVPLELEEEEVTVDSPQSRGEKKARKAILGLGLKRVAGINRV TFTRARGIIYAIAKPEVYQSMNSDTFIVFGEMQVEDMAARAQAAAAEQLATEAASGEV EAPAADAVAEVEEEEEEVSAEGVEEKDIELVVSQANVSRNKAIKALKGNNNDIVNAIM ELTM PHYBLDRAFT_134824 MECLFGITGKDFVLVAADTVSARSIVVMKGTEDKSRQLNSKTIM LYTGEPGDTVNFAEYIQRNIKLYSIRNGIELSPKATANFTRRELADSLRSRHPYSVNM LIGGYDVKTSKPELFWIDYLGAMASLPFAAQGYGAYFCTSLMDRYYRPDMNLEEVKDL LRRCIQELKTRFIVNMPHFKVQLVNKDGISELEL PHYBLDRAFT_182201 MQRITYTSRPLGRLLQTSQRINLCRGYASAASKHSWRPTRQTAI LAVTGIATTSAYAAWKMQTPVYAEAPSNVFAGSVEDPSTKISFPIFLNHGTEWKRLIG LGARQVSFLSINVYVLGLYMRSQDIGTLRKIEGWENFDKTEFLGKEDLALTLLKLPFD ISIRVVPCRATNTQHLRDGFTRSLLQRMRAESKDMTEDEEKDILDGIKEFKGSFANAN IKKDTEFIFTKTANGELLVEFEGKLMGTVKNKWVATNFMMGYLNPTKPASELARQNIA EGFEKILDEKSA PHYBLDRAFT_182202 MSFAPFSIRAITSATRPLTSRIASQTARRFESTTRVSRTKVVDR ELPPAPKSRKPVIIGGALVGAAVWAGVLGYAMNYQRLSSSIVNGTLFMVRYDPRIIEL VGDQVDYADSTPWISGSVNHLKGKVDIGFDVTGKSGERARVHFESVRSGHNWNTIEFT VTRLSDKKVVDIGHHELSEKGGPVVAGIA PHYBLDRAFT_155807 MTEATIHLPTQNHTATVIWLHGAGDCGESWLLLSNELSAKFPHV KWIFPNAPLRPLTCYDGAEMPAWFDIVSFVSEKAHAETDTASMKASVARVNTLIENEI KSGIPVQRIILGGFSQGCVISLLTTILTTHDLGGTIGCSGFIPSQKHVSVLGQPIKKD TPLLVLHGDKDIVVPVQYGRIAVHQLEKMGYNIAFYEYPGLAHSFEEEQLLQVAKFLK EHIPTLSAKL PHYBLDRAFT_159392 MEKQEWIKRFEAEKAQKERLQDDISSVTDSLTVATEQVRQLLIE NEALKKQVEQVYGDPFKTSVVGPASSSLSAIKSQLRSSQVQARTLKATLEQFLRLGDG SEDQYIPQDTPKVDPSPPRHENSLDNLEQVPEKVSSEREDTEPAENDLDILLRDLVLE KELLQAEYSKMPVTGANTLTRRRREELESRLDEVDSHMSKIKLRMRNRNSAQRENLLG PHYBLDRAFT_182204 MVPVDEVFDSKKQPEHPLSGHLPERDRRLSIRSRSASPSAYVFA PSWILSPTEKPGLRTTSLAFSSFGKRTHRESDLKDRFPRDPTNKSLLASERTAVKTDD NNPLSPRPSASSPSPRRLPYPSQHAPDSSALHTDVRRPAKALRNNSCTDLTKLPPSDT MEEFPTLANNAPDLTKHPTSSPWGKPHLIKEVFAQPAQQEDNVTNQSCDPELARLKAL VPKRISSTAIKKHTPAGSSHSNRPRSTTGKTLPTRLSSLSVRQFPKRTTESPTTPDEK RSGEENTDTSGLKDYAPEIETPTITDEDKERFLNLVRVWTGGAVRWENNCGVLPRSPS PTMNAKPLLPVGSDRLFDRRSSYSGISPFEMGPLKIHSQEPCSETDCSIYGSMDNGLL NPTSLYSVKDTDMNGVRDRWMFAKAPSSSLYYVM PHYBLDRAFT_114607 MQLSGKQAFGYSTSTESEKLIPTWDDYFKLRKKRRMFELAAYPP STILPIITTGAYCMNLQVDPTETYLGLDPVTGLGLLTLSTGFFGLLLGPLFGDALFKL TNRKVTAEMEARDKAFYERIKNNRADARLNSIRNPVPDYYGEKIQSVADYRAWLRKQR EHYRKGVFGGSVEDDN PHYBLDRAFT_126060 MDAFGKDEYQPLTHNGHDWAPGGLGLMIVDSLDTMLLMNLTEEY AQGREWVATKLSFDKNQDVNLFETTIRILGGLLSAYDLSNKDPIYLEKATDLGNRLMG AFKTESGVPYASVTLSTGLAYKYHVPSSTAEVTTIQLEFKYLSYLTGDPKYRLAAERV MQHMDKLVQQGDTTDGLVPILINPLDGTFATSEIRLGSRGDSYYEYLLKQYLQTSKTE PHYREMYDYAVDGIQKNLLARSYPNHLLYIGELLNGKPGNIHPKMDHLVCFMGGSYAL GATEGVALADLPPLTGRNKRDLETGREITQTCYEMYSMTATGLASEIVYFNDDENTEP NGADMAIHFQDRHNLLRPEALESIFLMWRLTGEEKYREWGWKIFEAFEEHAKLPMGGY AALKDVTKVPATKENRMDTFFLAETLKYLYLLFSPDDVVPLDKYVLNTEAHPLPIFTP NW PHYBLDRAFT_170671 MTSYPTIKNPLIPSPPPKRMSRSRLLNSFSSSSTIKASVSTSTF CKFMSKSLLQLSNAKVGVLMTPTRRIMPTPNPPSSHSDLVLVDDKKKKDMFGKVKSSV EPVVHYNGPCYTIDQLAEEKLAWIREEHTAGFLGQNLKNTLSDYGRFIVLCKPYASSQ IITNSCQIVKKTHGPKAMFYLRRTVFCPINWSSLPCKFRCSVQVNQEFCMSSYATSEK RGNDVSEPTTATISLYTQPRSASLFNLRGRADDICVGEESFHITLNPKEKHFQRFDIH DKSQPNKYSSYQVLAVFGMYISQRSQVLINDTVLIAGYVTVYVQGPMAPKRERFWAVL RGVKLEMYDFEYREARSTRYILPLDTLVEAFYPNLNKDDRHQMMNESHCLALQFSENS LSPYDEQNLQENLDSFEYRMYMLADTAEGSHDWLNALNFAASIFDELHNESHGNMDNG SFTNSQDSDLGMSYSISSCTDPNNLKTIPAQFLW PHYBLDRAFT_159394 MDSVIIIHFGSMEHLFPQFRDPKWLNQLVGLIGKSLEQLKLPAI WWMTSNSPLHKACLENPSFATYNTAHICLEDIPHDFIIPAIRYGFPKKCFDTWWIDVH KNKVEAPRKRNIGALHHGGAGTFGSMATYGVPQLILPCMFDQFFWAETGESHGISKTL NVDDHDWSKAFSWSVKDKKSCQGYQTIVKSSTEEGLLRTLNAILSNKSK PHYBLDRAFT_66431 MARQDCQDLHKLAYNMTLAKIISCYLKIMRKAIHKLIPELYTTC TKHAKYRYCMSVPTIWSKESKNIMREAAIMTGSITRYNKPEKLSIVDEAVAPFLYSER M PHYBLDRAFT_147801 MNNEVNDSPIYGLIHVSAFIHHTSTCEECDELPMPQSCRRYSRL FICQKNFAVLMGQKCSIRRVDAILAPLFPA PHYBLDRAFT_170674 MMIMKGRVIAFPLFSPSRFQQVVNENTVQRFTTTIKSSINDMIL TPKQSQIPHQEHTPGSLARRNQCLVMESVLHSTVVCILHNYIQPNTKCLFCLTALYVY DDDVTIPEFAAPEEIDLVAILNTKFKFYNRDNDNGTVFWSGQD PHYBLDRAFT_21630 MSVDLIPGEISPFTIGVAILSGFIVFFGYISMFVKEKMFISEAF VALIVGIIAGPLVSNGFNPYSWNDTDEITKQLTRCILAIQVMAVGIDLPKHYMKKEWL TMFMFLIPVMIFMWLVSGLFIWGFIPPINYLEALVIAACVCPTDPILANSVVKGRFAE KHVPAHIRNVLSAESGANDGMGFPFLFLAIFLLDDEQHVGQAIGKWIYLTCLYQIILS CIIGIVIGWVARKILQWSESRHLIDKPSFLCFAIALALFLMSMTGFSGSDDLLACFVA GNAFSWDDWFRQETEEAHFQEVIDMMLNLAVFVYIGAIIPWSDFGNAELGLTPWRLVV IAILILLFRRLPIVLLLKPVMPAMKTYREAIFSGWFGPMGVGAVFLSTIAKEEMEHIY QGKEAPISIEVISPVVLFIVLSSTLVHGTTIPLFKLGKRIRTRTLSVTSTGS PHYBLDRAFT_170676 MREPNLCFPAQSRAAICITTALYDRRALDCTATLPLINSLTHLA YLTSTSPRIREILVLDNGLERLIRILSPSTQSLDPRSLWKWTLAFQCVINVGVRGTEH IRTRVVEAGMIPIVMNVLDSFLKAHELVRQDTERIQRKKRILSSINPSSPPVHRIPLR DSLYPDLPSQTPLTTSSSSPTTTTPNPTNPMNMNTTRALGPITLFSALSPITPIPQQD THTRYPRSPSFATPRRSTFPYVKITSAQRRSNRTSRENPRSQAQRVSPHEEDIVMALQ LLAYLTKYSHIRDSFHTCFDRNVFSLVEKFAHRTHPKAIQYWASVIMRNACRKDETQG GIRRCANMSCGKWESGPREFAKCRRCRKAKYCSKACQSIAWGDGHRWWCSERQATREQ SHLDAVATGNNNNNNNNGNVSVNPNPNTNGNGGGSSSTNSSVVAASGAGGNGSGDGVQ LGPSAINTANMGAQTSTTDLSTNPINNTQLGGNSNNTVLQEHSHNHSHNHSHSHNHHT LHHHHSNVISRREIDTDAATELSHRGMSILSDVVVGAHPESSTESSESREMRLDMNLN MGL PHYBLDRAFT_66428 MSKNLNDYDIVVAIDFGTTYSGYYILEINNEIPYLEEYWGNDWK TKEAVSAMTYRSFTKTFYYWIRSFFYKNDVKVEDQDQDSLQYVSRVKILLDKAIKEDL PPLPPGWTLAKTIADYLQEMRRPIFKDILKRFPACADPSRYRYCMTVPTMWSDESKGI MREAAILAGFIKAQDEPGRLLIVDETLASALYCERTYPGLEFPDGSLCMICNAGGGTV DLATFEKDGSSGTHGIKEVTVGSGVTCGSSFLDIEFEAMLRERVSNYPSYTENYIQLS LAAFEQIFKDEFDGYGNSLADILHPGQTQNYIHTYPWIKNDDGFTTDEMRTRLFDPLV DQILGAMEKQFGQLEDQRQLDVLFITGGFANSPYLQHRIFETFKDRIKGFHIPEGIQL SAMRGGALFGIKPSSITQRILRRTYGIKLYSPTDEAWDNSWLEKDRFHVCIYKGEAVD DNKWITRKVVWNKEVLPIISLCAYDGSNESVPEYPRAKEVNLVTIFDTKARLDSREQV TNGVLVANICFGVDKIRVKVDLFGKEYEYVAGWDVIGEKSTQLYNIIEPSF PHYBLDRAFT_170678 MTFWFQYRSDQTRIELGCQDFVLVSLPTMPVGIRDCLGASISIY EKVDACTCSWCETVPEIVNLPGSLARMNQCLVVGSVLHSIVVCIPQKSFRAKAVVYTP QLSEEQTPQLNIGSFLLMNLAGSCQQPMKSELL PHYBLDRAFT_170679 MTFVVYTPQLSEEQTSQLNIGSFLLMNLILTPKQSQIPHQEHTP GSVARRNQCLIMESVLHLIVDRHKYLKSHSSLTVYDVWKACDITLPSWMPEPPTNPSS TLPAIYIHKLPRLVPNYRSASCLR PHYBLDRAFT_170680 MPIETKNTKVVWGFMLENTYFMEFDHSNHAIIELAYRQRRSRQA SHYITIRDSNLPSPARVYFGVAQVHLRMPGTRYYVKRRVLKVSTPASTRRQVQTQAQS LAPTSVQAPDLAHAPILVQDQDQAQTQAQSLSLAIAATSSSSPLSLSSSSSFSSSPSS SLSSASSSCLPSPISDPILSSSSYEPFPTQLDPAFEAMLWSGDLGWSLQTQAFLQPQQ PFQSYPQLYNQPPLSLLPPHLPPQSLSATSATDTTISAPVIQNQYLSANIETPVERLL WDQWFESASAQDVYRTPFSTAQLDSYTSLLPY PHYBLDRAFT_21604 ELVTERVARIFRPAKTATQSGKNGSRTWRVEFDILEDGNRWENP LMGWASSSDYMQSLAMKFPTKEAAIKFAEKEGWRYEVVEPKVAKFVKKSYADNYKFSA GKLRMIKTK PHYBLDRAFT_5725 GGFARVYQVQGEDERWFAAKVITKAALRQSKNKEKLLAEINIHR SMNHNSIIRFDSCFEDQTNVYLIVELCHNKATELMKARGRLTEPEVRYILIQILEACR YMHENRVIHRDIKLGNILLDKNLDVKVGDFGLSALLLSTEDRKRTICGTPNYIAPEIL FGKEGHDYKVDIWSIGVLTYTLLVGRHPFHQSELRDIYKKIRNNSHEASYGFPSEHPI SDAAKDLIARLLVNDPKERLSVPEVLQHPFF PHYBLDRAFT_126066 MLGVNASAVTNYTSSVDPTTVEMPDIPQTTSLDTATQCQYYQSK FVVKQDEWPTIWGIATSNGMNTSQEFQNLYNSIDWSKVPNVPIRTIGANGGLDLVGYD TTNDPYCWWSATQCVTPKTEGINPDIRNCPEPETWGLTFDDGPNCSHNAFYDYLEENK QRASMFYVGSNVMNWPYGALRGLKDGHHLASHTWSHKMMTTLTNQEVLAELYYTSKAI KFVTGVTPLYWRPALGDLDDRVRWIATQLNMTAMLWNLDTNDWAAGSTPGVTVDTVNQ KYESYIQMGTNGTFATSGNIVLTHEINNMTMDFFVKHYPEIKKAYKHVVDAATCMNIT HPYVESTITFPTFDQSVNQNASTATGSGVVPGASGTTSTNAGASVRSLLNGPLFIAAL FGLLALV PHYBLDRAFT_126070 MGFRILHLVRPFMAVLPEIAAPDRKVPFNQKVMWTGVTLFVFLV MSQVPLYGIMSSDSSDPLFWMRVILASNRGTLMELGITPIVTSGMIMQLLSGANIIEV DYSLKEDRALFSGAQKLFAMLIAFGQASVSVLTGLYGSPKEIGAGVCVLLVIQLVVAS LITMLLDELLQKGYGLGSGINLFIATNVCETIVWKALSPTTINTGRGDEFEGAIVSLV YLLASRKDKSRALKEAFYRTNLPNVMSLLSTALIFGLVIYLQGFRVELPVKSNRARGQ RGSYPIKLFYTSNMPIMLQSTMASNVFMISQMLYKRFETNILVRILGVWEPFEGSSQL YATGGISYYLSAPRSITDAIVNPIHTVIYIGIMLTACALLSKTWIEVSGSSPRDVARQ LKDQQLVIAGYRDTSMYKELKRVIPVAASFGGACLGAVSVLADMLGAVGSGTGILLCV TIIFQYFEMFVKEQMEAGGAGAENMMMG PHYBLDRAFT_74012 MLPKSQYYRYFSLTFTNLIIGLGGSSLDPMENSSPLPSNVEING SQKPHFETATSTVSSNPAQPLHNRRNHLKEMHDRLERFKSRNSVAIRREVEQEEAKVK EEQRRLEDEQRLEVERQLLLQELQASHVMASRNATVVSQAPPPIPMYTNPPFHQINFA QLFSPNSNGFGFPTLVSEKEAIDWLKADGNMR PHYBLDRAFT_114593 RYNPYESKCKICKAKLHQVKAHYSCSYKKGICAMCGKQVLDTTS YKQSSK PHYBLDRAFT_147810 MVCTKCEKKLVSMAAPDKWKEGSTNSVAGSSGRKLNQNKLLSSS AKLRQDDLG PHYBLDRAFT_147811 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_147812 MNNEVNDSPIYGLIHVSAFIHHTSTCEECDELPMPQSCRRYSRL FICQKNFAVLMGQKCSIRRVDAILAPLFPA PHYBLDRAFT_170685 MSLPNVKYIIKRIVKIKSSDPRKRLVGPRKIRAKAIRRVDEMYK KENIIEGTKFGGMVVCEVFGIVIGERCPWNANIYRYANIFREPYRAAILVIVIVMTMI LIMIMIMIMIMIMIIFWCTKSKLEPKNNANTIENEDEAILRGSIACLF PHYBLDRAFT_126072 MTESGNYMLGYPSERFFDKEHLVQMLSDACRSNHPSPSPSSDAQ TVFSSFPSPISSTAGAGAGSLNNSPTLSTINPIHLHASNSFLDTFPNIYHHQPNQVQP TESLDQYVQFEDDSMASSTTTTTTNNTNNNTSNNNDSQSVSPKETNQFCRSDPATPDP TVRQQECFNCHVTKTPLWRRTPDRAHSLCNACGLYYKQYGNHRPLHLPQQTNTATTVT TATTASVADSPSQQCANCLQTNTPLWRKNDRGESVCNACGLYAKLHHRDRPAAMRKPT IQKRRR PHYBLDRAFT_182214 MSVRMQLPSGVVGPDVLEIVSEVISLTCITVLGVAMGSKTYGEQ IKTVNYGRVLVILLYFLSWAFATTSVVLVSTNNNNMISCTLAMLTCDIFYAGSKVAIY AWLVERVHLVTAVKTTRLKTCEYRVHVLLLCPYIIIFALMLAYRNIYLEPDGKCTIGL QPVASVPLLLFNLYLTWLFMRPLMNVGRNSRMDWRRSRLYKLARRTLVASLVSLLVSF ANVLVVVITQGHERGLVCLTMCTVDVTVNVVTVHWVTTSTSRKNKDPRQKAVNRADNT ATEIMTFDTGEPGTKSQKIIKNSSPMPHIDSLSYDNDSDSGRSIQTSNTSAKPLHRY PHYBLDRAFT_78412 MRFSLATLSAVLLASCVTLTKADEYADAIKEWCKGLTVPSPDEN AVFVAGSEAKVTVNRVPDAHTKTVTGLDLYSVSATGQAQYVKNVWHGSYELNQQASIP DTIPQNASAGLYYYRVWVTNQINGQHGPDCLETTHTFKVTTGLHTNDDGSTQYSQALN NAAYYHPEHFKGCFGLEVKSPKEGKVYTEGEHAAIIAERDSSSQTGTLKKVLLYKVKG DTEEDELVDTVWTGDESFTNIVTIKDQVVLPQANPVDESASYYYGLVVSSKKSDEDCT FASKNFKIKKAT PHYBLDRAFT_66416 MFSNLTAFVLCILVIIGGLVNPSAGAPHLVTRDTGCQGVDVLYP SASTVVHRSEEQTIYLILGSRIENASLSEVSIVRKEDEKTLSMVVWTSDEKDQMSKIT AIQQDLNRLNTTLPNHFWFRANVDQGDQSCQYESEIFEITE PHYBLDRAFT_170692 MECIYKVHDAISAAFYGVALFGFVKIKDRYVFEKRKKAHITFSG DHIPREESALVFSNHRSWIDYYMIHSVASRRNMLHNCKYFVKDSIKWLPFFGWGMWLA GFVFVRRNWTKDQKKIKKSFSKIKRMKTPVWIISYLEGSRFTTEKMIECQAFSKERGL PILQHVLTPRTKGFVTCVQEFRNSHVKCVYDFTIAYRHNIKNNPSSGFLEAPSMVRLH TRRLSPEYDFHVHVKRFMIDELPEEEDALSGWVMERYVEKDRFLASLKDDWLKGQEID LCIK PHYBLDRAFT_99686 NATVARQARRVYVGQIPLGIDEQSMSDFFNQTMQTMGLATAPSV LSVHINRERNYAFVEFYDPDQATSAMAFDGIVFQGQALRVRRPKDYQPAGEPADMMHM PVLVSTVVPDTPNKIFIGGLPMYLNEDQIMELLQSFGELRAFHLVKEPSGASKGFAFC EYADPSVTDLACQGLNNMELGERRLVVQRASQGAKHGGEVGGAFPALDILSVGTVKDE DATRVLQLMNMVTPEELENDEEYRDIWEDIEEECAKFGEIVDMKIPRPHANQIVPGLG KIFVRFSTNQQTMAAVRSLAGRRFADRIVLASFIDEDN PHYBLDRAFT_155813 MSTPNTVIIIGGGLAGLSAAIEAHANGARVILLEKEARIGGNSI KASSGINTVEPLNNDTVELFEEDSIISGGGLSDPTLVHKLVTESQDAVKWLIEQSKDS LDLSLVSRCGGHRVGRTHRCKPGENGRPVPVGWAIIETLKKRLDGVEVKTGTRVLEIL KNASGRVTGVRVITDGEEEEIDAEAVILASGGFGGQTGELQGDGKESLLHHYAPQLVN LATTNGPWANGDGVRLGLAAGAKLKDMDQVQIHPTGFIDPSNPSAPTKFLAPEALRAY GAILLDGEGHRFVDELGRRDEVSKAFFEHPNHPTPEGWMSKSLSAATDADKHTSASTA TATDTATSASTSTYTSDSRSAVAYLVMPQQAVENFGEGTLGFYLQKGFFVKAAGTAGL AKLLAVSQEALEEELGQYDSHQDPEKTVFPCDLMPSKDILYWVALVTPCVHYTMGGLT IDSEARVLESSGKPITGLYAAGEVAAGVHGKNRLAGNSLLECVVYGRTAGRTAATSYL SLD PHYBLDRAFT_126083 MPATTDKLIIFDTTLRDGEQSPGVTLNTEEKIDIAKQLSRLGVD VLEAGFPVASIGDFEAVQRIAREVGPLMVGREAIGVPMTICGLARSTPNDIKRCAEAI KDAPRKRIHIFLATSDLHLKYKLKIDRDECVKRAVAAVTLGRSLVDEIEFSPEDAGRS DPDFLCKVLGKVIEAGATTLNIPDTVGYNTPEEYGSLIKYLIANTPGAEKAIFSTHCH NDLGLATANTLAGIQNGARQVEVTINGIGERAGNTAMEEVVMAIHTHPSYYPVHHTIT TQLIFRTSQMVSNLSGMMIQPNKAIVGRNAFLHESGIHQDGVLKNRQTYEIITPETVG VTDISLVLGKHSGRNAFRERCKELGFSDIPEDEFQKAFEDFKALCDKKKNVNDADILA ILSSQLQESAVASSAFFTLVGLQVVSGTEGPATANVRLFDVQKKIEKMDAAVGKAGSI EAVFEAIQRIVGRRFRLTKFDISAVGEGSDALGKAEIQVMPDIVPGNASEERISKAAF SSSIADTDIVSAAAKAYVAAINKQLVWESEVAAGTARSLPEERTVDV PHYBLDRAFT_147824 MPRPNPFLRRASSPGDLSDERKPITPTKITHPSLSDSALRIRRP VTFRHAQTRTMSIRPTRFIKAGPPTPQGDPTSLSEEMQSFVRLFDTYSHKVYLEGYLM KHNEPSHTKLRTKCFAELCGPMLTLWDAESQGLCTPQYMTISDCTVQAIEQPALDEPK KKKHVFSICFMKKTVVFETSDEMTLLRWVCALRLSCFEHQKLHQLFTLRILAGLPHDP TSRWESYLQVRLPGATEWHKYWAVVTDKRDERTLFGKKTVATRGQFLLYETKKAKTPF LTLTDVQRVYAVYPESWHLIDKSTLMRLEGDIHQQHHKQTQDNVLLMADSSQHMALWL DAMLHAFKLHGRPDQLTDDPSDEKALNYGEPECNQRLFLETDDAIQAMDVSWIDPRTI EHTFLDVMRRKSLALTASPRPPSGRANSLPLITVGDDGRTTATSAAEVVLARDDTSWT HPGTTDGASDDDSTTYTFARQVADSSDESEDDDGNDEEEEDDDSDDEPIGKTRQLSRP VSPVPKKSMVEGLIPDFDFGNGFDVPRHVQHRRESESTVEISLPSSDNVEPPTTHSRQ LSLSAKPSSCASSASAVTSLFGDFRLATDFTKYLDQPLVKDTPRKTPSKLVQPHPLVS YDREWEEEKSESEGEYAKRHAYDDDSYDSDCDGPLIPSLGDHFAPQNSLLDTYLGEQL SAKEQIEYARATGQPFIQMPAKPRTPQAGLIGMISQREKDRREGHGIRVTERVHQHQA ELGRDRLEREKERRLAEQRQQQLMKHQMMLYATSYMPLPAYGMPLTPLYTVLPRPVRG HLIPPPPRPFPLDQDHRIPLRTPNNERV PHYBLDRAFT_170697 MTCNMDFEDIVDMDWLNSHTLPGKLIGETLVDSSYLEPFRIETR ETMPTPDQIKQLIELAKQQLALRDQSHSTISPSSVFGPCTTRETPRMRRALSVSSIED SSLEAMAEADGMDIKKLTARERRQLRNKISARNFRVRRKEYLASLEQQIDHHKQRAED LTGRLEEAEDENERLRHELELLKQRTPESTKLDHCIVVSNTPSWEDNFTPSLTRELAH YLVNCFVQPGMESVYRIQPDKEFWP PHYBLDRAFT_147826 MRNKERQIEVLRIEQNVVSKELGRFRVCNIDTRCYYCREWAHYI YKKHFVWSAKTQEEVYDNTLESLNAGRFQINMLQTPLPGKRKERSPKLAERFEKLLES NADTSTALMTDIIAACELWKTLPSSLSGLPTIFEPIQFNPFPDAIPLSDDLSDPALIL DELIMERQALCLPSTSFEVLKNMTGDILLSQTQATCKHTRPEYKSIAELEIERDILNS QMAEATKTVLEELAPALDLSWAPLSEFLQRLLVLEKERNGLMGEGCQQTVDQFAEQQK LVPFSAYWTERAVRFKKKRAKITEVEEAFDAHVDRWAAGSRAFRDEFALPGLRDICKL IEKLWDLVVPTIQHMADRIASHEKKEESHTENCRAVSASLKGLHSTKEVDDAVERIER ELIERVAAYCESLEQLKASYREDCKPGTSGRLEKLANKEFRKKIKKAESGYHSLRHYF QYEVTQKIFPEALFCKFSLVCLEALMQEGEVMEAMTIESEVRRFIESNKDLVRQRQVL IEQFEKGVHTGRRELAGVLGKLFLKEGMRIQGENLALKRQDQLLKSISANTKSSKKKK KKGKTPSIDLPSPQQSPNKGPDRQVDVNPEEVPEVLLEDQTMTIEDNAVELAVEPPVE LHAEVPAKVPVEQVGENDFECHEVESNSREVVAESLEAVKEPRTAKGELAVKVEVPVK AERSVEVAPQQEIDTKETLLTGRPAEPEMDEKESQGSEAGNKESTDQGYCDDNNDNNN NNDNDDDDDNDALAGVKHMSQADLLVFLRTLHSENSRLAESVRSSQQEMALLTNQYTE MMLVAQARETQMLQLYKSQQQVEMEEARRYTLSLEAKISALESQLQSGRPLGTAGFGS QDLFASYRHEMAFFQPATAWMAARCGHCGGEGHTSTECKGAFLCGTSHSEACRLT PHYBLDRAFT_147827 MSFTQKRSSDGLSDSDDSWERAAHSFRHEPPSLYARQTTILEAQ NAKLVITSDMLQKQLDKAKSELALLEKEYEEEHNRMSQISAEYDESVNSTVNLAQDIF EVSTKDKTALVSLDTDRTSLETYIAADSALSEKLQHALYLWHRQLPMAEETEASIYIY ILHLIDASKARQCPAIEIETIVEAFQTVECLNAQAEATCKGYEAKTQTIERELQKLNH LPQLLPILNSSIASYQEETRRSHQAAVNTQENELEPLLQRISSLSIRSPLTETNIRKD YETMEELSLQLEKICQTLLNQRACQQLLTFGYETDDKHQKQQQYVYQALKEELEEEYI SHHAFLEKAGALDWPNTGLQDRTNATDIMAWAQDLLGFEDDKLLSNESIVEKVKVLLQ ENKTWYNQWLKSLDAHMDVVDKLDEAEKRMTDTLYSNSASTNELILLPQTYTETQKEL EKSTIELGNDVAELEEELELSTRFEHKKELFTLFFNDPKEFEAHIASASGS PHYBLDRAFT_147828 MSHRLLKIKDSNHIIPIFGVVMLGLAAKYVYRLYSSSKQKQRLN DPWYKEGFKDIPSPSEKYPYIGHMLSLGDNPSFQLEKLHQELGPIFRLQMGTQRWVLL SDPLLAHDLFVRNGINTSGRHPQKFSYKMYSKGGRGIVFSQPDKKWKNSRTVALMVLS SKFVDPLQKSIEDIAESTVLAVFGKTTQSPDDPFIRQIIRDTEKGNLLVGSSGNLTSF LPGLSWIEDLYHGNTREKLIEHRDQDLKKLIADAVDGDVDCLAKQMMTFKDEYGLDDQ DMLVILSDLISAGEDTTSLSMSWLFGILPHYPDIQRKMCAEIDAIVTKYGRMPVFSDR EEMPYIVAVLRENLRFRSSVNFSIPRYSTDDIEFHEYFIPKDTVLITSMYTMHMRPEN FSEPEKFIPERFLDDMRTWSASANGAIEDRDMYAFGWGRRVCPGIYLAEVEIFNVMVR TLSRHTIEPGLDSFGNLVYCSMDTLDTHGITFGPKDFTIRLVERKDSSLNYSS PHYBLDRAFT_66404 MKFSIASTCAVVFSLFAITATALPTSYNSVQSSELIDAAANALV SRSIEHDLNTFAAAIVPNSLEKRTTYPIVTTKVFAAKVIAQIKAQLHTKLFVKLSTSI TEKVNASLDIEAKILGGLLSIHDKRIVSLKASTSASVQAKIEATLTASLNASIYASIE AELKGKTCRGQLTLTEEQFLELLAKLEAEIIGKFKVELPKIEVKLSKEIDATVKAEIK NARFHIPILLDITRSYSVDVGVTVKNCIEATLKTCASLDAKVLASAIIKNF PHYBLDRAFT_114613 MATQKDTIEIGIIGAGGMGRFYANKLSQAGWKNINICDLPSKYE SLKEDFKDTKINVLPDGFHVSRRCDWIMYAVEAEYIDSVVSTYGPASKIGAVVGGQTS VKQPEIDALVKYLPSDVHIVSCHSMHGPGVDPKNQPLVVIRQRATDEKYQLVLNILEC FESNIVHLSAEEHDRITADTQAVTHAAFLTMGSAWRANHQFPWLLPRLVGGIENVKVN VALRIYSNKWHVYAGLAIMNPTAKIQIAQYAKSVADLFKLMIQEKETEFRARIKAAGD HVFGGLQKDHVPILLSDQILDEFSLSNIPSDVRIPNSHLSLLAMVDCWYALKLNPYDH MVCQTPLFRLWMGITEYLFRSPMMLEDTIQAALYRKEIRSDDMEFVTCARGWAECVDL GSMEGYQKRFTATADFFRDRFSDSNIVGNKMIAMISKNMHKTGEEKK PHYBLDRAFT_147831 MHIAIVTENFLPKVDGVTRTLARLLEHLQAGGHRVAVFGPESGM ETYAGAELYGTAGIPFLPYPELKLNLWRPEFTRKLVEFEPHVIHLVDPVWLGAAALAI CHTKLQDIPVVSSYHTNLATYCGHFGLGIFTPLMWRWNLFCHSRCRYTVCPSPSTRDM LEYHEFQNVRLWPRGVDTTLFSPRHRSCSLRAEWTGQPDTPKTILLYVGRLSYEKNIG LVVDAYKQLDHARCHLVLVGHGPAHNDIKAECERLEIPVTFTGYLQGTELATAFSSAD LFVFPSTTETFGQVVLEAMSSGLPVVGLVAEGVRDLVDHRKTGLLLDTTGLTVKEQAK HYKANIITLINDIPLRTVMSNNAIKSASRYSWWEAMECMVQVYRDATEEARLKHTMLD IDNQQINKHSVDERGDSGVEEGFSEEELDDLDRAEAGKSKPIEPLL PHYBLDRAFT_159401 MVLIRGVRQQPGSSQLPYLITEKGLRTYQRNLPQSSRVQRVRLP SNTVSSRELRTRIS PHYBLDRAFT_159402 MVLIRGVRQQPGSSQLPYLITEKGLRTYQRNLPQSSRVQRVRLP SNTVSSRELRTRIS PHYBLDRAFT_170704 MVMGLYERVFFIILLICYLSCVKPICLLMVISAVKARFSNTFGR SQIVLLLLNQMYFFLLRMFFSNIADLLYVTANKSAEKNITKSSFDCSPCNSNPCCVMV GLHFLEIVNLPGSLARRSQCLVVEAVLHSIVMCIPQKSFRANTLTVSTFPYIQILTIK NLEYFIVNSSDSFGPFIVTFDYEL PHYBLDRAFT_170705 MSDNIHTNPSPTDTEKYEAHRRSYVNSVDTITEGAVGVRGSYGE TEVNTVNIEDAIDQYEDLKRELTTLSYKASNSGKLEEGTAKSDAFDLDEFLHSVSSSA DKAGHTKKHLGVIWKNLIVKGVAAEANTIPTVTSFPLGFLRSLASLVTKPKVPERDIL RNLTGFCKDGEMLLILGRPGAGCTTLLKVISNLRKSYTTIEGQVSYGGIDPVTFSNHY RGQVCYNEEEDQHYPTLTARQTLQFALRTKTPGSRLPDETRHDFVDRVLYMLGNMLGL TKQMETMVGNAFVRGLSGGERKRLSIAEQMTTASTINCWDCSTRGLDAASALDFVRSL RIMTDVLHKTTVATFYQASNSVFNLFDKLIVLDDGYCLYFGPVEHAKPYFEKMGFFCP PRKSTPDFLTGICNPLEREFQPGYEKSVPQFAHEFQTHYLASEIYRSMMTELKDYENK FTNDSQAQVFKQAMNEEHQKRASNKGPFIASFYQQVHALLIRQYHLIIKDQEALFSRY GTILIQSLITASCFFKLPLSGTGSFSRGGALFFAVVFNSFIAQSELVRFLMGRPVLDK HKQYALYRPSAFYIAQMIMDIPYAILQVTLYSLCSYFMIGLNLTAGKFFTFFILLFFI NMSMNGFFRIFGAITRSFFVATQLAGFVLIAVFTYVGYTIPYLKMHPWLYWLYWANPL AYGYKALLINEMNGQEYTCEGPGNAVPYGPGYDNWDYKVCTMAGGVPGQNFVKGEAYL LQALTYRSWEAWAPDFIVVIVYFILFTVLCALAMEYIDSSGGGNTTKLYIPGKAPKPR TDEEENERRKRLAKIADEMDGISTGTTFSWQNVNYTVPIPGGNLQLLDGINGIVKPGH LTALMGSSGAGKTTLLDVLARRKTTGVVEGNIVLNGEALMDDFERITGYCEQMDIHQP AVTVREALRFSAYLRQDESVSKAEKDEYVEKIIQLLEMEDIADAQIGMVEFGSGISVE ERKRLTIGMELVGKPQLLFLDEPTSGLDAQSSYNIIRFIRKLADAGWPVLCTIHQPSA ILFGHFDHLLLLVRGGKTAYYGEIGCDAHTMIDYFQSNGGPICPPAANPAEYILEVVG AGTAGKATRDWSEVWAGSKEAKALENELADIARSANKNPTREARTYAASHWTQFKLVH NRMALAYWRSPDYNFGRFLNIVLTALINGFTYWKLGSSSSDMQNKLFALFSTFIMAMT LVILSQPKFMTERLFFRREYASRYYSWLPWGVSTVLVEIPYVFVFAASFLFCFYWTTG MSNTAEAGGYFYIMVVFLVLWAVTLGFVIAAASELPTMAAVINPLVMSLLILFCGLMQ PESAMPTFWRRWMYWIDPFHYYVEGLAVNELEHLTVVCTDDNLLKFTPPPGQTCGQYM ANYFSYGGPGYIVNPDAVQPEQCGYCTYNSGAEYYSTVYGWDAANKWRNFGLIICFFV FNTLVFLGLVYWKRKGKR PHYBLDRAFT_170706 MTLFFLALRWSRKESVLLLLKVSYILAVFIQIHALINPAIYKFS FSTGKLDCAGTCWYRKSIGAYEMKQRGGILVTFLKMVANYGTLFNKLAHSGCYLVPLF SAFLRSVFTNAFGSSKAMLSSPTKTEIFFLEDEQTVRNLSKRKADAEIEDNGKRHFYY IGPQVVPILQRSLPRTPWSDSISLKKRVGSSSFTSGTTI PHYBLDRAFT_187760 MSMTHAELTLEDQTSTIPQTSLSTDSATHHTSLPDEQSLKELQQ GEQKFTDSLREQLDKARKDKASLEADLALRAKAFQATLDRLQSGSQTDTISKIDILMD IQDLDVLREETTKLHKTCVAQEARIKKLEFELEMEQGHVNILRHDNQLLRQMTVDMTA LAEQEEEYISNKLLKRISGLKKEKGELLVQVEQEEEYLTNMLQKKLSQLQKEKIDLEN ALEQEQEYIVNKLQKQLDSLRMQQTLSQSPSRNSSYGLADLANPGSLPMSPAITGAGT SPSLNAKKWIPHSPGALGEAPPTGLIEVLKAEVGVLKSKAVEMEREYMAKYQQCNKYK SELIQLRKENNLPIDDIPADEGIPPVFRSVPPSPGRHVRANRSTSTSSQRSLTSEKTS GYFNSPIPPLQLDNSASSSTIGSILHANDHSVSSHSSVSPQAIPDHAINRSRSGSINS LSSSLSRRDPSRRISGGLFGIHSPPPQHPPHP PHYBLDRAFT_159404 MDCSICLKTVYFVEKVEANGRPYHKSCFKCTESGCRLTLANFHY CEGRLFCPKHVPKLQAIVRLGIIQEAA PHYBLDRAFT_134855 MAGSNSNGPSQRDGLLRQNVPSYQSLSNNQPLQDYEWNVEVDVK GQVDTNQDQEHEHENEHEPYFSWKKLMLYSGPGWLMAIAYLDPGNLESDLQSGAVAGY KLLWLLLWAHGAGLVIQILSARLGVVTGKHLAQLIRDSYSRPVGYLLWAFTQLAIIGS DIQEIVGTAIALKIIFGFTLPVGVLITAFDTFLFMWLQQYGVRKIEVFFMSLIGVMIA CFWAEMISSHPSIPNILHGIFIPEIPEHAAVQAVGMVGAVIMPHNMFLHSALVMSRNL GEKPSERKLKEANFYFAVESGLALLTSYFINLAIVVVFAQVFYEPGNTLDSLPGLYDA SEVLRNTLGEYARYLWAAGLLAAGQSSTMTGTLAGQYVVEGFFGAIFKKQWHRVALTR TISLVPSMMVAVLAVNRFDTLGEILNVLQSLCLPMAIIPILKLTSSSRIMTSTFKNSR WMHTICWTISWILFGLNIYLCIRFLKEYSYPPVLMGMGIMYSGFVGYLIYTPLDNDSL LPSNPETF PHYBLDRAFT_182223 MTTIKPAQLSALEDLTHAVAGLVHVEEPCLKTIETIRHLEMEKK PLQKNLEEKTTKLTYWKKEEININSWSIQWFFLSITCEVKAELERVRKHMKKDEELVR EATSLVEEVDERIRDVERQNEKNEVDYRSLKNHREDLTELLDELLKGQDFGTEASLRK EIDDLEKEIEEAIESYNNLDRVRVCLTTADTALLEAILDLRQSNKEKEIGEGNVYFPQ IAYDAIKEARELCKSLPPIQAPDKLEENDENTKAFYSPVQRYLWSVRRQLELLLEWCD KHSLDLVAVQTAKRLASGEKIDEWNRERRRLVKQVIL PHYBLDRAFT_96072 RNIYVNTKLPQSEYDHKGRIIDRHYANNRIRTAKYTILSFVPKN LFEQFRNVANLYFLFLVVLQCIPIFGVTEPAVSALPLIVIIVITAIKDGIEDMKRSKS DQRVNKAKVLGLKNWRNVNLPEVAKGRFHILKVAWGFICVISGAENKYARLYRHSKSK DAKQRRPKEEKQPLTDTGSPRNSDQVLPLPLTEPKRAHHHLRPRSDTLRSISRMLNPN SSSNLNSKNNLNNNKMPYRPGSIPHSASWEETRWQDVQVGDYIKIRNNEDVPADVIVL STSDADNLCYVETQNLDGETNLKARQGLPGTSDLKTVHDCERARFYIESEPPHVNLYQ YSAVLRWEIEEVDETATLRSGVQHQKSDPVTYNNMLLRGCVLRNTEWVICMVVYTGSE TKIMLNSGQTPSKRSKMAKGTNPHVIANFVILAIMCIVSSVIDSVQFNGKGSSRYFDY DIEGSSGSYSGFLTFWVTLILYQNIVPISLYISVEIVKTFAAYFIYSDIDMYYEPTDA PCIPKTWNISDDLGQIEYVFSDKTGTLTQNVMEFRKCTINGVSYGLGTTEASQGARLR TQNTRHTENSTQIHDYESSYTNESEKELSNEIMEEEKKRMLEIQAKQFDNPHVGNPTF VDPAFFEDLGKGDEHSQMMEHFGLALAICHTAIPERNNPDNEDEIEYKAQSPDEAALV GTARDLGFVFLNHTAKTRTLTVLVKGKEQRFTLLNILEFNSTRKRMSVIVRPEGSDKI VLLCKGADSIIFERLCTEFGNQTALAESQARLRETTSDALEEFANEGLRTLGLAYRFI ANDEYAAWNKRYEEASASIHGREEKIDEVCEGIEREMLLMGGTAIEDRLQEGVPETIA ELAKSGIKLWVLTGDKTETAINIGFSCNLLTVDMELVVIKAQTREETKAQLEQALETS EHSSGKKRALVIDGTTLRYALLNECKDDLLDLGMQCASVICCRVSPKQKAQVVMLVKK GLKVMTLAIGDGANDVAMIQSANVGVGISGVEGRQAVMASDYAIAQFRFLRKLLLVHG RWSYLRTAETIMGFFFKNIVWTFMLFWYQIFCQFNGSMTFDYSLVTLYNLIFTSLPII FLGIWDQDLSARLSLHYPELYHMGLRNDKFKTWRFWLTVVDAIYQSAVSFFFPYILFV AGAFDVNGYSTNGLYEMGTIMSSIGVCVANIFIAFSLYSYTWIQVGIIALSILVYYLF VAIYSQFNTFIFAGHLRLFGSGFYWLVLMLAIVACFVPRVAAKHILHQYYPYDNDIIR E PHYBLDRAFT_170711 MPNATLAANSLRKVPQQLCIIKIIGNKRSSVQIENDNKHNITSL PQLSTLTLVLVLVLALTLTLDYCFVKMQIHYLRLKVIDKGLKAIYLEHPILLINTRGI QPQKKKCAWVMLQYLRERKVDMIIIICHKTGPVAQDKY PHYBLDRAFT_147839 MSSTYKRSLLDRVLRRNRPNQIERVFDKDQAKALARKPLETTNE TSVTLQPPEQEALMAHQETEGHSGNQPSLTIDPCPVKYYVPQLSPEALQGTDTSMSNY LSCYVQIDSADTMDTNNMPSLTHSNNNGEATNSSLFAPNGSSPEDIPSTARTRTKEPK HKGSSYFSSNIEQSEKKSVIEDKVQKIAFRADYKDKENWNVQLLPEPFVRFDPFKGSP LFQSDPPVDVTLSSAAIAIIDSAPDTSCTADIVSDTKSAKSDYGKSSGIKIENTKEFS FVPAIESSIQAAAEEDDEGHSQSSQTRATTSSDKDKKSKFPRRWESINTRIHKMFRGK SAQDASNPEAKLVNNVPSQPDQLSIPEDNRNNAEYRERVNKKVLDDVKMKNARPLSKS GSRILKSGSIYIDNKEYNDALVDQLASVNERLPARCLVREGNNTIQFTFRKPRQGKLL RFACWAEPFSLDPSPPHTDPLGHNCDDFQIYESDGDGDDLSGSNGSASNYEDDIQDHL LRASSCQTCVDIIHNMIPMTTMNEEYSRDSSIATDSYYTAFEYLPSPQEIRQGWSSNI SREGSLTPDLCLDPNAIMNDFQSRYIGVSHPLKSIYNNHLPENSQTLSKSGKRPNSHD DKHNHNHKHNHNYSHVENELSTVVLDKNEILGRDQMVPNDDRIIKSKTGQDVRRNPNR ECGSETDRLFDSLYDEQKKHIPQDPSCSKWYLDPLYRDI PHYBLDRAFT_170713 MPAKRLPLSSPTKHRINDEVMLEICSFSGHKIYPSKGKTYVRID SRSFRFINGKSESYFLQRLNPRKIKWTVIYRRLNKKGITEEIAKKRSRRTVKHERAVA GVSWDAIRAKRNQKPDARAAARQAAIAKSKEAKKAAAATKKTTTGSAAQGAKVSKQGA KGFAPKAAATSR PHYBLDRAFT_155819 MGFITRLTTNVYAIGGFAAIAGILFGFDIGSNSGIIGTTQYLEY FHSPSDLLQGGINASLSAGCFVGALVSGYPADRFSRKYTLMGASLLFVLGAVIQAASN GVPMLCAGRVLAGLSVGVTSMVVPLYQSEIAPKEIRGRIVSVQQWSITWGIMISFWIQ YGCQFIHNTAAFRIPWGVQGIPAVILFCGMWFFPFSPRWLADNNRMDEAIKVLADIHG DGDINHPRVQQEIKEIQAVINFDRNIASHRYSDLLKPGIRYRVFLGVCLQIWQQLTGM NIIMFYVVYLFQQAGIGSSQQATLVSSGISYVVNVVMTVPAILFVDKWGRRPTMIFGA LSMSLFLWIVGGILSTGTWTLDAKDKWTVDIGSKPKADAVVACIYLFVASFATSWGPL GWIYPAEIFPIRVRANAVSMSTASNWLFNWLLSFVVPMLMQRLRFGLYMLFAGFNFLM ALHVFLAYPETKGFTLEEMDIVFEHNPRKKISRSIIEKESADRFRTLSEGSINEKEKI AVSSDV PHYBLDRAFT_66392 MQIRSLIIVLVTTTALLTHAVPLSPKTYNKSLNRRSGVTVKSSP SIHQEFTTTDTSTDSSSDDLSQSSTNTTNSTIVSSPVIHQETISIDTTTGMTTTTTSD TTVSAPVTVITTDEDPEDGPKEADKKNTKRSSSSIDINTDPIIDQTLVSVDTFVKRSA DHDKKNANPASVIIYNCPGHRQAIITADDYSPSIETKRPRSNGPHLNPASPQGLALPQ SVDYEASPDALSDTPSFELE PHYBLDRAFT_78404 MRYSTFFIAAIAPLLLSVTADPTPVKIPLFRRTNPANQTGITKA AGYQLDNGALSGTVKIGTPPQEFTVAFDTTTGYSWIRGSRCKSENCLDRCTYYARRSS TVTSTGEKFSVEYGDSCVDTHVYLDTFEFAGLTVHDMPFGGAYRMSGFDDGFDGYLGL GRSVNFNSTKLHTSSSTGLAKRDVALPASAFVPNAYQQGSGVQSSQFGMYTTSTSDDG FSQSGTGTSATESDTTTDNSTAATATTSADAAATSSSSSSGVVSGGFGFVKRNYHPQT QVAGYLVIGGVDTSAISGDVEYIPLANTGDADAHGWDVCIRDANFDNELNMKQLPNAI ASISTSSQFIVMPPHQADKFHDTFGGRYHASDKTYRFVCCEAEKLPTLKLTLEDHIVE LPAKYWVHRENATDCCGYCTTRLSRGNSERDWVLGTTFTNAFYTTFDSDGERIGLALK KDHKDDGLRVYKKSH PHYBLDRAFT_170717 MAYIHYKPYKEFLLMQNASSYKTLIPVFKSTLTQISNIHGPDFI KPIIYSRMFFSFRVCLKYAPIVYFEIQKQNIIIWFGLFVLAVENKEILNNEFQKKKLI MGFITRSGLINEKHCYLKKLESNFAQIWAVRIMQDDICMVWS PHYBLDRAFT_126093 MAGITQDEATIYDRQIRLWGLDAQQRIQKANVLIAGMRALSNEV CKNLALAGIGSITLLDHRRVVEEDLGAQFLLREDSIGKNSAEEAAPRIKILNPRVNVI VDQEDINTKNDAYFETFDIVCLIGAEYDLMNRINCVRREVNKPFYAADAFGWIGYIFC DLKEHTYALEKRTWPPGVKQTEDPVIVRTTETENYTSLASSLSKNWSNTPSRVLKKRV SPITFMIQILLEFQSKVKRSPFKSELPRLIEQKADLLREIGVDDPNLLSDMYLGDIAT LIHTEMASVAAIVGGFLSQEILKVLSAKDQPLQNWFYYDGRDGSGRVQQI PHYBLDRAFT_39443 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQEAAEAYLV SLFEDTNLAAIHAKRVTIQPKDIQLARRLRGERS PHYBLDRAFT_155821 MTTSIKNQPNLPWVVQKYGGTSVGKFLHTISSDITPFYLETKRV AIVCSARSGETKEKGTTNKLLKATQSALEGKSRYLSIVNEILQDHLSTARQNIQTPHI LSWLENQLEKEIINLGSFLQATEILGELSPRSKDIVIGMGEKLACMIVAAALQDKGID SELVVLNDIIDREFTVLDQEFYDYLALRMGDIIKRCGNRIPVITGFFGNVPGSLLTSV GRGYTDLCAALVAVGLNAHELQIWKEVDGIFTADPRKVKSARLLTIITPEEAAELTYY GSEVIHPFTMEQVIRSSIPIRIKNVENPVGQGTVVFPDIPNSIPSSHITPPLSPTFLA KNGYHSDLSRKSPSAITVKDNICIINIHSNKKSVSHGFLAKIFSTLDDNNIIIDLIST SEVHVSLVLGCDVPEHSFSKALGELKKLGKVNKKNHMAIVSLVGKQMKNTVGVAAEMF SALASANINIELISQGASEINISCVVKECDSITAMRVIHEKLLDAEPCVDSYF PHYBLDRAFT_114506 MNEPEISNSNEKINESNNENTTEEKDSRLLPVADTPSGWPAPPQ RKPKTGKERKEHFIKNRSWKAAESKPRWEDKKDDRPQQTGEKEPRLPKKKVAMLIGFN GTGYQGMQSNPDAKSIEGDLFDVLCKVGAVSKDNSTDPGKVQLVRAARTDKGVHAAGN LVSLKLITEDPDLVTKINDLLPEQIRVWGYVETSRSFHAKSSCDSRTYEYLLPSYVLM PPHKKELKDSPGAEDDKKLFIGDSEKVYYVARSTPEEIKIKDQYRVETSVFEEFRKSL DMFNGTHNFHNYTVGRSFKDKSSNRYMMNIETSEPMLIEDTEWISVKLHGQSFMLHQI RKMISMAAMIARSKTPTSLITKSFEADRINIPKAPALGLLLERPVYSIYNRKADKANE HDILTKSPEEAKHRDAIDFDKYKNEINDFKEKWIYKKIFETEKNERVFDDYFILLDST PVTDYNYINSEGAIPKECIIVTKHT PHYBLDRAFT_147848 MTPSSLSPHYAAQSPSGHNNRVHPNCPISSLRRACERTRETYHK VAGSSVFDYQATPSVPKSFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_66385 MKTSFAFALLAMVLGLVCAAPTGNPEFENINCFSLTYPVNGTVW NSSGTYEALWDLTGTCSGTYYAFMIPATEESNGDYTFGTPYQASDSIDLNAGMASITL DSSEPAGKYVFGIIKDTGDDMDYTDVALVDIV PHYBLDRAFT_66384 MYGQDRDESFNCRVSTLLYENKLVFTFPSILGLVYAAPTTPVNK NCFSLTSPTNGTTWKRSGVYPINWKTTSKCEGTYYVYMFPTTQGADGEYSMKTPFKAT KAVDINSESATITLHLEEMSGTYLLAIYKEAGEDRDSTDVAIVDVTL PHYBLDRAFT_78401 MKSYFVSTLLILALGLVYAAPLSSDIISKREDPSGTQNCFDITY PIDYTVWETYGVYDITWTVTGECETSYYAYMVRVLEDENGNTTYEVPEFGDKPIDISL GHSKIALGDNIGEGDYIFGIGPGKDTTYETADMVIINVTR PHYBLDRAFT_66382 MINHEKKGYTYQQAIGLRVPLTPPLGKKHHARQSNIIENKHESM KIPLAAINMSFDNVEDANGFAKSVYESKDPYKIFLLRPSFINPRKIDKMPADPSEKCM IM PHYBLDRAFT_66381 MPIPMYSEMNDYDDHQYWDQDLYHEDEYYPPVTHSREGYYSPPH SYYDPRDNVRYPTRRTHESDLNTRREPAFLRYSRVTRSKEEYPRVQRTRAQDAYPQRK YESGSFQNYRDYYPSDYRDPMPRKYTHNEPYYESDGEENDVLDECHILAEENALRNEQ ASSLYRSPVSSLPFIHEGSQIAFQEPGTQESASKDVPQQQQQQQHEKEGKQDIQFSGH HDEQIPQKKSRWTFPTLFRRLVFTNPEKVPKSPVSIRTVISKRPTGQVIDYSEQQNII EQLNQPLAELPCPEPQLTQLTHLKDIWVFRSLPTGENMPVWTGFDYANQSLLTEHSQD PQGVEITDSHISQGQLPVLVLPHRSLGYYAVNATGDTIATIEVTCLPNTRNVQFVYRQ TTPLSN PHYBLDRAFT_147854 MSTLPPLSLLALNVKNLFDDGRFKEALEWLPISEHAHVNRFRFD ADKHRALGSLLLRRYFFSKFLGVPWKELCFDRRPGSKPTLKIGNDTWVDYNTSHEGEW VVFVATSRPGLVVGIDCVRIDIPLHTDIPQFLRSFQSQLSTEEIEWIFYTNEENNMLK RFYELWGCKESYVKAIGVGLQRDLDTLSFKNKTEKEAWIVMQEDNWGYHLSDLDNDTI VVVCSGYPDKRPLDHDILAFCATTRPLGATYHQQAPFELVRWEALSNYLH PHYBLDRAFT_95130 PFKRAQLTWVAEEPITRTQLDRQRTAFWETAPSYEGRREIWQAL QAACTTPDLHLARSILDAANVTLPTGNPAEGCFDELGNRYEIPLYCIVNPSNLV PHYBLDRAFT_147857 MLPLELILRIVDTCLDNELELYSTLTRKPQHNSYCIHALLQTNT QLNYYVSQHPMFHRLKMFRVLNRILHQTEPVPIAIYTTHDWTLLHLDWTIERLQTDKH ESFLVANEARVYKKTRRWSRYNNTIYDKSVPLMSCEYHVFVDNLCKFAYQNSFSVWLW KSSPSFFKGNNMRLLTHKPPHSTICGSKCWNCHFVQLPKARPFKLIAENQGYTLMAWD RPTKDNRRFTEDVLRCNIELFGNLFQHASSY PHYBLDRAFT_39441 MKKVDPQSPHSTENRRNKRQLETSPHSTAKRSRPDDGDRRPLLD FSLPNLSPAQAEQLSQAQAYARGWKPATRSEKPDDILLSLHFQPHVASGVDVRTLTVL SRIYVGSIHFDLNDAHLKAVFGQFGIVKNISLSIDYTTMRHKGFCFVEFDTPEAASLA VKMMHGADLGGRQLKVGRPNNFAAAAAVAKPLPPPGRFYIANVNQLITQENMESIFEA FGKLRACVLMPDISTRNHRGYGFIEFEEASAAEAAMEAMNNFEMGGQPLRVGPCIVGG PLGEGMKALDLLPVKKTTATEDDVMSKVNANIQSLGLNKKVEVPLILKPRPEVHLSTI SNMVQEQVNAQGSVVESVDKEENISINSRQRYAIMQKLAASREEMTTILLVQNAVKAS EVDDSLGEEFSEECSKYGLVRSVKVQVTSDESSIEGLGGKETGRETGDGDVKIFVEFG SAQATNKAFNILEGRWFGGRQLKATLLSKAAVEKYGL PHYBLDRAFT_134873 MGVKRNSSTIDESATGSPKTKAQKTSTGPIDTSLPNNKVFPENF DFPEKPEGTIKISSYNVASLNASIKKGFNKYVDAEDADILCLQETKVNSPVSTAVNDK VYKYRYWSYEDKKGYGGTAIFSKYKPLSVTYGLPGYEDKSRGRVITASFPSFVIIACY VLNAGDKLKSLDERRVFNSYMEKHIRALQKDNKSVIWCGDLNVAHTADDLARPKTNER SAGFTIEERTDFSKVLAPSSDNIPGLIDTWRHLHPDTKGHYTYYSYRFACRDKLLGWR LDYFVITPDLLDKVVSCDIRHEAWGASDHVPLVLVLKDVKMTE PHYBLDRAFT_57424 MRLCGNFNKPIPRKVVICGDGACGKTSLLNVFMSGLFPHSYEPT VFENYIQELTIDGEDVVLSMWDTAGQEEFDRLRAHSYKDTHVIMLCFSIDNPDSLENI ANRWLSEIQQHCPQAKIVLVALKCDLRDTKTSTTPVLYEQGLEVARRINAVRYLECSA KHNRGVRECFQQAARVSLTVNNGKKSHYSRACIIT PHYBLDRAFT_74916 MVNIGIVGIGLVGAELVAQLKATSNKHLKVVAMTTSKKMVLSDT SYAPLTYANAQDLDAFAAYLAKSPEHAVIVDCTASDAVAKLYPSWLQSGVSVVTPNKK GFSGDLSLYRAIQASAANLGKGGKTPLAFHESTVGAGLPVLSTLADLVKTGDKVISIE GIFSGTLSYLFNNFSSLSSTTAARPFSEIVKVAKELGYTEPDPRDDLNGMDVARKVTI CGRLAGLDLDLSTLEIQNIVPEPLQKVATAEEFMAQLPNFDGHFQKLNEEAKKENQVL RCVGLVDMKGGKSGVKLIKYPASHPFASLQGSDNIIKFTTEYFPNGLIIQGAGAGAAV TAYGIFSDLLKIQERVEGY PHYBLDRAFT_182237 MPIPLRAAVTTATTLGLSGVGYIVYKQQQDLFEQKQQELLKKEQ VQAPVIDHYANRDTRPYTLLSPETINERLRADQFVTRTPLDRVKAVYTSQVASNNPVE DNYSVNLVGTQGLIAGVYDGHIGPDCSRMIKTQLPKYVARQLDSDKPETPEAVESTLS KAFVTLDDDIQQRFYALFPKNVNRSNEKDIRDAVSRHPNPAAAELYIREAITGSCACA VYLDGDDLYAANTGDSRVVVVRQEKDGSWTGRRIVEEQSPAHPDWKAYMISQHPANEA EDIVKRKRIFGLIAVGGSFGDIMYKVPVPYQMKVLPFIPYDIYHTFARYHHRIVVHYH TPPYLSSKPLVTHHKLTPEDKYIILGTDGLWDELSWDNVRSSDGDQVAAELISTKGPD ANPATHMIRQALLFDAVYKNVHIKAPVSNPTLELSKRLTRKPSRNYRDDITVTVIQLQ PPSQSDSSSSINVEDPSYDPAVGRLEECKPVDTSDGRIFTPKPTSWFSGWIWARL PHYBLDRAFT_126109 MSAVKAPLNPLAIAKLLGPSNPTLDHLVRFLNSVRGTDKVLMFI QYWSKVLIWWLQFRSPAVKSVSGALSLVNRIQNFASPVSDFRILLRYYGLLPLVQYMH HIEYNPPASPVALTIERLQNWCNVIYYPLEHIYWLGAHQVIPISQQKTDLIGMWSCRF WAAYVVLEYGRLADQYLGLKSRETSLLKRVKAGDIEQDEDPEAEMASIKAERNSMLIN ACINTGYLPLTVHWSLAKSSFPDVLVGVFGGAASIFQIYSAWKATA PHYBLDRAFT_134881 MDDMMGESGEGPAYNLFVQKSAFERRWQQLLDRSTPYVYQRWTG TGILLTLFMIRIVLAEGWYIICYALGIYLLNMFLAFLTPKITPSMEMDNQETEMEEGP SLPSKADEEFKPFIRRLPEFKFWHAVTQATVIALVCSFFRIFDVPVFWPILLVYFIIL FGITMRRQIKHMIKYKYIPFDLNKKSYTGGGGGIGGGHGSKGR PHYBLDRAFT_114730 EDYHKAADKTFDLMVERLEAIGDEIDMDAYDVEYSQGVMTLKLG EKGTYVLNKQPPNRQIWFSSPKSGPKRFDYDVESGKWFYSRDNHTLDELFNEELSDAL EQKVDLFGEEQ PHYBLDRAFT_170740 MPDYPVNVKTLRAYRKDRTPSVEAIKDMMKKLATIEESLSFMSL QLKEICYEQKAPRDPGVISMNDDLNTKKAWGFDMENYLISSHFTASQSKIAVIPHMDS SYRQWYRVYRTTSQPKLVVNDPFLCFWKHLILNMLTSQNKINARF PHYBLDRAFT_66365 MDTQPDNVTPDSPDELQNRREDAEPSVIRLLERLNNSMDILVRQ NREMLSLIESRGGELFRRKNGPRFQTTEVEPPTTIALSDPPPTIKAWACDMERYLMFY GYSVEQSLLVIPSYMRICYKIWFYDYCKENTPVTIQQIVSDIVKVIYENVIDDEV PHYBLDRAFT_155826 MTADTYTYQEGFGNHFTSEAIKDSLPKGQNTPQNCPFNLYAEQL SGSAFSAPRTHNQRSWLYRIRPSVVHSPFEPAKTSQDLVSKFDDNCIVTPTQIRWSPF DLPSNKEQVNFVQGIKTLAGAGDPACRSGLAVHIYTANTDMNKSAFYNSDGDYLIVPQ KGALDITTEFGPMLVSPNEICVIQRGIRFSVDLPEKEARGYILEVYNGHFELPDLGPI GSNGLANPRDFQTPTATFKEESNVAWEIVSKFNGRLFKAQQNHTPFDVVAWHGNYAPY KYNLAKFCVINSVSFDHIDPSIFTVLTAKSDSAHYPVADFVIFPPRWAVTENTFRPPY FHRNCMSEFMGLIAGDYDGKSDGFLPGGGSLHSIMTPHGPDANVFKMASKSELKPLLM GKNTQSFMFETYYMLSITPWGIKTCGKVQEDYWKVWSTLENTFSPPVEK PHYBLDRAFT_21674 MESIQKELPFLLDDYVPTQPKKVIHTQADIEPFLHSKAFSRIMT FVFLLNGNVTKKKNSDPCEVSAQTEKVLEMLQTLDSWIEEFPPLDNPQRFGNKAFRLW LDKLEKCGPELLRKTLPEKLYIAIPELHDYLLNGFGNGTRIDYGSGHELSFCAFLCGL TMLGVFEPKDYQALVTRVFVTYLELVRHIQRVYSLEPAGSHGVWGLDDHQFLPYIWGS AQLIDHPRLKPASAIKPEIIEMYSKEYMYLRCLEYIGEVKTGPFHEHSPMLFDISAVA NWSKVNSGMAKMYIAEVLKKVPVVQHFRFGFLFPYSG PHYBLDRAFT_74915 MSVYNHRPMVPPTQGRLIELLDAVKAEFDQLTQDVVTVKAQRDD FEHKMNNQIQEMSAFQQNLIDLERTQQIIKKQYEEEIGRLRQQLEQIHSSPHGAPVTS SAQSYSGYPPPMLDSKPPVHHSAYPGPSPPTGPHTGSPHPVTNPAYMNGSTPLPTSAQ PPPPGPQLTPGRSAPPPVKSGVPSTTAIVPAVPGALGDIDPESVPPNMRVEGQDWFAL FNPNAQRFLKVDLLHSFEHGSVVCCVKFSADGRFLATGCNQVTYIYDTTNSARIAVLQ DETTGRDGDLYIRSVSFSPDGKYLATGAEDKQIRIWDIAKRRIQSILPGHEQDIYSLE FSRDGRILVSGSGDRTARIWDWIEGRCLHTLQIKDLDQKDPGVTSVAVSPDGRLVAAG SLDKVVRIWDVATGQMLERLDGHKDSVYSVAFMPDGKTLVSGSLDKTLRMWQLGTNQA FGGDRGKNACKQVFSGHKDFVLSVATTPDGKWIVSGSKDRGVQFWDPRTGQTQFMLQG HKNSVISVATSPGRRPMFATGSGDNRARIWSYEPLNQS PHYBLDRAFT_78387 MRFKSKASRFPSDGIRSSTPFKRNLQPILKEKSEYKDIIKAIEH QLNNYRDYNGILDFDQNTFRVSELPDGYQFMAKSTRTQTLKSSFNHIRGHPTGKNYST TNEFAPHLYWLRTQHMTGEPCYCHLCTGPRGVATYTSDQLVKPVPNFVNQEDSDSEGR LSISLEDITSYVPFVKIKIKRYLDISEATLPISNIDTNKSNAQNEATKKPQEEPSPRK KRGRKSARIGEGSQGENSTSNSDANANTKPIAKPIAKPMAKAKTKAKLKHKYNDGKSG SEYEGNDYYVRENKKKNKDENETGNREGDEDSDSEQMSINLVPIRRVVGFKIKFWTGV KVESIPIIPIPELVLIGDAIGNLPAIEV PHYBLDRAFT_114540 MDKSSINEDVDPVYPIAERMLGDHKANVEDIVKYEEMWESSINT PDIFFGDLARELLSWTKPFERVQQGSFHEGDIAWFLEGELNACYNCVDRYALETPDKI AIIHEGDEPENVRKITYGELLQEVCRLSNVLKSMDVHKGDKVIIYMPMIPEAVVAMLA CARIGAVHSVVFAGFSSDSLRDRIEDCGAHVVLTSDEGRRGGKNIATKLIVDEAIKGT TTTTSTVRHVLVYRRTGSDVPWTENRDLWWHDEMAKARPYCPLTTMSSEDPLFLLYTS GSTGTPKGIVHTTAGYLIGAASTVKYVFDYQPGDIHACMADIGWITGHTYIVYGPLAL GATTVLFESTPTYPDPSRFWKMVEKHKVTQFYTAPTAIRALRRLGDKWLEGIDLSSLR VIGSVGEPINPEAWEWYNAQIGKGECAVVDTYWQTETGSIIISPLPGVTPTKPGSATL PFFGIKPVILDPQTGAELEGNDVTGVLAISQPWPSMARTVYNNHHRYLETYLNPYKGF YFTGDGASRDKDGYIWIRGRVDDVINVSGHRLSTSEIESALIHHESVAEAAVVGGHDD LTGQCIHAFTTLKPNVEISEGLEKELSLQVRKVIGPFATPKRIYVVSDLPKTRSGKIM RRILRKIVNKEHDDLGDISTLADPS PHYBLDRAFT_114483 MYLLLSNRFNPDVNRRLNIDLVHSFDHDGVVCSVKFSPDGYFLA TGGNKLTKIYDTTYGALIAVLQDENAGENESYIRALSFSPDGRYIATGSEDQKIRIWD IAKKCITRELIGHEQDIYSLDFSKDGRVLLSGSGDKTARVWDWVEGTCLHKLEVLDLE DNINNTSVTSVSVSPDALLVATGSLDSMIRIWDIQKGELVEEFSGHGNSVYSVGFMPD NNSVVSGSIDKTACLWKLGSSRASGDEEACKQKFVGHKDFILSVATTPCGEWIISGSK DRNVQFWDPHTGENQFLLQGHKQSVLSVAASQGKRRLFATASIDSKARVWSYDLLV PHYBLDRAFT_39418 MTISDSVQDPIYPVAERLTGKHKANVQSMAEYQSMWESSVNNTN EFFGKLGRELLSWSKPFETVQHGSFYEGDVAWFLEGELNACYNCVDRHALATPNNIAI IHEGDEPENVRRITYRELLQDVCRLANVLKSMDVRKGDNIAIYMPMVPEAVVAMLACA RVGAVHSVVFAGFSSDSLRDRVIDCGARVVLTADEGRRGGKNIATKRIVDEAIKGTTT VEHVLVYRRTGSEVPWTKNRDLWWHDEMAKARPYCPVTAMSSEDPLFLLYTSGSTGTP KGVVHTTAGYLLGAASTVKYIFDYQPGDIHACMADIGWITGHTYIVYGPLALGATTVL FESTPTYPDPSRFWKMVQKHKVTQFYTAPTAIRALRRLGDKWLADSDLSSLRVIGSVG EPINPEAWDWYNTQVGKGKCAVVDTYWQTETGSIIISPLPGATKTKPGSATFPFFGIK AVILDPQTGAELKGNDVTGVLAISQPWPSMARTVYNNHHRYLDTYLNPYKGFYFTGDG ASRDKNGYIWIRGRVDDVINVSGHRLSTAEIESALIHHESVAEAAVVGGQDDLTGQCI HAFATLKPNVDVSEGLERELSLQVRKVIGPFATPKRIYVVSDLPKTRSGKIMRRILRK IVNGEHDQLGDISTLADPS PHYBLDRAFT_134895 MSNPLRIYVPVKRVIDYAVKVRVNPAKTDVDRSVKHSMNPFDEI AIEEAVRMKEKDKKIEVVAISCGTTKSQETLRTALAMGADRAVHVEVKDDSTLQPLAV AKLLQAYTATQENKPDLFILGKQAIDDDASQTGQMLAGLLNWPQTTFASKVELEGQTL KVTREIDGGLETVQAKLPAVITTDLRLNEPRYASLPNIMKAKKKPLVKLTPEDLGIDI SPRILTLKVEEPAKRVGGRKVDSVDDLIDKLKNEAKVL PHYBLDRAFT_170750 MASPLQALSHTSFDTGYSADCTEFCPLVDSTDYLACGTYQLTDE TKNDAQVRKGKLYLFTVDPKNKNGLQQQQVIEGPAILDMKWSHALVENRKVLGVVDSI GGLQLHHLTDAGELESLAHCQVSEPDVLCLSLDWASRVNKDDHRIAISHSNGELSIVV PSESEWKVADQWHAHDLEAWITAFNYWDTRTLYSGADDGLFKGWDSRSQTPQFTNKRH SMGVTTMQSSPFQEHTLVTGSYDENIYFWDTRSMRMPLKTVQTPGGGIWRLKWHPTEA NLLLSASMHAGAFVINTNTHEIVNSFLDHTSMVYGADWSYVHKDWVASCSFYDHTMHL WDASTTA PHYBLDRAFT_39414 MFGLRKWSTPVLRPAGPFIVGGVAVFYLVAKMQDAMINSDEYKN DPRNPAVAAGKKDH PHYBLDRAFT_170751 MALPATTPPPLPRDPSPPPGSPTPSLNTPSTPTGSPPLSPSYVA AAVKSVDNSCTSRIIDSIAGNGAPRIWKEGSSPFSVFYEVPAEGNPLRPLFFEALNTA FLLGVGRGLTYASRTSRTSFEFHLVGQEACSRACQVGFPFNGRTVFASPAIPSTFKLL RLRVSRLPLHGYADFDELAENLRRCLAIYGQVQEISLNLKYNYPDGTGTIHMLRPPNP DLHMRHLEHEIKYNETTTFLATWARMGTHCTFCKEMGHEKEACTKRPKETRTCFRCGK VGHLAHQCPRNEEAESKRPRKTARSPTHAPAPPAAQMYHGLLPSETIYGSQHAPQNIP LPPLATESLSRPRAAPGAIPGVLPANHPDFLIEAAASTGMDDNVQLVKTSDGELGNEE TKKSDDEEYYSDDDIDEVAKYFAQMENDPMDGENDGGQDPPNPALTL PHYBLDRAFT_187784 MDIQNTFNMQFRTTSSVWSQHCGLVCLTPMISIVNPLTSVCGRC ISATVEHANNNFSPFQICVVYAPATVGQRYKFLSALLANSLLLPTHPSRFILLGDFNH SYHTRSPRPRLAPHTWLQFLSDHLFDCVTMPDSTPMPTFHRGTTSSTLDYIFSSSDMF SHRISSSVDYIHPQWSDHFLLSASFLFDSGTVLGKGLWRANPRLSYNQHFCLQLDSHI HSLVHSLPTSLSVQEQWDSLKTDVIHFIRSYCRRLRRNLTTIEAHSIAQRDAFCSSLL TTIQSSCAIHLTRSLSIRGRATVLNTLILSRLWHVLRVISVPVSFLDKVKSVMGQFLQ HRMFPPIKLSTLCLPLRSGGLGVLDPSIQQGALQLRWLPHDFSSLAPNIATCLALPLR SVCLPATSTTSFPPSWQHLRVEDAFLVDPSFDVLCRRAPADFPRNPLILRKFFKRVDS RDILLQPFLVRAFLPSHILQLNYPSIPSRSGSSINASPFVCGLLPGIPWSKLKPRMYR SLCSSSVSPPLSSTLSSSQWRIFWNLPIHHHVRNIWYRGLHHKLSSRSLLHRILPGPF PTDSCPICEASTDTLDHFLFSCPLKIDVWSTFWQDVFGSNPTLPILHDAFYNLSFPYT RPSDIHAASLFSCALLAIWRHHWSTVFDNTPFVSSTVLSTASRLVAIFKAEKSLDDLA CSLAT PHYBLDRAFT_170753 MSVSRLPHEVLVNIAFYLPIECCSETALVCRAWTVPFMEKAWSI IQISTEKKAKALYNLLLNEKSLVHSHVDDVKSIIINGDVILPENVFLSLQDNFQSVVY LQITSSTFQNYCTYPYHNWKKWKSLTILYLHVLSDIFGIEDIVPVLSYLPNIAELNLG NSHDLSQYSMRWTHLDLLQEQLPKLDTLILYLDLEPIDTTDFETISSGPVHPRLISFS YYGKPFSMTWLYYWAKRYPQLCELYSICRPVEIPKVETTISVLQAMLKLKDPLNHLET AFFRSNPRGGIIDPSFLQILFLNTNHLMDVNCLVSTRDEDSSNTTSVLKALMRSYKSL LRLTLEIKEYSSYPTSIINSFKICPSLVVLDIKSRGTVFKLDQILNYFPVLVTMKLNI KKLCLSQSANKLPPSHSLRNFEIQNADFDFSLFSYLSRRCYFIDTFFMSQVLITESPY LWHGYIFIDMPFSSFTSIKFDRVYVRGVKGPINNMKNVLLSITQLYDQHCRIDLLPDL KAKIKGTILFKGYIEENTDSDIRWENIADKDSSEFLANFESIEAGNKIPLRQFKPKGW FEILTCVSDCEDTLDIEVIPLNYIKIRCEVIESFDLTYKSSI PHYBLDRAFT_170754 MKVERQRPGKSTFPEELSKLAFNNNFRHEVDTKRITIKTIDNRD QRQSKETGKQCTEINSNAARRIFSDYPFHSDLFPEEDVAFWILKTKSFIHFNLFSEED AINAIPVLFHNFSADKTISRMLLNCRTSGEMYKVILENYDDDLFDLF PHYBLDRAFT_147884 MSPSKIAKHYIQSIAVSLAEIRVYISKLTKFKDCENYSSSTDVL ERMSQTSPYTLKEETIRNNPILNKTPPVFSGRCKLVDSWINDMKMYIHFHEFNEQDAL YIIPAHLSGMVRVWYDRHIVHNPLYTSKEMYEAILSQFSPLS PHYBLDRAFT_57416 MITTVLPVPPPQVRPSIQMDGTSRGEDDLTHKLSDILKANANVR RCESEGAPVHVVQEFEALLQFHIATYMDNDIAGQPQALQKSGRPLKSIRARLKGKEGR LRGNLMGKRVDFSARTVITGDPNLSLDEVGVPRSIARNLTYPEIVTPYNIDKLQVLVR NGPLEHPGAKYVIRDNGERIDLRYRKRAGEIPLQYGYRVERHINDGDVVIFNRQPSLH KMSMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQSLETRAEVTEICMVPK QIVSPQSNKPVMGIVQDTLCGIRKFTLRDCFITKDVVMNIVMWVPDWDGYIPPPAILK PKPMWTGKQIVSMVIPRGINCQTFHSTHPDKENTYISPGDTRVVIENGELLCGIVCKK TVGTTSGGLIHTIVNELGPEAAKNFLTGTQQVVNYWLLQNGFSIGIGDTIADKVTMAT ITDIISHAKQRVHEIIITAQQDKLEVQPGMTLRESFEAKVNQSLNKARDDAGKMAQNN LKDDNNVKQMVIAGSKGSFINISQMSACVGQQNVEGKRIPFGFKNRTLPHFSKDDHTP ESRGFVENSYLRGLTPTEFFFHAMGGREGKKNK PHYBLDRAFT_170757 MRSLPLLNHSCVSLERKPLSTARSFIANDPESQTIYVAFEDNES YIEIMATGLSPIDPLAFVPIARIPLAFQEYSSGSIVDFTFLSDLQVACLSTRQGDIML ISKERFEKGEEAVENVGTVDAGINAMTWSPDQDLVVLVTGEKNVLEMTQDFDTITEYP LHVEEEGEGVQQSVGWGKKETQFHGSAGKEAAQQKVDTSKFTVSEDDDCKPRISWRGD GSLFTISDMDPLKDARVIRIYNREGVLQNTSEPVDRLEHVLDWRPSGNLIVSSQRLPH RHDIVFLEKNGLRHGEFSLRETGKHRVLEVSWNADSTVLAVWIEAESASTGKMQKTVQ FWTSSNYYWYMKQHIVLSEGADIVGFKWDVESPLKAYIMSTTGHYHSFNYTWDVLCST SVSEENSSYVAIVDGATVLLTPFAYQNVPPPMSSLKVPTKGNVLGVTFGPEPTGTKMA VLTTERIQIFSLSANARENTVTVGSLLLPKIDSKSAAHFHNPIRQLQWIKETQFAYVQ YDDELSTDMLCIATFSPEKEDSLTVKSTPIDANIGRMYFNVTNNDLIAESTNGTVYEV DIGTGDHPVISEAIKFPDFCPWIATARLGTQESDLEKIFIGLTDRSKLYVNDRLISSE CTSFFLRSDWIVFTTTSHTARFLSLSTTLDDFKLSDNIPDANDESSRRLERGAKIVIA TQLKPNLVLQMPRGNLETISPRAFVLASICEDIKNLNYRSAFIACRRNRIDLNILYDE NPQQFFENIERFVEQISEVDYLNLFLSNLRNEDTTTTMYRRRGRIDASKTDVKLDIKV NTICEAVRNVLIKLGRKRYIQSILSTYVRSSPPDLESALNLLAEIKEADMSEAEDALK YTIFLCNADSLYDVALGMYNFSLVLMVAQQAQKDPREYLPFLQELQSLEKYYQRFRID DHLKRHDKALRDLSLAGDELFDELVEYMKKHSLYLTALNVYANKPKQKRVILEVYGEY LTHKNAYDEAGIVFTLANNLEKALQAYRMAGSWRESFSLAKQMSYTDEHIHALAYDLI EYMKEKRRYQEAATIANDYAKDIEEAVDCLLKGSLWQEAIRMSHTHNRADLIETHVKP GLIEGYSQTDEEIDDMTAQFNKQKLRLKELREIKPEPTNVLPNDDTLDNIDMFSDTTS MYSQFTRYTQATSRVSTVSSKGSTKSKKTSKLRKREERKRARGKKGTVFEEEYIVNSL KRLCEKASTMQNDLSNLLRALAPFGFVEEARAIQDKFDKFLKEITGAIPTIFVPLHLA TSQFATQEEANEAPAPVQVEKPVMADIQWKLQIL PHYBLDRAFT_31637 MDEELGESSFRSKQRKTSRKRIPDIPLKFLKSRNNQQPRTIPFN CNDKIKKKFTPNIIVNQKYNIFTFIPIVLYEQFVIFFNLYFLLVALSQFVPALKIGYI LTYFGPLCFVLLVTISKEAMDDYQRHKRDKEANSQQYQTLTSSGLINIPSSKIRVGDL IVVHKDQRIPADMILLRTTEESGASFIRTDQLDGETDWKLRLAVPSLQRLSCNEELLN CSGEIYADSPHKDIHNFVGTYSHVDPETGSEQLEPLGVENSLWTNTVLASGSIVGFVI YTGKDTRAVMNTSHPKTKIGLLDKEVNRLAKILFVVTLAMSFIMVGLNGFHGLWYIYV FRFLILFSSIIPISLRVNLDMGKTVYARQIEGDEEIAGTIVRTSTLPEELGRIEYLLS DKTGTLTKNDMELKKLHMGTMSYTLDTMDDIVTHLGAAFEKHDTGRRNISYRVKDIVQ ALALCHNVTPVLEGNNEVTYQASSPDEVAIVKWTEEMGLALVSRDVNKMQLHVRANDM LLDFDILNIFPFSSETKRMGIVIRDKLTHEITFYEKGADSVMTSIVQYNDWLEEECGN MAREGLRTLVVAKKKLSEEAYEEFRKKYHEAEVALLDRNGRKQAVVENLLETDLELLG LTGVEDKLQDGVKNTLEQMRNANLKIWMLTGDKIETATCIAVSSKLVSRNQQIYQVSK LKSPVEVLEELDHLRNQTDCCLVIDGESLQLCLDSSKDEFIEVATRLPVVVCCRCSPT QKADITRLIKKYTRKRVLCIGDGGNDVSMIQAADVGIGIVGKEGKQASLAADFSITQF SHLTKLLLWHGRNSYKRSAKLSQFVIHRGLIISVMQAVFSALFYFAPIALYQGMLIVG YATLYTMAPVFSLVLDQDVNEDIALLYPELYKELTKGRSLSNRTFFTWLLISVYQGNI TILSRGSIMVLSILLFEDEFIHIVSISFTALILNELLMVALEINTWHRIMVISELVTI MIYIGSMWLLPTYFDMTFILTWGFVWKVAVMTAVSSFPLYIVKFIKRRYAPPSYTKLT PHYBLDRAFT_147888 MTPSSLSPHYAAQSPSGHNNRVHPNCPISSLRRACERTRETYHK VAGSSVFDYQATPSVPKSFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_147889 MKTSFAFALLAMVLGLVCAAPTGNPEFENINCFSLTYPVNGTVW NSSGTYEALWDLTGTCSGTYYAFIIPATEESNGDYTFGTPYQASDSIDLNAGMASITL DSSEPAGKYVFGIIKDTGDDMDYTDVALVDIV PHYBLDRAFT_147890 MKSSFAFVLLAMVLGLVCAAPMPTNDGENHNCFSLTYPVNGTVW NSYGTYEVLWDVTGTCHDTYYAYMISAVENEDGEYALSNPQKAPEPVDLTLGKNYITL NLNEPAGTYVFTISKESGEDIDYTDLAVITVV PHYBLDRAFT_147891 MTPSSLSPHYAAQSPSGHNNRVHPNCPISSLRRACERTRETYHK VAGSSVFDYQATPSVPKSFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_66348 MKTSFAFALLAMVLGLVCAAPTGNPEFENINCFSLTYPVNGTVW NSSGTYEALWDLTGTCSGTYYAFIIPATEESNGDYTFGTPYQASDSIDLNAGMASITL DSSEPAGKYVFGIIKDTGDDMDYTDVALVDIV PHYBLDRAFT_170763 MKTSFAFALLAMVLGLVCAAPTGNPEFENINCFSLTYPVNGTVW NSSGTYEALWDLTGTCSGTYYAFIIPATEESNGDYTFGTPYQASDSIDLNAGMASITL DSSEPAGKYVFGIIKDTGDDMDYTDVALVDIV PHYBLDRAFT_182251 MTPSSLSPHYAAQSPSGHNNRVHPNCPISSLRRACERTRETYHK VAGSSVFDYQATPSVPKSFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_170764 MKSSFAFVLLAMVLGLVCAAPMPTNDGENHNCFSLTYPVNGTVW NSYGTYEVLWDVTGTCHDTYYAYMISAVENEDGEYALSNPQKAPEPVDLTLGKNYITL NLNEPAGTYVFTISKESGEDIDYTDLAVITVV PHYBLDRAFT_70817 MISMVLGLVCAAPLSSDDKENCVVLTHPTNGTVWYASGSYLVSW NLRKHCYGTYYTYMIPATEQENGEYAFGVPYKSPEPVDINSGMGTIDLADHVTPGSYA FAVAKYDGEGMDYNDFALVNLAYI PHYBLDRAFT_70816 MKFSFAFVLISLVFGLGFDLDLVSAAPPSSTVPTYNPAVSGENC FSLTNPVNGTVWDSYGTYAVSWDVKGECQGDYYVYMLSVSTDTDGQISLGHAQHSTLT VDLASGGAVVNLSQDEHAGDYVFAISTDTAEGLDYNDYAFVKCSMCNKMGSRSDNIFT TDTLEISKIHTSSFQETR PHYBLDRAFT_170767 MLVKTLSLFALGSVTLNLAYAAPLLQQQQSLDGSKRLKAQNTQK CFELTYPLNGTVWNIDGDDGHSHDITWKVVGDCRPENYINIIPVIQDTEDGLVSLGLG DPQYTSQLLDIQSGRARISLDDLEGEGRYVFVIGPLSEDWSDYADLAYTLNIMYL PHYBLDRAFT_70815 MEQNGIYKVYIEDVSTFRMIMWRCRQSGCDEILSPIVARDPTGS SGSSKDDPRYCRKCQQTVTNPVASYYVTMLVCDMAKDKLTEYKLYSGAVETLIGCEVD ELLEASMIDDVPDLLELLRIALRGSLCDIFLRSEKKDEDVVSSIYLHGRNGLQMLFLK N PHYBLDRAFT_134900 MTGITVKDVNAHEFIKAYSAYLKRTGKLEVPKFVDIVKTGSYKE LAPYDPDWYYVRAASVARHIYIRKSVGVGALNKVHGGRINRGFRPSHHVEASGSVNRK VLQSLEKIGVLEQDKKGGRRITQDGQRDLDRIAMTLVASEEEDDE PHYBLDRAFT_70813 MSFTSQVARSPTLNESHESSSSSGLSASSTSTAPTPRSPRKNTP TSGTPSMATKPKSPAQQPPLSQQQQQNQFINTNTNTNNHNHKSRLPSKKKAPWRAPGN WQIPDIIKESWERRPMPTFNKDPPKSSDTKPLFIKRPWIPVGRSPSIVPLPPPILRVQ KKPHAVFDAKLRKTLPKQKIVDSNPANTYTGLSKVGSGANGAVVRASKQGNKGGQVAI KRCFIEDRDIPHHAYVLRELKIMGCLNHPNLIQLKEATLWGDYVWMAMELMTCSVFGL LVDTTHGLPELMAVRIAKECLEGLVYLHKNYMHRDIKCENILLGRNGQVKLADFGLAT PIGKVNTARLGTAKWMAPEVVGELSYKENVDVWSMAITTIEMMDRVPPLYYLEETEDI YGEILHNDPPSFNFTVPSPTMLELITWMLDTDMNRRPSANVVLTKIKQHIASGKLQCA KQSDLGAYVRRVFPNETPSRQ PHYBLDRAFT_57893 MMIKKKETDVPVLLGRPSNNLKMGVVGLPNIGKSSLFNALTNSS VPAENYPFCTIDPSEARVLVPDDRLDWLCEIYKPIRTTPAHLTVLDIAGLVRGASQGA GLGNAFLANVGSVDAIYHLVRAFENENVTHVENTIDPLRDLDIIQEELRLKDEEMLER QLAELVKLAKFPDNKRPLGTMSRKEEVGVVEKVADFMAKGNDVRKGDWNAPEVQVINT LHLLTAKPMVYLCNMSEQDYCKGTNKWLPDIQEWAKANNALDTVIPLSVSFESKLASM EQDEAKAHLNSLGVTSQLPRVILAGYRALHLIHYFTCGQQEVRAWTVRDTAKAPQAAG VIHTDFERGFISADIMKLEDLRQLKSEAAVRSAGKYLQKGKDYKMEDGDIAHFKVNE PHYBLDRAFT_187788 MASPSCYEISNTPITAHSFNKDKLVVCQNSTEAIIYEHEQAAWK QEAVLTGHDKVITSIDFAPNTNRIVTCSQDRNAYVWTNEQGTWKPGLVLLRINRAATY VRWSPNEEKFAVANGARCIAVCYFDEDNDWWASKHIKKPIRSTVLSLDWHPNNVLLAA GSADMKARVFSSFIKGLDKKPAPTVWGDKLPFNTVCGEFSNGKGGWIHSVAFSPSGDA LAFAGHDASINIAYASQDGNHTLLTIPNHTLPFRSLLWANESQIIAAGYDCAPILIEN KNNGWNIAGSLDTGRKKVSQAASVLSRFKSMDSRGQADSSDSGLLTTHQNTINEVRFH TGTRENVTHFSTCGVDGRVVIWEFDPSQLVADMGALKV PHYBLDRAFT_134907 MSDIKHPATVAIVGAGLVGCLNAIYFAQRGWNVVLYEHRKDMRL PEEKINQRGRSINLAVSERGLSALRKVDMGLEEIVLGAAVPMRARMVHLGKDTQTSQA YSVHGEHINAVDRGRLNELLLNVVGDLPNVRLGFEHHLLHANLENGTMEFSVGPEKIK VFKTADLVIGTDGAYSKTRSQMMRSMRMQYSQEYIDTAYCELSMPPAKDEQGNDTYAL DPNHLHIWPRHTFMLIALPNLASTFFFLFFFFLDHSFTCTLFMPFEMFESINTSEKLL EFFQENFPDSIPLIGTKRLVEDYFANQKGSLINIKTSPHNFKDKALILGDAAHAMVPF YGQGMNCGFQDVEVLHEILDKHNVLPSKHENGKIDDLEAALDEYSRVHVKDTHAICDL ALYNHYEMQSAVTSVRYLARKKLEGILHIVMPRVVIPLYTMVSFTTMPYSQAIQRWNR QSFWLNTIVGTMAIGTVTAMSYFTVKNKVSVSVPDIIQSVAQLSGFI PHYBLDRAFT_187790 MYICFWNLILVCTVIGYFCTAVQAYITDIKLVTCDGHRACPNFP GYKKLETNLNAGTKRSQIYMHIKDDPSSDPITDLLILKTPDPPKATGWTRLETDLNHN TVFDNQTAIWLYFTKDKRLSVNPVTSIIIKEGDSPVVGAEYRRLPVDLNEGVGGMPLY MFYSQLGPKEYLFDKNPITALTAKACFTDVCYMDGWERVEKDANEGVIVGMRIYLFYQ RKRNSPPVTDIVLVLDDQTAPEGYNKVEVDLNFVTFRGASIYLWYKTLSNPSEEEKST AIQQVAIEYGQNAVAPLGWERLSVDLNSNHDGKGGFGEPTFLFIQRGYHELPPRQPLV FRKDGTFKIVQLADLHFTNEVGKCKDTPVEFDCKGDDSTIATIERLLDHEKPDLVVFG GDNIDGNGCYFVFVLFCFVLSFVCVCIYIQNLVLIPKTYISGAVTDARAATFKFAEPV IKRKIPWVAVFGNHDDENDLTREELFEVIRRMPFSLNERGPLELPGTGNFALQVFSNA TLEAHALTIYFLDSHGLATKDTYDSIDQEQLNWLQETSANYAQSGKGTQKPNALAFFH TPIWEYHGAEDKSVPKLGDQRETISSPRKKKNLSVLDAFVKAGDIKATGWQALHAYGH DHVNDYCIEQKGIQLCYSGGLGIGGYGAAHLGWPRRARVWEINRFGEGIVTWKRLDDG ALTLHHYQTLL PHYBLDRAFT_70807 MLLLLGISALINTASTAKSVPGIVRMPIFKRSQSTSIITKRRKR DILKEPGLFKSGLYNDYGSQYLVHLSVGTPPQKFTVTLDTGSANLWVPSVKCNPELCP HTRFDQKKSSSFNDTGKSFDLQYGIGNATGVYGTDTVSIAGATIQNQQFGLATSTHNL LTDVVSFDEDSPPAEPSQGSLAISDKVENGILGTGFPQLTVPGLGSQKPHYPLVFNMV RQNIIKDPIFSIYLGAATDMGWAGEIVFGGIDSTKHSGDLVYLPVTPYDTTSREISLD PSSGKTVDDYFYWQVYSQGISATNGNSTTKFDVSPDKGYIFDTGSTLSYFPQSIVEPM LTGLVGPSGYSYDPSTSSYFINCHGMPPNATVQLQMSSTGSKMTSPTTLTILASKLVI PVNGATVEDATKCIFGIGENTFLANENMSSMYLIGDTILRTTYLVFDMGKKRIGIAAA IGSGSVVSQI PHYBLDRAFT_79939 MVAKKPQSKRIKAAHRYKIIKRVKEHHRKEKKLAKKNPKSNRTK KDPGIPNSWPFKEELLNEIEQAKQEAEAEKQKIKLARQEEKAKLKLKQQKEKEKVKQH HLRQAKKKAAE PHYBLDRAFT_100690 DVQCQVRARIPTMEGGEMHLFLYKNNKDTKEHMAIVYGDDIRSS SLDKTWENETIMNRVVRGAYYGRLEEIITDETQYTQLNAKQWARLAQQQAIAKEAWRP VSEAPLVRIHSECFTGETVHSARCDCGEQLDEAMRLMQQAGRGVIVYLRQEGRGIGLL EKLKAYNLQDLGHDTVAANLLLDHPADGRTYDIANDILKDLGLSEVRLLTNNPDKIEQ LEAFGDIKVVDRKSMVPQSWSSSPPLSHTPQSTPKKELDRYLAVKVTKMRHMLDLPTS I PHYBLDRAFT_170779 MREITANYSIGFRQHALSAYPFSNFCQRIQQIQTCKTTLITRYI LHEIQPLFDDHKRDIRLEFGFIGYSDCIITVFPHHTDNGMNAGYDEMFVSEVPAYLTN SNNLENAMYVFNLFANLKIAMLTSLLGAIILCLQLMLCSCWM PHYBLDRAFT_87448 HYKSPKPMHITCLTIGSRGDVQPYIALCKQLMLDGHKCCIASHE EYKGWVEGHGIEFRSIGGDPGELMKLCIDNNFLSVAFIREGLSFFYGWFEILLETAWE ACQGTDLLIESPSVMVGIHFAEKLGIPYFRSMPFPWSQTTRFPHPFAVQTTAVGRIYN DMTYSVIEMALWAGTQRYINRFRRTKLKLQSTTLDRLALWRVPHIYSFSSTIVSPPRD WPDYVHVTGYWFLDNPYQSWKPDEALVAFLKKEDQRPIVYIGFGSIIVPDPEALSKTL IEAVIEADVRAIISKGWSARSKGETLNEASGEVDKSNDILNEYPGTIFNVSSVPHDWL FPQIQGVVHHGGAGTTAAGLRAGLPTVIKPFFGDQRFWGQRVEELGIGVCISKLTINK LSDALVSITSSSSMIARAKSIGETIRAENGVKTAVECIYREIGLAK PHYBLDRAFT_187792 MSLSNKQPASVSNRWLSNLIRPRASSFSVESCFNLTDVDPSTPL DARPALPLLSNSQPLQVRCRHLKALSELCKNYKFSHLENIFFAVQDILEVPIPRDARH TVFEFMLACIHGQYDDLGMARVTFYSALKSRDHWEDFVDMYNVLYALCKGGRDISGFE KNIAKLLMTWIDMALSRAPDQSPKAVPIDYLSDILHLLTLTGKFNFAMFEETEVADMI KAVRAAFQLSHHPKDIQACLTFADVVVRYRFVPFEALKTFLEILCESIVLPEEVLPTG SLSVWSIFMNLLRSHCAHSSILTLCKFLDSPANPVIAQGAMQLLSEAAWGQKSKTGAE TYMVSDTVLLMYLRRATLQQNGVMCASVLNCLVSLVENPENKLGLLDWDVIWDCIDNC TEYVLKLDSPLKDMFEYPVVDEKADRLDPIYQFVRFITRIHSQFTTKKYLGPVARFMK TLYTIRHVISENLASILLTYYETEHVLLPSAENWLSLLLDVIKTFLVPVTVSHIIRER TLVIVRHVYESARDFYPEEMYTKVIIPMMTKMAHEPDTEIRQGVVDILVDAIHDCRDK TCFDTMLELLDECARCKCFREPKTQIQSLKEEATMAGLRRSHSQSQPTSHRLHSPLMT LPRSTSVSPAVSPMIPSSKQEHSACMGLSAMNGLITIFERLLYGESTACAKVFKVITE SANSNDDVMCPSGGVKIIALDLLLRLRCPPNHRIYVIQEDDLNDPTTATMRRERERRK PEDKSAGLLGPAVTLTPVKKQPSPTVLSYFDEHTDPSSGTSLPLDEALKAYIKIISQS SDWDTVLFVLKRLPIQLSNKHLFCGATLQIHKLRRAVVKWINTRKFLENVSTLPPTVK RNDLNRYAYDILTMLISYRRVFSKQDQDEIVYAFYIGITHVTASTKPCINALNLCCHE LPLSIAKMINEILQKMSQIISVSSVSVHILEFLSALARLPNLYSNFTSDMYKPVFAIA LNYLQYSHTVTQSQSATSTPTSSPMIGSPLPGSSPSTPLQSKEPQQHQSAMAQYVLIM AYLVITIWFTAMPLRERRKHVPFIIQRLLGGNPLGRPIDEQTFTCIDMLSRFSFSDIS LSPTKSLVSKVLIGETSGTKNSRTWVYGHTLLTLKTAKVIGWVEVTIRRPSGTFSMMC NIENKIKTDQVDYKTLPALLMMQCQPDIEARPLDDGWKPKSDEDALGITFEDTRDDDQ KTTVTQPHSRRQSEQANASYSLPLSRSGSASMTPVQGPEVTVTSPDLSYRRGSLSQKL PTDSMTTGPRAIQGEQDPFAIRSEVEERRGLMIKEIMSDPQNSAHPGNNIRKADQLLD PGLLYLQLYNYPDITRLVEAPPPLPDDDATLRTLATLDRIPVVDFHKIGVLYVGPGQN HEVEILANTIGSPDYIKFLHGLGTIERLRGNTGNTGGLDREMDIDGRHAYFWKDDVTE MIFHVATMMPTNLERDPQCSAKKRHIGNDYVSIVYNDSGADYAFNTLPGQFNFVNIVV SPHSISTETMATQALWGAENSFFRVELQRRPDMPDIGPITEPKLISAHSLPGFVRQVA LHANIFAQVQSGANGKREYVAHWRERLRHIRRVRDRATGNTSAPTTPLANATKPKEGI SLEALLDFTRYT PHYBLDRAFT_147911 MKYNYLTCCLELSGDIRWCDVDEIPFVDIERSLILTLNIDWIQS FNNATYSCGAFYLAINNLSRSERFKKGIDILLGLTPGLKGVSTSDINHYLKHLVHEFK ELYMDNTIKTHQCPNALLVISDLISQNGFFVLGFLEASRSLSMTIRGNEPLPPSALPL KTRPLSFMPKHEYDCLVGYYQAAYKNSRISSCKDIIDDSPFVNDWIETVKSVDLLGQT YKGCIGTNGRGSYVQAYFTERTGSEHAYVGEIQYLFVHNFKPTVSSLTYRHPQSSQHV FAFVKWFKTTSDKTRELEGVELLQDEFYKADFQSILPVHRILMTVAIVDYKTIRNVSK KLAAPLPKRNYY PHYBLDRAFT_114493 MCSDAGSIQTKEGLDEIQKPYSAFSPGRILLIVFISSAAGMLSP LSANIYFPALNDIKTDFGIKSELVNLTVTLYMVCQGISPAFWGSLADVWGRRPVYIMT LVIYLGACAGLAESKTYWLLLVLRMLQAFGSSSVVAIGAGVISDISDSARRGTYFGIY STGQFMAPVIGPVIGGIVSETISWRFNFWILFIIGAIVFSLILFLIPETLRALVGDGS GYANPTPIQWLNHHRHKKAAQGKYEDQSISNIKSTSQFRTIPNFTLPFHYLLEVDVFL ALLYNALHYSVLYCFLTSTPSIFKEYYGLSEIQVGLCFLCQGIGSLIGSLSNGKLLDR DFRYLCQKLGLLAEKDPVTGSISTAFPIYYVRLRMLWIYAGLTQTVTIIYGWCIHINA PLGAILFLQFIVGISVTSIMTTFQTLLVDLFPGKGASITASNNLVRCILGAIATVAID PGIQGIGTGWMFTILGLILVVSNTIVIILIRYGSRWRKLRFKKTTTL PHYBLDRAFT_147913 MESTLKVYSIIVGIDFGTTFSGYQIASLKDDEAEEYEYPIEDDE PWIYKEAPSTMFYKKEYKELLQYGEDANDEVRLNPDSGYYVTRVKLWLDRTIKDHLPL PHNLTPVEVIGDYLREMYKDICKNVPKEYPACIDSSEYRYCMTVPTIWSEESKGIMRE AAILAGIITKYDEPGRLLIVDEAVAAALHAEYESPELVLENGDCYMICDAGGGTVDIA VFERDNSSGVNGLKELTMGTGSSCGSSFVDAQFETMLREKVSKYPGINDWDIYITTYT FKEGLKELFGGDDNENIVEALDEINRELITNHPEIDEELFTLDDIRKTFEPVVDEVLH VIEKQFSQLGDREVDVMFITGGFGQSPYLQERIQNTFDDRVKTFKVIEESYLAVMKGA ALFGQHPRKVTQRILRRTYGIKICSPIDETENDTNTKSSKNRFYMCIRKGDPVKEDTW VTRKIIWKKNVVPIISMYAYDGDEPIPEYPTAKEIDLVAIFDTKFPIDDRKEVNYEIL VMRMRFGLDKIDIKVNIAGRDFEYVTVWDVTGEKTTQLYSEPFPPPNVKVRKFWLLDT IVKHLP PHYBLDRAFT_147914 MPFDDFVDNDVPEGENNAADLRFLRYAAKDLDIELGDLIMAISF LPCLRKLSCLTTGPVFQRAYTLEDIETIHSYLPHLEHLSLTISIDPLSERDIVEIQKI KPAEKMKSFAIATDCGKGERLVDEPEKPTTPPVILPGALSSLRNVTISSTPKEETSPY SLRKLLAKHDLKLKI PHYBLDRAFT_147915 MLASGLPFEIITYISGFLSTKDRISCTFICKAWRTPMQESLWCK VHVGNAKKLNDICDISIYRQNIYRQHGQRVRTLSLKPYLRVTSEQLNIIQQNFQNIRH LHLPEKSLDIIHYKSVADWSCWKSLVHLEVSTLGFGFADEAKEFLQMLSCLPSLRHLS YMKEFRRRKTLYGLDDFETLHTHLPQLEHLSITMDLDVFSDQDLMLIANVVPASNIKV IKFFIDNMDLRWLCYFARKYINVHTLEWNNYARPTRTEIFREEAVSMFASLPCTFQHL RSINMSGTSETEWTHITFWELLSQLNVPIKHITYGLLGNHMEELSEKIISKCMISCAK TLETFSISSNIGFSDPCTIPMAFDVCLCLVDLNIYVYSSPIALDILLDHCVVLKRLRL AANNLSISRRALEYPAMHGIRLIEFIRTKTNADVFSYISFRCRNLNYMRLDDMKISGP FSRDTGNLCLDMPFTHFDILQLNNVQFFGSEDSVCNADTSIHFMALVQLESILQSSTI LEPSIQLSDSDHLMSTADSLWFYMDFYQEGSEEGFQLRVLNKDEIKIVREYFMSFQEN NKVLESKNGYRKFDKDAWKLDLYQGHATLRCEYVEILIYIAKFSSTEARLQSSLVCKS WRIPFQDSLWDTVDISCQHRLEYVCNLSGNENSIFEDNG PHYBLDRAFT_170787 MSSVRNLKTTFAFFLFSLIIMQASQLPFEIIACIARFLTVKQKL SCITVCQAWRTPFEESLWDTIVVGSRARLESICDLTDIQHNVYRKNGHLVRVLKLMIL LRDDQLRIVQECFCSLKQLNTIGRSLTKSSLEAEVDWSYWSSLTCLIIQIDDLKIQIP VEKLLEILSFLPCLKHLDYFKPIWSPNPIYTLQDFEALHNYLPQLKRLSVSANFAEFT PNDITYITQNTFHVKHLAELFIDSCQVDLRWLYYFARKYPNLNTIKWRNSDWKVPTTI FKEEAAVMISRLPCMFPHIKTVLNMNALPKEWVKTVFWDPLSRSGALLNYMRHGLYRE HNTTDKAQLCSSESMRSCLKSIESLYVEAESFFSDPCSIPMAFDFCRSLVNLDIDIHG SPIAIDLLLDRCDSLKKLKLIVSSVTTASDIHSHPNIHGLQSIEFEKATISAIVLHYI SFRCIYLKCMRMNTIKVFGKFSHDTKSLLIDMSYTRFNLLQLNNVMFYASSSGICDSG NAINILQLVRPNLALQPIKTHDLSMILPRGGLLTMLLELRWFHIYKICKFYYNMVKLR IFGTEESQDSKTSFESYHHVNKLRVGHREVTSYACNQDYSNGSVKDINRGYASLRCGY VKKYDMGNLDNCEKLTLENSCKTM PHYBLDRAFT_114698 LDLIFTTATIENGPPYLDENDKQVSRFNVASIWGFLTYGWITPL VKTANKNKTLTVDDIPSLTPEYRGRNLYYIFGSTRGRSLVYRIYRANRSGIALQISTA ILASLTYYAPAYLTNRILNLIQNHSDGNGDKDIMTKGFLLVIALGITMVVLALLVGQQ WFWSSSYLQIRIRAMLNIEIYRKTLRRIDQAVVSGDKKKDQDPETNKDKDKKDDDEKD VEDVSSNTGTIVNLMSTDSNRVSEFSIWWCSIFAAPTELSIGIFFLYQLLGKSCLFGL MVLIFTLPLNHYNSKRFVKVQDKLMESRDKRVGLMNEVLQGIRQIKFFAWESNWEKRI MEARNIELGHLRDVFMADVFFNLLWQGSPILVTIISFWSFTKIEGHELTAPIAFTAIT VFNELRFALNILPETFTELLQALISIRRIQKYLDEPEINPPPPVDHTAPVRIGFQDAT VAWKAASENTTAAMASQDGSDSGTHVESESFILRDLSIEFPPNELSLICGSTGSGKTL LMLSLLGESTLLQGQVMCPRTTVADTVSSEFKFDKDIPEEDWILERSVAYVSQTAWLQ NASIRDNILFGLPHIEKRYKATLTACALDKDFTILEDGDLTEIGEKGITLSGGQKARV ALARAVYSRAKIVLMDDVLSAVDAHTAKHLYEKCLLGPLMKSRTRVLITHHVKLCLNG SAYVAHIHNGRIDIIGSPADLRQTGELAIILEDEKEEEVYEKEEIEEIVAEDEAEAEE SETAVQKKPKALVEDETRATGMVKWKLYKLYMSAEGGFFFWTVMVIFILGSRALEITE TWWIKHVAETNFSTPSDFSVLSSDDKQTDYYLSIYILITMTSIIFGTGRFAVVFYGTL KASRKLYEQLLHRVLRAPLRFFDTTPVGRILNRFAKDFETVDSSVPNDMMYFCIQTLT VITVIITVTSVLPIFLIPMTIVAMVNYVYGSMFVQTSRELKRMDSVARSPIFSHFTET IVGVTTVRAFGATRQFLQEMLRLCDASTRPFFSVWTINRWVSVRFAILGASVNIFAGF IIIMSIGRMNAAVAGFCFTFILAYTDQMFWAIRRYTTLEMSFNAVERIVEFMEMEQEA PAFTDLKPPVNWPTQGKIEVQDLEVRYAADLDPVLKGLNFSVKPTEKIGVVGRTGSGK STLALSFFRFVEASKGSIKIDNVNILHVGTEDLRGNLTIIPQDPTLFSGSIRSNMDPF DQFTDEAIFTALRRVHLLPSDNDDDIEVVGVNANVFKDLDTPVSEGGKNFSQGQRQLL CLARALLKRTKIVLMDEATASVDFRTDKAIQKTIATEFADCTILCIAHRLHTVIEYDR ILVLDQGNIAEFDSPLQLITNPESSFYKMCRNSGEFESLVALAKEKHQLVDVDN PHYBLDRAFT_126147 MDPKDFEVTSPPTDGISCLSFSSQADFLSVGSWDNEVRIYEVQP SGNTVPKASYSHQGPVLDLAWSKDGTKVVSAGADKAGRMYDITTGQPTQIAQHDEPIK CVRFLDQPQQQIVATGSWDKTIKYWDLRSPQPIGSVALPERCYSMDVKGTLLVAGCAE KHVPVIDLNNPTVIFKQNVSPLKWQTRCVSCFTTGKGYAIGSIEGRLGIQYIEDREQS KNFSFKCHRDEQKNVFAVNSVNFHPIHGTFSTAGSDGTVSFWDKDSKQRIKLFNKVNG TVSATAFNHNGTIFAYAVSYDWSKGYKFALPTNTNKVFLHAVREEDVKPRATKKR PHYBLDRAFT_187794 MTRPHPHSVQGQRLNKKQVCLSNDISVEPFEKSNHTSRPGNMRQ AVRMRSSSTFSTSASIPRSTDNVAIDAHPLAFPYHNDTIFLPTVESGRTRFDSISTSS SMQISRTPSPALSTSSSSSAASFTSTPESSPCSKHVLTENNNITLKCEACHRDNADER VKRRDTRISSFFGRVDLFSNTRTPDTTVTDSPVTCHQCHPCNQSTIPVGSSPGYLAET AKKESRSTFNTYAPSSFSYSRTPPPSKSYMSFASFSIPESSRQLCIRFLKKLMPSKTS PHYBLDRAFT_75231 MHLPAVLRTPITGLIGETCYDVLIENLNLTDVPCIKYTISKGLG LGIVLGGSIVKIPQIMTIVRNKSAQGLSLSSYLLETFSYAITLAYNLRQGNPFSTFGE IFFISLQNIVITLLILLYSGKREALVMTFTSLISIFYCLTSEKLVPASIMAVLYAATI PLSLASKVPQISANFKNKSTGQLSVFTVINYFAGSTARVFTTMTELDDPLMLTGTVLA SVLNAVLVIQVFLYWGSGKGKGIFLL PHYBLDRAFT_182262 MSYRSHAMLPQTEASLQHILHHRAQYLQDRLQRHLPRKPFVVGV SGCQGSGKTTLCTTLEYLLKDVPYGLNVVSFSLDDVYLDHNQQQRRAKSDPDNRLVQF RGQPGSHDLDLACETFETLLEDSRPCPIPAYDKSVFGGLGDRIDPTLWQTTQPPVDII LFEGWCLGFKSLPVEEVCEQYRKAKEDGASWTAHPLQHILGLNESLAAYENTIYNYFD IFIHLSPDDLRNVYQWRLEQEHDSIRTRGVGGLNDEAVYTFVDTYMPAYSLYLPRLDS IGFYGFTNTVHPYEGRVRADHGYSGTQRHLRLLLDHDRRVIGQTLIKEHDPQQPLIWA DYSRPLLFLVGMVGMAGLMRYSCLGRVLDIAKKAGRRFRTGGMTQSLSQTTTLLEIFF CLSSDTSNPNDAGEPYRYSPIISQIVKYMSEVQDSSKVPIPQTMHNF PHYBLDRAFT_126154 MGDASLANGSEPTPMEEEDQSEAPVDVAMEVEAKSQDTEVVTGP EESHEFKTETKRMLQIVANSMYSEKEIFVRELISNAADALEKLRHLQSSENIETGTPL QVRITLDHEKKTFTIQDSGVGMTLDELNQNLGTIASSGSKKFLEKLENEGSGSRENII GQFGVGFYSTFMVGDTIKVYTKSALPGSKGYCWSTDGQGSYTVAEADNVAVGTKIVIE LREDSKSFASQIEVDSIIKKYSNFVGFPIFLNGTEVNTVEPLWTKDRSSVTAEQHQTF YRFIANAWDDPQYTLHFKTDAPLSIASVLYVPERHMESLGERMAPGVSLYSRKVLIQP KSKGLLPDWLRFVKGVVDSEDIPLNVSRELLQDNILSRLRQVMTSRVLKWLDNEAKKD EKKYNEFFLDFGQFIKEGACTDAMHKREIGKLLRFESSGLKEGDMTSLEGYASRKKEG QDKIYYLLTPKRQYAEDSPYTEMFKKNGVELLYLYDTVDEFVINHLRQFQGYDLVAAD SPEAASHPLLQQARSDDDELDGAEKLSEPQAKDLANWIYDTLGDETVKQVDVSRRLEK FPAIVLEHESPAMRKMIQMMQGSAKLGEAPPAPTRLEINPDHAVMRGLFQIREQNPAL AKMVAEQVYDNALCAAGVMDDPRSMITRLNKLMEISVNSAIEQNKKVKAV PHYBLDRAFT_96719 GVRVWSESYSSIDWIHDRIKEGVRLRKLRDIPGVRGRWAKASDA SQAWILVIIIGICVAMIAWFTNVVQEWLSDLKQGYCTSKWRYNRQFCCWGKAEYETCS DWRLWPEVFNVESESGVYYTAMAMYTFVGLLFSLSSALMVKYSAEKLTMQEEEEEEER HINGGGEEGKKADQTKTETKTAYYSAGSGIPEVKVILGGFVIKGFLGFKTLFVKCIGL ILSTSSGLNCGKEGPFVHFACSVANIACRIFPKFNKNESKKREILSAAAASGVAVAFG APVGGVLFSLEEVSYYFPIKTMVRSYCCAIVAAMVLKITNPFGTGKIVLFEVQYDKEY HLFELIPFLICGISAGFFGAAVTYYNIKFQHFRKRSFVGKYPVTEVMCIMILTALVSF WNPFTRLSLNDFALNLFSECSSTNDNRKLCAKTAAEIPQILSLLFAALIIKMGLTVVT FGCRVPGGVFLPGLVVGAVTGRMIGLIMQYLTLSYPEAWPFDSCAEDMISHGKCVIPG VYAIVGAAAGLTGVTRTTVSLVVIIFELTYSLTYAGPITIAVMAAKWTSDAMFPEGIY DLLIDLQQYPYLDSHKSYAHTLTIMELTEYLPTIDLDQTTTMARLTQKMNLLAGMGYG EDGGLPILSQGNILEGYIATSELSHAIEQVAHETQSYQNSLYPQNIDDTPIYFKAGQV YDRSFDATIGFSQPIQGQNDEEHAPLTIHHNASMEMLMDMFVKLGARYVCVTQSSGRF LGIVHKRRLLAYLKELEE PHYBLDRAFT_134928 MSTNEQTTDENIEIWKMKKLIKGLEAARGNGTSMISLIIPPKDQ ISRVAKMLADEAGTASNIKSRVNRLSVLSAITSTQQRLKLYNRVPTNGLVVYCGTIVT DEGKEKKVNIDFEPHKPINTSLYLCDNKFHTEALSELLEADARFGFIIMDGNGSLFGT LCGNTRDVIHKLQVDLPKKHGRGGQSALRFSRLRDEKRHNYVRKIAELAVNFFITADK VNVTGLVLAGSADFKTELSQSDLFDPRLQAKVVKIVDVSYGGENGFNQAIELSAEALS NVKFVQEKKLIQTYFDEISQDSGKYCFGIDDTLKALELGAVESLIVWENLETKRYVLR DASGAEHIIHPTKEEEKTRAFMMDTTPEATPNAEMEVVDVKPLLEWFAEKYKEFGAAL EFVTNRSQEGSQFVKGFGGIGGILRYRVNFEQLNYESDEFYSDDDDF PHYBLDRAFT_170797 MCNSKMSCPLRHYFLLKVVKRKEDFICGVGQIKLGQEVLGEDFL VDSEIREASNLLHSASARTITNAASVTRSTDSAVIQLIQNMHETLIAVLKGQEQILME IADIKNDLNKRESTEQEDEASIPSIDPIRRPVSNIKDITMRHIHKMISQDLGIEVTKV EKSKLHTCTLLICDQLAALPSVQALGPRPKWGSIPKRDKKMICARHASMLKANGIDFT RCHGNWASIAKVGQLWKDRQKREINNTPSITKYLWICFKPSTSLVTEFNWSFCDLTRQ KTEKRELLTESWFLVFT PHYBLDRAFT_70781 MIPEFYYLYCGGYLRSLLIDVALNADNLSVAHCEFFENFLVQQG DPTFIIGWPPMEIAHKPTFENTRIEDGSDWAYALVNWSDESRLTVNGIDERARATRRV DEIYRDFSFQKDNGVAVMLALIRSDERIAHEFQRR PHYBLDRAFT_170798 MNNGSETIVLSYPYSLSMINVSTNHGLTDKSIVRFYNDNYVFSV TLYEDDGSIGYSCNACTLTDADLLSLNERGIMYFQVKGECIFEASVDQNCFPAYLCKI SLYSNNSYIELTLPSLNMNDKEVRLKANLKTAKKIFKIHEFIGDMVRTELGFLVRENY LKNRVLLQ PHYBLDRAFT_182265 MLIMAEENKLFDVIVGIDFGTTFSGFSCEVIPEKKEPPKPETAN TTKAKKKGNYAPELLLKKTSSAMYFKKDEKKIFEFGDKAEKANEDYKYPETKTEPKTE PKTEITTGTEAHTNSNVEPDVANNTEDKTESNPGDLVRRTKLLLDRTIKNHPDLPARL TLVHAVSRYLKKIRRNIHIEIETKYGHDPKLLKYKYYITVPASWSKQSRNIMRDAAIS SKLVEKHNVDTQLEIIDEPVAAAVYAESKNTDIKFKKGDRYMICDAGGETVNLAVFEK DTIEGQKSLKEITFGAVTPSVSSLLDALFEELLEKRLPEWSEKGTSQPEDTQASTEEN IGQDSIDIIENSLEKINEIIKATHKDIENNPKIFTPEEIRTEMFYPVVEIILKTIKKQ IEIQKGIQRTEQDNQPDERKLKAIFITGGLGQSKYLQDKIKEKFGEEVEDNNIIGVEE GIMAVMKGAVLIHSNPIEISQKIHRRTYGIRLRPPQKKPPGSNGKTDRSNSLIESEKN VEENDYENDKKEKEKNRFHVYIRKNDPVIDNTWITKKMYWKAETLKVISLCAYNGDGE PPTHPKEEDIDTVITLDTGFSINKKDNSSSLEILILKMSFDIDIIDIKGSISNLEFKY PRVEDLTGEKNTLPFIEINPAPFSKFQKIFPFLRRTSKA PHYBLDRAFT_147932 MGKFPDLTFPSSLPNDPNQTDAEAIKKTQPQKIVKRAGVYLHRK LGLFCSKPPQHSIKKMCSNVSSGRISVYTLPTSIEDEVAARKEFLRRPTDDTHESLYK GFKPNGFGTPCSYKTWKESINPKQYQQLSRLSPKQQKYQELIHEVILTECKYIEDLEL VQKIFIKDAVEWEGLPSTLLKIFNSTNKIVELHKSILKDFLCRQAKEHPEIRTIGDIF DGYVDSMEIYSTYFVNFEQANHVITNALKSKSDLLGTYIRNRASWSECRNLPLQSFML LPIQRIMKYPLFFRQLRECLEPEDSIFWEMQQLETKMDKAIRLIENDKAEAERYHRLE DLTTRISGLDPGRRLIHEGPLDLLPCSQNPLTDSSNDIYGSSISFNPCGSVQSLYVHR PYLQRQSSAQSITSPHPNVLKRRNSTFSIKEKKKHLYVFLFDDLIICTKIRSTSREID ENIIAKVESYHGPNPEALFRVVQEPGQLTLVDRTVTRKVARQDKTGRLLGSLRKPTAA AFNAMYNSTTEYEEHPLQFMCSIASKRIVVYHFEAPTAHDKDVWCTRLQEASKLHVRS PDTVQHDPTKDTTELKGGCSILGASINYTDRHTENNNTQHYLSDEQDELKDVVVQAQY SPENNDESSDDYTATSYSEDQPRNEDTAEQTLASALREASQELSRISLESTSKTEHMD FVMDFAVDLDDNMGPKFKVSDFDKYVFNHSHERFSVPIILEEKDEPMEVKYYTLKSPR VGRFPQTFKI PHYBLDRAFT_159433 MRYRACQSMPRVFRRDLRVFCHACSMLDCSHLKSSAHDVAFVSG LNFSTSVGEEVLLHNLIVMSMADAAFFLLYKTWETLRVF PHYBLDRAFT_182267 MSIQLSESCRRSLQHTKGLRHSLEALPLQKACIPTRRTTIEKKL QVQNISLFNRPTIQSQQLLNSSWKPLTQTRHYLKVSPQLNYEDLELKKDVGAGIISEQ PIHSQPISAEDAIQQFNSRIEQCINQVEANTPLHSIEISNIWNSYNALLQYMPKMLLL KTVPLNSKSFEQLVLILESRSRETRHSKCWVRIAQAYEDSKTLNIIPSVRMSYAAMTA YGRSGRLKDATDIFERLNPIVPKAETQRGEMHERYMEACLNTGEFGKAFQVLVEIRQN QVHFSVASRCVARSVDVYLKKKNVRQAIAATKLFTAAELGSDPVSLRNITKSIWTACV DFMEEYGSMSVSKESLSFENFDVEPFLDEFSKQTPTFGFPRPIVKNTSGSYQHGRELF TASSLQLLMNLLANSRSDFIPSIRVYDTLLDIYAFEKDYASVKDVLWSIQKHHLQPTS QTTGLVLRTFSDNLSTAELQKLYNTFSKEGKLDTPIYSAFIHIYSNINTTGRAERVAL EMKKMGCNVTTDLHMAIVQSHVRNGRVDRALSWLKTSEYLQTLRNQTKSLRTTNDSTI LDPYAVVMEGLVSQYDIPSCLELHKSLSSGPMKAAVEMNRRIFKVMVTLACVEKNWNT CEAYITKRSIDITPITISRMVNTLLNLKKDNKYTMTGANIVRSLQSMESAASMFVSAE IISTIIRKLGERGQHEDAYHLYRWVRGEVGVGKGIRLVGSKERSSKPDIYLAMMQAAT INSDIRRSERASVDMVYRARMLAPGPKNPNGRAAKRPPSLNDYNMLLNAYASRLPTPD IAHTKKTFKKMLNSGLVPDVVTYNTLIKAFVSADNLEAANQIFYTMLDAGIKPDGWTV NTIVHGLVGRKEWNTIDKFVKGLKNHGISIGIDIVTFNLIVQGFLCLDSNTVNHIRVL RTNHLWSKSRQLEKDLKSAYTLKSSVIWEIFEAAIGIKREEIEKEATRETVHRLSTDP IKTFDISDNHIFYKTLYQQIPRHHKSSVENTSEDSLTSSQDQVSDLKKGTISSMFTKS RSLRELKPDAVTYKLFMKAFESAGDYVAASHIHIWMTRRL PHYBLDRAFT_147935 MTIQGCAISSRPTRMDENLATAQGHIVSVNKILTVKDGVIHVLI TVKSGIISVINSSARSPLHRSY PHYBLDRAFT_147936 MLPKNFIRWYRQRRMSPAERALMRSLSRKAGRSIEDCGITILDL KNYDGEYSTEVSDHVILSGSSTHEFQLNILLLLMLVGSYDNKNRGANVHQLIARIFSN VSNTTVLGDLNSGFPLSAYTILVNTPDAMHRYIDVTVIWRIFRKAKIDTVNLLQSIIS CTKTT PHYBLDRAFT_147937 MFDYDSNDSDKYIDKHGIFEIKVKELNNEVYSYISIFTIMFTLR CVVNEGQIVLIGFIHHVLKTYSRHFQPSARLSGFQTMPPFFLAIAKRIQRFVVSQDCH IVTTYQQIEDFLHSSTSVNKRELIYQSNTNALNVFFIHPDLEVKFSVNIVSFKPSGGI TYLFGATYLANNNLSYSKSLKPKNLILAGLIAQPKKPKSSAINSHSNPFADGFRRIEV LDTHLFIYPVLLKPALTPLKLWINSVDMCKNPVKSVISYQDLKNTSISLDTFCQQCKH LYPPINLTCNIRLDLHLRGTIRNFEPS PHYBLDRAFT_64210 MRMGSTSYYSKRTLLVIIESTAVGVRGEDGRIINVKLLYRKYVA VTKWYSSWITYLHNSQYPQLPLSILRLHCRLYNVGFPKKVDGCQLRNDITASQFSLFS RDS PHYBLDRAFT_147939 MFNNLLFDYRVNCDDSDIMNYYGSNDSNDSNDSNYHGVTNLLLP LYSNNSTSWYNFTFIVIFYPRYVGNTAIVALIEFTKHLSKIYDQAFHLTVSNMVNWTC DVYGVSALDRSKDSGGFILCFSTSFVDVSIEYRLVSTIRKKLNPEYIMLDGLISGPRE PKSNEIDNYHKPMIDELE PHYBLDRAFT_147940 MDIDFNVTPNLDLVEQLLDNLEFGFDNQERLVYSELKNRVHNNS KRIFHQTKSRRILAYLASKDANDFDNVLKKARKIN PHYBLDRAFT_159435 MADVRCQSLQGAVRFAKQGDLLGIVAASEPILEAPLMVNVVKET GLLLFTYGVLNNEVQNAVAQKYYGVDAVIVDSVLAVRKGLREGQIGGDGSP PHYBLDRAFT_19653 TVHFQTPEGEEIDVKAAEGDSLLDLAQRYDIDLECACEGSLACS TCHVICDPEYYNKMEEPTDEENDMLDLAFGLTETSRLGCQIFMDKSLDGLKVRIPSAT RNLRVDGVY PHYBLDRAFT_114933 MQDDIHGGDFVPQPRKLIIVLENASLEAAQIYPSNFNRKMQLLN CDEHQSILKKFGRDIAEARPDIVHQCLLTLLDSPLNKSGHLEVYIHTAKGVVIRINPE CRIPRTIKRFSGLMVQLLEKQSIPSNVKGEKKLLEILPPPLEQYLPENTKKISLSWNA PKVRLYKYFKQLPDDQSIVVAVGAMAKGLDTFADSYVDEKIGISEYSLSASVACGKVC CALEDLWGVM PHYBLDRAFT_182270 MSQIPNYPEARTGREKQLYNENNIRQVAGCIPIDPSTGRIMLIS SSKHKGVWVIPKGGWENDETQIEAAMRETYEEGKTHIHNFFLSFFHTRTPGVHGTVTG FVGTFFDYLFNGDPKTQFWIYELKVNEILDQWPEHYKRERRWFTYPEAMIALSAKPLM QEALSRSSLAPRPSS PHYBLDRAFT_77645 MSATDGNATAGAKLFKTRCAQCHTVEAGGANKVGPNLHGIFGRK SGQVEGFSYTDANKNKGVEWSDQTLFDYLENPKKYIPGTKMAFAGFKKPKDRADVVAY LRESTA PHYBLDRAFT_64202 MTTHKRNCDFGKIDFTPFPRNYKIQWIVSMTWNATVGCVLNSKY YILFGILIPFYMGWYNNGPVLTVYASQIAVSVMEYFSGRGFLFFPLLNSLFTVHLIFS LIQTALPVTRRKTLFRSRLPPPQLIPLTVFIEMCFLLQDKIT PHYBLDRAFT_64201 MTAISITLLAFIVACLLQLANANPCKLLTDQSELDSCYMREALN FALLENPRLPFGALIVDHTTNDISCYGVNSDRKDALLHGESAAFLNCTELYPSPTGND ARDPGLDYSKHTLYTTGEPCPMCSAQSFYRGITRVVWGTSIPDINKSGSYQLYIRAND VLASAKAGGGGPYSKIPKIEGGILKDECDRAFWCSFTSFRSTNYYKWMSELGEDEYIK NRNERFNCTASS PHYBLDRAFT_134942 MATTTEAPKTAKRDALRALEGKARTLWDSKKAFEINAPTIEEHQ NSEDLHKVYPKYMACMPYPYMNGRLHLGHAFTFSKVDFSVGYERMKGKRALLPMGFHC TGMPIKACADKLTRELEMFGKNFEKYDEMVLSNTLEAKAEITKNVKSKVAAKTGNVTY QFQIMLQLGIPREEIHKFSNPYYWTEYFPPNTINDVTAFGAKVDWRRSFITTDANPYY DSFVRWQMNKLRRLNKVKFGERYTIYSPVDQQPCMDHDRQSGEALGPQEYTAMKIRVV EWSDQAAAALAKFDALKGKTVYLVAATLRPETMYGQTNCFVGTEINYGIYNVNEKEAF LITERAARNMAYQKIFEKEGNVEKLAEINGKLLVGTKIHSPSSVFPTIYVLPMDNVLA TKGTGVVTSVPSDSPDDYATLQDLKKKCEYYNVKPEWVDFEPIPVIETPTYGNLIAPT LCKMKKINSQKDRVQLAEAKEIAYKEGFYQGTMCYGEYKGYKVQDAKNKIKDDLISKG EAFVYNEPEGLVMSRSGDECVVALMDQWYLDYGEEEWKNQTKKCLAQMETYSIETRHQ FEQTLDWLNQWACARSFGLGTRLPWDKQFLVESLSDSTIYMAYYTVAHLLHGGSVNGQ VTGPAGIRADQMTDEAWDYVFKLGPLPKTDVPKASLDKLRREYEYFYPLDIRASGKDL IPNHLTFFLYNHTAIFPEEMWPKSVRSNGHLLLDSKKMSKSTGNFMTMGDALAKYGAD ATRFALADAGDSGEDANFEDSTANAAILRLHTLLEWCEEQVAGSSKLRQGEFNFYDRV FENEMNRYAGLTERAYIATYYREALKYGVFEFQAAKDTYQLACSETGMHKDLVQRYIE FQTLFLAPICPHWSEHVWTDILHKPTSVVDAPFPQPTAPVDETILAGAEYVRRTIKSV RDAEINLIKKKKKGKAAEEDYKPNAPKSLKIFVATKFPEWQEDCINVLRANYDASGKF DDNKIRAELGAKGMLKNKKTMPFIQEQKKLVEKRGPIAFDRALIFDETETLKTCLDEI KRALGFHTVHILKSDEADEANAKAAENAVPGGPSFSFKNE PHYBLDRAFT_147950 MQHDFGYHVGFGEIKPVNSPTKEHSVCMDILRLGVASKRSIDKW HLGDCLAFMINNLDMLSNIGHCFWNHCNTIDATLNVEPTVLIPPHIPHSTTPGEKDVD YSWWERPPYSFLNPIEKCWSKIKSNMKRKTLDNADTLTSRLPAACGSVTVQDCQVWVR HAETFWDRYINKELGLRNSQYPILPSQDDSDQS PHYBLDRAFT_147951 MSVSQSSSPAIGGKAVYIESSNSIWIFSFARATQTGNTLYSIDL SNGFNTKAPPAKQRSIDIQNSNCPVLHFPVVVPDFDGESINAFGTGSYTNTEYISNMI VCQYNTKTSNWKQISIPNAPLGRRNYGYEYIRSNGQTLFWGGDSDPITGLNETANYIW HKEVSMTSRLSAWVNPNLPYTGLGRTNATLTQFLDTNSRIAIIGGAVIDDESKVDSVT NFPMANMSDIILYDARTQNWDTAVATGQVPVGRKHHTATLLPDGNRILLYGGELFNDS GYFVLNDVAILDTTTWIWSIPNCTGTGLTRSNHTSIVIGNQLWVIAGSNGPTKAVDIQ ILDLDTYTWTFDAKGNRSKFSSIGGVGGLIGTIVGCVAAVLLIAGLITFFCLRKKKKR ALENDSPLPYDNYNDPYNHFSKNQQQNNALLSTSGAMDYQTDMQSYNAAYPGVYQYVG PTVSQQTGLHDLAAPAYQPPTEDHQRWNRSTHDSPRGSIPNIHMYSVQGKPQKPNLVE GHEEGGPSSNESTNTLQRIP PHYBLDRAFT_38336 MSTFGKLFRVTTYGESHCKGVGAIVDGVPPGMELTEADIQPQLS RRRPGQSKLTTPRDEKDKVTIMSGTEFGVSLGTPIGIQVPNLDQRPHDYSETDVFPRP SHADYTYLKKYGLKASSGGGRASARETIGRVAAGAIAEKYLRLAHGVEIVAFTSSVGK VNMPFINDLHENDDVKPEFYEFLNTISREQVDQDITRCPHPKTAEDIKELITRKAEEH DSIGGTVTCVIRNCPIGLGEPCFDKLEALLAHAMLSIPATKGFEFGSGFSGTTMSGFQ HNDAFITKADGRLGTATNHSGGVQGGISNGENIYFRVAFKPAATISRDQQTTSYDGVS GTLSTRGRHDPSVVPRAVPIVEAMAALVIIDACLQQDAREASMSRLPAINIHHSLQGK APKK PHYBLDRAFT_159441 MAALEQKETQQATSREVDKPTSTSTPTSTFWNRLGSIPVVQDTI HTLETKAGEIQLGRLVVDQAQATITRVQSLTQPFEPLYRPLLSTGESLGCMSLDALES RFPIIQKPTADLVQEIKETPSRVYSTIYLQVDDTVNRVQTPLVTQIHGLVDRWMPAEK DEQQREAKRHVAPDQSFSQLAHKISDRFNRWLTYRLDSAHTTPVDRLVQGTTYQIVDP HSFINERINNLASTTIAGVDATSTFITKHGPGLPVFIDSRIQPWRQRLQEEYSLIRTE ALKPDVSPLQKASTLLKTHAILLSPKH PHYBLDRAFT_182277 MELTISTTKAPYGILALLALSPELAKAVHLTANDETVLEVSPQV SLVGTASVTRYLARRANLFDEQLPENQAKALLFDNLVALEESSIINAVENRSSTFLYG AEPTSLDFLTWGSLLAIERKSTKTSSFVEAVGATTVAKDAAALLKQLKSTSSNTTAAT SDNTQQVPELPETCSSPSTNPLDAFRNLIAVKLAEISKVDRALIYDALDAPRQIEHGD FAVALPRLRVKGNPTALAKTWAEAFPVCNYISSVTAMGPFINFKINPAELIRRTTQLV AHSENYGFNKSGAGKTVIVEFSSPNIAKPFHAGHLRSTIIGGFIRNVYNANGWKTVAM NYLGDWGKQYGLLAIGFSRHGNEEALTKDPIKHLYDVYVQINRDAEAEPSIHDEARAY FKKMEDGDEEALALWRRFRDLSIVKYKDVYARLNISFDVYSGESQVGVGMQEAMELLK KCNLLVESDGAKIIDLKAHKLGVAVVEKKDGTTLYLTRDIGAAKERYEKYKFDKMIYV VASQQDLHLKQLFKTLELMGFEWANKLEHINFGMVQGMSTRKGTVVFLEDILDEAKDI MHEVMKKNEKKYKEVADPETVADEIGISAVKIQDNSARRIKNYEFDRARMFSFEGDTG PYIQYAHARLMSIERKSGLEVNKDADVSLLTEQGAIDVLRTVAQYPDLVRTLMTGYEP CNVVTYAFKLAHDISGVFDQLWVRGAEPAVADARLLMYWCARVTLGNAITLLGLKPLE RISNPHPDCKELSDVEKGEIINLNIAGMIATDSIDPKIRMNGPKYITTLEKHILPFLN SLPASENKNYIFREDHD PHYBLDRAFT_64195 MTPSSLSPHYAAQSPSGRKPDLVAKELLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGLTATETIY RLCENILQTKIKQRSKESITNVPKPLLFKRTQQSSSKDLAMKESNYTFSLLNINVDSV MKRVK PHYBLDRAFT_64194 MESTVIELSKQSQHQKLVDYLNEQPLEEITKSTLSQLNNGSLLN NDPIPFIRAIILGSPLDGGEDCINRRCALLDNIITWMSNDVESINGASAKIAANVANL IWPEARIFKNDNIDKLPVHDLHKASIRILNIIYEDKPMNPRLFEIISKVWNILSASDL AAEADKMLNDICKSEWHADVAMRLASAFNEMELTQKQLERVISQSLKQLAKLDPEEVP PFIYQLLLLSRKGHKRLILSGICDFFADCNKRPDNLEALSRTQGTVMLHISFAIKQDQ ELGIELVKYLKSERIKMLETFNMACLLSTARLHRLEDNIFDLIKTTIITIYRDTDRSR KNAWISKYFSVDGDAVKNLLLDIAERSASGWDQVIQSLTKLAMILIDTVANQGNGLKS SKNLVNNIDLCYHQYLMKMAVNKIDTVTVKPSKSAEQKPMDKISSVGIDILLKMFKLH DVVRGEILEQITSRIVSRSNSAVDFLQLLENIIKKHPHAAENYLNNIKDTLDFLSFLP NPAARQLLTAIQPVASENDQFRDGLMLVLRKSMFSKDQDGRMISVYGFLKLLQGHVSE DTIREGNNKATLSIASEEIAFEILGLLRRCFSQQIEVRAEAYEGLGRLAGQRTTLAGD IFEILYAQLLKIYQQDTGVPTPLKLDVCVENSLTGGRPRIVEPIPILLASILKTLRIL DTMEHNSVTSESVKQCREHISSLTFRLSKADPDDYELDKSANFDASAYIGQRNLFYSE LLLGSYEAVMEYEFMTQKNSQESCELVLNIFKKRKLLLQLVKENAAGDKGRKVTPYLS SKSILSLEFITSITQLMFSRDTVNSPINVLRSDINFVYYIVSATYNVLKEAIEDETNE SGEENFTSCVILGKVYIHILMTEGPDSSFVESQPKKGVSTLGMLIDTLHGVFEIITKT WPNRFIEFTGSLLSSIENASDPKDYTSSNNLILSKMIENFESVVISYLSDRSPLYREA TRVMQTIVFFSARLDRKAPGFTKCAQNIVTWLDGFVKERSIEDIPLAKEMVMTLIQIS AEIDSFDTIIAISKDVHTILGDLEFEEDIDHNELNISYMLINEKTCGAITLQLLSFLD QAFEDMAWCIGHLKLSTDSAPEFELAVCKRMLSYLSITSELTKTVLVGIPAENLIKVL AKTYKTLLALVKYKISNLVEITDDFVAVIAMAGTGVTEKMYRFLTIYGQYQDDTIAQS GRKQKGKGKEPSNAREKAKIVREAKIIPNLIFVVEQFERHLIQLSRKSKKDLMQYMKR STSRDFKIKADIVEEATTEDTQLKGSHAYETGDEDTGAQAGDGDQTEEEDQEEDQEEE EVEDQSEEQSSHTTKRRRLS PHYBLDRAFT_134951 MSLYNFKKIEPVPTGTDFIDIILSKTQRKTPTVIHKNYNIGRIR QFYMRKVKFTQENFEEKFKNILDEFPKLEDIHPFYADLMNVLYDKDHYKLALGQINTA RHLIDQVAKDYVRLLKFGDSLYRCKQLKKAALGRMATIMKRQKDSLAYLEQVRQHLSR LPSIDPNTRTLLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHMDYKYMRWQ VIDTPGILDHPLEERNTIEMQSVTAMAHLRACIMYFMDLSEQCGYSVEDQVKLFHSIK PLFANKPICLVINKIDQAKPEDLPEEQRAWIEEIAKDENATVMTMSCYNDIGVMDVRN LACDKLLAARVEMKMKGNKINDVINKIHLAQPAARDNISRMPNIPLGAKEKAKFDVND PNRPKLAKDIEAENGGAGVYNVDLKSNYMLAEDEWRYDAIPEFYDGRNVADFIDPDIE EKLEALEREEERLAEEGFYDENEDMVDSDEETLRDTADAIRDRKKMIVAAHRAAHGKN RPTIPLKVAAKRSTIGQMGSKLSEMGVETNAAVERTRTEAASRKRTRAEAVGDEAVKD ADAAERDESMSLEMSNLGFRNVKQKMEADKNKKVAQQNFSRMGKRGESDRGIQTKMPK HLFANKSSMGTRDWR PHYBLDRAFT_64192 MGKYIYGLNDTVLTVQVLLEDVLNGYGLDIELHIDLFDKPFCLG SYMISDIKVSQIQYGGLHDVLPSAFLLYQASKIVVHFVFCGICKGRFMCFDLSVASFK KIDKEEPRYFQGSRTWCFVWCEITAVCNLHLGSADTKYMKPLFTRFILTALQS PHYBLDRAFT_126185 MSRYDHYPENVGILALEMYFPSRCVEQTAMEVYDGVSTGKYTIG LGQDKMAFIDDREDIQSICLTAVHNLMEKYNIAYTDIGRLEVGTETIIDKSKSVKTTL MTLFAEHGNYEIEGIDTTNACYGGFSAFSNAVNWIESSSWDGRYAIVIAGDLALYASG AARPTSGAGVVAMLVGKDAPIVLERGLRSTYMDHAYDFYKPDMHSEYPIVDGKFSNVC YIRAFDACYNNYMKRLSKTIKKDVTSMEDVDYVVCHSPYAKLVTKSFARSAYNDFMAD KKNPKYAALAPFEELAYEASLENRDLEKATATLTKAGYAQKVGPAAYAPKQIGNMYAG AVWAGLASLVSEVEVDTLKDKRVLLYSYGSGLAASMVSFRVVGSTADIKAKLNLRQRL DARTHSKPEAFAEAMQIRENTHNACNYNPIGSLEHIAPGAYYVDKIDDKWRRFYKRKD PHYBLDRAFT_147960 MSRYDHYPENVGILALEMYFPSRCVEQTAMEVYDGVSTGKYTIG LGQDKMAFIATEKIF PHYBLDRAFT_187812 MNSHQAAHQSRIPEQQSIGQTLPPVPVGVDTLNAPPLPFGAMPM GTHLQVGRYQVVIHNFIAEGGFAHVYLARIEGKTGFVVLKRVAVPDTEALKTVEKEIA FMRRLGEHKNITRYYESRIDVMPMGGFEALILMEYCPGGGVIDLMNRRLQQRLTEPEI IKIFSDVCEALAYMHYCTPPVLHRDLKVENILILSQDHYKLCDFGSAALSRGNYVPTT IGEVQRLEEDIQRHTTLQYRAPEMIDIYQKRPINEKADIWALGVLLYKLCYYTTPFEE QGQLATLNARYTIPSQPAFSDKMRRLICSMLQEDQNHRPNIYQVMNSVCRMRGIECPI RNIYGEPASPPHPPAKPTNGSAALFESIHTQPEIPSITPMRRGRPTRNENTSDPFDPA KSNVSPGQASNSAQTNNNSYTSSSRTAHHQHSVSLGFESDFKPSAAVLSTPPQNPTST RNTNEVPKDNLNDAFNVTSNSSSFRGHSPAKSDTPFSSTPTDSPRKYSPAKDPSSSPP KGSPASINSLPVKITPKPSKSMGAVPSNKTSDTNENFKDTSVRHTVTANIEARLQAFK GNTQQQQQEQQQPPPPSPPPARIEETNVPFRPKPPPKPARFRTARLPDEPSLTEFEGK FPSVEELSKQLPVNSKS PHYBLDRAFT_126188 MCGISALILADQNGAACADLFESLGLLQHRGQDAAGIVTCGPKG RLFQCKGNGMVRDVFDEKQLSRLFGSLGIGHVRYPTAGSSSMSEAQPFYVNSPYGLVL AHNGNLTNARELREFLDKIAHRHINTDSDSELCLNILADNLQKTGKFRINHEDIFTAI RDLYQQCKGSYACVAMIAGYGIIGFRDPHGIRPLVLGRRSTYAGYDYMLASESVALEA LGFTDIEDVNAGEAIIITRGKVLRRRLVEVENLAPCIFEYVYFARQDSIIDGISVYNS RLSMGEALADQVIKTLGPKLDIDVVIPVPDTSRVAALELSKKLNIDYREGFNKNRYVG RTFIMPGQHTRRKNVRRKLNAMALEFNGKNVLLVDDSIVRGTTSKEIIQMARDAGAKK VYFASCAPPIRYPNVYGIDMPTRDELVAHGRNDNQIAETIGADKVIFQDLHDLIESVR RFNPAIHTFDTSVFDGHYVTGDIDEEYLSHLEGFRNEDSKSKADTDSDCVGLHNNFVM P PHYBLDRAFT_147963 MCALLSQIDQQKNDMTTLTPNIDHSVKAGITLKALAELDCPPGD LINYFKDTQERQQSLKNSEPQTELLTIGRQTFPSIFMEALEKMEYQHYMGLMEEIGYL WRTVDNRLIMWNYIERDDILVHEEPEQPITTVGLVKRKSAFCGFDLTHIIVVATPLQI TLLGVAAASANPLNKGYKGNRIQMHNLNVKAPADDLCFLEIKGTEDERILLLATDGHL YEMEHYSIGHFSLGGSRLVCLTKNMLYKYLPTSFDKAPKGNIQSFIVCDQRKVIYALT SNNGIEVLSSPGKGATVKRLAQTGDIKETATRIANPSGLTRYQDDFQLVSIHLISKEE SERVHILGVSITGARIYFTHLSDIRFGASLGPNEQPKTIKIAHVILSPNYEPQGIFSF IQQDQIDNSKTVLGSYYNKNVFLTAKGKVAGEKIVSVANLERVIVTNYGNDSNFLTST YIETTASIENHETIYGIGEIDEKFYRETSTGLPKDIRQFIILGHSSITTIARERPVDG LRRLILKTINSETIGSPELNAFFERYGYTQTCAMCLEIICVESGKKDSESNALVKKTT LVFFEYGGQPSVGLSMASEKNYQGQTRPRPRTKFSVKHDGLGLYLVRLLRPIWKKKIF PLSSEGKFTTNTATLRKQLSTAFYNQVYIFRNDLLHKVPKDFVPSTQRENQLWILDEQ MSFYEMSTLMTHTIESICFLSGIMETGVEKIYQQLQTPSKEKFTDLTVEFIVTTFDGR DLVRELVISIINARATLKNTGGFESVASLLQKNGDTLFPEKDIAFYKGIEAIVKAKSA GVTNEDSEFMKEALSYFKEAPSDLLASKLDQICDEFRKLGWNKAILDLAISRATKEDP YNNAMMFLENGSNPSNPDAKFYKARHIYYSQVLKTIINLKPKNPRDESCQSQKYRSLF EAARNQKEDTVFLYVLYDWLFQNCLYGDLFSLQPDNLLPFVRNYVDHVQGIRFLYKYH LHRNEFFEAAMYVRLLAELDPNANLDERIFYMGQACTFLSKFLVLGGSTEESCKLAKV SELQHKTLKIQKEIRDNLCQQSGRVVMTDREKIMLNNIPMSLNDMFELSRRYNCPDGE LLVLSMAYQCNFNLVQDLWKQILSDNEKEADWLRVSPFQTLKGRLIRLCSQVLESRVV LPLPYVIQILEEYCFNHPNRPSPEFISHIFLSSGVNIESLKAAYAEVLNFKRSSGRSV EEQRHLEMISEQLNSKEQPNANESGSPARLARS PHYBLDRAFT_134959 MSLSETPPKASPCDLTDPLSCSPPVSSELHCGYCQLPFDGKFVR ALNGTFHWECFVCLDCHEPVASKFFPIDAIDGSQHPLCERDYFRRLKLVCDNCGDALR GSYITAVGKKFHMDHFSCSVCSVLFGPDDSYYEHENNVYCHEHYSSQFAIKCSGCQSA ILKQFVEINRNSIDEHWHPECYMVHKASKKDNNQSRNVQNGMEEKVYRIWTVLSAFEE SAAACISDMLLHVSVGSYLDGVKKADNFITHVEVLFGAIDDLLDQYHYQTEEELHHER EAKMLCKKIASFFALLSHTQETGTRRPGFTQELLTLVTGLAHYLKLLIRIGLTGALRL ERMQEPDPMSIGRFLCHLMELANKQRGSPMTPERLTPSDLCQSCGTICEDQCFKSDNN LWHDGCFECSKCKNLLRSEYADAFLHTSRLTLMCKRCIVNTDGYKQGFELVTPLQQYS FLLRTSLRHLYGILSVNGGAQTVQTKETPPGINTTGGRTTSLVNTDNPLEKINLGDIK RLKSTRAKSRLTDSHRVAKRSTLLETPSPNAAYVTNQPHETNSRRQNEQWNETNNTDS SHMEDVTYVQLQRLGKEDALTLEDIPELVAAQQHHMDIAQNPTPRQRARQQANAGPET RSRYFTELSALEHFMVKHISVLHLEEMLREHFSMEELVDLIDDNKNSTLWGKFFTSFK AGGVKKTPRTKEGTFGVPLDILIEKNGVESNLGMGSTGVRIPTFIDDSISAMKQMDMS IEGIFRKNGNIRRLKDTCEEIDRNPNGIQLINETPVQVAALTKKFLRELPDPLLTFKL YRLFIIAQKLDCKEDRRRALHLICCLLPKVNRDTMEVLFLFMKWVATFSHVDEESGSK MDLMNLATVLAPNILYPKSKDPAKEESFPAIEAVHMLLQYQEEFCTVPEDFVPMLQKL SYTECDRELNAKDILKKCQTVMVPRRQQSAGGGMPPLPRQHSSPAAVMGHGSTKPTQP SPSLPSNQSSLYYLSSSPVDMNHPNPSPLNSTLNYHHPIQSHPFPMQRMASQPNNSQN QLLVNHASH PHYBLDRAFT_170825 MSSIEPLITAIVNYCRVLDEASTPRVKLWNHSFLEKCSEWCLFI ETELMIHSKDTREKCYQLASKKIEYVPSLLHLLDAQHQLYKTLLINEHVTLDLYYFIM KTYDFLNAAGQPRPDILTKYIKNAV PHYBLDRAFT_64185 MAAKNPKSAALDQSFYELPETVACFESIQGPLLAELQAQQRDLT FDARDLSILTGQLQQFQQEYLGAYNRPNNAPLRIPTKLFRIDHGKSISKRSALYKILL AAYEYRITKGWRKWDFGNPNKKSKHVDLFQSIRTYLLDMAIITAPRIAFSDTTPESAR KTLTGMAWKMGVHVADNINSATHILYGPLHEYNEIEEEWLRTLEKKNGKVLVHWWYYP DSYDSWLIQTDQFADPEEAPNHSGPWHISCRWLQDSSKFNELMNEEDYEEIDADEGSE PENEDVDMIQANDEEEDEEIEEEREMKHHQPQIKQEHIQEHYQSPQNQQQQQEQLDEQ EEQLDQQEQQEQQEQQEQLEQLEQQEQQDHQEQQEQQDQQDQQDQQEHQERKASPILQ NQSYIRNQDDDQHRHKRKISEAHSDIVSVTSEPASASAKENMSTNTHMHPFDMVPPTV IPAPVIDVNYQPSVRIRDIERERPQLGSRQRKNEFEPYSNGDITNISQHTEAFIEYPR RPAKMLKLDESYQKKPGEVIDISSLASVMPIDYTTINAPSWFDIKTVHQIEKMALPEF FDPQGKKSEQLAKDYMHYRDFMINTYRANPDFYLTAVACKDKLDADIVSLVRIHSFLE LYGLINAQVDPRRRIFDPYVDSEPEAGVKPKSQRDYRNVNNVDMQYLRDLIFDPSITA DKKSAWDLSIEDDTNPDIRKIYVCSTCQTDCSLVRYQCLKHKQVYLCIDCFLEGRFTS TLSSGDFLRVESSNDRYGTDEEWSNSEILRLLEGVDKYDDDWLMISEHVGIRSKEQCI TQFLQLPISDTFLTAQLADKELEELPFGDQPNPVMTMIAFISGHINPGVGAAAAKAAL KELLQSEEAKNEMDIDNNDDEYDDEEDEDEEDEENDEEDNERVIKEENEDIDIDIDNS RHQVKSEKEDTMSEQEKLLFKGLFSPETMKKATMAGLLSAVEQAKKLASYEDQEIQHW TRLAVKTLVDKISLKVQQYDELETSLDNERKELEKQGLVLTSSIENLHRQYAATTAIT SGTTPDIPTAITPTASATATSTSTTPAATASAAATTSTATSTTPDAATSTANTSAAES TTTTITSTASTPTMSTASAIPTNITTAASNSTSNSNSTADTTSTTPTTPTTTTTTTTS HTADNTVI PHYBLDRAFT_64184 MQTKKFTGPDVKKVPLVNFLTGQPSADLLPSSLFANASKKLFEI PGTEDAVLNYAPSLGSPDFLKNLANFLSEEYHTPVYKEHLCATPGASLALEHILALLT RPQTSTKFAIFQDPTYHLVYDIYRNVGFKDDQFIGIPEVIDGSGLDTKVLGKFLAKSL PLADPKTKDVEDYAAVLYCVPTHANPSSSTLSSPRRKELVDLAHRYNVLVLCDDVYDI LTYEGSTPRRLVAYDLDIPGKAVVVSNCSFSKILAPGARAGWIEAKPCLIERVGVCGS FHSGGSPSNLACQIINIMLDTKDPNLCLHRHIHTLRDLLAKRLWIGLWEPIQKLLVPL GCLAVRPKGGYFVWLKLPLGVSYAQLVKTIVDNKIQISLGSGSLFGVPIKNENRLWES DTDRYVRLCFARYSVNDLQVGISRLEAALNLALNAISKRSIKN PHYBLDRAFT_159447 MHHHYYNRKTGQTTSSPSYSQPPSPTISCEAMYQDSMDIFSEST QDACFVPLDLQDFSETVTQEHLPEKQETVPSEKSSCAYPRRHTISSGSSTHDKNVRPL RTKASLHAMAMRNTIQQQMPPFTGYYGNTIDGSYERSLEENDEMLQDIILPTEPVLSE QPAESMLGLLMEQTIPNVWDFREP PHYBLDRAFT_159448 MEESAPSTPEPHELQSAERSHVKKNKVARACDECRRKKVRCNGG QPCVRCRKALFQCVYSKIAPKRGPPKQYVETLEPRLRRVESILDALTLDSSESSANQL ELSDENSLSYPGQQLYFPTTSS PHYBLDRAFT_126190 MLLGRVLAAPVKGSLWAAAFHSSSFQAAAAPVWTSDSVRTGVIA RKKGMTSMWDEAGSRLPVTVLQLEDVIVTDIRTKEKHGYSALQIGSTTKKEKNVSKPL RVHYENLGVGLREKVAEFKVSEDAILPIGTELSASHFVTGQYVDITAPSIGKGFAGVM KRWNFAGLPASHGVSLTHRSGGSTGQRKWPSKVFKGKKMAGRLGGTSVTVQSLKVLKV DPEENLVYIKGSVPGFDDQFVKIKDAVKLRGEKLFPKESMPPPFPTVNKQ PHYBLDRAFT_64181 MNRQRLRNRVTSRANTMPMANPPIPNRSDYDQPNIEDSLQDWLT RSISESASSPVSWLLDNPCRCCGKSDCESLATLTKAIRKLEVDTRLAAEIGQGLLHKH EAFVRESSQTSALLEQQVRQLCLGKSGCNQQFGQLEESRDQVLLLENQLEENDNIRQD LVEKNNRTTWEWQKTQKIIEETAADLETANARCLQLTTELQNRTVEIEKLRIFKFMVR QADAREETLRTKLEDSKQELALARKNELSLESKHKKLRAKYESISGAYERLKQDQQEM TMSPERKADLVWLRESNEKLRRDVLKLTSTLLSPTSDPANHSHLVNFIKELASANTKL KADLLDYRDNAVEADTASENDSPVESTPENTPETTPETTPETTPENTPEITPESTDGV ASPLAITQLKKEDISTWMSTSAPQHEDKHLLRSRKLSKIRARRLTTTDGLGSPLLAKA PSPTSSTSLPTTTPVIHHHYHYYMQRNKAIMQAEEEKPIHPTETIPPKVISQPSPSSS LKTVGSKTSEDKPPYHILLSIASHVFERLQDTDIRALNRQLKRTFDMLELSAMSNTII ENVMVDVDGLRTRFIIIEDSKDIVLADFFPLLNLIQEMLREIGHLRSTMNDLQVEYVK KIEESDTRVKEEALRKQQPPPRKASTGPLAWLSSVFQRADDPKVVHRTLSHETIRPDR PLSMTEDDYKKSTFRTPAIQTVRRKKSDLDRHGPASSFPRPTTEKRRNNLQPKPIPYP VLRSSNSTGTSKRAKSIAAGANGVERKKSALGLNITKGDLSSSPASPDKEADWKGAAF GTSWLGNK PHYBLDRAFT_170832 MTTTSSEPLSSNYFASYTSASSLHNFARRHSIYGTEDRVVLDVG SLYIKCGFSGESHPRHIIPTWTRLQSSRTSNGEAHCVDGPMIELYDLDLMAKGNLKQL EEKLKKLLHDIYFRLLLTDPKSRKVILCESPLAPVVLKQTIAKILFDYFQVPSISFVP IHLMALLTTGMTKGLVVDCGHLETNILPLYDMRPLLPYVTTTPLAGRSLGLRLRALLL GHGRYIPPSTLHLSLAPQNPVPVALLTSDLLEDMKTRVLFCSPVKMPHEEEDRLVEYK NLSIATDLYYPIALPDGGKANLWIPGWVRERAAEVLFEGDEDEPSVVYTILDCLLKLQ PDLRKHMVGSLLIIGGTSLLPGFHARLKQELLQIMKAPTESERKRYSSLLQLWESVKF IESPEESGRVFMNNVRGWIGGSLMGSLKLSGEEMSREKFAGQVMDWSIGNWNSEAET PHYBLDRAFT_126194 MAVRAQFENSNEIGVFSRLTNSYCITALGGSENFYSVFEGELGD VIPIVHTSIAGTRIVGRLTVGNKNGLLVPNTTTDQELQHLRNSLPDSVHVQRVEERLS ALGNVIACNDYVALVHPDLDRETEEIIADILQVEVFRQTVADNVLVGSYCALSNQGGL VHPRTSIQDQDELSSLLQIPLVAGTVNRGSDVIGAGCVVNDWCAFAGMDTTSTELSVM ESIFKLQDAQPSAIVNELRDTLVDTYS PHYBLDRAFT_64177 MAAKGIDAEVDYYDLLGIQITSTEKEITKAYRVKALAVHPDKNP SPDAGMSPYIEAYEVLKDVQAKAAYDKLYRARLDRKKKQMEMDSKRRKAQEELESREN AAKRTKTDLSEAEAQYKKELARLREEGAKRRQEDWKTEEVVPEMPETTELDCALKIKW KRKKHAFTEESIKELLNTIGKVDTIAFSEKKKGSALVVFKTVVDAHAIMTNKDTNQSL ALFESIDWATGKEPAIVGRLNADYQKQQAARQAHYANDTRQTIPTGKPLFSAGSQSSF FRNISASAIKLSSNGPKLSDKDYETITLMQLRNSEREKTLRKLKEGGQ PHYBLDRAFT_182288 MTAAAFSKNLFDILGDEDEVRPQTTAPVKEQKKTDAAKPQGKTD KRGPSAKPKDGEAPKQRGPRGPRREGGAPREGRPQRGRQFDRHSATGIADSEKKEKQG WGHPESAQAEASADTPSSADPASAEKETPIIPEEPEEIVKTLDEYLAEKAAKALKVAL PESRKANEGSDDAKWKDSVAFVKTEEPDFFVAKETKTVKKTEKVKKEKVLIEIEQRFQ EKARPAFREERRSGPAGGERRGRGNGRRNNAPRQTNGPAVNLQDDSLFPSLGA PHYBLDRAFT_187821 MARYTWPFWLLLLAPLVMAQTQAITPLDIVTLPRPSGLSASPNG SLAVYTQSVYDSKQDKTLRQLLLLDLETDIVKSLTDASSDSTQSEPFFLDNENIAYIQ HTAGVDVDQLHVLNLESGDSYALTEFPIAFGNIKYNHKKSLLVFSASVYPGGSLEDAK QKDKVLKETKKDTGLVYDQLMVRHWDTFVPEKVNNIFVVQLENDGKYTVGNNTINLLK GTALQSPGFPMGDASDFDISADGETLAFVSKIRSPDNAWETSQHVHIVPTSGESEPVI INGDIPAASAGPKFAPSGVLAYLQMYAPQYESDRNRIIIWDPATGTKTTVAESWDRSP SELAFSPDSNTLFAVADEYGRHKLFSIDLTTEEITALTNEHALSGLSVLSSSRLLFSL NSLEHPNIAHTLDLDSKVLTKHSVSDALSSHLNRLDVSKPDEFVFQGALHDPVHGWIL KPADFDASKKYPVAFLIHGGPQGAWSDSWSTRWNPQVFTGAGYVVVAINPHGSTGYGQ KFTDDIQRNWGSYPFIDLQKGLDYVLDTNEFLDADRVVALGGSYGGYMINWINGHTNR FKALVNHDGIFSTLNAYYTTDEVYFSEREFGGVPFHTLNRLVYERWSPSNYVQHWQTP TLVIHSGRDYRLVDGEGFATFTALQRQGVPSRLLYFPDENHWVLKPANSLRWHKEVLE WIDKYAKVDEVAVRPEFDPQSSFTVQTEQFLSSTF PHYBLDRAFT_147976 MSTTAEPSLLSQGFSSQITTLLKGLKQQLKQLEHDQQTLSDGIT EAVSAAISEEELADIKANMAKVAVYHTKILSLQSTMTMLTARSKQLQFKADKLKSTKE HYLSQVDEIRRMEQAKDQDIAAKVTSLSPSSSYSPLKTSVEMDDDDQSETMPEISKSM TMPVISKAKTLTKTKTKKKKLRVREVEIGEDASPAWVPKSAQRETTRP PHYBLDRAFT_114924 MAEFEADLADENADEALEEEDAPKEESGDSWLKSDRDYTYDELL GRVFKILRQNNPELAGDKKRYTIVPPSIHREGNKKTIFANVTDIGKRLHRQPEHIIQF LFAELGTNGSVDGAQRLIIKGRFQQKQIENVLRHYIVEYVTCKTCKSPDTLMTKDNRL YFVQCESCGSTRSVSAIKAGFKAQTKEDRRALRA PHYBLDRAFT_77626 MQNPARLSQASNSSSLSSYPSLDRNPQLSETTVDDDESCYIPLD MTGDTDADKDLVIMSLYESLHIHKKFLGSFHMQQEKEILARQEQEEDELHVTKDALLS TQKEKEIADSALAEQQQRYEQLEQSYQALVQEHSLQKEEFKKMEKNFYSYMRSVRATD DDLSTIQSEFTHISSQLNNMCMSLKSSIDRTKATAFVFARWPDKRAIMEEHMLKENNN NNNNNNSNSNEGLETSYLTLLTEKYLFESLLAEILNQPVHLGVSINDAFRQLSDWIKP RNPEWTLRLRQQLSALVVKQADDEQPAIVQAMSQLVDDIMAGLGSMYPTIHTDSRHRG KIETIVARAAHLNLAIKGQDIDIGTVPVAEGAVFDSTLMKAAGRGKPQGKVLLVISPL FMAHDPKDSEHGFLIRAKVFCV PHYBLDRAFT_134974 MAQALVSADVLQQLTPMLASLLSSDNNARSAAETQLNDQWVAQQ PDLLLLGLAQFVAQNPEVQLRSHCSILIRRLAFKQYSSSSNPESRLWDMVQDNSRRGV KELLLMALANEMDQSARHKVADTIAEIARSELADDEKWDNLLESLFKCSQSPHAAHRE SAFRVFASVPGLISDQNNDILKSVFLASLTDAESQEVRLEAMKAAAAYIIQADEPTQK SLGSLMPQMLDPLSPIIAARDDPTLVEGLIVLIELADNCPRLFRPVLANVLSVMVGIA KDKSFEDRTRQTALELLLTLAEAAPGMVRKVPNFASEIIPVAMEMTTDIEDDEDWYTT EDLTEDDNEENYVMGESTLDRMARTLGGKIIVPVAFQFIPQMLQSGEWQQRRAALMAI SSIGEGCVKALKPELGNIIQMILPSFKDAHPRVRYAACNAMGQMSTDFSPYLQKHFHQ AVITALLPVMEDSTQPRVQAHAAAAMVNFCEETDKSILDPYLDAIFERLLVLLKTPKI YVQEQAITTIATVADCAEDKFIKYHSVIMPLLLDALRQATDKQYRLLRCRAIECASLI GLAVGKEVFTPYTQTFINILAEIQQMPTEADDSQTTYLLAAWARMCKMMGQDFLPYLP NIMPPLLQSAQLVPEITLVDVEDDDVEAKYPTDDGWEFVGINGQQVGIKTSVLEEKHT AIEMLLSYARDLGAGFLPYVAPVLEIALPLLKFYFHDDVRHAAAALIPLLLKDAKDAN VAQVELANMWTTIFEKLVKIMQIEDDVAFLSQVYTTFYECVDVLGENCLLPAQLEAFN KANEEQLKKFLERLSSREADKQSGDYEADDEEQRAEEEELEEEALGELARAMQAIFKS QGAGYLPYFDKLLPLVTQFLGHPNTSARQWSICVFDDVVEYTGPNSFNYSSYFLPAVM AGLADPAFEVRQAAAYGVGIWGQFGGPQFADACVAALEPLFAMINSPNAREEGEVYAT ENAISAIAKICKYNGSKTNVNQVLSAWFSTLPILNDDQEAPFVYTYLLDLLEAHHPSI LGPNNDNIVGLVKIFAQVLASDILQPTLAARMVNSLKVILAGVDEATRTQLWSVISPE NRAILQKKGYV PHYBLDRAFT_19530 ESPVAQSVIPFVIEQTGRGERSYDIFSRLLKERIICLNGPVNDN VAAVVVAQFLFLEAENPEKPVSLYINSPGGSVTAGMAIYDTVSYYKDLYFYIQSPVST LCNGQACSMGSLLLAAGKPGKRFALPNSSIMIHQPSGGAAGQASDIAIHAREILRVRE RLNRILQKHTGIREIETIEKMVERDYFMSAEEALSFGLIDKVLEKRTEQKDLLKKD PHYBLDRAFT_170842 MAKKIVKKQEISIKKQEISIKKIEKKEDPVVSENEDSQSDVESV DQESDAEAERDSFNGSDMESDADDEEEENDEYEPMEVDPHTVKPKKKVVTDEAFAEAM KNILGSTLKAADKKQPILARSKGTERKIEDERLDHKARKVLSAEKRALKAQGRVVPDF TNMEYEKALRKVATRGVVKLFNAINTQQKVTDVAVSKASEVRKAKVAIEKAKTMSVMP KSSFLELLKVGNDSKK PHYBLDRAFT_115086 TTGTPYLLSLGLSKAYMSLVWIAGPLSGLLMQPIVGVVSDRCTS RLGRRRPFLIGGSVFVILSLLIIGWTKEVTSVLTGAQSGDTFKKVSIGVAIFAIYLLD FSINCVQASCRALLVDSLPPSQQEDGTAWAGRMVGMGNVIGYFMGYADLVSAFPFLGN TQLKVLCVFASIVLVVCDAITCYAVKERVLSKDSRKKSRGSPFKTFSDIGKHIWNLPK PIRRICNVQFFAWIGWFPFLFYSTTWVAEIYDQEALKRPENSADDAVGQATRAGSFAF LIYSLVSLGASFIIPLIVSPSFREDYTPAPTYNLEFKFRGRKHVFTPSKYLKISFLTL PRAWTISHVIFCIAMLCTIFAKDVVAASVVIGICGISWSITMWAPFSLLGEYIAQNEA RYGGMSSARQNGDGHRLDKDDTPSAGVLLGIHNMYIVLPQFLVTFFSSIMFHFLEKNL PEGEDASPDAIGVVLRFGAIMAGVAAYFSMRVR PHYBLDRAFT_97134 KRLRYYIPVFQWAPDYSASLFSHDIFSGLSIASLLIPQALSYAT TLCRVPAVNGLYTASVSGLIYGCLGMSPHLSVGPEATVSLMIGASIAQQSSRYDGEVN PETAAAVASLTALFVGIFTLGLGLLRFGFLDSLISRALLRGFITAVAVVVIVQQTILM LGLEEMARLSGIKSDSTTIERCIFIFLNIRHAHPLTTIVSTSTLIVLFGSAFAKRQCC FIKRVPEVLLVVVTSIIVCRWNRLDLAGLSILGSVGSSGINSPLPVPSIPYLPAWGNL RTVLMDSAMISIIGFIESIAASKLFGRRYNYFVSANRELVALGVNNVIGGFFGAFPAF GSFPRSKVHETVYPKTQMSGIIAGVTTLAATAFLLPVFFYLPKATLCCIIFVAVTSLL QELPSDLRFMWKLKAWKDAALLGTIFFTTMIFSLEVGTCVAIIISLVATVRNNSCPRI NALGRVKGTSEFLPINSNQYSVEHIKDTLIVRIEEPLHFGNAGKLKERLRRIELFGNL DIHPSEDPSKKDVNSIIIDLAGMVSIDPSGVHVLYEAIESYTQQNIEVYLVSIQSNTS ALLHRADIFCLVPSNYIFSRLSEAVEAVEIKSRP PHYBLDRAFT_19815 MVWLAMYFVLNLTLTLYNKIIMSLFDFPFPWTLTAIHTFCGAVG SFVFWQSDIFAPANLGERENMIMLVFSVLYTINIAISNVSLNLVTVPFHQVVRAMTPV FTIIISLMFLKKRYSKMIYISLLPVVLGVAFATFGDYDYTAMGFFLTVLGTVLAALKT IVTNRVQVGRLKLHPLDLLLRMSPLAFVQTLTYAYITGELALVINFCRTSFSWSLFFA LLINGVIAFFLNVVSFTANKKTSALTMTVAGNVKQVLSIVLAVMIFKLHITATNFAGI LLTLAGGGWYT PHYBLDRAFT_134980 MEQTYIMIKPDGVARGLVGEIIKRFEQRGYQLAALELMNPTKQL LEEHYQDLKGKGFFAGLIEYMASGPVVGMVWVGKDVVKVGRKMLGETNPLASAAGTIR GDFCIEVGRNICHGSDSVESAQREIAMWFPRGVKVASRNTSLHALIYEN PHYBLDRAFT_170847 MNFLKVTGFFSPESFYSLETSIGSEKEWVFTLWTNTTPPRPVSC KMTNECYKSLGDELVLVQDDLYDLTVGGQFLKYAFNGSLDSPPSACSTNSLILVTEYA HLKPKSFMLTAMLITRNRMPIIHRIEHLPRDDKRALTTVIVLKLCVCSSKNRDDSQEP EGLAPESTPGSTPGSTCRDPVLVSAVISPTQKEKEKELLLLLHQQQQKQQLQAQAQAQ LQLQLQAQAQAQAQAQTQTQLQTQTHRPIASILRTEFMSKYPLGRSTRLIGSR PHYBLDRAFT_170848 MHRYMVEEEEGWEKCFNSKINLGLMLRLLDDFLKGMKKTLKPWK ILDALRVMRGIRGIRVKRVIGALMTLTALKALGALETSKISDISNDLMISQTFLLYTI VIVCAIKINSKKNSMLIAS PHYBLDRAFT_134984 MASRTLYQALAGTRKQLVVAPRTWMRTLSTAQGTPAAPESAPTL ESLVPPTDALKKPIRRTINETVEPITPYNMTIAKLLAAGLHLGHSTSLWEPATLPFIF GTREGLSIINLEQTLVYLRRACNVAREIAVRGGTILFVGTRPGFQDLTIDAARQCEGY HVSGKWIPGTLTNAHQVLGRHAPPDPEDPGRAPKTYKPDLIVLLNPLENKIAISEAQL HKIPIIAITDTDYDPRRVTYPIPANDDSIRGVELIAKVISSAAKDGVFRRKHMLDQKV KETKFNRNENNFSRR PHYBLDRAFT_126213 MGAYKYIEELYKKKQSDVFRFLLRVRCWEFRQMNVIHRASRPSR PDKARRLGYKAKQGFVIYRIRVRRGGRKRPVHKGATFGKPVNEGVNQLKYQRSLRSTA EERVGRKCANLRVLNSYWINQDATYKYFEVILVDPSHKAIRRDPRINWIVNAVHKRRE ARGLTAIGKKSRGKGKGHRFTKTKGSGRTANWKRRNTLSLTRYR PHYBLDRAFT_147988 MSLSFRIGYKSNLIPITLPLNDTVLGLKQHLTSHTDIPPENQKL LWGGKILQNDQQLADLQLSQDSKITLMGSQPRQLKEAAEIDKKLNERLTLAPRLHKKT IKRTPKTSEDHKYTFHRITTLPEFPNPDQAHKLLERLRDDRGVRAIMKARQWSVGELI ELTPFEATLLGYNRNSGQLIAIRLRTDDLSGFRHYDSIRKVLLHELTHNVWSAHDDNF HELNRQLNKDVVALDWTAHGGRSLDNEAYYDPEEREEGTSWESGTYRLGGSRSTPDLS QRELIARAASGRLTKKEEQEMDNGCGSHQG PHYBLDRAFT_170852 MTSADIDQIVTHPVPERLLKSPDFPTPHVDSMEKYKAMWKESVE KPKEFFGKLATDLLSWSKPFQTVTHGSFEKGDVAWFLEGELNASYNCVDRHALKTPDK IAIIHEGDEPDKVRRITYGELLRDVCRMANVLKSLGVRKGDNVAIYMPMVPEAIVGIL ACARIGAIHSVVFAGFSAESLRDRVMDSSARVILTSDEGRRGGKNIATKRIVDDALRD RETPVEHVIVLRRTGTPVPWENDRDLWWHEEVAKARTFCSPEPMNSEDPLFLLYTSGS TGAPKGILHTTGGYLLGAAATVKYIFDYHENDIFACMADIGWVTGHSYIVYGPLTLGA TTVLFESTPTYPNPSRFWNLIEKHKITQFYTAPTAIRALRRLGDEWVEGIDLSSLRVI GSVGEPINPEAWDWYNEKVGKGKCAVVDTYWQTETGSIIVSPLPGATPTKPGSATFPF FGIDPVILDPTTGKVMDVVDETGVLAIRQPWPSMARSVYNNHSRFMETYLSPYPGYYF TGDGASRDKDGYIWIRGRVDDVINVSGHRLSTAEIESALVHHETVAEAAVIGGFDSLT GQCIHAFVTLKPHIEATEDFLTKELTLQVRKIIGPFAAPKRIYIVNDHPKTRSGKIMR RVLRKIVDNEHKQLGDISTLADPSVVAVIIEKVHGPV PHYBLDRAFT_64158 MGMRGHGILGKPFNCPGILSMEQAVVVSFVCDIYWICGLSYASQ KNSISQKKRLENTNGSKEHTTDRLSYAQGPSYNKLFTADMLRYLDLLSVIGTLWPIRA PYLDCSLKQNNIKRNTHMSYQYHSNVKPSSSWLGGDITFQEHNQNV PHYBLDRAFT_134993 MAVVSNSAILSKYLALEQKGQVQVEYVWIDGFSQLRSKTKTVDK VPTSVSDLSEWNYDGSSTGQAEGHDSDVLIQPVALFSDPFRGGDNKFVICETFNPDGT PHKSNYRFECEKIMTLYADSKPWFGIEQEYMLFDPETNKPYGWPKHGFPEPQGKYYCG VGAGKIFGRDIIEAHYRACLYAGVNISGVNAEVAPGQFEFQVGPCEGISMGDHLWAAR YLLERVSEDFGIVVSIHPKPIKGDWNGAGCHTNFSTEEMRNEGGLAAIEKSIQKIGKR HAAHIAVYGEDNDQRLTGRHETGRIDQFSYGVANRGASIRIPRHVGKEGKGYFEDRRP ASNMDPYRVTAIILESTFADI PHYBLDRAFT_64156 MYKSPFTWSPGTNSFLAILHPTSDGSRLELLKPEWSSLSKDTGF SSSIIAKVNTVVSTLSGHTAEIESLALSRLEPHMLASTGAKGEVFVWDMNHLVKPYVP GVPSVRMDVVTGVSWNSQVPHILSTCSNNGFTSVWDLRSRREIMSLTAQFTTSTQAVQ WNPQVATQLVAASEDFSSVIHIWDLRQNRRPSKTLVGHEQTIISLSWSYNSPGQLLST DKAGRTLQWDPSLGTLVEELGSHNQYALWCPQRPDSFASCSLDGKIKFCSIQSNLPNV PVKQELPISPHPRTDSRPIYRSVGASFGYNGKLVIFRSRLSKSNINTPVPQVHIMDIQ PLPEVTQRAEKLFRCLSQDLDGSIDRLIDKKIQLEYKSQEWKMLGALVSKDPRQTIIG LVEDKRVPMEYNKSVDEMSPDMIRRKDSDRDRDMDIKISRCVSVGDFGSAVDLCIESN RMAEALLMATCGDKDLLKRTQTIYFEQRVCPPSYLCLVKHILRNDLHSMTHQSPVEDW PHIIAAICTFASPSELQVLLNAFGDRLLKSFRSQALICYMAAGNMTKVCEIWLQYYDP VRTTAVQLQDLVERLSVFQKITGFEASKKDPPHVFERLYQVYCDYAHLMATHGRLDIA LYYYSIIPNIFHNTPQMLVLYDRIYRASSSIEAENLRIPPLAFEYQEILCKPDLQNKP PENTQSTDPSLKARVSPNKPAFDALQDPLSPHELPLLEKKRFPFFSNLRIIAKDDKSH IIPEQRIIYTVLVKELREARRCSPINHKRTLDDTERRLKILFDQLNNQQVSETVVGCM LSLVKALEKGEYEEAERIQVELVATCYDACGSWLVGVKRLIGHAKQLNCGRHDDPSSF LVYDSMMDSPTSLNDRDDEGSDPQSNHHTWLTASMSVQSLTGLHDSSCPRATEDTVGM RRGTSQQPSVEPFHIRATRGRRNSFSSFLTTKHTPLLSRSRRITTQTGDLNGHQEHEN TFRKAHRPRSYSDKSTTAQATYRDELMSHFLLGRYWALAIPIWIMTFVWFIFVSFISY NLMNTAPLDSYACITDDHANMMEAPLPLDNRPSDWMPELHDLPISLVNALLYSPSNQL PVSTEDTEEERDALMPLRTSRKYVIGHS PHYBLDRAFT_187831 MATPPKTEPISILKSSSNPRSGPSEYANRTARIVLSDDEDEDHH DNPNRFLSDGEEDDPPALPVSDDAVELLKNEKVVLSGYLRKKGEKRRTWKKRWFVLRT TKLAMYKDDKEYKLLRIIDLHEIHSVVQVTSKNKYKYVFAIITPRRMYYVQAKDQHDM DVWFEQIERAKQELKLYDTDDDASLKDRDQDYAKDVISSYQSNHAANMLHQRRSSTTT NEHISETPQTTRSHVPPVDIPRVSTSAHGAYSTSHTYPLSPGSDQQAQMQIVEGLASS EDDEEYGYNEDIMNAQLEETRNKVLIEGYLMKLGRNKSWRKRWFVLRTDTLAYYEDEK EYSPHRIIPLTHIIDSLEIEPISKSKQYCFKIIIPKRSYVLCASTVVDLESWLDALSV AVRRAKKEESETKSSPHTHSHPHPHPRPTSHTPDTAGYSLEKSTTLSSNESFDNSSHI SGAGGVGGAGGALGGSSPSGLTKIHSRHSELLERAK PHYBLDRAFT_147992 MVNLTLSKTWQILGPFSIGMREQDFGSDPLEAYGGFYRLPYSEQ AKYPSELVEGGLVSWSSVEAIEGQVGPINFPHVRWQENTVPFGWSIEQYQAWARTVLV LDQPTTFLMQVKGVSEFYINTTRYSGDCYGYGTIRHVIRLEKGLHMIQVRMIHDVRVN GGGHYPPQCSFRVFLDKIENSELDGGVVCLKDYTSLEVEHDILVPSYLADLGFAGQYG SVSLQNYGLSPLRIKSITVQLTRDDQGLNGQEECETVSGLIDSKSVIIAPGQACSVGF SFYLKTPVADVTDLKMALLIQTVMETQTAEKISMLVATVNIKPVDWTNSAFCYTFTDY DGTVQYAMAKKPRILDTDPTKPILLALHGAGVQANSEFWTESIRTQQNSWIVFPTGRT PWGYDWHGPSMKNAFKALESLSSIKDLITPVNTSIDNSDDWVLVSTSLQGNQTHKDKE VWVVGDVNRVIYIGKHLWSIGHSNGGQGAWYLGSHYPDKAIAVVPAAGYIKIQDYVSY ANWNGSSYADPLLRGLLECAIAEYNNDLHLSNMRGLAVLPRVGSEDDNVPPLHTRKYC RLLNEVAKDPCAISVSEVPEKGHWWSSVLDDDIIQKFLDQQIQKGTKDVPDWKDFMVS VINPAGTGSVRGIEVEQLDIPFRLGKIKASKLASHQISLKTVNVSAFKIHPWLGTWTE LVVDGQVFYYADTTTDSVLFVKDYKGSPWKIVDQVSWPPSGIRSKATYGPIHRMYESS RPLIIRVPSDVRGSLKMIFKHTALQLSHDWYLYGRGNTLIIGDQEEVPVTESCELSFQ IYLGLPSQNRALRCLLDRVPSDISLTETSIGVGQRTFDEPGTGILSLVPGVHSNELGI LVAGLDVAGFDAACRLLPRRTGMLVPEWIITTPETRSKGIGGIVGGGYYDNRWRSFGY PHYBLDRAFT_101790 VWVGQLDWSATSEDVSNFFSKCGEVKDVRIRIDPDTGKSRGFCY VDFVSKKAKAAALKMDGSEFMGRNIRVDEATTATPRKNDENYGPKTDTVFIANLSHDL DEESVALAFSKFGTIVGDVRLPTNRETGQIKGIGYVQFSTADEAEAAVKGMNGMAING RPVRTDFSGGDDSARVNSRGDRGGRGGRGGRGGRGDFRGGRGGRGDFRGGRGGRGG PHYBLDRAFT_147994 MPKINSKMRALSQKAAAKRLSHSQSESVELARKEVDPTPEISES SGTEDALNGKYSRNRSSYSSRHVRRLKKAEREAAKGSARVDSFFLPIANSSSAETEIG LDEESDSADEVESKEEFKSRVKDAIIDLSKFVVPVISSTSEQQKLGVAEMGKYEGAYH YLYTLINTDMKKMAASKFASDIVYKQKSTWYRARKIRQHAKEYLETRRISLGAQGKHA KRVSVLDDEDIKQQILEWFRSQPRAKRSIAGLSVHLKEVILPKAIGSSLISDELEIEG SAIKPLSTDCLRRKLISWGFYFKHLGKVVYFDGHEREDVLTYRNAWSKRMMKYYQYSE KYDNVTPSLVSYPQLPEGVKQHVFVTHDESTFYANDYQKYAWVEDGESYCLPKSEGRS IMISEFQCPCHGTMRGYVGDQYKTSRVVFYPGAQYEGYWKSSHMCAQLTDIIPLFNAI HPNAVAVFLFDQSSNHKAYPEDALLAQNMNLCAIEVKDSDSGQGKFRDSSFYVRKQYD YAEQQKNKKYKKYFIGLRGILQQRSMYRNEAERYSLKRSCNNVATADSRCCAIHIMER QPDFANKKSALEEIVEGSGHKFELYPKYHCECNWIERYWGAAKKEARRECDYSFQSLN RKINSFLDSVCPPEDDVPEKIRRYFHKSFAYINAYSLGHDAEHAFEIVKQFSKLHKSH RKLRLNQ PHYBLDRAFT_114967 MSLAKNTNTEEIELMRKLHGKLILDESGFFQKVTQKNSSLNGKA ADTYYQNWKDQNNLKNNEKDVESRREHAQTMTNSFYDLVTDFYEYGWGQSFHFAKLYK GDTFEENIKRHESFLALKLGLKKGMKVLDVGCGVGGPLREVVKSSGYAHVTGINNNAY QIERCRAYSEKYGLSAYTDFIKGDFTNMPVEDHTFDSVFSVEATVHAPKLEMVYGEIY RTLKPGGRYACYEWCTTPSYDENNINEKKIIHGIEQGNSISKLYTTEACLAAAKSVGF KVIEEGDAAILDAATEPWYNTLKKPEGLRGILRSPYGRFYTNTLLTGLSKFNLVPPGV LETSQLLNSAADTLVAGGEMGIFTPMYFFLVEKPLDASS PHYBLDRAFT_147996 MQPSLTLRAVHTPLIRFVGSRAALWKDAPHHTGPHVMTPSNLEK HVAKASEVVAKTAAPAAPTTFTTGDMPARYRRAPITELEMEAIESGGATYSF PHYBLDRAFT_147997 MSNPRDQKSRVNHVVRDSQLLPASGPEKITSFSQFSPLALKTSF VLTITVAVGFHAFINESGEDWSVETPKRDTNGYPHITLCSVGEYGRQVHGAQPSRAYF GKQRPTGSQSTPLRTIREKNTKADPQDRLDFLRDMQKTRDDMKQFRNEMNGLAKEIDS MTFDINRSNNRILEIEQDLTATQEVNVNLQILLENALESQKEEDVHATQAIKSMYSDL ATVAYENNQLRGRLSLIEKGQKKHKGSIYDVEERIKEYTSMLGQAQDTIHMLQEPRSR MRLDESLLHMPLDAFNSRRTSETLSWPESTGSSLQKESESTFSRPHINTDSKLL PHYBLDRAFT_134998 MDQEGKIRKLKADIEKSRMMRDKGIQMRSLLRDRNGIAQCEAEI SQCQKFIDYFTEELHRLETRSSKSSTRSDDTHENLQPTTREVTQSPVQGIRLPRSSSM PVKDEVEKKKYTNLDLLMTDTPYNKPKVSVKLHELEYKLDVEKKVLTGIKTMAEVLDR EPSLSDKRRRAEVQGQLTESIEKLSLLKRALRKYKQLYIDEGDDDDYELETAPSARVP PDLRRPVTGKLQLQLIGARELAHAPTSMIKIPDTVVHIKIDGNVVYRTRPSRSDRWLE EYEIHVNKASEVEIAMYDQAGERILPIGIFWLKITDIVEGLRKKKVQQENGPGWVKAD VLHQQQHISGHDSGPASPQLQATPVQETQSGQEGIEAWFNVEPQGEMALRLNFVREAG NRRPLDKLGRAGAVRQRKEEVHEMNGHQFVEKKFYNIMKCALCGDFLVNSGFQCEDCE YTCHKKCYGRVVIKCISRSVSETDYDEDKLNHRIPHRFEPLTNIGASWCCHCGYMLPF GSRVAKKCSECDITCHNKCAHLVPDFCGLSMEMANQMLAEIKAAKRKTTDGAITPSTS TATSAKPHRIQSNEEVSPVEHADDDYSVKPDLLSTQLSHLSVETPPTLPQKLPVAEPE SPVNTSSPGSPLLTGAMTPPRMSMPSSPGQPVPMTHGQGYPNYANQRPPQQPQHLQYP QHPQHPQHPQHPQQNPYAPIVNPAARPQQQYPTEMAPRVSIGPQAKPVKPAAHKAGLD DFNFLAVLGKGNFGKVMLAEEKHDKNLYAIKVLKKRFIIDNDEIESVRSEKRIFQAAN RERHPFLIGLHSCFQTESRVYFVMEYVSGGDLMWHIQREPFSERRAKFYACEVLLALE YFHSQGIVYRDLKLDNIMLGLDGHIKVADYGLCKENMWYEQTTGTFCGTPEFMAPEIL LEQKYGRAVDWWAFGVFIYEMLLGQSPFRGEDEDEIFDAILEDDILYPINMSRDSVSI CQRLLTRDPGNRLGAGPNDAADIKAHPFFRAVNWDDMLNKRVPPPFYPTITGRLDTSN FDEEFTRERPALTPINSNMTRVEQQEFTTFSYIAEWIET PHYBLDRAFT_200801 MMLNTYKSYTERAEQHPNACARSLFELMERKKTNLSVAVDVTTK KELLSIADSVGPYVCVLKTHIDIVEDFDKDLVAQLEALAKKHDFLIFEDRKFADIGNT VKHQYEKGVYKIASWSHITNAHTVPGEGIIKGLGEVGLPLGRGLLLLAEMSSKGALTK GSYTTESVEMARRNKDFVFGFIAQHKMNEYPDEDFVVMTPGVGLDIKGDGLGQQYRTP HEVIVESGCDVIIVGRGIYGKPDEVEAQSKRYREAGWNAYLERVRMHKA PHYBLDRAFT_64147 MHIIIKGIWRLLVALSIDLDAYVNCLFNTLNPWYKKLRKLMLIS GGSITLGSCLLVRRSRQFLVNFSDNSKLYRKFLTLIWWKLKNLDQFGLLMVAQYCLSV IKRFLLKKKAILLKSLKKKLM PHYBLDRAFT_115097 QPSNKSFRTKVKLGKAQKQNRPLPHWFRLKTDTKIRWNAKRRNW RHTKLGL PHYBLDRAFT_96884 MSHEYTPKEISTCLNHSRVLYIGDSIMREQYYSMAKLSRDFKIT GSLHIDRHMVFEELGMVYDFWWDPYLNSTRTIELLEGKSKEQKPSLLVMGSGTWHMRR LKKNYFKVWKPTMERVFKAVEKHRIADTVLLSPVETPKYDLLWPPRFKTITAPKISQM NAYLKKREAELKTKVPFKITYVWNEIASTSNNATIDGLHFLQPVTKAQGLLALNFRCN QELPKTFPMDTTCCYTYPSPQWFQTVWFLVPLVWVPFCFLVANYEKRQDQFFVKYLVP SNNVLYALLTMGLGVGYMYLGDRTQLFGKIHKVFQPVVFSGLLLLLLGVGLVTLRKKE SGDQGFLNRAQTDEWKGWMQIIILVYHFLGASSVSGIYNPVRVLVAAYLFQTGYGHFF FFYKKGDYSFGRVLSIMVRLNLLTFVLQYLMNTNYLSYYFSPLVSFWFIIIWVTMYVG NKWNKTPSFLLAKMFGMAMLTTLIIHYPGLLEFAFKCLEYTFNIQWNPVEWRFRLALD AWIVYVGMLIAYLNIKITEQKLPSKAYWSTAKNASIVVSCVAMIWYFWFELSRPNKFV YNTYHPYISWIPVLAFTILRNCTLGLRNRSSRFFEFIGKCSLETFIGQFHMWLAADTQ GLLLILANPMWAHGLGWWVNLGLSTVLFVFVSYHLSQATGEITQWICS PHYBLDRAFT_126230 MLYNLSSGTQHLLTIENFKGNVSTNAFIELISQGIIDDLDEKEQ KAAFDPKPFIRTFENVLDELSVLRTHVQEQCDELESSVQVAELQYRKSVVDLHGSFDE VYRSYDNLESRIGEVGKTAIRIGEQLESIDKQRSRASESRDIIEYYMEFQEDSSERLD SLRYHGGDEGQVKAAIIARRLSAVAKEVDANDEAKASIEKFCESFEKEILEEFDMAYK DGDPRIMGHCAKVLFEFNGGASCIQTYVNQHEFFMSNMKIEEMEELRYSEDHSTLSDP NVPPPEVDTSLVKLYDDIRITVRREAEIISNVFPQPATVMQVFLQRIFEQSIQDHVER LLMKAERMSNLAYLRMLASTHAETKRLIENLKFYCDKEASFVNSVDANGLVASISLDQ TLDRCMADLFVPYTEGERYLTRERLTLNELFGSIVAEFLSYMQQRKKTSLRNQSVLTR TLNQISASTSSGLSPIPPVPMGSDINGSASPTNPFERSSEPRRAISNLVKVDDNGFCL ISNETILRALSIHAEAIVRCVELSDTQDLPSSIKKLYGLLVDFMDVKYLDIVLDDVIE ELGNTKVEPEMTCFPVIKTTTHMIQLLQEHFQTAVVPLVACSPAVHKEILINKNSFMS LLEHKVNTLLQKIIDSASFWLGEILARQKKNDFRPKDEEVVMMSMGTLPCLQSVEFIT RIYKASCKTLQGKNLEMFLMIIGNGFHAQLLDHFKKYFVTPTGGLLVTKDIAKYQEVI RMFKIPSLDDRFEVLRQIGNMFLVKPEILRSILSEGYLARLDPSALYPYLEKRVDFKS AKLDRLLGISVDENGAVTKATGDTGGKTQRRSLFVSDNVVLKEMMKNYNNNREFLSAF NLA PHYBLDRAFT_159461 MIGINRIKMKTKIIHLPQHLIRTNVIVEVTGNGLRRSQTFFAHQ LTVHIAESVGIVRVAQKQTKRPLAGVYVKVYCRYKGKKGAEFWKDGYTGLNGAFDYVS VTEGNALVGKDRFSSDQKSLSDVIKDIAGFSILFLSEQDGAVVKEAYPPS PHYBLDRAFT_64143 MNKPILLCVFDYEETTLIKNKLQVSTPYDLVSGNRNKNPKGLMR GRLWESISVKIQQNVAHTSLRKPKKSVKTLTMPVYNWIGGRAGRSVCLMQCKGRSELG QVIALQRNKSVILANGTRKRK PHYBLDRAFT_64142 MKPRFYFMGIKRPCLHKSLSAHSCSKVIPVGIDINYGQSSINCF ETFGRYKILLERKRDVSANDLYLKQVHELLLSRYEESGSLNKMFPSFEKNLKTLKTWK ILDALRVMRGIRGIRVIRAIRVIGALMTLRALGALGALGASKSSKISQT PHYBLDRAFT_170867 MVYLYKARIQVTLEPRNKINRLRIAYIYLQEVFFDLNDLLIYLL DCQRACLVNFEENTPYWGYSDMWSDILSACVSDKDKVPELVLQTNIEWILYSNKFAIP HLALSFVPKTPRKRKATVVVPYGKINVSFFKKKHEVDRLFPLGQFKKK PHYBLDRAFT_182305 MYNMLVCLAIEFLWPHLYFFLFLYLTLCCDTFQLILYTPLSMFL FFIFILLKKKILYAFLYITFIIIIIIIIFIIIKLIINAGC PHYBLDRAFT_170868 MPSIAPPARRNASRAPSTLLDNDMSIAWSSSPSGPPINYGHAPS SPLPQHQQLHHHHHHHHQQQQQQHHQHHQQQSLGNGVDTESMPLPAMARSSASSASSS TTTIITTSTTSTSTSTSTSTSTNSTTAGPSTQTPAKPYHPEWGVMKDQDFHPLTHKHQ RDLETLYQSGAPIADFFFWQANLGGYCMANMLQNIVVWPEGVYALERRIVEGAPHPGR KKKKSAD PHYBLDRAFT_170869 MESSSRNEVTRLWRVYRTSHQMVHDRGYLVSQNEIDMELEVFKR TFAPSGEVDRDHLTFVVQKRDDPTQQLLVFFPKDKSVGVKPLRVYVERMAQQQIPKGI CIYQQSMTSSANKVIQSLPTKHTLESFQENELLVNITHHVLVPKHEVLTPEEKATLLQ RYRLKDTQLPRIQHTDPVARYYGLNRGQVVKILRDSETAGRYVSYRLCI PHYBLDRAFT_148008 MDAHPHSPTHQSPVMGQQSLAGIAFQDYAEKDQIKRLGYQDQSI KQSRIPLSSIGSEASSHRVFTDSNGISPHSSLFGSALSIADLEPHGHQDLLVELAMAS QQLSIDDQDNQTNYTDNEADEDDDDERRGYRKDDWLDNITERSTSTSHQWNIWEEYEP EYRMLSESLETMKQAIYKDIQNTLNEIKALDSSFEITKADFHEKMEDAYSDMALSLME RRDRLLKQKMKFSKESNMDTQETIRFQSEKVAYIPEDYHLTSLGTLRRDPDSLLATMF SGKHVLTPGGDGSYFIDRDPSHFRLVLNYLRDLRIPPTILQDKTIRQELLQEAKYYQI QGLIDLLKSHWSYAKTLYVPQDDQEEKKRCQNFIYKRSVSFDLTSTLGTMRDLTWYSC TILLLSLAVSTVFGQDASFDTSVNHYESIQGVLNDTANGFSVEYRNWYKVVRNLVTDE SYAVVCCNQNTTTLKGFTAVVNEPLQDVGVSDAQSVLSFIELLGLSDVVKSANSYKAI TSPCYVNLTATPNTVSGTVDAVFATKANANPLTNTAYISFSADSDTLTPLQKASWLVY VGLFFGKEAEATTAYHSIENTYNCHKTNLETTGNVSIAWAYYNTTTSHWNIPQDAYRT QLIQDAGMDLVKPDSTSSGTSSEYSSISAFQNAINKVDYVIDDTDLDGFKDPSYTAWL NLTGFSLAKDANKTFIAGKHTFRNDRLLSKDGFSDWTERSPARPDLALTDIIHMVYPT YQNSYSFVWFKNFAKGDPSHLVSSDTYPSCTDTSVMLNQLACISGKFVPPLSGANSSS SSSSSSSSSSGDTSMTKSSGLSTGGKVGVAIGVLAGVAAVVTAGYVTHKRRSSGPTGT FYKMNDV PHYBLDRAFT_114971 MCCGGVRPTLPTGLRQDINRFAIDGFAQKYFSTHKRGLFRRRVP MTEMLQWTKDSLRQPLIMLNKELYKDALRCFKLVQTIMGDRPRPRSMTEIEDYQSILV CGITKGQMRDEIYVQVCKQIRDNPSGESIKRGWEILCVISVTFPPSKNLEGYLGHFVE QHHHTKENQTDVMSRHVSTKLKRICIRGAKGKVLTPPEIERAKEAPFKPSVFGESLEF IMGLQKNKEQVKSLQLPQIVPFLAEAVREMNGQKSEGIFRVSGDADAVTDLRIRIENG NYDTSLITDPNVPASLIKLWLRELSSPLILPEFYDGCIRCAEDPAKALAIVNALPHIN RRIVLYMISFLQDFTDPVITEHTLMNISNLAMVFAPNFLRCPSESLTTVFENSKYEQS FLKTLITEISVDRNMCLYDEDASLVTGQLMKG PHYBLDRAFT_77613 MMGYSEPLSEGIDPKSRPLKSSPNIGLDQWVQTHLQNSTYQPGY PYVIRSVTTRVQGSEREHLEIPNTPENLANSQPHHIIRRQTSRETTTRSLFQPAPSNA LYTSTNSQYTRTPVPQKYKSSDYRQHQQHLRTVSQHRSVVYGRDAVSPYACLSCLRAT FIKRIRFYRKTRQLNCDDIIENAFTGDEAVSALVKVFADRVSKQDCTALVNLLWHSPA PVFLPTRLSPRSVKANSVIESKDEIFTLIDSDDISSDPKKASKIKGVITALTACYVPT CTPKQPGICYAPTCPNREGDKRALVSAFKPLMQVVSILQPLVLNVDPTKEDPDDYKNH KSWVKLAPEELVKSLPAEEITRQELMFELIYTERNHLNLLKAIDQIIIPELDGTTLLS AEEKKDFMRIMFPNYKWFLDRTSAMYDEMHRRQEEYEGECLPRIDDILLKYYLEFEEP VRAYSKSIPFMTHAIKQYSQRNEEFGLLIEDLGSRHALNRLGFRNILLQPVRRSVNII LILESLVKKTAKYNSTYEDLLQCVAVVKNLARINDEEVAASEDIINLQALEIGLGSKT KTHFLTPLRLLDPERKLLRHGNVKIKNSSDSVDTKMFVLDNFLLLVKVKHYALEEEYR LLRRPIPILLANCRELPPVSLSSSLRGSAGNGTSSGVSSQAADYVLAIGSSGQREADT CLAFKTSEEVCSWMAVIDKAKEEVRKRWEDVKFCDLVCIDDKSFQVGDILPKRGEGTI RCTAPFENIRRQKCIAIGTESSIYLMNCVDGTLRRTLFIKNVTQIAVMHKHGVLLVLA DKVLLGYPLAAFGDTGSPKPLERLVKEIDKHVNFMKVGQYNGQDYLIYKKRKGNNSMF FPLRPKPNIKEIMLAPSERRLINSSNSWFDSDKVFCVGAECFNVQFFNTRLFIACEQG FEMINLTNLTVTENLINDISSPALSTFNKHLLDSKPLAIFLASEDRALVCYNKVVFYI TKSRNVVFQDDTSPVLFDWETPVDHVVYRYPFIAGFSENMIEIHHADTGELLDVLFGD SIRVTHDYELNEVHGCKLDFKANVQLIFSFILRPS PHYBLDRAFT_77612 MPQYCDISTLTLEEEPVCMPAFELFDETIAPLEVQAAPVRTPSA PIAGVYSNSGFDMIQVLSSLANRPNPEIHLGPIDLSCSFLVSDARQYDCPIIYCSPAF ETLTGYSSNEILGKNCRFLQAPDGLVTGGSRRRHTDNQAVYHLKAQLIQNREHQASII NYRKGGQAFVNLITVIPLLDNQGEVAYYVGLQVDLVEQPNSIMEKMKDGTYMINYQQN PLPLQLPDKAILDQMDDYFRELPSLGSTYSFLTNPEVMALVKSKSARSRCDSENEQSY LLEWNKLILDQSDDFIHVVSLKGFFLYCSGAAKNLLEYEPEDLLGKSLSSICHPSDIV PVMREIKEAAASGNSGKVVDLLFRVRRKYSGYMWMECRGRLHMDQSKSRKCLVLSGRQ RPVYKLHWRDICSTSLEGTEFWAKTSLAGLYLHVAAKCQETIGFSAESLEGASIYQYI PNHEIPDMSRAFDLVRQGQRVNLQHSILNEKGNYSTVTSTFYPGDVSSFQSEPAFALV QTRLGREEMFRTDNNLQENVFSEIEPTRGTSWQYELHQLQLANKRLHEQIESLSGPKR KKQRKRKHIQEISKMCAQCQSQDSPEWRRGPNGPKELCNACGLRYAKTIQTRPKITAI PHYBLDRAFT_155869 MTQPSRRNDMSRLQQFDAGEQYSIVDIVGEGAYGVVCSAVHKPT GQTVAIKRILPFDHAMFCLRTLREIKLLKYFNHENIISILDIVKPKSYDEFTEVYLIQ ELMETDLHRVIRTQDLSDDHCQYFTYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KICDLGLARSANSADENSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGRDYHHQLTLILDVLGTPTMDDFYGIKSRGARDYIRSLPFKKRIPFARLF PEASAQAVDLLEKLLSFNPDRRITVEEALKHPYLEAYHDPDDEPNATPIHESFFDFDK YKDQLTKEQLKRKFFFHIIKRKKERLTQNIYINMYITEMLYEEITQ PHYBLDRAFT_170874 MSHSFGSSRNSFRPTEQHMEREGSFSASPSSAAAALLSDSLFPP RKPKGMVEDDEDDEDDEESDSRPKEDPLATQVWRLYTKAKDNLPNGSRLENLTWRMMA MTLKKKAERTAQAQADSTDSPPPPDDTTALLSSSAPLYGQQMLGYPKHDPNVLVYGSA RASSLSTPYYSHGGGTSSITIPADSDMDYQPESFIDLSSHPSYPSYHSSGQQFGLSQT PLTPTQPSQPNTLYPTQSVTAEKYNGPVHAGALSFEEILNIYYNTPKPSIAESPSVET PPPPPPQQPQQPQQPQQPQPQPPKPITISSNTSNITTEGVRQRSVGSMSAFRISQSPV EHEPSLRPIRHLPDRALDPPSNTSPDDSKRDPSAAVRCTNCSTTTTPLWRRNPEGLPL CNACGLFLKLHGVVRPLSLKTDIIKKRNRSGSTAAVNTNNTTTNISHISHISSIGSIN ISSSNSSGNNNNNNNNNNNNNDNNNNNNNSILVGNKINKGKTPAFLQAVYSAPSPASS TTAEPISIDPTPVSGLSVSLPHTSVRITSGGGSGGRPLAVTPAQWPSETLNKRQRRRS LSDKRQQSKLQSQTQSPTTPGSLPNQSPFGGGGGGNEGFGKVLLPRRQPPFVSIEPQM HVTHQNPWPTTPTQIGSFSQPSLHPSSFPLHLTPDQLQQLMMLQQATQAAAAATGGNS IVGGGGGGGGGIDHQYDFSKDVMDYDPSPAVFLKHFIKHFVKLYARHSGEKKAKISL PHYBLDRAFT_64133 MIKSLKKLGVLPQSEKDVKVDMILCFFSDKKNVFTCEDKLPNPS NTYIEHLEAISTQFICRELIVLGARDENSVESLRSPWSEEAVLRNYSPGESNTDDEDD KDIQPSQKEEKKLE PHYBLDRAFT_64132 MPFSSFHFLIFPESDSTIWDQIESFDAGKKATICGPPGCTIAGQ TIVETVLISGQENILTLTLPRIIPERLGLKVGNIEALCFPSRPCCIKERAQDFAKGQK LHVSHGHLTSNWLHTNLWFRTKNVIHSISLDQTSNSLAV PHYBLDRAFT_170877 MLVKHWIFFKQLKSCTNSLRFENTRINYKKKRANKALGLPTKLA PYRSDTVYPAMIATTLSQYEYVLICDKSNIRVSGSPHWTFLLYCVAFFDSPRHYPAEL LKAAITG PHYBLDRAFT_64131 MPSLPRLLENDCLFSRQFNPENPLTHLPFGSRLITFAAKEKLKL LLSKQRYSEAFQLLRGLLKIRFYKPKAFWKVCAEIVQHLAPYDLAGFLYAAFISSSAS NGMVILETWINCLLNSDRTQEALEELDRLIDIQPYNTNVELWRIYAMLKFTEWKEKAD AIKFQSETNSGDENEDEDEDEEEDDEDIEDRKKRLNYPAATRLLSIVSKASEMVPGDT QLRGAQLEANFKNKTNLMRRIYRYAISRRDPGQMSLLLYYWPETEIGSSEWKRVAVSV CNWDPIANVNVALRPLIENLKKCLPGKHVHVDSSHKNQFLVHKYPRTLQNSSDKNQYK SAVRRACFAEVSAWIMSFHLLLDRLAHGSTDKWTLHYTLKIVEFYIIMQKLPRYSERK YITEFLLEDICNRDMETPLVRLIDICKDEPHEIGNPKIAKIAKILEYCAMFRWHYKAL RNPEPEEYVPTETSDPFLSPIINEIEGEVWEEMQDDTPEKDKARRRYESSGGRTEEDI IVELQDLFRKKIMKKKTELYTEICLSSEDEYEEDSGELSNEEELDDTSPLVNNTLDIP LEDPTDKDSSESDTGESRSLVPSKRKKSYGEGSENNIKSEPQSDEYHDDDDDNNGGSD SDSDSDVYIDSDYGKNKATPPFVKRNLRPRTAV PHYBLDRAFT_187838 MTYQPPAPGDKIIIVGGGCFGLSTAYALSLKGIYDVWVYDRQTI PAPDAASTDINKIVRMDYADDTIYMHLAIEAIQMWHQWNKERADMGLEPVFHPSGVLL FSSNGEYSDFEKQSLKHIREAGYGHVIEELKSPEEIIARYPYFKDAVDNGYNIAYLNK EGGWCNSAEAVKHLYGKCVANGVKFVQGAKGELAQVHCDPTQPKKVIGIQTKDGLIHY ADRVVLATGSWTAGLVDMSDQLVASGQVVVHFKPPQSLSKQLKNQPVWCADLSRTGYY GFPTNGDGKVKVGRHFSGYLNPREDGISIPRTQLAHVTDTIPIKDLREMRGFLNEFLP STSSLDVSYARVCWYSDSIDGNFLVAPHPEFSNLIVASGDSGHGMKFITNLGFKICHV IEGIDSEYSRSWAWHHLSSENVRLDGLRADVDQRRAILIEQDNEEARMALAEELLAAK ARL PHYBLDRAFT_64129 MAFVQQHRQPRRSRLSSDEPVVSQRTRELTRSSTLSESDNDWHV ISSALPSSPRSHPSPEAVSPSLEPSEPESFSSFRPSDTESISDIDIPNALTFVPVFAN LPVHDGTGTFLDDSDSQLDSDSPIAFARVVRRILHSPQPATWATYEDDFEPNSPSMPN ILLPNGGIAPPSFASQPQAEAEAEAEAETEAEVEAEVEEGKEKIQSLHSALILPQGLS ESECSKSTQDNDDIKFTRRKHRNLDSIPTHHPSIPGSSTSAAILATVWHHLRRLTNHL IENDTNSTETFSNLFSEAALEGCMPFGSHLHMDFGTGLRSTYASPRMEGMQGS PHYBLDRAFT_64128 MPKLTTKQTKKQESGADMRAFGPLFNKDLGQHILKNPLVAQGIA GLLGSDTVLEVGPGTGNLTVRILAQCKKVVAVEFDPRMAAELQKRVNGTPDQRKLHIM LGDFMKTELPYFDVCISNTPYQISSPLVFKLLEHRPLFRCAVLMFQREFALRLIAKPG DTLYCRLTVNVQLYAKVDHIMKVGKNNFRPPPQVESSVVRLEPKNPPPPVDSKEFDGL LRIAFVRKHKHLVANFKQTTVLHMLKQNYNTYCSAHNIMLEDDVDIKDKVVEILESIG MAEKRAANCDMDDFLKILLTFNNANIHFS PHYBLDRAFT_170882 MPTNTNLPAQLKRKNLEEEIAPSPKRVHPSETTVTMEECGDNVM DLCDNQTFSISPSDLPPRSTTPPLGMTLAHYDASVGGYTASVVKTENRFDAPICSGMT PVYRAPMERWGSCLI PHYBLDRAFT_64126 MSWAKQLKSIFARQWKKTGSPTSASVTRQALNAAPTLDCLPDEI LLRVFEYLDFHTLYGLVQISRRFRQLAFYALEHYALPHIQLVTLIDQEGHGQWRAVHR FSGLDQHTSRATFTTSSMTPARRYKSSSTIAAPVVRTLSLLDLSPKANDSLTYCVDRK QRRMSIRTCGVHEMTVKSWLGFKQKTFVASPWKLFYSVATSPTFRLSLILQQAKTQPQ KKPSTNNSNNNERYITPMGMTIQLATLTRAVQLKSSTSQNLDGLHNMP PHYBLDRAFT_155871 MTSFHQVNGDDFDHDDQNLMHNGKSHEQIIDGLLTKVGYGRFQK KLLILCGFGWLADNAVAIILPRVQEHFSISDKWIGVLSSSLFTGMMGGAFFWGTYSDA RGRKTPYTMTLAITSFFGILSSASFSFWSLCLMLFFVGFGVGGNMPTDGALYLEFLPK EQHYLLTFMSVFFSFGAVTASIIGYIILPSTSCPEPTADTMIPDCDLANQNYGWRLVL LTIGVLTLLMSFVRTFWLKLPETPKFLMSQYRTKETIIVLENIARINGEDVRITARDL PARPVSQPQSIPEEEQAFLDEDGDDVISAAESLSPASESLSNNWRQLFVPKWRRTTLL VFGIWTLTSMAYTMFNVFLPKYLETLGFEKTPSSRKSVYWDYMVYSIAGVPGSLVASY MIETRLGRKGTMALSAFGSAFALFIFSIIDSHLTMVLSSSTVSFLATLLYAVIYGYTP EVFDTSVRGTAVGTASGLGRIAGILSPLASGWLYTFWVTLPLHISVVGFGLVGLCVVL LPFETRATRT PHYBLDRAFT_148021 MSRNIFIRAFSGVIPLTAPYQRPISSRLTFIPRTRFMTTKASSS MPKHTSKSTPTPMPTPTPTPSASVSSSSSPSPPQGKIKQFTKKYGPMGVSVYFALSVI DLSLTMAVISVKGADQAKQAEEWVLQKVKGWLGMSHTPSKIEKGEPSFGSLFVIAYGI HKTILLPIRLSLTAAITPIVAKRFKQWGLQKASKQVK PHYBLDRAFT_3552 YVFDKIIGYGGFSTVRKGYRISDGQKVAVKIIKKSADDDDQIRT EREINIWKSLEHPNIVKVQNVLETDYATYIVADYCANGHLLDFVHSKTKLSEPEAKKI FVPLVRAIAYLHNQAKVCHKDIKLENVLLDECNTVKLCDFGLAMAQQPSMKSDSEVAG GSLAYASPEQIRSGTALGCPKTDIWSLGIVLYALVSGQLPFTDSYAGRLQEKIVSGQL EPLPNSLNLSPEFHSLLNGCLSMNPLERFSADQILSSSW PHYBLDRAFT_182311 MDSLYSYTLDDLYDLLGHHCIVTLKDSRHSSREGFLHSVDPTSG NVILQKDQHSVVVMGHYIATLDIDRESKIPLESMEMPSVEASWLEDRRAKMIKYLEKH HIPFSEVADDSAIHVLGCARVETPYTATSVFCDNALIRKRVRDLVMGLPC PHYBLDRAFT_148024 MPLPPNIVCSETRPALQPNNVPGIQQIIHRLKTRLALARFKHQH GYEHYDLVTLESSLLSSLLKSRRRPVMVPHRYYPSHPKSKPFVRSTASFAHAPTPTCI RSPLSAKRNTSPRPSLSSDDEDAANLLVMLHRMASLDK PHYBLDRAFT_177879 MSEIDTIAKQFLDFYYTTFDSNRQLLAPIYRDHSMLTFEGNQVA GTAAIINKLANLPFQRVHHKISTVDAQPGSPMRPTIIVTVTGLLYFDNESNPQLFTQT FQLVPENGSYYVINDIFRINLA PHYBLDRAFT_148026 MPGAVKLKDSDSKRPAICKEELIDFHDYNRLANQLLYRSDLTKQ RIKVLGPVPYIIPSGKSGDISPAIKGINNRTYLKASKHYDQPIKENFSAQIYSIMEQA KKVDSSRNSSSSCSSTLEFNSDLEDVDQDPQLVMRLNLQMLYTVFDNIRQNIQTSDIP LDDKNPKELPAYGWEDILLSAIETKIPVNVIEGVYRRLSAHYKMTLPSIESHLSIPAL PTPALPSSPFERCAQDFWTDEETQMRIVKDIERKFTLIQEQIENKSK PHYBLDRAFT_170889 MTPSSLSPHYAAQSPSGRKPDLVAKELLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGLTATETIY RLCENILQTKIKQRSKESITNVPKPLLFKRTQQSSSKDLAMKESNYTFSLLNINVDSI KMAEV PHYBLDRAFT_96265 YQYTRFIYCPKTESFLQYKLDLGKSNSELGQLDAGLTTEEAKKR EEMLGPNFIGVHVPSIPMAVIREFASFFYVYQLTSLWLFYYTNYWKVAVAQTCIILVA AFVKVLFTTKSERRIKSMAEFSDEVTILRNGSWVSMTTVDLVAGDVFELKEGKTVPCD CVILSGNIMVDESSLTGEPLPIRKFPIDKHDTTVYDRVGSGKIATLFAGTTLSQVQSS HTKHIPSALVTQTGTATDKGELIKKILFPTKMLFIFDEHIKVVFFILVCCCVLSLVLA LVFFTTGIEAWFYSFGTISQLISPLLPVSLVVGQSVATDRLRKKKIYCVDLPRVLMAG KVQLFCFDKTGTLTKEGLTFYGIKSVEVNMETEKPSFGEHYQETKDMSMHTQLGLATC NSVTMLNDRLVGNPVDIEMFRFSQWTMSPKSGSGNIYLETLVPPMDVPGSVSTSVHVI KRFEFVHARMFMSVAILDPQANKVHVFTKGAYEKIKELCDSESIPADYDLVTSDFAHY GCYVLALAHREIDLDAIGGLNEFLQWDRSQIEESIKLISLVLFKNQLKEDTSENIREL KHGGMRTVMITGDTALTGVYIARQCGMSNPGTRVILGDMSLSTGRVVWTDVDEPEKFG NVNVDSALLNKEHTPVDLAVTGRAFNWLDEQGTLRNYLLDIRVFARMVPNDKVRCVQM HMEHGITAMAGDGGNDCGALRAAHVGIAMSDAEASIVSPFSTSDRSVRSCVELIRQGR SALATSISGYKFLIIYGQIIMTINIISYYFSVALSQYVWMGIDLVIVIPMTWTLSQSK AATNLSDQRPTAKLFGYQTLASCIGLIALNWLFLIAALIMLYKQDWFRCHEFDSAAVD LLKWWLLSDNYEAEITSFVTMFQFVNNGAVLNFGYKYRQSLWRNYLLV PHYBLDRAFT_148029 MVQIHELKTGAILQYIKAGVDLHAYQAREILQRPRSNIQSMETY YLTSLLQKSIHIINGFSEKKGQLWIPRANACPTESLQKKVFSRADRLSQKVREGIVCE QSISNQAFLQMLKNMRKIILQDGVMFRVENRVNQFFNHSFFSDPELFSLKMPYTLPVR LRRNLKTLFYKGPFQFYQDSVKV PHYBLDRAFT_64117 MHYHIWLIISVVFNLKTSLLIIRPVGSRRFKTDQFCYSSKSEHS KTKSSFACPSCYDHFDDYEDLKSHVHSSHMGERNKEGKRQREDEPELTPLAAKKVSLA LKEKTAILTFINYKAGMYSTGGPKLTEKSINEL PHYBLDRAFT_148031 MLKDALNKPIDEIIQFLWRHECPEDLEDQEMEFLEALKLILTHF AGKCKRKKRDSTNSERAFWISYVIPIFDAFADQTGLLNFRWCEIYLQSHADTNPGAVR YVDGLGLDESENERLVMESSSGEYKEKPNHTEDDTLKQIHSSIMMLKVDINNYRNSAF STICLVKTFGLHCVKKDITLTKTKLDGATGRYIYQEIRSAEIPVAYEKRHKYLKIAEL LATLTKLLVIQKNVREKLEKERSDYIPVPESLRVQAVLGL PHYBLDRAFT_64115 MLETDLQNHSEKRKNSRLFNRLCYCRFKGQYIDFSFHTKKKASV IRAICCLFGVLCVVYGIKNYEGKCVSNSVDATDDRVDGFPLLVPDVAFAWVLFPRSFY KSDTCFTVRTKLVS PHYBLDRAFT_114856 MDYEGIYRKSGGAAQMRAIQIAFEQGDTIDLKDPDEINDVAAIT SVLKQYFRELPDPLLTYDVYQEFIESIAMQADQTKTDKFISLMNQLPKANYDTLKLLM QHLHRVKGRSSENLMTTKNLAMVFAPTLMRDRDSSRDFLDMSYKNATMDFVIDRAFEI FV PHYBLDRAFT_4670 HPSEPIIVSGLINGKIKCHRYGEKSHQELWTEKAFKKSCRGVAF NPDGSQLYSVSKDKMILLMDTSTGQTIKSREMTHENPLNSLLNLNENMFATGDDQGVI KIWDNRKEDAVMTYSEHEDFIADMAYSPSHKTLVAVGGDGYLSTWDIRKPNVAAMSDQ MGDELLSVKLVKNGRKAVVGSQEGILSLWSWGDWGDYKDRIVGHPHSIDAICKIDEDT ICTGSSDGMIRVVSILPNAFHGVIGDHGQDMPIEHIELTHDKKYLISCGHDESLRFWD V PHYBLDRAFT_159468 MDQITIILDMLLRECPALETLSMVHGFIVCRPGSFIFPTDHALC NLFLKDVDVSAKTLKMFATSCKKLSSMKLELVRLAVSSRRTDTLETFIDMSSIHFSEL RFEKVKVFNIVNKYESNMIKFSSSMVPTENIWLDCQHSIYENHKHSSLKLNNENEDVS KKVEDLNSSLTRAPAEDANDDIDENKDVSSVARWISEPPEQRVTFKYGSLKKFVFKGK QRA PHYBLDRAFT_170897 METSSSSKTKRGSYYKADDLKYGGIMYLHLAEIGPTKISAIVQM KLSTVKKIIKRFQNTGSPLPGKSPDRPKIVNERKERHLVQIVRHVFWHDKFIRGGPNI LFDKELERLTYESKDMQWSLGTQSPPLVLKLSRDHCALWLQKAF PHYBLDRAFT_148035 MFIKSAKADESESFSKEVLLKGDDCKAFACHKAPVRRSRQRFSY EQQLLQQPQPQQQQQTWHLATE PHYBLDRAFT_170898 MSQYIASNKQDGYRNVIDENCGPEPMEYIFNQDKCIVEMFAAHS EYLNNKISNEFSPACPTRIEKPKDKDIRIKEPNVKRDYVRYTILSIKKKYTVEPKHWN KSFCGTVLWMLSYSLTRTKEQEHDKYLIFE PHYBLDRAFT_114861 QRRPLFIAHLPFSALTPLLRSRQLVRGTLRVNKRNRSDAYVYCD ELDADIYVCGSRDRNRALEGDVVAVRLTDVDKILREKREKEEVKLQRNGGQVRVRLPD EEDENEIIFGGDEEVEVVKPKYCGVVVAILERSQNQVFSGNLTLMRPNNKRAQKDESV RHNNNGKETPRIVWFKSSDKRVPLIAIPIEQAPANFVETSDVYTTRLFVGSIKRWPIT SLHPFGTLERELGSIYDLSTQTQAILADNNVSDSPFSDAVESCVPPPFRYEHTDDRRD LRECRLFTIDPAGSTVLDDALSIQKLGDDTFEVGVHVSDVSAHVKINSFLDKEARARG VRVDLVHHSVPMVPERLTAQVTNLTPLESRLAFSVIWKVNSRGQVFDTWIGKSVVRSS ASLSYEEAQVVLDEQSANNAKISREMEQDIRSLSAIADKIYTTRMESSTLTQKRDELL FEFDAEREIPVRVAMRRPGKAEAMVKEWLLMANTAVAQKISSAFPEQALLRRQAPPVS HKLHDLHLYCSRYLGVLLDTRDAGTLERSITTIQDPVLRKIVSVLVLKTLQSPSYFCA GALDISKYAHYALDVPLFTHFTAPSRRFSDLLVHRQLEAALSPERRFALDRDMVHKLA QHCNVKKEAARYAREQSQLLFLARNLNKQAKVIDTVSVVNREAVVVAVAREYIDVMVP ELNMEKRIHLASLPVRDSRFDEKERHLTVFWKVGVDTSTGKPTPWSIEDDEYDLVDED ALVEDMCEEDEADRQKNGLSTNLNAVDGPVTKMSILSINDTLDSTNFKTVTESLEAQL LNAATAAAGGAGGPSPSVTASSNLRGRTSRPMSRRASIVYNRLSENVDYNIAEGSQTI KALNKIVVAVSIDMIRTPPLIRILAANPFA PHYBLDRAFT_148038 MSNSLIMHRFILLVTIVLAMILVTQAVEARSLKHQVKKYGKKLS KQAPDLLEQYTGQGKKGGHKDKKHKKHH PHYBLDRAFT_6512 NYVTRFIEHSILLSQDASARAQQVHYWIKVASRCLDLNNYQTLK AIVSALGTPPVQRLRRTWAYIPKKSLVKLESLSELMSEASNYGRYREHMGMHATRPTV PFLGTFIHDITYLLAAFKTHSQAGDLPEEEPRIHEVLMIMAQFQS PHYBLDRAFT_148040 MEIVSSAVSEQVLKPTFCLEVHEPLTTTSFLPLPQANHSITKTQ ASSALSFQSRLSFQKDQKHYCRRVSFDNAADQRKSEQTFTLSFTTERFSPSHRSRCFL LPIDLDVGTTDAIQYAMEASYQSSTGQLDRPISERIYTPPKKIAQDIRVTIELHFGRP ETSLIHMAAMYEPSVIVLGTRNRPRQKTPFSGAGVFKYSLRQVRIPVIVVRDSQDRMK ETESQVQSIASFHFLQFLSPRRLLKQLRQQVHAFHNTTPLA PHYBLDRAFT_135019 MNRIASKAVQGRFGARSTGVLNRLYSTHKEVRFGVDGRAGLLRG VDILARSVAVTLGPKGRNVLIEQPYGSPKITKDGVTVAKSIVLEDKFENLGARLVQDV ANKTNEVAGDGTTTATVLTRAIFTEGVKNVAAGCNPMDLRRGSQLAVDAVIGFLKSIT KEITSSSEIAQVATISANGDHHVGGMIAQAMERVGKEGVITVKAGKTLEDELEVTEGM RFDRGFISPYFITDTKTQRVEFEKPLILLSEKKISMLHDILPALEASATQRRPLLIIA EDLDGEALAACILNKLRGQIQVAAVKAPGFGDNRKSILGDIGVLTHSVVFSDELDVKL EKASPDLFGTTGSVSITKEDTIILNGAGSKDQINQRCEQIRGAMNETGVSDYEKEKLH ERLAKLSGGVAVIKVGGSSEVEVGEKKDRFDDALCATRAAVEEGIVPGGGVALLKAAK VLDNLKGANFDQQLGISIIRQAIQTPCRTIVDNAGGEGSVVAGKLLEEKAENINWGYD ASCNEYVDMIERGIIDPTKVVRTALLDASGVASLLTTTECMIVDAPVKAQAAAPMGGM PGMGGMGGMGGMM PHYBLDRAFT_159471 MTVTETFHGFIENTHDVLLVFEGCRRGLLPRIGRRLQERERRMI RSGSVFVFDEHESGIKRWTDGRYWSPSRILGNFLIYREIDKKSDKKKSSSSWSLNPDP GTMHRSRERQLVGSLSDSFRFRPDGLIKKSMSIVVHGVSHHLVSYYNPLDVVQHRLST PSSNPQLSRLEISPDLLVKQNFRVPLFAEESNPQRQPPPVPAPYYKVEKPQACGPRSL SLGSYQTEKSHVMESEMARQPSFAPLYTHERFHQRHSSTSSAPYSNSNSSSSESEPRS DILYGQPQPHPQQQSPFGFSPKPDSTNLVQLLNPVVHPLHPLAVQPSPLPSFVPLDYT KAFDKDRPVHQHRMSYPNDDFYDTYARQQQQQHQQQQPPIQPNVPPFMSHSE PHYBLDRAFT_170904 MPHFIGLKLLSVFSIGSLVASQLFLPLFFKDILGLGSDKIGMIF SIIPFTSCIAFPYWTHLADRTHAYKTIMISNMFIALFSILSIGLVPFITNQESTTIVL VALGCFGYAFCGYPMISAMVDCVTLRALGDDKALYGRQKIGCPIGVSSAIFLVGLLQE TFETQYALFWVYAVYVLGFIATIAAIDLTPHRYPKPVDEEETPLLPDPASAATLIAVG STTPSLSENGVEKQDKSMWHLFRQPESMHFYTVVAILGFCFSTFQIFLFMFIRNDLHG TPAMIGLLGPLSGFSELLVFFFSKQIFKAMGVRWMLTIAHLIAIYRVIIYILAMEITW GAWLVTINHLAHGVMFSMIWTAAALQADAVAPLGLKSTAQGLLNVSFNGVGGGTGALV AGYVYENRGVADMWIMLGLVATAGLVIFTLPAAKAPFRWLGKHF PHYBLDRAFT_126250 MATEREDKVYMAKIAEQAERYDEMVNSMKDVAKLGVDLTVEERN LLSVAYKNVIGARRASWRIVSSIEQKEESKGHDAQVAKIKEYRKKIESELYDVCNDIL ALLKDHLIPSATEGEAKVFYYKMKGDYHRYVAEYATGDERKEAATAAHDAYKAATDVA QVELATTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDTLSEESYKDS TLIMQLLRDNLTLWTSDLQEESEKNDKKAEDDEARD PHYBLDRAFT_77601 MADDESPFQFDFDDDVFLTERIRSKPETVKVEYEAKQETDGWFH STKNYEVLMLERHGPNQLKSAIEHDYLYKRYERALEGALAFIHISDTNPACKINNTRE MCEIAIHSAVRLNNYALAEKILDRKQPTMELGPLLLKGAIYPHCNNRHGDALASLVDY SQQRRLDYAAWRQMAAIFMDAWTKDKNLNENPEEMRINIAFLCLQRAHRIMTSSRWFL KSEFVRRRYERELKVLVKEMEAVEQGDAGQFVAWINGPKPNAKAAGLGVYKWKDIEWI ACEWTSLFEEEEEQVKVADL PHYBLDRAFT_114819 MPRLTLLEEVLLLGLKDEQGHLSFWNDHISYVLRGCILLELALR GRIAMVKDPNRRHFELCERMIEVINDRNTGEMLLDETLKLMKASEPMSVQTWIDLLSG ETWNVLKLGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHPLADRHCKEALLDR VCSSLVSRHSSPPNGTDIDKVYGYLRTTTMVCSAYAANVLENALMTLDYESREKAYAK VDELLADFSVWPLTGSGAQLQIPDGKALSFEVIPAVLSVFTKMDSIVSLIYIIWVCEM PHYBLDRAFT_126253 MPVSLTMSKNLQYAKFATTSRLISCLVMETLVSAYYVPANTPQG TPICLLVRPNCSSTQETPSTIRLSDVLAVIPLRGVPILSTETGSCAVLNDIKCPRIDL IDPMDMLSHIYSIQGQEPSTLTISDDNLRNQVYDLLVSVCKPNIQLTAKKLIDAFDAV QLWKQFADDFGVDPKLTEEIGLELNSSIEHQNYAYDNPKSLPTLKSSSIHWEQSVLEG HATHPMHKARKSYPPMPPLIPGKVNLEQPQLRLVAVPVSSLKIRGDFEKLSAPIVNAI LSKSEGKSADEMRAAYPNHIFIPIHELQVPNVESKFPEATVLPKENSVTIQSLTSLRS VAVPDILPGLSLKLCLGIKISSALRTITPFSTHFGPGFSYDVVPKLTYDPNVLTVERE LSSAVHVNADFDIAKHCSCVLREAVEFPVDAEQCPDKVVVCAALVEKIQRPDTDETLL THVWKLDSEAKRIAFLDRYIDLALQAFLPPCLINGVAFEAHGQNTLARFDKETGELKG FVARDFGGVKVHRETLRKSAGVDIDVLPDSCVVADTLEEVYKLLYHTLIHSHLQRLIR VLDLHYNGVGWELLRKHMSQMIPRDHPMWKVFMETPKVPGKCLVRMKIEELYRDYIYC PVPNVIHYIPQNVEEIAVATA PHYBLDRAFT_77599 MAASASRSNVVRLLSLLQSSSNACPCHSHAHTALPTPGSFSSLL KYGRQYANAADSTDYAFEMAASNIRFGPGVTSEIGMDLNNLHAKKVAVYTDKTIAKLH PMRAVIESLEMNKVNYVLYDTVRVEPTDTSFKQAIEFARQHRPDAFVAVGGGSVMDTA KAASLYSANPEADFLDFVNAPIGKGLPVRSKLKPLIAVPTTAGTGSETTGTAIFDYEP LHVKTGIAHRALKPLLGIVDPLNTRSMPSQVHASSGLDVLCHALESYTALPYNQRSPR PRDPIERPAYQGSNPISDIWSLHALKMVVEFLPRAVKDPEDFEAQQQMLLASTFAGIG FGNAGVHLCHGLSYPISGLNKTYKHPGYDVDHSIIPHGVSVALSAPSVFRFTAASCPD RHIDAAVAFGADRATIKAESAGEVLAERLTRFLQDLGVPNGISALGYKYSDIPALVEG VLPQHRVTKLAPAGEPGREQIAAIFESSLKNY PHYBLDRAFT_77598 MAAAKELKQTLKANKYIHKVDNVLLGVEKNKGICRSAMQKPPRK RQRRTPQISRLIDFTSSLLSDEIVLHVFSYLSANDLAQCAMVSTGWSRLANDELLWRP LFLDRFRHRSRLNSCNVHPWKTKYKISHNWRSGNCQIVHSERKQPMPPCQPCIQSIDS ILCQSNSGSQIEIWSLKKNRILGYLQALSHQEVPVTCLKLIKTSQEDIYHLVAGYEHG GMAMWEIEIVKGGYNATEWVDYRPSARSADSVVSIAMAYPVVAICTAAMKISLFNVNQ NTERKRLGLIYQLQSPVHWTPVELDLKLQKPSAGCIKDRWRLLVCFGMGIGTNAYSIG IQASASHLDKNLTELLACFLFKTNEIIVSLHTLISSRHCSALDQDTFFFTPNLTNTTQ PPITAIAYDAPFLATAHANNTIRHYRVDITPDVFNLVFLQTLYGHTCMVTALTLDGPA RRLVSADRTGLRIWDLACTKGVPMDRSGQLIKWHQGVDYLVRLDLEHDEDYPWQIDWL CSDATKIVALKRPLVPDAAMSLVVWSFGEN PHYBLDRAFT_64097 MMNCVKYHLSKTRHQHHHPYSSEPSLAPHPTRRPVNTSQYRKLK PITHGIKPHSQQANTKCPEEWSHANIQPQFYSTFSLSSFDSSISEDEEEYEFFIPTSP HSAKSMDLETLIFDHPSVTIKLRLSPHRELNSFFCFNQCVNFQTFKGNRIGPNFTLRV IE PHYBLDRAFT_126259 MPHAPRNSFLVPGVAQFSRSTTFAKKALHKRQKKAVAAPAKKVV ADKTVEVKGAKNGSKRTVPAKKADRFYPAEDVPQPKKSRKVSKIGALRATITPGTVVI LLAGRHRGKRVVALKQLDSGLLLVTGPFKINGVPLRRVNQAYVLATSTKIDVSSVKLD AKINDAYFKKAAGAKAQGFLEGAEKKAAFPASKAADQKVVDKAIIDAAAKTPLLRQYL SSTFGLSKGQFPHAMQF PHYBLDRAFT_99097 QVFDMDGKRILCTADVRGNIALLNKLAKDTNAQYIIHTGDFGFY EQSSLDRISDRTLRHLVQYSTLIPATTRNYLSKLEVPKVRSAFEESYQHLLSQFTDFL AGKQRLEVPVYTVWGACEDVSVLEKFRSGEYSIPNLHILDEANSELLNIGGVSLRLFG LGGACVQHKLFDNGEGTDTIAGGSGTMWTTTLQIGELVETAQEVFDPSEVRVLVTHAS PGREGLLAQLALSLRVDFTISAGLHFRYGISYNEFACQPHQDHYRNRLEASKESFMNL WNSIQSQVESSVDVHQKKLLKHALDVVNRLPPDAQYTPSAPGPAGSQPDANLDDQAFK NMWNFNLPDAAYGWTILNIQNGHIGTETHSGG PHYBLDRAFT_155881 MKIIALSAAIATLLAVVSEASLLRIPIKKIKESSSDTLNRYSRT GEYLGQKYFGQQKTSDLSDSLYMEADGSVKHGVPLSNYLNAQYYGNIEIGTPPQTFSV VFDTGSSNLWIPSIKCTSIACFLHNRYDSSKSTTAKENGTSFEIHYGTGSLEGIISQD TLRVGGIKIPGQQFGESTKEPGFTFALAKFDGIFGLGYSNIAVKHVVPPFYHMIDRDL IDEQIFSFKINNADEDEANGGELIFGGADSNHYVGELGWSNVRRKGYWEVDLEDIKFG GESIELDPIGAAIDTGSSLLVAPTTIADLINKELGAEKNWSGQYILDCAKIPDLPEFC FVFGGKDYCLTGQDYVLKVQDQCISGFIGMDIPEPAGPLWIVGDVFLRKFYSVYDLKN NRVGLAKAK PHYBLDRAFT_64093 MLQENLLDCYIDNVRNPEMRDPKLERLLYNYNSSLDQVQEVKES ISKTQRSEHNIAKRLWKTETIKQTLETKCGDQSAIKHEYNYQQAQYQTHENARLNLTL HRLRSEINTLLYRRFYEATLIRLEIQTLLDQILWELPSRAIIKHTLPNEWEDQETRKH DGITLIRLLNTLFSTAPLWSSVLKEPTEEQEVDLFEKCVRTWILQVGAVLLDLSLPSE RRCLLLHLLQTKSASWAIPLLQYSFHPTAKLPVADMEEYVVAIRMVFDHRLYESKDDT RICPLPVWTEDDFLVALDQFGVAQTFCATIQEAFRQPFSKESIDALFNFADELLEALN VGMKAMSSKGYRDLAKHLGQTTCHMAQRLIENIGDENIRKESQNRIDNFVSNVVLGYL EVEEKTMYNFLPILPFHGVSIDSLWIITLRLLKIDEYKKPDNFGEQLTLPDMTQFLRF LEANQTQGIFMLGCLSNITTCILPAKGSIDSFRNSLSGCLITIVAHTLFIIAFVDTNL CDIYYKDVRDNFDPVCTCHPFVISLLLRWTYDNFSKMEGMALYLFHSLPLNKWIPQTD DLVLLHRLLCQGPSASTQVSFGRYVIEHLNFGTISGSEDLTISKSQPWHNRTMPFLPY EIHEEIAFLLLDACQKYQPLPESDKSSDVLGIVATAMSTYMVTPDQLWTAATSTIIAT EFIQWAWKMALKLKLYDCPITTRASDIEKAITPAFLKDALHHHHDAIGMHHALLTYIS FLLSSTSRHFLRFETSNGWLKLLLILRRGKPEAVLQVMSDIIPAFVYMHGDDFFNDES LSEFLRQMMEFKADPMLTKAALLRVPKRYHQSTFWNKQEITGIGLVIGSHAWQGHFID SVGDLMDENGGGFSYLDLMLHSWLKTIFARKEWMWSDQYVVAIDCIAKIAFSLGRYSL VRSMLSSEHMRLEQNRSQQLLSSPKLAALGGQHRNPLRFIKNIMPDTAYTSLLAGEWT MMSLTANNLMRAPGVEAKSFWFAYEVLVMETIHDQEQRYALANAALDLIKQRKTEPLV EPEISALFKTINAQSKKPSDYLSIYRWAQHILVLPHNHPLLPLYLQIFFCLYYSSITV GDKTLLYGTYFFSKKQELLHKVRDRTAYLQTYHGQQQPSGEKGELYINSNSNSNGSIS SCCSSNQTSGNNHEALRQIYYSMWLWLGNTDLLRPDFDLSTLPTNYSINRLKQCRQPS TPDAWELHQPWNSKTSLWFDLINKKQLQDAFIQFPWEGSEKFCKRPPGSIETQATVAD EGSVYSSYEVFRAIPKVIPTTIQPPPIAQFNEPIITLAAEQLIDMTPKDLLGSSFEKF QHHAALFQERIKNQEDLDEAYVEQLGLLYTNTPITETLTIPCVQMPQGICKKPAQLTL SATFVETNTEVRQQIEQNRKEAKELIFDSIDTSVCHEALVGLKNIESITTYYTEVFTK KETQEDTRCLHLSWSCLIYAIESFENGTEIYPPFRLFLRQMARSLADLLNSQSSSAER LLQLMAEKEGRVVILYPAFRPNVDSKNIVSMHKRISSTDNFGARSQLYLLSAFDMAAW GATEFATKNNRDTFYENSFVSLKKWMEPQTDQIDVPTIASIRIQQYKLSLALMAAMLE KQPQDMEHVTLLGHALDLLSETDDTKEADGFSGAMIDSLTESLGGRSRKVLDILNTNP DEMRFRLTMLSTLEEVTSLVDYLGSYLSNIASKKGETGDLFGVFGYASPALCRLMVNV LCDERIMDKSTNIVVPVPSFWTRTTECFHGWWQSSDSCAMSCGESNHAYSKREYLPFF AEAYGHLIKRMLILVSPAYKANLLGVLFDYYHENIITHHSDLWLDRFHSALSKLPWGF FEMSEAQLNRMRDTWSLLEPDDPTKRIVYLGFTFVILRSWLKKYTQKKETVSISRSWL KSYFQVFFIFLQDSINIWPVEKERSEALQILYKLMIEKDNIDTIIPEDIEETLLMPTE RLKLCLGKWADDKDTSTTPLCVQWVREMTRFDEKETPMRMKKVFSDFVMKLLSESPED QSPPKDVQEYWVNNIWTSIDNHTEYSSNGTFDPQLKDLMKSMLDNLYQDRFEAGWNIL VKNAEQSQPETLLALFSALLSIQLSPKTTRFMERCLEKYLQNSKNEKIPQVRWNGVAF VVTNSKVDTTLLLKYCMDKSFLLTIRAYCEAMIQQNQNAQAKQVEFAEEIAAIVSITK IQTSEPNEAKKMTYLIQQFSEIFCKNKADTLKKARLVAGLISLSRTTARWANPGLPLS NATPNGINDPKPLPACLAWQHFSLLLDSFLALRLEEAGMGASSLTPSRVSLEDRVKRL ESIRVKKNVAFDEALSRGRRIKFEKKNSTLKADFEKKITRVFGMYGNMICVPCNE PHYBLDRAFT_170916 MAVSAIANWLNNQNSTFFHVKFKYHAFTWVDISYIYQFRVIFKA THLKTYMIGYAGKNPKLEALYIKEKTQKDQTYELKTKLLCEDMLESIGTPAFDPITSR DYEHTDMMNLDHYSDREGLQQACYSGQRQYYSSVQWSKMIRSLITNMNQNIGGYLIRM LIL PHYBLDRAFT_77594 MRDFASIAEHVTKSLQGKNTGPWSLSVKVHRDVNQIMRQAVVKD SRFLYQVALAQQPGQVYCMVDGSVVVEAEKEMEIILSRLKNLWQLRQSVVVEGTSYEI GDFTLRVANILLGSAYKGLLLEIDYHPCSAPNVASDLLREFVENIVPPTAQLSCEYEY DYESVGLSNHEFTTAHTGYQYMMLFRNDGLL PHYBLDRAFT_77593 MDSQFEKEEDTTNQFDSDTVTINKFIFCTRHGKEVCDKCPTDNR NCNNETIGDVLERLTKEERETKWKGDDRDPFVISHKWVRYNGKPACTAHREVGCNECF NWGEQIYKGIHGGRKPRVSRLANKKNRENKDVLS PHYBLDRAFT_126265 MASTQIALASILDNDLYKFTMQHCALQHYKDAQVVYQFTNREKE LSLNQEAFNWLQEQITSMESLVLTADERDYMASFPFFDQSYIDYLAKFRFRPQEQIHA QFDASTESLSLTIKGLWHETILYEVPLLALISEAYFRFVDRDWNYDGQEERAKEKTRA LLTNGCHFSEFGTRRRRDFKTHDIVFGAIHAEHQALLKEDPEIKGGVTGTSNVHLAKK YGVKAIGTLAHEFFMAVSALEGIKHANRTTLKRWYETYHGNLGIALTDTFTTKVFLQD FNKDLADKYIAVRQDSGDAIKFITTIVDHYKSIGVDPSTKMIVFSDALDVKRAVQLVS LSEEAGIKASFGIGTSLTNDFKKASDPTKKSKPLNIVIKMSECNGKRVIKLSDDVLKN SADAETVRKFKEELGI PHYBLDRAFT_102846 VVDASNFCLFMPPADSVNRNIADTEWNAEAFCIGKAPKATNAGK LNDNFILSAHYLATDEYVQVTGQIDPIKGNLNVTDDGGQYDIKAPDGSSCAGWLFYVN LVEPTIGTYCMRCCNDSKTCNRGISEKGCAHIIPGDY PHYBLDRAFT_170922 MVNTIWCLGDLVIKSDTSTTLKPLSSDKISTKRFKAIHVYLPLI KETSYVEDHKRLLSLKCSAISLDILLSIGLFMRTMSLSFFHLNIPRVQQHRLEGGAVK DKFPVRTQNGMSRDGRNRNLKRRVNGRKRPSNSEKLDNIRNRPIQTQLLQYQRPYRKI ARAGKDEMSERVYHRENLQEVSSSDSQDKLKLESDFITSKQKACIVIIDYAGLSTDPV NKHSTN PHYBLDRAFT_89594 LFEKFLFVSNQRPSGLFEKSGWDLTFLLFYVAFFTAARAIAINH ILIPYAIRKNVPRNKHERFAEQIWSFVYYSISFTFGMCVMYGSPWWFDSSYFWRFYPV TESSMALKYYYLLQFAFWVQQIFVLQIEAPRKDYRELVAHHIATIILIGFSYLFNFLR IGNAVFVCMDLPDALLALAKALNYVSPGPLCNGTFVLMLISWMYTRVYVYGYIVLSTL IEPDLYVAEFKLDPLQGHFYPYILKYLMFLTMAFLYALILFWTAMIFKVLYKMAFSTE VRDVRSDDEGEGED PHYBLDRAFT_64084 MMLMMMNDWQICIEFQQVRRYMPQQFYQTFFSSVNSLWRFNFSF KIAQNCSIGFNSTICAFFYVDFTEESVNTYRKKIKEFEDSEICWNIREQDPILVLKQR IRGDPLTYKKYLDETPEEKVNKRERVELVTEAQVKQFINEVYCPSQPENDVIYVKGII STKEIYELVKTFTTVFREKTAQSFAAWCRNQKLNFLLAKEKNPEVSPESYIFWNTFIM VNQDTTELKWSLMYLIIDNLINSMRTYLPKYQESLKNLKKNGYEIIGYARKSPSEDVT SRARLLKSMVSNLKERSFATKIFVSPCSWASPPLVSRDLQGNSRVIMNELSVDGNTQD LLVYLKSVHHDVCLVTIDFAGITTRSEDIVNLVEANPSLKRIAVETFAQCNEVFIFDT ERLVKDNGLLQKFENRNYCIQRSK PHYBLDRAFT_64083 MDFLVFVSGYNFILVPVTVIHIFVFLEYKITYSEGLLKRPTRPK SLSRISLYKLDGVSIISNHGQSFVQAKSEIQSFVQRLLILETPANPYKKILDKLLGL PHYBLDRAFT_38250 MGLLSLGTPLHWKEAKTHADHVRSHGIDQFLHIYHSQKGQKKQC LLWGDEVEYVVVDVDEKAKRTRLSLRVFEVLAELQVAEQEYLEGKTKVVPDALWRPEY GRFMIEGTPGEPYGATFQDLLTVEPSMKLRRRLALAAMKPNEKPMTLVNYPRLGCPDE LVPPHEPNGKACQSMFVPDEIINPHARFPTLTANIRRRRGAKVEINVPIFHDTKTPKP FIDPTVLWDRTLFKHDKEAKEGAAKPDHIYMDAMAFGMGCCCLQITFQACNVDEARRL YDQLAPMTPVMLALTAAAPIFRGYLADVDCRWNVIAAAVDDRTREERGLEPLKNNRFV INKSRYDSIDTYLSTDPINKPKYNDLDLVYDHDIYDKLRKNDVDDLLAKHVSHLFIRD PLVIFKELLDQDDTKSSDHFENLQSTNWQTVRFKPPPPNSDIGWRVEFRSMEVQLTDF ENAAFSVFIVLLTRVILSYGLNLYIPISKIDINMQTAQKRGAVLNEKFYFRKNIFNSH SDTQNEDDDDEYELMTVNEIINGKENGFTGFVPLIHHYLESTNIDVQTRCKLSSYLSL ISRRASGELMTGAAYLRQFVMNHPAYKHDSVVSPEITFDLVETVDKIAKGELKVPELL GNFAA PHYBLDRAFT_159484 MSGVLGGATKGLAGWAVSSIGARFASPVGDITSPPAVISTPSFS PTTVENSATSNQLFKPQPAVNYNNNSSYGVEEEEENGGGWGDDEPLEDLQDTWEPLET AKSEPLYTMSVSPKKTMGSFGSTSMAHKAGTSMQLGGAGAGVGGSKKVDYSIEPDTDS FFKSVAAAAATTTTTTTTTATTVRDSQQGSSAQSVADRKAEMERRRDERRLRLAELRD KKKSGIGAKKI PHYBLDRAFT_115135 MAFFSGFVKSGLSFLGKDSSAFPYTLGDKVEWFKDRSIWSLHHG TKKEDGSQVSIFVFDCTKHRDKVQLASNGFKRMRTMRHPDLLRYLDGIENDQAIMFVT DPIEPLSNQLGQDPDSNLVLWGLYKVANAIKFINHDCDMVHGNIRVSSIFTNKAGEWK LGGFELLCSMKDESPISLTFGGLVPEAQKYATPEIKKSGWTIMKDLPVSATDSYHLGC LIYEAYNLRFDTIEQLSRPGNIPANMVEAYKALLRQVMSRADAATYLEVGLRPKSFFD HDFVKVNLFLENISIKEQSEKEGFFRKLDTLIDTFPGDFSKYKILPELVKAFEFGSGG AKALNAIIKIGHHLQDKEYEEIIVGPITRMFASPDRAIRVSLLENMPKFIDHIPAKAV ATQIFPNVATGFTDTVPLIREHTIKSVLLLVPKLSDKIINYELLKYLAKLQMDDEPGI RTNTTICLGKIARHLEEGTRKKVLVPAFTRSLRDGFHHARIAALMALAATSEYYDAQD CSTRIVPCVSLVLIDKEKPVRVQAFKTMTCFINRLQDFADHMPDTAIVPQQQSAASPA GSGTSN PHYBLDRAFT_126277 MSVSAPLIDLKTQKYDRQLRLWAVTGQTALEHANICLLNATSTG CEILKNLILPGVGSVTVVDANLVQEEDMKTNFFLEPASLGQPKAKAVVELLQELNEDA SVTFTIKEPRDVIHEDKAFFDPFTMIIATNLHERENMELADLCEKTNKTLVIVKSKGL VGIFRIQGPEHPVIETHPENPVDLRLGNPFAQLKQYSNSFDLDELDQTDHAHVPFIVV ILQAVEKWKKEAKPQSYSERNEIKAIIRKGMRTSDEENYEEAIANIWRLAPSSSSVYV RQVFEDPACNNITAQSEHFWIVARAVRDFFENEGHGRLPLPGKLPDMKSDTTNYVALQ NVYRDKAKKDLAAVIGHVNALLKANDIPENSISQDMIESFCKNSAHIKVVRYRSLEDE RVKNPETDKIVTWLENEDNMSYYMVYRAADLFHEKHKRWPGSRGGDNLEDVKLLRIEV AKVLGSLQITKEKSDILLQQQAMENAITNYVRFANRETANLSALIGGLAAQEVIKLIT RQYIPINNTCVFNGISSTSAIFEL PHYBLDRAFT_148066 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIR FLVESFHTANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGN RSKQYNPFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKDF VKDLSYFCECHERRTREHYVLANSSPGRDTEIPNAPKIGMNTPANEISFRDRSTGRLL ELQSFDPEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTG LSRKFTRNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLV FVRQVTSDFDNYIIRVDNAVKRLIRALFDYDKGTKNELHKAYCTKPKVHYLTHLKEDI IRFGPALNYETEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWIN SSGNREKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFV FKDDPISRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLD LHIFRNPFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_86385 DTIVRFTTLNGQEVGRLPKDVSKYVARLLDRNMCTFKGKVVYCS PVLTVGEDIIVQLNCYFSASAFKDKEPNNPSNLFFQPVSMRTKETDEKYSRDGALALL GILRGVGLRPSRSAIKTMATNGDESQIRDLIEQAVSTMDQPATEEKEKGVEDCDEDDD KKEVTDGQLDTIYEKAQMFDAQIQPMDEPESMSLCLKPYQKRALAWMSLKESTTYEDG DVDMRAMHPLWEEYIFPTDPDAPDNVTRHFYMNPYSGELSLTFPESNSRERGGILADE MGLGKTIEMLSLIHANRFKPGMLVPTPKKSKSPTTLVVCPMTLLAQWRDEMIRGSKPG TLTVDVYYGDGRNSGTRSVFNAWDGSAPDVLVTTYGTVMSEWEKPDSKLFNIEFWRVV LDEAHHIKNRQSKTSQACCALKAQRRWALSGTPIQNKLEDLFSLVKFLKHEPWSNYTF WRTFITIPFEKKDPGALSAVKRVLEPIVLRRTKAMRDQNGDPMVSLPEKKINIEYLDF TPQEQEIYDSLYKDSKTKFSYYCASGKALSNYASIFQLLMRLRQVSCHPSLVLNSKKS GENSEIMTENGGIVSLEDLIEKYSKDGGDAPVKETPSYSVGVLQNLLNRTAMEIDNEC PICFEIVDSMIMLKCAHMACRPCVMDYLQKLEDSGVPGECPICRQGPVSQDDLLEVSQ VQKESGQVSDQDAQNGAYSAAVNVRRAVGGYKPSTKINAMLSHIRLYQSEGRKTVVFS QFTSFLDIIQIALQEANISFARLDGSQSQAQREKVLSNFSSENKEQKTDVLLISLRAG GVGLNLTCTNRVLLMDPWWNFAVEAQAIDRVHRLGQTKDVVVTRFIMKDTVEERILEI QDRK PHYBLDRAFT_100694 HYCRWINCRDRFETFDELTGHLSDIHVGSGRSEYICLWEKCDRE GKVFSQRQKVMRHIQTHTGDKPYQCSLCKKRFSEANIITQHLRTHTGEKPYKCPEKGC EKQFSISGALTIHRRVHSGEKPFACKYQGCEKRFSESSNLTKHMRVHTGERPFRCSFI PCGKSFPRADQVARHVKTHSR PHYBLDRAFT_148069 MSSSTISFETINGSVTNIKGTDATSALKNKGSTACGRAYHNAET GTYILPCDDDEKKRLNLQHNIFKIMFDGLTVPAVKHNFAPGSKVLDIACGTGIWTMEM AQAYPDCTFIGIDMADVFPKENVPPNVEFKIVNASDLSEFEDNTFGLVHTRLLIAGFP ADKWIPMIKEIYRIVAPGGLVQFLEYDFISGPKQGSILKVITSLYFAITERNNDFFIP QKLAPYLLHSGFDVILEDNKKQMFSSEEDNLSKMILQNWIEALDGFKPFMIPHLCPEN PEAFDSIIDIYIKDCIEHKWFLNAVIVVGAKPLIAE PHYBLDRAFT_64075 MARQSRQPKSAKLAEVKDSSQLTSTSAVTRNITPEEKQEPKIEE RSCSTDGSTKSKENSERKIRGAYTKNSRTTLWRKRKAAELAEASTKKKHQQTVPDTGP EITIEEHVVSPKAEKEEVDSLDEEGIKSTEVEAITAVYQELVEKAMPRNGESGTELFA NTYEHFRHAALRQYFYHLLRGRKKVRASENATFEIWGRMTTYRPKAIRKWAIEYLETG TLVPRKQGQHVKSTSLSAAESEADTI PHYBLDRAFT_148071 MRLIRSDEGHFTKRFANHHSSSLVKAICLLFLYIYCFPFGIKRA NGGLVSIDDDNDADAEVGPGPGADADNNDSDNDNNRNRS PHYBLDRAFT_64074 MSFGYIEKLIPFKTSSACNKEYASFDRSFNIGKLLKDIVELVQE SSSNQRVQKSHGEISIPLLVGTEQIYMNIPNTAKDYKLIGHLGYTKAAFLAYFVTLEV TDKPTSINATVLKSKSGPCLGVPNPRIAAIFSVALTLRNNLKDIPVTIEHIKCTTAQD KYIFDHLERIQCSRQKATEKRDAWKEHAFDSDVMSHKLNAVPMHKKIHYPDFHNSGFK LVPNTIIESDFVARPHFFHHRSGYEGTLDGDVVQAGYEAACLAASSFISPAPFRVTKV NDIAFSLPIMLGDHIHIITKIIYSNPNGNFVTHVTVESRNPRDLAYKTAITMHVSFVS SNPSVQISMILPVTFKDRLLWHIGHEMVFQRNDYFEEFIDKVKQTENICKL PHYBLDRAFT_148073 MVDPRSQTRLIPRVYVNIPDRNTPDEAWKRLTVPSKNPDFIYTS KRIPERDYKIHNDIREYAIEYRKNPQMSKTMSSSYLERFIPFKSSIKCYEEYSSPNKN IRFGKLLEEIDDIGGSITGRHIECLRSSDICFPITAGVEQIYSNMPDTIEDYKLCGHV AYTRNALMVVYISLEIVRHKAVMSTRIMAPKVIPKLGVWNKNTVAVFSATFLQYNTMT GRSEPVGQLIYSTPQEKQMYDQMAARHILKQNHLKQANRILLLAEQNKYNNSITSTGV KDHPNFSSDLDKNTLFETVYISSTKIENTFTANPQYRNCNGKVFGGYIIRDSYEFSCT GVTYFLRSSQFRLIKINDIIFRSPMMAGDHIRMTFEVICSNGPQGADLITCTTTETRN PNTLEYKVAVMMYTTFVSRDPSVKVKMVLPETNKEKHFWSIGHELIQQKNECYLEFVG KTDESEKMAKL PHYBLDRAFT_92833 DHGHVGWVLSDQPYHYNPADLLGTSLGKSPALNEGMMSSSMDIA HSFGNFQHPSHELLRENGFMQHKYHKYHAKALKERKQTGVGQSQEMNTLFRFWSHFLR DHYNKRMYNEFKRLAVEDANQNYRYGLECLFRFYSYGLEKRFRKEVFEDFQELTLADY NKGHLYGLEKFWAYLFFRKDKKKREIKVCEPLSQLVAKFKSVKEF PHYBLDRAFT_148075 MQANLPGLVLLRFILVQNRQGKTRLSKWYVPYEDDEKVKLKGEV HRLVAPRDQKHQSNFIEFRNYKIVYRRYAGLFFCVCVDANDNELAYLEAIHFFVEVLD AFFGNVCELDLVFNFYKVYAILDEVFLAGEIEETSKNIVLTRLDHLDKLE PHYBLDRAFT_148076 MLASELPIEITLFIARFLQSADKIQCCLVCKAWTPAFQQSLFEA VIIKRYSGANKLVDSTNLANNLLQRYGHKTQTPEISKSTFLGDHQLYALQKYLPNIQY FKWSSKEIKLLLLTDFNGWNLWAESLTDLEITVKDYGYDILPKILDSIRSNLHRLKRL HFEGLNYDSFSPCTFDDFELLNDQLPELTKLLLTVQFDKMSPDELLKVKEINPRPYLK TLGMFIEKNTYEWLYYIAVKYPNISSLKLLSFTESTISFQTYQASQMLAKLSCPFQHL EKLTVEVGSTSEQTYLDFVNRLGLFNVPIKEIDLTIYNSLSFNVSNKDILQSIKIFAN TLEKLRIVYFISSYYSGFSDELVYCPRLVDLTIEIQGTTTMLDVLLEQYPSLKNLSIS SGDLTLRPDLTILSIEHGLSHFSLKDSFITASTLNYISICCKKLSTMRLKFVNIKGPQ QGNTGDNCIDMSSTHFTKLNIKGIQFFDSFNRVQIALFVLSSSVAPIKNTWVYSIPDA LINNGSSQLITRKLNEEESKEAEKYFCNFAGSVCPEDTKEQVDEYIKSLSENDWRPVL PKGYSTFKYGSLKTLLFDGEHKE PHYBLDRAFT_64069 MIMIMMIIKYGFNCSLVSFFFMFKNTPHITKRFPTPCRYYAQGS CRAGQECVFSHVLPLQGKPSEIPHPVLLCPSDDMANSLQSAIRDLELDQLENKYRPFY KSTNITTLVTIIELVIPSNHPLLAHFFLFIPSDYPDAHCVLQLDSSLSLEIQSRVQQA FEDHAWHHNRHTTLVQQLDWLCTHHFSLFPA PHYBLDRAFT_135055 MSKSIKALSLKGKQDWNTSIYSFTRNVRNNLLWEKDGAAKQSLK VYFQQYLTSTPAFRHAPSRVTIQMFYYADPNFSNLQNFKLEPLEKLLSTMYPNKFVDL RFVRVHYPYMNAEILAQYLTVNAERSGWSMLTRKFMKGVPLVANGQLTSAIQGIKYQV SGRLGRRKGASRTQVMRKSLGTFQFTSHKSLVDVGRHTFANKNGSITVKVWIASALFG VNALAKKITQKAAESAKL PHYBLDRAFT_159487 MILPPSPLPDDIGPEAIKISHRRTPSSLGFSLSLPSPPVTPFAP PFNLYSSSTPPAQTPRPSAESSKTATVIPARVLMIDDNPINLQILARLLKIYMSHAIG HMETADNGIKALKILAHHPFDLVLMDIDMPIMNGIDTTHHIRHPTHHPILPSNRGTPI VAVTTNDSAEWQRTYTRIGMNGCIGKPISPSALRETLNCVLELDRPHFTP PHYBLDRAFT_7458 NDGDKIILPSSALQELLTAGNNQLPSPLTFELRHPHTNAIIHSG VKEFADLTNHIQLPAWMAHAIDLNDEDHVLIKAKPLPKGTWVRLRPLSEDYLEITDYR AALESHLRGHYTTLTTGQTITCRYGAHSYGFLVVDLKPDQAVGVTDTDLEVDLDP PHYBLDRAFT_148081 MFRNFVLWKYSEGNAKGRVKEYPKFCILNINIQVIIDGTGIGSS IAIDIEKKTLAESLSASTDELIKDIPEVIEEEVNVKLEQIAIVKELEQILKSNKYIHK VDNVLPDLESKERTLGFLDSLGAYGFKEEIRMCKSLFYEFCTNFVLLSFGVFNYELIS LANIHTRI PHYBLDRAFT_170944 MTTNLESYVCKITRYMLISIYDSLYSAIRKGNVLVCRADGRVYF GYYYTIHTCEFAVKLDLEFLFIQQTKSIGKLQLISNMLSKPNSTGTGTGTGTRAHSL PHYBLDRAFT_64064 MKLIYILAATLSVLSFTSAAPMDKRAISSCYKNAYITQYWIPKE GDKDMTNNGKIVSLTGSKTKSLKTSKGKEIAKVAPNTFDKFQMEGTGLLQNGKMVNLD DGVSSFMEVNRKSSPFGHGGFNDNNLVPWVSIAANDIKAGTKLYIKQLDGVKLSNGMT HNGCVRVDDRGWSFSGCQIDFFVLQYTSYTSLTKLLPSKVSVVEKSCTIKNYVNSAVK DWAVL PHYBLDRAFT_187866 MLNYSESDLPQGSILESDSPEPSLLTVASLSPERDYKIKAWKNG LGTTSEISIYPPKKDFRKDTFFWRLSLHRIEADCNFTLFPGYYCTTVVLPSQNRKAAL LLNHQGDEVSTKVKPLFPYSWHGEWASNCKVTDAPVTSLQFMIKRDLGTAQFDVDKIG QPVSNESGETGKKLILGSFALVYVVEGYVRISVDEDQSSDHIHVLSPGQTLYVEHDEE STPVSMTIKASDMAGNVNHHELDATVLTIQISEIKGGGPSSTVSDYFISQRTPTAAIG TSAISGSGSGSLVGTPITPGAAIERSGHIVSGYHNLHPMSPSEPTDTPSRRREPHRKG SLVIADKFSGSGSDLVSSGTVDTLDSSSTATTATMATTATTTATATATATAKKGGVDQ LLSPSAQSQQHGARIYSPPTFGLMYDTDTPPPVVRDRLVVDDFPKHSVSTAWIKMIRQ GLNEWIRLPVIICRGSEEGPVVGITAAVHGNELNGVPCIHRVVAEIDVQKLHGTVVAV PCVNVTGYLKFSREFADGRDLNRLFPGKEDGFASQVYCYQLMNKIISQFNYLIDLHTA SFGRVNSYYVRADMNDAASATMAHLQKPQILLHNSGQDGTLRSAASARGIKAVTWSFM GVMRILDNLDMFALCNTGTSQPDLSIGSPPSTILCSKGFWIYTTTGGVLEVYPAVNTI IRKGDLIARIKNIFGNVVDEYFAPCGGIVIGRSSNPVAMSGDRILHLGVIKKKGENLP KEAKENY PHYBLDRAFT_77580 MDMEMNGNMEFNIPGFLSLTPDEEDAPYFEYEEEEELPTWMDDC EVQENLFQILPLTPEESRIDKDNHIVQSNPCYYFFEELGYFTEEPEPIDPTRDYEAEA EQLIQERNRTRGNQPQS PHYBLDRAFT_19689 LLVLMLFCQKKKASYLVGREVLSPMGVSKMYRKFAIYCQSEVRQ ALEIFTDPSNYPIHIHCTQGKDRTGIMACLLEHIAGVPKDIIIDDYAKTQQGLEPVRD MMLSEIRKAGLTEDFANAPPKAKYLNGKYGSVDGYLDAIGFGKQSRDKVRKIIAV PHYBLDRAFT_64058 MAAVSHQPYSHILDTSAPLYQEPASLDLDTLLLDDTAEQPFSTD ELQKECILFPTYAMQSPTDPSLWIIRVKAWALSHKTSGTKQRLMMGITKSVAKAASTD PKTTHMFEKRFSYFMAKNKRNKVFIIKATGPISLQDSRRDPYSLSSSLNDDEQFPGLY ETEAIDYASYLNRPFSWTTPPFGRPKNPLSIVLNSSIVSRAMSGSLIDRYTTYDCPSY FDYLQNTPPLNTGSSQHPLDPLQESFVSENTGFFGGTFEISDEQVQNWAKQHGLCDPR LIQLRSDPSPQPNPTKSNFLPTTGLVSLIRPSGISIISDIDDTIKDTRVLNGARTVIS NTFFKEPKDVDGMADAYMGWYTQGASFHYVSNSPFQLLPMLDRFIHQNQFPPGSIHLR ADSSLFSRLVKVAGQAKRDAILLIMSDFPHRRFVLVGDSGEIDLEIYSQIALENPGRI LKIFIRDVTTPFFDQPSSTSTSSVSAKQTWIQSTTRRSGSFLSLLLSSKRKMSEDSQD SDDQEHGYNHDAMVSAEINRIKNRENEENKESDEKNIHILNIQMPGIKLTEPVDERVT LTERYDGLSRSLDNRNSKNLLDVKEWHTKAWLEETEEKSKSETEEEEEERIRRRGIRR RWSDQDNLIIKSQESERLSERLAAARAAVPAVDIVLFSNAEELSQDNQVRDALWKHWD DQSMQQKEN PHYBLDRAFT_77579 MGIFAEFASRNFSLYAQWFGLVSIVLLIALGVVSLISNLVFAII GWVIAFILVFVEVPLCTKFCPTSPKFDGFVSTFENSYLRAGLYLVFAIVMFLSRTIST TTLIIPGVTLLLAAISYGIAGFKQQPHASTTMLGGTGVDNIV PHYBLDRAFT_170954 MATIETAYYVPTLLGLSTTFGISCSISIVCLVLFETFRRIDSMQ YLFSPRTQLSVSPAVPLSKRPLAWIASTFWLDEAYFISRVGLDATMYLRFLRMAIHFL TFQSILICPVLLALHWTGSSSAADWKHGLSLVALNDTSPDTTTDQFRSNSTLAYLSIA TIPDHHPIVWIHVFFGYSVALGWLWLLFVNHWHHLYLLQAKGPSSSIQERSILITNVP HPLRNPASLQHHFTMAQVGSVESVTLVSARASKALDRALDRREHWLDDLERSLIVLAR ENAKYPNAMDIDSWLRLLQDTQPLGLTEHIKTLMLDITLADTEIEQLRDANASPEYYQ PTGTAFVTFTSPHSAQICAQIVTSWKPGVFDTRMAPEPRDLLWTSLLRRGRKDKLMGR LRQWAVFVAVWSLTVFWLFPISFILGLTSIESLSQHFAFLRYFLDSSLVVRSFIQNIL PTLLVTLFMSLLPWILLEISKQQDFVSYSELEECVLGRYYHFAIFNVLIVFLLGTTFL STMLDVLYEPARLTQLLANSLPQGANFFLNYILFNACTHAMELIQLGSQLFGHFFLTL PWVAKTPRILRRLTSPWSFPFYYYYPNHILVFVITSTYSVIQPLILIFALFYYILALA CFKHQFAYCYIRRYESNGARHFRRVARYTSDGLIIFQLTMVGLLYLKGVPAAATALLP LIVITLWAKWKLSRLFGRRSKHPYVGRFDGTKQAGSFGKPGPSGWWGWVDDIWKISYV KAWWANGRYATPQVDEERRYTIPLPLLPLPLLSLPPLPVLAPLSPLPVPITTNMTTST STSTSTTTGTVTTVTETTITPKNVLTGTNPNTNVNTAGLVVNALGCMDSIKSVVHCVV NDTGVNGTKCGNGGDSSSGSLASTIRLEANEIWDGIATYEHPSLIKPLDGSVWMPFDV TLTRWDLGACLKIPRSVLQQALALTAPGRGKPNAALEAEIA PHYBLDRAFT_126289 MLSPVVQPVGLMTPDRSVPSSPTVCPAVASRTTPSSAAPVDLSD LARRLQKAISFSKPPSPAISSSSSSSSSSTSSTPSSLALPSPGLPIQFIFKKPEYNNH YHETHFHRPKPKDSLIWSDLRQFFSPLAEEDQRSDFGNQFRQNIESRYGKWGRFIGKG AGGSVRLIRRDNNSLAVKHFRSRLSQESEKDYIKKVTAEFCIGSALHHPNVIQTLDLI QDGPQFYQIMEYCPNDLFNVVMSGMMSRQEIACTFRQLLRGVEYLHGLGIAHRDLKLD NLVLSPLGTLKIIDFGCSTVFRCPFETNITRSKGIYGSDPYIAPEQYTQPTYDPRQSD IWSCAIIFLCMTIRRFPWRAPHARDPSFRAYCSNPQLQKAHLLKLLPRECRPAIGSII SLDPAERTTLQDLMKDSWVRDEDVCTVDAPGAHHVHHVTHPSSNERANLVVLSPEPPG RMAEREKRRRGY PHYBLDRAFT_159490 MPCPNQSSAATVGSHTSSIARLSSGRFPGRASYLCAQKSQSNWS AFRPQRARTLSV PHYBLDRAFT_57134 MAMSGGVDSSVAAALLHKQGYQVEGIYMRNWDTADERGVCTSTE DWKDVQQVCATIGIKCRQIDFVKQYWQDVFSQTLEDYEAGLTPNPDIQCNRSIKFGAL LDQLPQDTLLATGHYCRQQADGRLLRGLDPTKDQSYYLSTVPSQALQRTIFPLGEFTS KSQVKLLAAQLGLHVAQKKESMGICFVGQKRRFADFLAQYIDQPPGPAVDLEGKPIGQ HKGLFGYTIGQSSHIHHGPHRWFVAQKDMKTNTLICVPGSTHPALFHQGCIARNWVWI NGPQPIERVDCQVRYRQAAAKASLTLEDDGRYKIMFDEPVRAMAQGQQVVVWDKDWCL GGGVIEDILPALS PHYBLDRAFT_170958 MSVQLSPSTALVFQRPLHVLSKEILILKNPGAEPIIFKVKTTAP KQYCVRPNAGYIDPYSEIEVQVILQPFKEEPPLDYRCKDKFLIQTIALDPSINEKLPL AEIWHTLESRDKGAIHQHKLRCMFLSPKEAEIHRLSEAGGAPGGEESPQQSSELPNAV PVGPPPVYSLTDSNPTAVVPTTNGLEETKAKLEEELVEAEETIRSLIRQIEKQRQAPV RSTSSKKLPSVVQPLDAVHRHLAQLEKGRTTEGYPPQVVLMLAIVVFLITLIFF PHYBLDRAFT_64050 MSCTLIYRDNDIVSQSMMIKILFVVNIISKIQADVNEQGTFKLP KLNVLMNYHRVKRNRIPVFPSTEVDVPESFPTPLPAYTNLLSVHLRFMMSSTLKARKI TSLHDRTLDQPACFHQGEKGRSFPTTTGLSRKFTRLLRHCGSFLGREFKVLLQTLRVV FLKDFDDDEVIKPIFPCLVELGRLCSSVFVRQVESKFEECLAQVDSTHTPLSTKPKTH NVAHLTEDIRRFGPALNFETEKGEQFDKYIREHLIHTNRLNTSRDVWHKFAKQAVMQH IFANGSWINSNGQREYPGPGIAEFIKPNDDKDKNFRNLFLGGSQVLADNNDTGNINTL KDNSFAAFVIKNSIGTTPSIGLISGSMVTFLRPTARTSEEMKNNYLKVEMTDDRMSLD SLKPLCRIDLMKVLV PHYBLDRAFT_187872 MKYTLVSVPALGNKQNTFSNLKSKLADYADTHQLLIPEFKIGTL DGLVLLSDDLVKFDAVFEQATNKIADILSILVKDQKVNVQDFLLVNDKTIDQYISSFQ WNSMKYRTDKSLQETTTLLNQEVASIDNVMKTKMNTYTLNKNALLTLQRKQTGNLSVK SLNGIVKKQHCILNSEYITTLIVAVPRQLYKLWNNSYETLAPMVVPRSSVKIAEDDEF GLFTVTVFQRAAEDFAQKAREERFIVRDFKYDEDALKQQQGELEESKITVNEQQAELV RLARTNFGEVFGAWVHLKALRIFVESVLRYGLPPDFTAVSILALPKYEKKVDEVLVAQ YGRLGGVHGQTSKAQAESEDILDHDLQTMNDNNYRPYVQFELLFDLERRQ PHYBLDRAFT_148097 MVYGHNNDKLLASTIRLQAVDVSPFRLREGLVNKLTYVTKKGEL ERATKSKR PHYBLDRAFT_159493 MAGVKAHELRNKNKAELLKVLDEQKQELASLRVQKVAGGSASKL QAIGQARKNVARTLTVINQTQRDQLRLFYQKKKLIPIDLRVKKTRALRRALTPYERSL KTVKYQKKQAHFPMRKYAVKA PHYBLDRAFT_170962 MDFIMETVSNAFSTLPEGNLPKWLLFTSALGIFNSIQNYCTDSL TKRVYSGTPELVTPMTGRMFATWTWSVSMIRIYAAFHLEHRFMYELGIWTYVIALTHY MSELLIFKGCKISVPFMSPFVVAVSSLIWLASSYDYYVKY PHYBLDRAFT_89718 NWIDRLPPKVAPYIYLLRLDKPIGTWLLFWPCAWSITLAASATN ASVTQTGAMLALFGAGALTMRGAGCVINDLWDRDIDDKAGSIFIYVERTKVRPIASGA ISPAKAIAFLGCQLSVGLAVLTQLNCIFLGASSLSLVVSYPLMKRVTYWPQTVLGLAY NWGALLGWSAMTGSLDLAAIGPLYVGGVAWTLVYDTIYAHQDKADDVKIGVKSTALRF GDKTTEWLTGFSSVFVSMTALSGYMCGQGLPFYLLSVGGVATHLAWQLKTVNYNDPAD CWNKFKSNTWTGGLLWSGIV PHYBLDRAFT_170965 MSTIFLYEDGHVNVVDEKVVLRPWITLLMIMNLLLRHLLLSEYI QTVSLSESSLTSRMLIEKPKDEGTRMKGANVKQDYVCYTLQDKAQFFDLKIEKCMSAS AAAKQLGIHIRTAYRQVKQYNRKCILTENHKMTAINFIDANPSASVVEVTENLLKQFY DLKVSRSTVYSFMRSEFNLSLKKADFNSIERNSPAKIDEPYNWVREWENTDMNSLTNC GSLRKRGEK PHYBLDRAFT_170966 MLFYVEYSEENAKKNVKRKLKTLLILNSSIVETESQVIQFINEV YLPEEPHNTNIYDIKLVYTAEIYDTVRKHAAIFENKAVLSFSRWCSSPRVSFLRVEEE QKITFDILLTNFLVDDIKSAVCQFLPLYQETIKNLKKKEFEIVGYARKSPSNDKDEKR VKLLQKMVDNLQNRSLTTRVYASFSSSASTPFSERDTTNNKSMIAKLNNVKGDTQDNE WTAGEEINRSILPKLKSYTVDTAQVVTVIYKSLGTPKLRKTSN PHYBLDRAFT_170967 MKIYEFCTNFVILSLNILNYKIISLAKIHIRFCSNNLTEYPQFS LLVHNDFKDKITQLFADIGVSVKTDFDPLDHRIIADPAHAHKPVQERQQLAYKLHRQR LLALCLRLPAPLGKSVMRHFCTVESSSLRLPPVCLEQYLEDRNLPSGPQVSAIDTATA MVIG PHYBLDRAFT_148105 MTANFSLEDFQIQFHRLSAAQLGYLVDPFVHLFVRRPVRRSPII NRGTFIRQRALDSLVEQFLQSPGPKKQIVALGAGFDTRYFIIKSGPGAENLKKYFEVD FPELTVKKAMSIQRHPALHNILGPKEDIRLEKGGTELIGPDYCLVGGDLREWDQVAAR LIHHGLDPTIPTMFLSECVFIYIAPEVSQYILEWITREIKQAMFVLYEQIKPDDAFGR MMLKNLQERSIELKGIHAYPDLSAQENRFMGLGWQKAKAVDINELHDHWLDVTEKSRI ARLEILDELEEWHLLSSHYCVSWAYKTDEPDTPWSSIDLKKAKKHT PHYBLDRAFT_182346 MRTFSLLAISLTVSLLFSETQARNVHDISECPPLKPRRSPPKDV TDLRIDDIKVIASLGDSTMAGFGLQEIIDGTTPLDALEIRGKNYATGGDPGAKSLARF TTNYQPELKGASVGQIVVTRCNYDYCALSDYNPDVDRLNGAKSGAIAKRIKDELDYVI PYMKEYPGVDFENDWKLIILHIGNNDQCDSCTVRGNESTPEVYGSYIDTAIERISQNI PKAIVTLVGNLNVSTLYNLASRHPEYCPTEDATINYLTPKVECPCLSSPELMLGMDAV VAEYNKRLIKIAEKYKAKKGSNFAVVYQPAGIDFRGFPINYLSPLDCFHPSIHAQEYF AKILWNSLFRPSIKKQKVFEYDENLAIYCPKNSDRISTK PHYBLDRAFT_159494 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ PLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAAS VFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_170971 MALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYSQVA TQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPPKTSPNFDPFWRALLAA YPREVNMGITLGSRYSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGTIVR RVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHETYGFFDGSGYVVLANTPTDEVP SDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCTKRPAETRTCFGCNKIGH LQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPLIPTALSLTYGGSEASKH NPHKPALLESAKLTLPATLPAITTISATTTSSGPRPRSRSVDTPVKGWDDEIDDDMIT DFTDRVEARTLRLQNASRLSHLRFSRTVRPIGRNTSLSPPRFTPPHSKKALDAEAKIN Q PHYBLDRAFT_148109 MALFIGRIPRDMGTRDLEDRFSKFGKITRLDVKQGFGFVEFEDK RDAEDAMNGVNESQELVVEWAKNGGKRAGENECFHCGKEGHWARDCRERGRGGDRGDR GYGSRGGRDRSPRRDQGRGSDRDRRYSPSSEYIISVPCLRISRGGRDYDRRRDDRGRD RSPRRDDYRSDERRGGDRRDRDREYAAPREDRERREDVERAPERDVHDRD PHYBLDRAFT_148110 MTDAVETMEIDSILEEDLLGTDLDNAIQDDLNLVESTEKTHPEQ DLSTLDTTRQEAIFLQGVDEMSTEDIWEYCSGLPLSKVEWIDDSHCNLVFSSEEEAIK AAHDLVSFPLAEDETLSTTRLRKAKIYTRSKDGENFESLQIRCATMDDIKIQGAGNYS RYYLLHGTDSSIRRQQQQQRSTSSNKRTAPVRKTDEEGNPLPITSRLGERRTDQPQRE RVDRRTEYRDVRGEGRRRERRERGVGGESVLSRLGARISSNTGPDDNDRYPAWQRRQR SSRRRRSMSPVRSTEPDGDSTAEKGLDCLSESLRGRLGTKKTS PHYBLDRAFT_114945 MTEILQAGYLSVKEDGLRAWIWSKRYCVLRDQALTFHRNEQSGQ CVALIFLKEITSVTRTDLKPYCFEVTNKDKTYYIACKNDEELYSWMDEIYNRSPVGAS GPTNFVHEVHVGFDPITGAFTGLPDQWTKLLKGSAITAEDAAKNPQAVLDVLEFYTEQ TKREAEEYGSSHLQGAVERRSDDRWAALIQEKQPTSPTSAANYRPIPRPAPAPPSQTY PERDVNDMMDELSVSQSDRTQSSRLTPPCTPNPLEISPRLNPNDRAPSSPVKKTAAQV SSKKKVEQRISTMTEAQIMDKLRSVVTRGDPTDMYKKLKRVGQGASGSVYVAISLSTN TKVAIKQMDLAHQPRKELIVNEILVMKESQHPNIVNFLDSFLVKNAELWVIMEYMEGG ALTDVIDNNTMTEQQIATVCLESTAGLHHLHSQNIIHRDIKSDNILLNAHGQVKISDF GFCAKLTDQRNKRATMVGTPYWMAPEVVKQKEYGAKVDIWSLGIMAIEMIENEPPYLD EEPLKALYLIATNGTPTLKAPERLSRELKSFLAVCLCVDVRSRATSAELIEHDFLKKA GPLEILAPLLKFKAGKH PHYBLDRAFT_170975 MFTSKLPIISILQTVLLGYISHVVTIRPRTGVSKFPTGYRRFIA LVYPSSGIGLAVESMYKSFFGDKILKISQYKPLLKSYAKEETNKPKKDINRIPLNSSK PASQDSSPLIKPSTLECENDKEIVTKDTRHYTDFSSATCLKDRLLKDMKNKGCGHTEA AYLAAFLHIMGPEKAKQIKHCILNCSITVGVKDEPLNEIMYPYCKTEELVVNGPGAAC KYQKKARPDEIHLMTDTMINQLETAHNMDDTSYIEVFVTIGQLFYTTVECMDIDGDRW AKVIIIIYTIMSVLQTSSLLLLHKQIAAFSIYEDRDEALILSLSKEYKASVEGAGSTS STKNNNSSDKCNHKHDYYDGLVTGLSILAGIIVFVFIGIWADYNSHSLTEWLVLSWIL SPIVFCPFLIPYFILYMCAGPFIDIYTYENFLEIPIAFGLFISSGLLLSATIIGYLPK PHYBLDRAFT_30464 MRGLLVFISDIRNCRVRELEEKRINKEMANIRSKFKDGSLNGYQ KKKYVCKLLYMYILGWDIDFGHLEAVNLISSTVYSEKQIGYLAVTLLFHENSDLVRLV VNSTKKDLDDMNEINNCLALHAIANIGGREMAESLATDVHRLLISPTSKSFVKKKAAL TLLRLFRKHPDVIPVTDWADRIIGLMDEYDLGVALSVTTLVLTLAQSFPVEYGGCYEK AVNRMKRTLVDRDYSLDYIYYKVPTPWLQVKCLRLLQYYPPPEDPKLREDISELLQII ITNSQDAPKNVQHSNAQNAVLFEAINVAIHLDSNSTICAQAAALLGRFISSKETNVRY LGLETMAHLAACVDSLEPIKRHQETILMSLRDKDISVRRRGLDLLYSMCDTSNAKIIV SELLRYLQVADYAMREEMVLKIAILAEKFATAYSWYVDIILQLISTAGEQVGEEVWFR VVQIVTNNDELQEYAAKTVLNYLGSPQYNETMVKVGGYILGEFGHLIANYPGCSPIEQ FNAVHSKFNLCSLQTRALLLTTYVKFINLFPEIKGQVLSVFNQYRYVLDSELQQRACE YLAISTMPTDDMLQTVCEEMPPFPERESTLLLKLNQKHGDTEDKRVWVIGGKEANMER QEGLKRIASMRMSSVDSAGGNGSPTIVNTPLASTPLAITSTATLSPRAASIPVIPTNL PLAQGYELGFNRLQCFKEGVLYEDGQIQLSIKTEYHGSQGRIGLYISNKTPFPMTNVF LQIPPHAQLNIQPQQPEDTSNTIGPQSQTQRLIQVECVDVYSSAPDLFCTYTLNGPPE FIHLKLPVVLTKFQEPIEAMDGANFFKRWGQLGGPPRESQIIFKSEGGPIQLPIADAV LRGYRFGLLQGVDPNPNNFVGAGVVDAGTTGGKVGVLLRLEPNLEQNMYRLTIRSPNE LVSDHVRALLERTLMYGA PHYBLDRAFT_148116 MKNDTTNGRQNPPDPMYSTINNPRISPQPSLNGSPTHLHTVSPS DISSSTLSQSAKPVPIFGNWARIARGKPVTIFDDASAKAELAQQKANQMWISSNEDNA VVFDITDSGLDAAQFFQALKSQYPSVVGALGQDRRDRNIAIVSFDTIEDVPRACSEGV VVGHQTLLATPTFGGDSNILRVHLDKLPLRRADKLEPRVQEVMGLFGRVIHIGLYMDP QFQLFGGKGFVMLDTAPKEGIEYIPLTHKIDFRGEREIYAKWQNMPVACNYCHGEGHR KANCEKRTKSPRLCYGCKKPGHIRAQCPDETIEKERKRQRQEDPQVISPENGGNNRQL EKELARLVRENAKMQEALVQSENALEDKIALVEEQQCRLEGITESSEITVGPTTEAQG GIAGDEDTIMTNEAMANPPKATGRKSRLRNDVDPTLIINGKRNRNNKPTQGLFPDPST VHKTIVADGSGQ PHYBLDRAFT_182351 MSGKVLQTTPWWNPTQKHFVVLDFELTGKLKELYNLFTGQKHKD TPDELRVKKAVRVSRTNEPDEAHILIVYKSCKARGNSTKRSPVYPNHDMTLLQLLNRD LGPHQRYTLSITLSSFILNNVHVQRARDRIVLQSSFLREVVVLYGRLTIVEFQHHYPR LQHLQTLYTELIQTNEVNLTVNLNGLKNYGAVVSSACKTIAITYNNYYDLSITAIKKI VYEHLLDSLLIRLPAPLQIPDQILPENTTIKLPRLSAFLNKRITPVRNILPTIPVNKA TLSRNLQAIMHWRFIKVDSENVKSFFEQGPQKIRDKSSVAYTRRLFFHFFNFKKFKIN DIEALINLPNEKSKMFLNSIYTDGYMFRVSFARRTAIDPLNYVELTTNDFNIQEIAEN CRVCTVDPGRRDAFKAYYGDDGIRCLTRKEYYSASGSVKRMINEDARKVAQGIKEVGK YIPSVRNSCKAKYLRYFQNSNDRPIHPMKFGVAMVGQKCSILSQVQEQQEQQKHKAPQ PHYBLDRAFT_64030 METVETRDHPREKLMFCDHFTIFNFHSTRAAASTVAAQGGMDIV AVKQHANWSLTSNTFERYYYKPNSRYEQVAEMVDQIIFMDTIYDTISEGAGEDAAIPV L PHYBLDRAFT_187881 MPIINVNVKWTGKKFENIELDTDESPELFKSQIYSQTGVPPERQ KIMVKGGMLKDTTDLNALGLKNGHTFMMMGTAGELAKAPAKPTQFLEDMTDAQVAEAL EIPAGLENLGNTCYMNATLQCLRVIPELQTSLNKYQGGVSGVDNRGNLTASLRDLFKD LTKASDGFPPLVFWQMLCQAFPQFSQTGPGGAPMQQDAEECWSEMVSILKAKLPADQE TGRNFIEQRMTGEMRTELRCPEAPEENTVSTESFTKLSCHISITTNYMVNGILESLKE EIEKTSPTLNRTAKYERVSRVSRLPQYLPIQFIRFFWKPSERVRAKILRKVKYPLEFD ASELCTPELQGKFSKAKLKLKDLEEKNVAKKRDEKRRKIDIDVSGGAESSAAAAAAAA VASSSSSSASGSSSAAAVPAAEEKIDWSEYIDPELLKDVGCNPTGQYELCAVLTHVGR SADSGHYIAWVKKAEDEWFKFDDEKVSMVRDADIQKLDGGGDWHTAYIVLYRAKQLE PHYBLDRAFT_170980 MPQEEEEVAKIFACPQCPKIFATRSNLKRHMENPNIHNIPYIRS RDQKRWKGHAKKVVSKEETTERMRKWRAENREKNRQNDLRCRVYRLARQKFGEHDSPE KQSFVRDEITRRLGRRMLIEQKESRQTDDEFWSEVLPRTHHHHHHLHHNSNHAYNNSQ NHNHNPQDHDDHDHELDIELDIDLDLDIDINHTHSHSRTLTRTRTRTRTHPHPHTHTH THGLGHGHGHGHGLGHGYDHDLGLDHKLKHNHNNHSNANQNHRHQCHSPSCDLVEDEE EITEGGFIRRHLVELPFYSAPQQTIELPSIADMRQPVPVPFHFSRPWASETSPSAYSS TSSLSSSPTLPHLSPSLRSQRRTSSSSVSSVSSLVGESLELLVLPPIHHASYGSSNTV ETKDTIKYVEQTKILDEFVGVVLHYADTNQRQQTEPSVI PHYBLDRAFT_77568 MLSTIVRATRRSLVAAALRAPAARVNVGAVSVAARFASSKPSTA EVSSILEQRILGASAEADLQETGRVLSIGDGIARVYGLKNVQAEEMVEFSSGLKGMAL NLEADNVGIVVFGNDRLIKEGDTVKRTGAIVDVPVGPGILGRVVDALGNPIDGKGPLE TVGRSRVQVKAPGILPRHSVNEPMQTGIKSVDSMVPIGRGQRELIIGDRQTGKTAVAL DTILNQKNWNNGSDESKKLYCIYVAVGQKRSTVAQLVRTLEENDAMKYTIVVAATASE AAPLQYLAPFSGAAFGEWFRDNGRHSLIIYDDLSKQAVAYRQMSLLLRRPPGREAYPG DVFYLHSRLLERAAKMNKAFGYGSMTALPIIETQGGDVSAYIPTNVISITDGQIFLEA ELFFKGVRPAINVGLSVSRVGSAAQTKAMKQVAGSLKLFLAQYREVAAFAQFGSDLDA STQFLLNRGARLTELLKQPQYTPLSIEVQVPIVFAGVNGFLDKLPVGKVVDWEKDFVS YVKTQHQAELDEIRTKGVISKELDAKLRNICETHVKTFL PHYBLDRAFT_64026 MASYFDDLNIKHNDRKKPRYDANLDDFMAPSGSSHVQPVESMQQ MLQTATFFDQFRQQMANQGNADQEQFLDNIVSQLLEESQSEAKGPPPASQRFIANLPT VNKRSLDKEETCIICKDILYTSSTKVTRMPCGHHFDEDCLVPWLSLHHTCPLCRHKVE SEQVAKEEEEEESRGWMYGTTVMAYGITLSIMKPLLAFRSLYHYNLFLKNTYY PHYBLDRAFT_170983 MPNMARVELSFHELGSQLSRGNQFDLWFDSGGATRSAKMRPTVR LQRLQMESRIFRDSTKRPKIRYVKRNKFPFDYVGLTGYTNCTTTTAQLQLQLQLAIET VTKENQTKMKSKIELPF PHYBLDRAFT_114845 MQPDTIANPGPLGLCGFALTTFVLSLHNAGAGVPADGPHGVVTG LAIGYGGIAQLLAGMWEFKTGNTFGATAFSSYGAFWISFGMMFIPSTNILASYSDPDV LRQSLGYYLLGWTIFTGIMLIASHRSSAGLVSLFFFLFITFILLTVGKLQNSLNSQIA GGAFGIVTAIIAWYNALSGLLTKDSSYFSLPIGRLR PHYBLDRAFT_182355 MLYDLNIPCPAQRDHQTIERLLLILSRFSQLDTSTIALNHVMEG YNPTKFKRMEPIVFEQVKYPVNQLSRLTIDTNTPLPDDAVQKAREYYDLVSIRTSDPC VFEQACTKLAVDVIALDCSQRLPFHIDPLLVQAAVDRDIYFEICYSPGIRDGTARGYL IQISKQWANYTGKHHLLFSSEALSVSDIRPPGFVYYFAKSIGLPNDKAKCMTMHDLSV WIKSRRINCFFSQINK PHYBLDRAFT_148127 MSYLYSCEQIQDGEGTSVTSVLVQEIQGEPEISPSSLLKSTNSA YEISDADTDWSDMAAFENEDDLNFSDTDEDDIEARWFPSLLQESFIQPLDDSDDEEAL DSSVLVESAVIVSSADVAEIIESEYSDDGDGGLSTGKSGSPKSSDRRKSRKLWRDKPE QEKQIAQQQSLVPIERHKIISAVLSPFFFKDNNNNKRFGIKSVSILKVVFSYDAFYYN NIGSVLFCNPTRLHASRSLSGQLLSQRMKIGLIFVSFESHKTKFDPPNRLQKKMEDKG RSWTAQNNIREVTRRSSGCGCNGAGRTSRLDQFPRTFRILSDREEMVETCTGTKGWWG LDRKKKPLEGELGNHGDDREWYKKSLDVRGVEIQGIYENQAVGSVKKVPTISNDSEPE EGDGGRVNSYGHELEIT PHYBLDRAFT_148128 MVGLLPKHGGHPACYSSPCHRRILTRNLIAFTLIKRRFGDSGLT PSRAPCTMVLKLHLDTTSVCCHADIRTVLSKLTLRATPLR PHYBLDRAFT_182356 MYPSTLSSLYSYAVFGVPMLQCLVDFTRGCRQQPKHKEGPDSVP HSSSSSVHSIASSTDWRDNKETWSFKEDYVSFPSLVETEDQTESLCS PHYBLDRAFT_87748 KSKPKEIVKPAQKENVQELTEFLAKQTFDDLGLSPEVLSAVRLG HLKDIEDPLPTEIQALSIPKLLHSNRHVLCAAETGSGKTLAYLLPTLHMLKSDEVNKV KRRLDHPRAIVLVPTRELVSQVVKTAKSLSHHAKHRALGLTSRTPRQKLLESLADGPV DILVTIPTALNTFVKNETLSLADTRYLIIDEADSLFDSGWGEDCQSIIKQLQTINAQK KVQEKIIIVSATLPKSVHGVLDTLFPKLVKITTPSLHRALPNLKQSFVDLARFNGNRQ MALLEVLKKNIKDGKTLVFCNTKKAVDILHNWLKTKNINALALYKDAEMSRDETLRLF KTTKKEDMTLNTNVLLSTDIASRGIDTTFVDHVVLYDFPTSVVDYLHRVGRTARAGKT GKATSLIGRKDRMMSDRIRRSIR PHYBLDRAFT_148131 MNEATPFRAITVKASIKATGWSPEFLGPLQVLVAKVHTIVTNTF ALMRYTFLTNTSKHYFSCSRISLRTFKQVENSR PHYBLDRAFT_103986 MVIDKQYQHLIAWTYSGTSFIVCNITEFSRDVLPKHFKHNNFSS FVRQLNMQVLYGFHKVNKSPRGHRTLAENQIWEFSHPKFMRGRPDLLDEIKRK PHYBLDRAFT_170989 MKLDGFCSATSSLKTDASYFRNTPYPVDQQPTHPLYTRNMNNPS FTQNYNIYPDAEIINPDVNAMLDDWLTTDLAQSGFLHSPKPSPEIGSLNMYLGPPSVQ QDIINNNNTDHGTNQTPKKEYDYEMDISSVSSPQQTSLLSYPAEPSNLTTITTTTTTT HTNPHTHTNPHTPTHTPTTTTTSTVAPSQFFTLKFVSPQPYQGVVEFDQRPDNRTRSV PAMFIPQQEIAEPSRPSTLSIVPSHTISASTPAFSANPRSRKQTTSSDSVDDEGSVVD DVAAKRQKNTDAARRSRLKKVMKMESLEKHVAELEQKNSTLMLRVAVLDTEKANLLAK EVAFQNRITTLEAQLAEAHRILASRP PHYBLDRAFT_170990 MNEATPFRAITVKASIKATGWSPEFLGPLQVLVAKVHTIVTNTF ALMRYIFLTNHETTSGVVFRYLLCKHEFDLCLFDTFSTSKHYFSCSQISLRTFKQVEN SR PHYBLDRAFT_170991 MSDRIVESNGLMTANIPPPYKNDTKYYLRLYYENTMTSTTEQRM VALFAIETWTGFLKRLRAKLDMKNIYGIKYLDVNGNFVIVKDQEDIDIAILVHGESAK DPCIGLDAWILLD PHYBLDRAFT_148136 MKNTPKAVLLGWTTLALCAFGGFTFAKDYTNNKLKHYTENVGSV TSSTGKSPAIQVEQTDANGNKILRRSVDRSL PHYBLDRAFT_187884 MRSLTFALGLAASWLALGVSGVPIQPQPLIVRYPLRRLRNSSQG SRSFTKRQSDLNSALDSLVNSTATFTSHNAPLLNDLDICELGIDIEVGSPPKKYLLLF DTGSSDTWIPSHNCTATQGCQTSNGYNPAESSTYNPTSFPLNITYGRGSALGQYFVDQ VSVGDLTVSKQLVAYVDSNEGPIATQNATDGFIMDGLFGAGYPAGSIMYQDFRKTFYP FPMSLWYDKLIPEPVFSVYIGDSDNTNWTGEVVFGGVDLSKTSGKMVYTDVVPYSGEG VTGSIYTRWTVGVQGFTFQNSTNQVKVSFSSTQSFTIDTGSNFIYLPETQAEVLATHV DPKATLTDGRYIVDCAYLTSTDGFSVYFPAEYDPQNPDSKASVFISVPISDMISKLND QCILLILPSKNHIIGNLFLRKFVTSFDFGKNRIGFAPVATK PHYBLDRAFT_57128 MSKQRGNIVFETSMGVVQIELYWDHAPKTCTNFYELAKRGYYDG ISFHRIIAVSGDPTGTGRGGASIYGNKFEDEIHPDLKHTGAGILSMANSGPNTNGSQF FITLAPTPWLDGKHTIFGRISDGMNIVKRMGLVPVDSNDRPKDSVRIVHARVD PHYBLDRAFT_92558 SGKLASETNTLKGVELKYNEPPEAAKPKQQWRFYVFKGDEQIEL LHIHRQSAFLIGRDRVVLDIPVDHPSCSKQHAVLQFRRVAESDPKSNNVRHVVKPFVI DLESTNGTFLNGTRIPSTRYVELRLKDVLKFGNSTREYVLLHSE PHYBLDRAFT_148140 MGKGSFFGRLKIGKKTRNAKLLAQPNQTQSPQSLGNTSSNSSAS SFPRTPTEDTSNEGRGIFRTLEAVWYFRTSLIADSPYRNEWTQFDAQSQIMLTQAALT STTCLLPLNASLGSCNISFAKKPNRGRSIPLTSSIQTNDPDCETIRTLEIDVDVRQIV SPVWWYEDNAYDGTKEMARFDYKNQVRLEALSDDKDSLILTDAAFPQSFTVVLGSSKS RQAQEEWRGFMHLHPAPPIEYIYDNIMPPETGNIERYYDDKRSTAFEQFLEFDVPLQR HFSV PHYBLDRAFT_64012 MNEATPFRAITVKASIKATGWSPEFLGPLQVLVAKVHTIVTNTF ALMRYIFLTNHETTSGVVFRYLLCKHEFDLCLFDTFSTSKHYFSCSQISLRTFKQVEN SR PHYBLDRAFT_170997 MFLTLKMDYIIYNVVFAKINFNVTAADALKPKSIRSSSTSISNK LSAHKPHLRFKSVKILRLNVLETISFVVSIIFKKITKKNDLNARQVFKMSIYFHAQGH KNHGACKYMLSEMLLLQKIFLKSIYKKHLNREKLNLNATAADALSDK PHYBLDRAFT_114932 NSCAILKLGIMYETGQGVRQDDRLAFKHYERAAVGNDPVAQYIL GLHYRLGSLGLKRDNQQAKRFISKSAQAGVPPAQRVLGLMYAQQLISSSDNGQANNTR RKNYRIALGWFRRAASHGGVRALGLVGFCFEHGYGVPADHRAAFSYYRKAAANKGHRT ALYSLGLYYINGLEGITRNIPRARECFNQAAQKGLTCAMTALATLYRNAYMSNELPER KTESQCELAVQWYQRAALLGDATAQRELGLLHGAGLGVPKKPSCRI PHYBLDRAFT_77562 MKHPTKRSNLKQRSLLNFFQTENLFPMNGKSTDSQGKNIKQDNN HDSQDGDNTKESVRTLPDSVKNATENIIGLGEANQNKSSLKEASFENGGEVIGSTSND PISVSDTKHIDDRMGAIKIDEFHMDSMGGDYFEDSETQDISMSKSLRIEDNKVMSPHE KFETQGVSSEDDSEEDVIMAVPRQRIRRRVLVDEDEDEDDSDSEDEDENKDKDTKNPC TMISRLPKTTNISANKKRRLITKKSENDDKPESSEEDTKEELAFLRENDIYQERTRTK KKSRYSLALGELRGCLMSAVALALLDRKQRLQSFNGEAGPMDHFCHGSTQNLYSDSDQ DILYEDETDSEEGYDGFIVDDDIIDGERLDEAEISNAVLPEEFSMNSMQKLSYNFRLF VEYLLNSIHDKDFDTKDNTYNTAIRAIERRVKSYRDSVLTSDAWNIPFKSALDNYVKW TWKGFSDTFVECSACRGRKPANSDVSLSNCLHSREPKQVIFSVGSGCYKRGKAYHGLT HFRLHMYHRIEEEMRRIINSSPEIALEEDTLVAKVLKTMDNSGFVTDIYNNMKALFAS ADGNYLGEPDSEPEN PHYBLDRAFT_170999 MLSKMGVLSQIDRSNSCVNLGQWYQFVVEDTKRQNSSALADKDS TSVFFHDKFPDFMRFLQGINCLLLCTIFWHIMKPPIGQRVRVQNSKSNGCEVVRLKSG EGAAILRGRHSEWLPPLPYPTRLNKAHHTNKNHPPKLIHFGHASLLPNEYLLLTTTTP LKTIKPTPSSCLRMSSIMAVPLAVSSSMSLANVTVLATSGLETGAVIQRVSLSRLPIF DLADLLYGLQTSLVLYRRILNGGICREPNFQTFFSGWTPRTVSILPRAPCPFGVVIAM LTIMTVRAAPNARPTNDSVGYVTKLGTTAELDAENSHTAVQETVAKQLTAQEAMDQEV TSKALEERVTSEQEANALVANLAAALFAKDNEIAALNATLTRSAEAKALATQQELETN RASIVALTSSPAGATGTSTVIPHNTVILPGLSKHGQALKDLRLHHKPKAPLGKIGKSI PAIMKTATVTATDPSQSDYRAILAKVTHMSSSFDPFFILVVYETASPAARATFYSDLQ SFAILNNPIIQDRLFILGDFNFSFLKPTSLCTAPLSWQHYLGSYFVNCHTSLDPTLLP IFSRSSSTTTIDCMFAAYSMSLSIVNHDIQFISPLWTDHALLQTTICLGTSDKRRGLG VLTLLLPQTPTFFLLSIPLSIASFLSFPIMIHNASRKSSKLLRLGPPRNLVDAILHIG EKKLLKSLQSKWSQFLQSKPILAVRLLLLPKYDQQIAAIQQELVNATSLRAGLRWV PHYBLDRAFT_171000 MSESPRGYSLIHTITQRDAFVTKLLNDVHLPTQLHSQHYLSVRG HATIVNSLILSRLWHVLCLVWFPKTFINTLRSIVGNFVMRPMFSRVKYATLCLPWSVG GLDLIDPAALQYALQLRWLIPLLFPACATLYIPIRWLCAHISISVTSPKWRLCGHSNQ TPEHFLVECPLIWQVWTMTMSRWIPQWPARPSTILRAFYALTLSPSPPHIDSYYVLDG VLATVWKAYWRKIFDNVPFVSANIVVSVNKLLQFFFQSSHLLD PHYBLDRAFT_171001 MFCGISECIYCLTSVSVTIMTSSHKLALGLPTKLAPYRSDTVYL AMIATTLSQYEYVLICDKSNIRVSGSPHVSRILTSAINGKEDITSSDPFLKIYNTY PHYBLDRAFT_114838 KQWETVIWSDKLSFMLYKKNSSRKLWCKTSERFGTNHFVPKVRG NDKMVMVWGCI PHYBLDRAFT_148145 MQKNTRQETYKIVKTQPSKRQGELNFSINSGVFICSECEKEFEK PWLLKRHLKVHHISNQMATNTVLDEPKQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVNNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGTVNDPTSCYPFRDLQTMILFAFIDGDNDMISQQMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDCTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIIYLKTNDCSIR FLIELFHMTNKNIFAREYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSI SSSLTKLSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGN RSKQYNPFESWLMRCTALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNEYVLVVVPILWIEADMPCHSELCRLLGPATTFPCRRCYIELRHAKDF VKNLSYFCECHERRTQEHYVLANSSPGRDTEIPNAPKIGMNMPANEISFRDRSTGRLL ELQSFDPEKDTPVEILRTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTG LSRKFTQNLRHSGSFLGRDFKVLLQILSVILITEFSGNHELDLVIPCFVELGQLCSLV FVRQVTSDFDNYIIRVNNAVKRLIRALFDYNKGTKNELHKAYCTKPKVHYLTHLKEDI IRFGPALNYETEKGEQFNKHIREHLFHTNCQNTSRDVCLKFAKQVALQHVIDGGSWIN SSGNREKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDTIQNNSFGAFV FKDDPISRPRIGLVSGSVVKFLSIVPCTDNDRNNNYAKAVMTGEHSDVANMNLVCKLD LHIFRNPFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_171003 MTDTGFLDPNKLFLQTFSFWFEKTGIIKYQSLGSDLRSIIQDHH QQFIINTVNENNIITLEELKILLLEEFDNIQSISVSTLCNFLNNVERITLKRSTPIEE KRNNEETRHKIKDFILNLQPEGILYNYNCIFIDETEFNINMIKGRSQSKAGAPAFVKT KTKRATNVTIIFALSAEGVESYHAKIVNGGTTGKRSKKQYKASFYLCFFYKSGN PHYBLDRAFT_148147 MSSQKTTRDHKNINYCAKDGVNNGSILIDIVIEWITEDNNFSHW KGGETKDTIKETLCSEIREIMIKHGITHQSNQDICGKLQYLQNKYNDIYKFINQTGDH YKKIQILLYSGASNGQKTIDIGKKSSLSNSTQQPTATTSESHRPSKRAQYNIDSSICE VVKNYADINNRHMELLEKQHESTERHRKAKDEEQRWANIENERIQNRKLDIEQKQVD PHYBLDRAFT_171005 MSKLNNRQIILTNLKHKILERLLACQLLMVSQPNPIFNIVTNIP EIAMYQIISSQRYLSARSMIPRALYHIDWLMNKLDNDRFRQELRVNKDSFCAILAMIQ GHWVFRSNSSKKQTEPGIQIMVALERLGMYSTSAFIGWIARLMSISEGSVNNFSWRFI FAIMSIEKEYVIWLDVQERKIISNRIK PHYBLDRAFT_148149 MLECSFFTFEDSSQPIIFRIKPLLYPKLYLTFENSKCIKVANNT LIPNHSPTHQNNLSNDTPTASMHLETVPQAQEQSMSYTIFKFAATDLETLTTPLEANS QLKSQIPAKQNKAQTLAL PHYBLDRAFT_171006 MINKNRANLIEGGFTYNKDEATTLAASQQECATLEAIIANLTCK SEELAANYQSVLEKLDRLMALQATGAARAAFASTGKDSLMASKHAPKALTVDSNSNIC IRCANCSTTTNNSTITITNSTITITNSNQNNRNKSNNSNKNNTNKKSNPQNKTGSNEV AGQMSYATQAKKGIDAKQAKQKVQVRRVQGQQLLQKPTDPSEYEFVYLPAKRYVKYQE MRKIFSSFKIPTSCILDIQFLGRGMVALLINGEFHEELIALLGKAKVVPLGNFDLTTA DVIADPKLKEEAIEIRARKAQDLFDARLVKASLSMSTYLGHSVIHHFSSEKAVSPPKR QSPQHMNRLKIGLFNANGLQPKLVNVIDACETNDIDIFFVTETFLPKSAPALQCPWTQ IHNFAIPTTSPKPIDGISLLIRPDFPHHVHPLLIINPHGVSCRIDPYTIHCIYLSPRL TSSECQEWLALLPVDDFIVCSDFNTRLHHTTGDTRGEPRSEIMAKWVRSKDLTLQNSE LAFGLPTYKRVHTSGRVFKSIIDFFLLREEQFHDMQMKIHDELALDSDHHLSHAEYVA ANQDLRTAVWVARRQIWYDFCDKIQKAPSEMVSALKRIKIWRRSPISLAFPEGPLTAA NNMIDYLENVFGGSMEIQTEQAVTPMEREVPWDVDQILQKAQNTCLRTCIRRPNATKG VVYITALAALPSLFTRSHALQAKFLRRAKTLPSDSLIKALTTQLDLSKEKTTWGELRR SILWKKAQFLKEHQPRLKDPLKEAYVLLCQKEINMQLASVNRLVIIARGFSERRHLIK WRIAWLPPTPSVECQCGAIKGNQNHMLICPATITLVQKLWSLMDPAPPPEVHLIDYAL NCLPRSFISPCMWCDWWPCLLALLRTVDQTTSSYKLPEEKAHGQILIDLAAKFRATKP TRPHRIPPPTQEPVPGDPFPHLLSEISTVPHQPPPYVSAQNCA PHYBLDRAFT_171007 MTTRHTPLKTANNPRYQKCVCERCSRSVDGYTLQLPRAARIHMH YYPPKQSIKRVYAYHHYIPPKDNAYFHNLGTLYMINCIKIMLILGTACLNSIATTVIN LNIEVDGHNKDSYISKNFGSRDFPNDDCASIYRINIQYPNHELHLNRDCTLDSELKRS LDPELY PHYBLDRAFT_126319 MVTPAVKHTIVKKRTATFKRHQSNRFMRVGESWRKPKGIDNCMR RRFKGSSPMPKIGYGSAKKTRNLLPNGFRKFTVSNVRELSLLLMHNRTYAAEIAHNVS SKKRVAIIERAAQLNVKVINAGARLRSQE PHYBLDRAFT_159503 MDIVAVKQHANWSLTSNTFERYYYKPNSRYEQVAEMVDQIIFMD TIYNTISEGAGEEAAIPVL PHYBLDRAFT_182362 MSGKVLQTTPWWNPTQKHFVALDFELTGKLKELYNLFTGQKHKD TPDELRVKKAVRVSRTNEPDEAHILIVYKSCKARGNSTKRSPVYPNHDMTLLQLLNRD LGPHQRYTLSITLSSFILNNVHVQRARDRIVLQSSFLREVVVLYGRLTIVEFQHHYPR LQHLQTLYTELIQTNEVNLTVNLNGLKNYGAVVSSACKTIAITYNNYYNEFQDGYMFR VSFARRTAIDHLNYVELTTNDFNIQEIAENCRVCTVDPGRRDAFKAYYGDDGIRCLTR KEYYSASGSVKRMINEDARKVAQGIKEVGTYIPSVKTTSGEQFLRLTQYIIANMPTLF NFYNFRVAEIYWKKIRGRQKALDNCANILIHGCIKNSHQRVFITGNVSKMPLIVFGNG LTNKSSVRFHSLWHGVSEKKLQQSSFVFVMFNVDFEIWSGNGKPEMFNPQPSSRTTRT TATQSATMNVVAPHSGGRT PHYBLDRAFT_148153 MAGGAAFGFHPHFAGAWHRFGGKALGATMWFWMMYRAKQDGAVL LGLRHPWDHHGEDHGDEHH PHYBLDRAFT_159504 MQPLIPNGSYSPNVNSNQQQQQQQQRYAPPQQPFVHSPAMQGRL PYPQPYQQINRRPSENTQGLFSTYPARLKNSDDNALLLPSTYLSNKRQRFAGESDDDF DEMMDDSDERDTPSSGMRTRSAAVGSLPATPAQSGATTSDLKRVIRKKNHIYPSEPEM ERASGMEEVLVPIRLDIDLDDIKLRDAFLWNMNEQFLTPEKFAEILCEDLELPTVKFV PPIAESIRSQVLDFEAIHEIELPSENIRVVINLDLQIGKVNLRDRFEWDLDNTISNAP EVFSRQLASELGVGGEYVSIIAHAIREQLYRHKKQLVDEYGFDGEIAEPLSSGFRTVE DAGVWTPQMEMLSNDELEKLLIAQERNIRRLRRETRFKRSRRRTSATPSRRMGANNAD TPS PHYBLDRAFT_63995 MSAHILLLTLFASSIKTQTFNTHPSNFISLPATPTKSLCTITCA TFTTGVPLVLDDIRCHSQNLKQINKEHEEYLWYFRALGLPTKLAPYRSDTVYPAMIAT MLSQYEYVLICDKSNIRVSGSPQY PHYBLDRAFT_63993 MFVRVDISDQNRKLQAAKDRGYAAKYYGNTFNLWHRKSEKFNEL SFVELCYGSFFASRLKHDFQFVPESLNVCYKLLCEDIFLKTFDKSFIRLFEQLKEYQN KVHIKNPAIHIYSIVIVAFIKQVLLKNIRSTGFK PHYBLDRAFT_148157 MSEVALTRREQQALRKAALEAAPVRTLRDIGSALEPSTIPNVMK LKSNIVCVFASEWELYKQEIEEQCCVKCIVSRPNRHSSAVDITKKRHVLFSQVYSCHR GGSYEPESREKHPIQCKSKKVGCEATLTITCYADKSHVYVFDFIVNHTNHIPENIKTD LGLIPLTRASPGSPARKIRLEILCNIDQQEYSLNGYKINYFDIYNKILAINQTIFCLH EDDFKSMKMWFTKKLSPKGFIIFEAAAAFCMDATYSITQRLDDILYTIVICDEELDRG FPCAYMLTNDHSLGPIVQWLKHLKDNQLIVNPWQFTIDCSDAETNVLMAIFPGCQIQY CLFHVSQACKVNLILSDTLRPIGVPWLKFYFKEESIRINMRSGPMTAAQRQKRKIEMS AEAVPAYMHANMIVSPSKAMSLNATLDDTDDILEDGFWFINSFTKDSITYQVEVNNNV ILSCTCYSWARYMKPCKHMHLLRIHMSGFAFLSVAPANNVLPITIFGEQFVNDNTMLI DGTRESTGRRHNEQDLYQLMQYATEEEAEVIRAAYAAPIKTFQEIKAKYEAHFRTLNT QRH PHYBLDRAFT_63991 MTSPAQRSRHRSVCKRLVLHKLSRKECISHFHFSFEDIQRITNA LGMDETCNFPGIKIEQNLGLAILLNQYSFPRHLDDMAHYFGINAQNISRVCREMEDLL FEKMRWGMQFDICHFSPSNMERFSKAIFDKGAAFDNIVGFIDGTMQTYIYALKFQTIV TPDGITSSLLGPFIGSCHDYFINTISKIEDRLKKYLAPTSDPEKYYALYGDTAYMCSV HLYSPYLGAVLNDHDKFCNKSMSKVRVAVEWEFEELPLSLEDYISGLTRDKIEGEDIE PHYBLDRAFT_63990 MGPKKASNTTKRNKRNSVICQYTPPPPSRSPQGQEGKKSVPTVS LLGSQGSWTYDKELVLLQKYARIFPPTCPRGSMSSEWQKGINTVNAVAPNDKPLQYDA CWRKVHFNENKETYLSGINQYRSPIEKAAIQELEYDVMKARKKDFIQKKEVVKNELER LMQTRSSAGWEHRKQLNREERDEPMNMVTHNRGATIESKDSIMEDSTGTVSDDEHEAI YDMYIDKQTQFWQKMLESLSSQKEYQEKML PHYBLDRAFT_171014 MCFLESEGPTPMRDVGSIHKAKGSRPGGGRYNAFVELPTLTSSA FFGQDEMHLLGHGTGHQLYQALGGKFCPGTAGLGRKSHGMHLQERLQRLEYPFALDVS LDDIEKAVSTSRADIPMAFTGTWRSMKESNGKRKTINWMDFLLFIVPTMVINHLCFAD AKQAVRDLVLACFIVQQWSITTSDIVAVEAIGHWHSFLRCKISDGKLKSNIFVMNQHM LVHLGFMLWEMGPLQAYSCRPIEYTIGTYTSAIKSRKEPGKNMENVLFQMAAISHCRG NCPARTGPADRRTSNFKVASDDIAGPQLWSSLTRYSMAELANTIGMEWKDLVQQLLPF WAGEGVSSFEEQDSVVCTTKMWKDSVVYRVQSSFDSRHVQANNLVVLKHMWDYGFVRK FFSHIVKGVTRLFAATESLSDVRPLPGMLFPVSSNCSQGEMRIVDVKSFKGMAGLVHD TKDGAIRHIVWPLPTHNQ PHYBLDRAFT_63988 MYVFRGVFPVICPSGVRIHATLMMVACDIPAARKTSGFTSHNST WACYKCNRHFPRLENGVNIDFRGFDFSRWVLRDGLHRLGYLDLVRGTIIDPMHNLFLG TPKRLMDRWIKDEDIQDGDFVAMQKTAETMIVPGEYTSLNSKIGKQFSYMKADEWKSW VLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFNEVNTAHQFLQTFCTRCDEL YNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLLKNLKTNRKIGFEETFMK KFIEDVHKDDLVNSFLQSTHQTSAFSLLTKLTYSFTPATIPSIRQRTFRIQSFVEASE DPNVLVKGNEPLPPSAFPLSLKSATTMIALEKREEIPMCTVT PHYBLDRAFT_102486 NLPRDERFKPENVILVGLMPGTKEPKSEEINHYLKPLVDELETL YVGMKIPTFECPGGVNVRAALLMVACDIPAARKTSGFTSHNSTCACYKCNHQFPRLPN GINVDFSGFVFSQWNLRNGVESRLHAEEWESASTPSERHQLEVENGVGWSQLYRLGYF DLVRGTIIDPMHNLFLG PHYBLDRAFT_63986 MPKVNRTLAPRPSKEHADLIARLDAMQQSLKDMDSKIGYVVKGN ADDLEVLDTLTGTSDNVLEIAPASAPTSAPAATSTDVNQEVYNRLFSLIRSRLRDPKF RSNDAALIAANDSKSSWNTEIHFNRSLNKELTLALMAYLKPKFAAGRLRPSEIHSSIY TNFCGRCSAERKSPSALDAGRSRSRRASRATTNFDCHELAYSIYKADIDTLMGKDCEG LINKAAMSEDESKDEIPGVPGNCVLCTVRPFWRSDEYNQFLEHVNKAMLRCLNLNVRQ MAKKTFGRDADLAVPSQLKYSLPQWAFRDKL PHYBLDRAFT_159505 MRLYRLLTRTIKLEGYTIRYGNLFLTSVYFISAVYEVLRRWAWW LVAATLRRK PHYBLDRAFT_171019 MSYNRIRFVSVNPAQPATEDSQSLPETSNEAVFDTFPDVVSAEA TGNGELEDAIDEEDVLHSEDDEDAEQAASTEDVPEVSTSQFWSMDATRLLLKVILQTD HHNLVKAAGGNKKKIGHLWNTLVEAFKAETDFENLPLSFNSTVDSNKCNTKFKLLKTS FKADSGRVYAQTGRNAYLPRMRWFNEMREITLNDPTYSIPCLVSTGTMSQGVTITRTS TTGRITREHVGTSQPLEILEEEQAAAEEPAAEEPVADEPDPVANSYSSVPPSVPLLVA PAHDRLPAGDRYDSMLELMGSAYAAMREDIRVSTRELTEAFGTAEEREEKKRRVALEL ELRTMEVNLFVKENEERSLERARRARRDDELLADHKRMTDLLSKFVSRNIEDSSIQSN DNGEA PHYBLDRAFT_148168 MSSTTNTLSNIDLSEEDAALISLIVKKSDAIFKEVSKTDELEDE EFDEELDEELINDQILPQKRNESNNSAQQEKVEFISAINELYLQQTLKAMKLINQDIF FLIYIGSVSMIQERVVIRRRANQGTYRWWTIDHPNLTDEETGERAFKTHYRITRSTFE NLVNLLKNTAAYSRFTDEQRSTWNVAQQVAVVLWRFCNTHFGYRIAKATLGVGHGSYN EFTVRFINTMTKEIANKVIVWPSTIQKQREIANGFATRTSTSIHGHDHLKDVVGAIDG KLVQIEKPRVDGN PHYBLDRAFT_171021 MFRFDPIIELTDRYINHSSRRIAEEQERGWVSVPEQQEEHADVY EDQEPTNEEIQEALAQYARDREQEGERIAVFLHCQGCLDPSTLSDEDSKLLFRFTQPE IRSLATLLEMGDIVYFREGTPSEFSLPMTLALMIVLRRMVFPARLVDLSLLFGKGKST LSVIFNEMIEKIYIKFYPALKFDYCQFRESNLMRFSRAIRERSLAMYCVGFIDGTFNK IARPIVDQEGAYNGHYRGHGLKYQAVVTPDGITSSIMGPDSGRNHDVRMYRESQLDAM MCVAFDFTSINGPCYYLYGDPAYTASDHMMIPFRRQTADEQELAINKSMSAVRISVEH EFAHSGSLWAFLKYSQTQRSGQSPVGLYYIVGTFLKNLHVCYNGGNQTSKKYGVAPPT PEQYIYGLLNQ PHYBLDRAFT_77558 MNAHMNTFAADAPIRFNYKINLIAGRDRLLVESLIHRHPSYAGH GQSGAAWDEILKKVKDAGGKGNEIGVSTLKQRHKNLVKQFKARQTQEKKMTGSNESYS DLDQLLFQLVELEAEGDRGKAMKKQLASEEEVQKQAAAGKVVERATLLKSNNPLRVVE QKKWTDKVEGSSSGHLVGQSTADFSDAREMLKSFRDELSSMVAGISDGEVLTSMRRLE ENVERGFLAIETRQRTLEQRQTLLEERQARFEYNMQRYLL PHYBLDRAFT_148171 MPHHLCFNLPLSNNFSPLHHYGLHTAVLYAFPLTSSSLTLYLAH DRCHLCSSFSNSTLSFFTSLLSTPDLILLNPSNFILLGDFNHLRPP PHYBLDRAFT_148172 MALFTTTLEPPGAKNPSTTCSSPPLQPSFTPISPSLTPLYSQGP LSFLFFFFIAPPKSSPKFDPFLGALLSTYPREVNMGITLGSRSSPDTCELHLPTNASC ERACSQPLVVGDSSFPAQPAVPIGTIVRCVFLAKLPHAPYHKLATQLAKCMSPFGKVW EIAVHETYRFFDGSGHVVLANTPTNDVPLDSLTYQIAYNDTQKILAKTQTCFGCNKTG HLQVNCPSNTNPSKTSKTSNKRSRHPNCNSKLDRPIIAPKPLIPTGLSLIYGGSEASK HNPRQPALHELSELSPTTTPLTLMTPTETPTWDKEIDDRMITNLLDRDEARALRLQSA SRHIHPRFSQPACPTGRNTSLSPPRFTHPQTTKALGAEANINQ PHYBLDRAFT_148173 MKTGWKRLNVSDAFIFDQDLQAIRSRTQTERSRIFFWHATLPPN DHPELLLPVNPLIVDCKPFVGALQTGCKRLSDFTTNRFREACQDHTQSPLVPLPLQAK QWRLFWAFPIHHTSQNIWYWAILNSLSCQYTLHSRAPTIFVTPNCPICLSEVGTLSHF LYQCPQKWTVWETAWIKYFGLSPSTFDIHRALFSLEIPDHPSPVFHVHPCQITSSIIL ALWRTQWSFIFDNRTFQTSSINSKIDALVSHLSSDLNLT PHYBLDRAFT_63979 MDRNQDTFEFSHFRNEQQCSPVVERDMKAWGAFSALKNLTRQRW FDSCITPFEVMYVRKMFLKGVKLLLRQSLISILSVRVLWPYLQRSLFADIVESINLLT LE PHYBLDRAFT_171026 MYGYPVELDCLRKNICDHNEIIQMRLKSLSASADELIKVILEVI KKEVNIKLEQMAAAKELKQTLKANKYIHKVDNVLPDVDYTYRCGCSYCVSERNPIYTV VFEFFEGFEKIEIEVQEKSKLNLGQTKGCCFQSFDMVGQGRQVSGWLVISREECAMII KRRWGQSKVFYLCTFPYCPFMWALSTVVEGVLTRQGVKYSTIPHVSGGAMDWKGPKGT PLFSLQREGYKRLSGVAPESIPKSTGCKVGQVLTSSLKASDEWLLQLGVVIRMQCSGP KKNVSSVVVLSMSLNNLPIRYDEIGRSTCVWGQIYCRSCKASVPKGLVRVKKALYPMA PLRLRKMLWREIFLQLSMAKLYTS PHYBLDRAFT_148175 MTGIRPIPPYPSEEYKDLLAKMPSVLDLDKTMNPKHLPLFLLVV LLLPPVLLTEFLMASPLKSAVKRKTRSFLNSSNPAIFSANEAKLKWTTDVHSNKSPNK KTVANLLGYLIPKFAGEGIKKSKFRVMLHIKFRGRIRKVRKDPKADVDFLMKRNCSGL MIKSEMSVDESDDEFPRRPSKFIVKFNKLIIIDDIVKENLGSNIRQLLNTNLISFSEK PVPDDVASRFPPWNLRYGPQ PHYBLDRAFT_171028 MGDIDYLHLLKYYKLVYLTPDLVHYENDTASPFFVDDQIIKLKS INILGQVYYGNNGTTDRGLYVKYLFLDIDGITETAFTCQIQYIFIHSFTPPPTSHYFE AHLTHHDQPVFAFVNWLPLIADRA PHYBLDRAFT_102311 NVPHNGRFKPENVIFVGLMPDPKEPKTNKINNHIEPIVDELIQL YHGIRMPTIEFPVGEVIRAALMMVACDIPAVRNTSGFTTLNSSCASFKRNRHFTRLDS TNKVDFRGFKESEWCRRSCEYNRLHAEEWKNGVTLSERQHLGIENGVRWSQLHRLGYF DLVRGTIIDPAHSLLL PHYBLDRAFT_148177 MKNTPHNTFITTEYLFHRPRANLVTIGNSIASPRKYIPILFHDS PDNVFAKINVVPYLPNRTAKTVALSLVKCCAIALQWTLTSELLDEIESFLYQQDQTNT PYRSVLRLVQHYPVPIPSVQLREPFHRFGHLNDLNDDSMEGVSGPSVKGALLKYYQKN TGLAGHEFGDSAVIVVARLWMDSTITNRKMIQKYIPHNSHGSLHFHVFVEAMKEHDAP AHASSVPIVKQRSQNITTSR PHYBLDRAFT_171031 MIDPEFYAWGILPEVYLVPLLLGLVKVFFTRNFCWSPDAYFEPY TFASANFWEHLLGGSLCAANNLQIQFIGFGPGKYCVLLAFGYKYICTMMSGESVRRKS DFLFDGLKIITSIYLLSGKSLLLPDSSRKTVELAIWILFTEVLASKEARAERNNFTTL ETTYSGGLLWVLMLVIFDRLYVHCGLFG PHYBLDRAFT_171032 MSSEFYAWGILPEVYLVPLLLGLVKVFFTRNFCWSPDAYFEPYT FASANFWEHLLGGSLCAANNLQIQFIGFGPGKYCVLLAFGYKYICTMMSGESVRRKSD FLFDGLKIITSIYLLSGKSLLLPDSSRKTVELAIWILFTEVLASKEARAERNNFTTLE TTYSGGLLWVLMLVIFDRLYVHCGLFG PHYBLDRAFT_148179 MLIAWPCAGDGSFDFLPYQVKIGSIPEREPEKRLPHPRKAAGAQ ITQSQFGEDLLEGKSGASSRGNSSSKSFALVMALTFGTVWLASVETIVMMNRNGWGDL YLVARGEILGLTEDKLLRRHFTQDVFIDQGRKLGDRRRLDTVVVLTANYAD PHYBLDRAFT_171033 MCVQRLDDSLNLQFTLTKLDLVLIESRLLPTSSQQSHILAVTIQ SVVATGGVNSSLMSNSYRAQSPARHSFKRLPKLLSQGYRLVDYVSVARVRPRTSKGIT DLLLPNFILLSAGSFSKKTEKPKLNPTKKLVCLFSKIRSRSLSELTRQITPRTKNGHA PPPIKSRKSFQSVNPSYVWTW PHYBLDRAFT_114890 FIRQVSCYTLLSGFRLPWPPSCCLDELTPFVVSDERVFRHLNFT FGSSRIASSAYQKWPTRNSTFIAMASIKKTWRLTYLKFENRLRAFRPQGL PHYBLDRAFT_115129 LDSQIPLVRTSSKLVVNCKPESNTWQPASGRKAQNQSLASRLPS AQTYPTLRANPYPEVTDLFCRLPLSTLFHQLEAFHLGDLLRL PHYBLDRAFT_148182 MSSACDSSLLRISIIMRNTFSIVSSMDSDLEAFSHYPANGSFAA LAFQPAANTNYLNEGFLSY PHYBLDRAFT_171035 MSQKCHTDKKRATMHGTRVIVGHCCQLVTIYGTNLIMLLIVMHI IQAQKKKFYAWGILPEVYLVPLLLGLVKVFFTRNFCWSPDAYFEPYTFASANFWEHLL GGSLCAANNLQIQFIGFGPGKYCVLLAFGYKYICTMMSGESVRRKSDFLFDGLKIITS IYLLSGKSLLLPDSSRKTVELAIWILFTEVLASKEARAERNNFTTLETTYSGGLLWVL MLVIFDRLYVHCGLFG PHYBLDRAFT_148183 MLIAWPCAGDGSFDFLPYQVKIGSIPEREPEKRLPHPRKAAGAQ ITQSQFGEDLLEGKSGASSRGNSSSKSFALVMALTFGTVWLASVETIVMMNRNGWGDL YLVARGEILGLTEDKLLRRHFTQDVFIDQGRKLGDRRRLDTVVVLTANYAD PHYBLDRAFT_148184 MREPRDPLLKGSARRFNDQLFLNPKVRDDSQSFVRLSDQPKLDL VLIESRLLPTSSQQSHILAVTIQSVVATGGVNSSLMSNSYRAQSPARHSFKRLPKLLS QGYRLVDYVSVARVRPRTSKGITDLLLPNFILLSAGSFSKKTEKPKLNPTKKLVCLFS KIRSRSLSELTRQITPRTKNGHAPPPIKSRKSFQSVNPSYVWTW PHYBLDRAFT_114887 LDSQIPLVRTSSKLVVNCKPESNTWQPASGRKAQNQSLASRLPS AQTYPTLRANPYPEVTDLFCRLPLSTLFHQLEAFHLGDLLRL PHYBLDRAFT_115095 MPRHLISDAHEWINEIPTVPIYYLAKPQPRERAWQNQRGKKTLL SLTLV PHYBLDRAFT_171037 MSSEFYAWGILPEVYLVPLLLGLVKVFFTRNFCWSPDAYFEPYT FASANFWEHLLGGSLCAANNLQIQFIGFGPGKYCVLLAFGYKYICTMMSGESVRRKSD FLFDGLKIITSIYLLSGKSLLLPDSSRKTVELAIWILFTEVLASKEARAERNNFTTLE TTYSGGLLWVLMLVIFDRLYVHCGLFG PHYBLDRAFT_115127 MSCWGLSSVTIAHQRGIPSKRKSSACVDYVPALCTHRPSLLPIE WLQQEYGIVGS PHYBLDRAFT_114883 FIRQVSCYTLLSGFRLPWPPSCCLDELTPFVVSDERVFRHLNFT FGSSRIASSAYQKWPTRNSTFIAMASIKKTWRLTYLKFENRLRAFRPPRPLIIRFTP PHYBLDRAFT_114799 LDSQIPLVRTSSKLVVNCKPESNTWQPASGRKAQNQSLASRLPS AQTYPTLRANPYPEVTDLFCRLPLSTLFHQLEAFHLGDLLRL PHYBLDRAFT_114834 MPRHLISDAHEWINEIPTVPIYYLAKPQPRERAWQNQRGKKTLL SLTLV PHYBLDRAFT_171040 MSSEFYAWGILPEVYLVPLLLGLVKVFFTRNFCWSPDAYFEPYT FASANFWEHLLGGSLCAANNLQIQFIGFGPGKYCVLLAFGYKYICTMMSGESVRRKSD FLFDGLKIITSIYLLSGKSLLLPDSSRKTVELAIWILFTEVLASKEARAERNNFTTLE TTYSGGLLWVLMLVIFDRLYVHCGLFG PHYBLDRAFT_148188 MLIAWPCAGDGSFDFLPYQVKIGSIPEREPEKRLPHPRKAAGAQ ITQSQFGEDLLEGKSGASSRGNSSSKSFALVMALTFGTVWLASVETIVMMNRNGWGDL YLVARGEILGLTEDKLLRRHFTQDVFIDQGRKLGDRRRLDTVVVLTANYAD PHYBLDRAFT_114806 LDSQIPLVRTSSKLVVNCKPESNTWQPASGRKAQNQSLASRLPS AQTYPTLRANPYPEVTDLFCRLPLSTLFHQLEAFHLGDLLRL PHYBLDRAFT_115041 MPRHLISDAHEWINEIPTVPIYYLAKPQPRERAWQNQRGKKTLL SLTLV PHYBLDRAFT_155902 MNAWLPQASYPCGSKGSIGHTFMVCIHTENQNQGDFYPFILREI SVLAESPLGHLRYFLTDVPPQPNSPLDNVNNVGHAIKALKTRRWAVKPNPT PHYBLDRAFT_148191 MTINESTATHHCPSCLGTNYLRITSLMCSNNVQIPVQNQNPQNQ NVANIARLQNISEPEIDSRGNIDVVCRFCAALMWLKEKDTDWSIHRSKFNICSGKRKY VLLPLEPTSPGISELLNYRTLDGKYFLSKVRGYNSILNFTLLRAKIDNSVANNQGGAY CFRIHGTICHRIGSIRPSRAQDIDHVQLTQVYIYDLASSMHQVSQENGHVKDVAICLV AEGPQDQRRYNAPTADEVAILIINNERGSSRDIILHTQTNQLQKLNEYHKSYDALHYV LLFYSGENG PHYBLDRAFT_171043 MPCPKKKSTTAKKINRLASSGAFIKKIQLENEDYVDLEFDHEDG IVLDNRELQGMSEEVTSAAYTNQILKWHEGADKSICGTYQKNSQTTEWRKRKADNDAS NTQESYSLTDTGFFIKVQKEAPIELKESIDSKLENIQIDKIAVLKLAHEDVKKEIFPY TRAGPSSKCQNYGSLRKSINGNMASQKHLLSSCYKKSTICKWIQNQRPESRSLIKVKM YIDGEILPRKFGISGNSFTSTIWKYLHEWDYVFRENSKDIYYNGHEREDLIAYHQKWA KRMIVSNEIQHVLVTHDELTFYTNNGKNIMWLMEDENQISKKDLEMSLMIKVFRPRAD RDGYWMSADMLKQLKNNIIPLFELFHPECNAVFSFDQSTNHRVKMKLNDNEIKDNNPC SLQDTVFVQNDQLDCKSKDTYEDSKCCAHHFLASQPDFMSQKTALYEAVKDSGHIFEL YPKFHCKCN PHYBLDRAFT_159508 MDERDECHLINEMKTNRETTLGGLTTFMSDTLGHPISNNESRFM LFSRDGNTRVWRYPQEKYREEFIRPRLQCDGGSIMVWGCYAYMDLMKRKLLPFMESLS ENNDGEFIYQEDNASCHKSKVAEDSDLSPIENLWAYLTGKVKARVPSPKNLNELERAI HIE PHYBLDRAFT_171044 MLDDSFVMKHSNQIEIYIIAKACRKFNNRSKNSIAAPMDFAKLL AASNKNNTKVKNNDYKYLHVCNLNRVYNSSVEHVTDHEHIVLTYMFTSCAHAVSLELF SMFFENNIFYKVYNKCVKTVNKYMIELGFNSILSYYKIDTLLKDEYPVKFVTYNMCIN GCCRFSIIEEEDFINEDETCPYFGEGRYKVERVSVKSAQIFQMVSLLEQLRFKHAHHE EWAKMTYENF PHYBLDRAFT_102021 NLPRSERLKSENVILVGMISGPKEASTDSMNHYLKPLVNELLEM YIGVEMTDSQNRKIVVCAALMCVACDISATRKTSGFTGHMSTCACHKCQQQFTTIKKT SKLDYSGFKYSNWANALNNTEQTCLEKENGTRWSELHWLSYFDLVRFTVIDPIHNLYL GTAK PHYBLDRAFT_171046 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDIMNGVLNTVLKNTSSEKAQATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLHHQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTEEKKQEENRRACLCQQCSCERRQSALKANRAHF VNSFGENVDSILHADYVSDLESDDEREEEEQDSSSENSFFLEILPKLEKRRGIGDRFV DELDVDYEAAHDKKNNTHPFEHKFKGIRDKQLSKTKANKLPSWSKK PHYBLDRAFT_159510 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLEN LKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTRSRRAGRARDVSVISF TCIHRQQRSN PHYBLDRAFT_171048 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTESRHNKRARVEGI RIFENTAMRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVE DEVNEIEIEDFNSEDPFAAPDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNL LRIYDQDFQLPTSLAGLQKMTGFSAITKGIKIFVVCQDCHTVYQDIVSAPPRCVSSKL GARSACNCNLTKSISSGALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHN LFLGTSKRLMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADE WKSWVLVYSPVLLHGILPPLQFKNWMYFIDACRYYVKPSITFDEITTAHSLLEKFCNA CNVDYTATILTCNMHLHLHLHECIRDFGPVYGYWLFGFERYNDILKNFKTNGKDGFEA TYMKNFVQNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAF VQGYTDPYNPPKGNEPLPPSTFPLKYKKPSVMDDSDYLHLLEYYQVTYNLPDLASYQD TSYNHPALDNQIIKLKSIDILGQHYRGTNNSTISRRSLVQAKFVGSNGNIILGFAGQI QYLFTHSFQLPPTHNLHLTRMVHDHQHVFAFIKWFCTSSDRSREDDGVEFCLPTFSPD SYHSIIPVHRILLEVATATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_73821 MNYQSSLSVRPLSIPPLSYVMASTYTSEVAKQYLIAQSTLYSRN HIVFTCSGGSSMDYILEKDRGYSYRYSEVNSMGATCCIDANSQFVIVEIDESKFGKRK YHQGHRVEGVWVLGSIEKTAERKTFLMTVPHRDAATFLQVIKKYTAYGGLASVIDMNY THRTVNHNVEYVTSDDIHINTIEGLWNGIKMNYKARLQTKQMVPWMLMEFIWRKKYEN RLWQGILKILAEVSFGSIVDNPIYTNYEYLEDDEDEEYTDSTIV PHYBLDRAFT_171050 MDLRERSYQRWRHSSGLQKAICWMRHQDACHAVRLSVQRRRRET WKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQVAANKMADHLQQIFSGQF LPAHRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDHLRAEMLHPIVK QVSPVLCLLFQLCWQWAKGTIDPSLLISRNCVSAINSMRALQSLGVNHTGLSRLLSIR LYRQFIRPQFEYGLAISCFNIKQVAVLENAQNTCLRMIFGGHSTSSTSVFRHLGNLPS MRGRILTLGFKFVYRAFWLPDEALFTLLRPVLTNPAYQWFKLLANPIWLSLSNRQNAD SKACKHAIRSFLNQGLFLQRSQQILLSACHPSLGVDPILWLPMTNYERSRFIRWRMGW LPGRPQPCSCELHTTSRHHVIECTGAAIRLHLYSTVQPNPIDYVLNMLPLKKLKSNKN NAFWIFTWPILCRIMLDIEQICLPGVDLADHAATDREQLFLNWLPK PHYBLDRAFT_171051 MSNNQKKVSYVICKCPDCTKLDSCGKKQKRQNAQRHYEKHIVPV AKDNAMDVPEEHFDDMEVDSIDSDNDNDYDYENEGEGEYEDENEEQNIEFDQEVDLPL SQEESIFTAKDTFTGAFVVDRDEIEEGNTGFVFEQEENFDETSGTSIVESARPSSFDN MPLYIRFVAVFIVIFHLTFLVESGGSILIEFCNTLLSLCDMSGALLLTINSLKHKTGF NMATDGMTVYIACSQCHSIYPPETSQRVCTFKKFSQSAICNNNLFKVSTGNHSLPAMA NALNNTEQTCLEKENGTRWSELHWLSYFDLVRFTVIDPIHNLYLGTAKQMIQIWRECN YINEKNQLTMQELANGIVVPCGYAPKNLENWILFVDACHLLTKPLINDKEIDEAHSKL QLFCTRFQTLYGKSAVTLNMHLHLHLGECVHDFGPIYAFWLFSFKRYNGLLKNIETNQ KGGFESMMMKRFLEITYIGNFIQSFVNHLPQFAIDFLHRISNSQDQLAALHPSSTAST FSLSDFVEYSLNSCHSALGCELLPPSVFPIKLNQRITMCKGHYECLLEFYRHAYGSHD LFGHYSNCESNQIFVNNQIEKIKQISLLGQEYSSGSYFHAYYLENNSEDKAAFSGCIL YLFQHLITINKTVITHTFAFVEWYSSYSLGSYQPMLNEGIELWNELSSVLNYECIIPV HCLYSPIAIAKYRFTITSEFKRLVIPLPQKIEA PHYBLDRAFT_73825 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDIMNGVLNTVLKNTSSEKAQATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLHHQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTEEKKQEENRRACLCQQCSCERRQSALKANRAHF VNSFGENVDSILHADYVSDLESDDEREEEKQDSSSENSFFLEILPKLEKRRGIGDRFV DELDVDYEAAHDKKNNTHPFEHKFKGIRDKQLSKTKANKLPSWSKK PHYBLDRAFT_171053 MIYYVTRTALNVSTLVINNTLNKHSKQYRASTHFVIKDVLLLTL YTFWYFQSMKSSKQTYSPRKYQGFHFQLKKCKTKKRAEYMKPICVEMLTILSDFGADM KMIAMMIPTI PHYBLDRAFT_171054 MGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGG SGTSRSSVIDLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPRL LWNLSKLAQPDTLKIYIDTASASLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDAL DTAVGRRTPRTMQKYWFWPVDLQEAMDLRERSYQRWRHSSGLQKAICWMRHQDACHAV RLSVQRRRRETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQVDANKMA DHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDH LRAEMLHPIVKQVSPVLCLLFQLSKGTIDPSLLISRNCVSAINSMRALQSLGVNHTGL SRLLSIRLYRQFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSSTSVFR HLGNLPSMRERILTLGFKFVYRAFWLPDEALFTLLRPVLTNPAHQWFKLLANPIWLSL SNRQNADSKACKHAIRSFLNQGLFLQRSQQILLSACRPSLGVDPILWLPMTNYERSRF IRWRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAIRLHLYSTVQPNPIDYVLNMLPLK KPKNNKNNAFWIFTWPILCRIMLDIEQICLPGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_171055 MIGSQKQVINSTGHPTPTALILSGLKADFKKLHNVLSCSHCQTT GKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVHSASEPVVSPSVSI LGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIELRKA LATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASQTHHSTEPTK TFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAICLPISTQRQRLRKLK IGNARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNFDSLDPVHLRDPALA SLSLDDRATKAIHVHNDRMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPT KKDLDISIHTASITIPSFSDL PHYBLDRAFT_148206 MSDNTNKSLSASPTTSQLPVPLLSSPALFSFSEGGSGVLPSTSH FFVPVSDLSFSALPAPGSHEIFFQAPPTMIGSQKQVINSTGRPTPTALILSGLKADFK KLHNVLSCSHCQTTGKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEV HSASEPVVSPSVSILGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERLSA LEAVQKENIELRKALATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPS LPASQTHHSTEPTKTFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAIC LPISTQRQRLRKLKIDNARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNF DPLDPVHLRDPALASLSLDDRATKAIHVYNDCMLRAIEFIRAPVKDGLVMTN PHYBLDRAFT_171058 MIIHQFVILEICNQFIIFKSQSDQLAKVKIFYPKKSTITGCLQF PILDTDVNSDRLSASPTTSRLPVPLLSSPALFSFSEGGSGVLPSTSHFFVPVSDLSFS ALPAPGSHEIFFQAPPTMIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSHC QTTGKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVHSASEPVVSPS VSILGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIEL RKALATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASPTHHSTE PTKTFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAIRLPISTQRQRLR KLKIDNARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNFDPLDPVHLRDP ALASLSLDDRATKAIHVHNDRMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEIVPP RPTKKDLDISIHTASITIPSFSDL PHYBLDRAFT_171059 MGSFLGDHAITSQGTSFLQWIQATGLTCWNELLAFGIPIFLSGS SGTSRSSVIDLFLSTSPLLNPSMQICFDLSLGSDHKMVNLTFTPYVLPPPPPTNHPRL LWNLSKLAQPDTLKIYIDTASGSLDNLTEQFSAFLSSSPPPVDSLCSAFAQAIYDALD TAVGRRTPRIMQKYWFWSVDLQEAMDLRERSYQHWRHSSGLQKAICWMRHQDACHAVR LSVQHRRCETWKEFCNKLATQDFAKTTATMKRIKSHHQTSPVFVDPGGPQVAANKMAD HLQQIFSGQFLPVRRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPDVDHL HAEMLHPIVKQVSPVLCLLFQLCWQWGNETKGCDFIFTVAIFLRDFSL PHYBLDRAFT_102018 NLPRSERLKSENVILVGMISGPKEASTDSMNHYLKPLVNELLEM YIGVEMTDSQNRKIVVCAALMCVACDISATRKTSGFTGHMSTCACHKCQQQFTTIKKT SKLDYSGFKYSNWANALNNTEQTCLEKENGTRWSELHWLSYFDLVRFTVIDPIHNLYL GTAK PHYBLDRAFT_159512 MHLHLHLGECVHDFGPIYAFWLFSFKRYNGLLKNIETNQKGGFE STMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQL PHYBLDRAFT_171061 MIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSHCQTT GKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVHSASEPVVSPSVSI LGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIELRKA LATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASQTHHSTEPTK TFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAICLPISTQRQRLRKLK IDNARVLDLHYPDRKVMGMLVHNEYTPELKTILASYGVTTLDNFDPLDPVHLRDPALA SLSLDDRATKAIHVHNDCMLCAIEFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPT KKDLDISIHTASITIPSFSDL PHYBLDRAFT_72565 MPVILNSAEQHSQELGYRWSPTKCMILHHSCSLSPLSFSLYNVP IPHAHSFPYLGVPFSAKGTIDPSLLISRNCVSAINSMRALQSLGVNHTGLSRLLSIRL YRQFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSSTSVFRHLGNLPSM RERILTLGFKFVYRAFWLPDEALFTLLRPSLKKDQNEFA PHYBLDRAFT_171066 MSDKSIKSEDVKGRPSQIRNDNVNSDIVIVKGRPSQIRNDNVNS DIVIVKGRPTQIGNDRLIKIILSASPTTSRLPVPLLSSPALFSFSEGGSGVLPSTSHF FVPVSDLSFSALPAPGSHEIFFQAPPTMIGSQKQVINSTGRPTPTALILSGLKADFKK LHNVLSCSHCQTTGKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVH SASEPVVSPSVSILGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERLSAL EAVQKENIELRKALATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSL PASQTHHSTEPTKTFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAICL PISTQRQRLRKLKIGNARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNFD SLDPVHLRDPALASLSLDDRATKAIHVHNDRMLRAIEFIRAPVKFAVARSFCSQGWIS DDQLAEIVPPRPTKKDLDISIHTASITIPSFSDL PHYBLDRAFT_148215 MKCVLKSYKKTGSHKATVQLGRPPKLDKRDKREILLEISQDPTH PLTKISKNLITPVSDNALRKFLCSVGIYSLKMICKPKALKSNQKQLQCISVIWSDESR FQLYKNDGRIRVLKKNRSGFKSSFVSPTMKFGGGAIMERVLEF PHYBLDRAFT_148217 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDETPKKS RGQYQKSMDKDIKKLLYLYFIRGLTIEKASKIVNMKQTTAGGYILKWRKFPVVFFIEN NKEATSNKK PHYBLDRAFT_171067 MPTSNNSLEFVMDKHCEMVSHSSSADQTQFSGIAFDPLCEFNQE IVDKMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKTFLPTEVNEATTKTI VAQKPKTNLLEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVWTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDVNTIEMRRDCILEWQQLADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKT TVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNSRIGTRTQH YLDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_171068 MPSNATRKSGCKGKQNARGTLSCVAAGRIEQCEIAPKVLPLAAC PSGAEAPGMTVKSLTQIMAAINMMYDRTVEANTGIHFLVDAHNQAIAQQALVASSVTQ RVTAANLSTNRHTKGEMCATVLNLINGRMWARNFCSNDPELVAENESRRRWNTDERID HPDNVEVINYLQQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPKQIHLHHVDT YINNWLAIDTEMGYKPGNPDEMAYSHLLEKSVMSDGESEDEDVTPIIRVWVLQVACPS WRSAEIFFLKLNRLIQFIDFLAAENDKKISTPQSKQRMPRYLKTIVVTPVPSHLTTIL PVWAIQNQ PHYBLDRAFT_171069 MTPTHKSNKTKECKCSVCKTRFEGSDTVSVQTFNFHKRRDDAGI NIFLIIKRSVKTNVSYVPEVINNDEQNSVAIDNDYDMDYDFDESDAVFGYENEEFNSD LDSDSCKDDSSEDDMLDSEDNFPEFNSELSLIYRFIVQVLALFVSLYVIDEGAILLIA IMNKILELFRDPFHLPVSIPGLKSMAGFNTFTDGIKKYVSCSKCHSIYENDESTPHFC IFDKFGNNSMCGTVKRMMKKWVADGLIDNKKLVAMQKIIENMTLPPDYTMLRSKISKG FPFMKADEWKSWCLVYSPVVLQGILPKQKFENWMFFVNACCFLTKPNVSEDDVQSAHI ALEKFGKDCERLYSKDLLSPNMHLHLHLRDTIKDFGPVYGYWLFSFERYNSVLKNINT NRRSGFEMTYMKTFIEDTHKGDFVCNFLKTSGLFNFSGIFDKLVTGYSPADSTTSTTL YNWFSLPDFLDAAKNPNLSIRGYYQAVYNYPTISSCKDVIQDTAFVNDWIETLKSVNL LGQTFKGSRGTNGRGSCIQAMFIEGRNGAKYAYVGEIQYLFVHSFSPLVSTPHHRTLQ SSQHTFAYVK PHYBLDRAFT_171070 MSSTQRHCAACHMLGHSRSTHKQYLMNPKNISLHIPQKRTNVDE YPTESSQTAALRIRIEPVQDQNLDIETSTSISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEVTGREEEVEEISTVNRESILPYCPHCNGTDHCRITSRFCPNNNSSRARGS RNRGRGLNNIAQLPAISESAVDNKGDMDIECQFCGAMMWAHEKNSHSSLRSPTFSMCC NKGKHVLPQIEPTPTGISELLNYRTRDGKKFLENIQSYNSTMSFTSLGAKIDTSVGNN INGAYNFRIHGTICHRIGSILPVTESDIAHPKFAQIYIYDSAAQIDQRQYHSPQLERS FLEKIQSILMETNPFVHLFRTMDQISWEKGQSIDLTLRLVAEGPRDQRQYNAPTASEI AVLIMNNEEGTSRDIVLHTRANFQQNINEYHQSYDALHYVLLFSHGEDGWTIDASSLS GEHVTVMQ PHYBLDRAFT_74031 MPISNNSLKFVMDKYCEMVSHNGSADQTQFSGVAFNPLCNFNQE IVDKMHGEVLLRSVTDVFVNHANMEWTRALAGNPAVTNLLSLKSFLPTKVNEATTKTI VAQKPKTNLLEKVDSDSVPTAESIGQGSYRKLLREKIQTDFDAATVETDEFTLQKQIN FAYVKYT PHYBLDRAFT_171073 MDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEVLTSG VKKYVACSECHAIYDNEAAPLCCTSPNFGTAKRMLERWVADGLIDDKKLVAMQKAVEK VVLPPDYMSLGTRIAKGFPYMKADEWKSWCLVYSPVVLRDVLPLSEFKNWIEFVNACR YFTKPNVSEEDIEKGHKCLEEFCKGCETLYDLDLLSPNMHLHLHLRQTMIDFGPVYGY WLFSFERYNSVLKNIKTNRRNGFKLTFMRQFIEESWKGDFVHRLLKPMHALACFEIFD KFITTNNNTNTNTYLSHSFSISKYLEASQNISMIIRGNEPLPPSTLPLKTRPLSFMPK HEYDCLVGYYQAAYKNPQISGCKDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGR GSYIQAYFTEQTGSEHAYVGEIQYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKST SDKTRELEGVELLQDEFYKQDFQSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKI YY PHYBLDRAFT_171074 MSPEDYNVKKVLMFLKFNSNFSYQYLNECIYCLTSVGVTIMTST LSYSFLFYTRALGLPTKLAPYRSDTVYLAMIATTLSQYEYVLICDKSNIRVSGSPQIQ FNRLLTMVDNIDRTHHVLNAGVGTKPRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_171075 MAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKYVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQ EPLEEAKTTNFAKKQEPLEEATILLTFNPKSDGWCGFRVFSHLKEGGEDQFPLVKKKM LATMATHSKLYEHNFGMDVAEVAEVIAFGSEIDPALGENIPSCPFSMWFSAPDCAQII ADTYNKPVCVYSDDRSVLPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHVH RSWPELLIDFCLNSNAKA PHYBLDRAFT_89609 YRKYTPKQVEKLFDLIIEEGFTTKAAALATGINVRTAQNYVKTY NNDPERRLPGSYSKPRGRPRNKLTEEHSKFLVDYIEKNTTAILDELKLKLCEKFEGLK ISISAIHKHLVHKHSITLKKLEKIPAARNSDRVIALRKAISDADMNFSKNCVFIDEAG FNLHTQRNHGRSLKGTPAKGTVPTGKGVTFIILGTISQAGIINIGVKKPESASSKKRR ADGKEVKINGKVGTRTEHFLAYLNNVMDTLDKNNIKGQYIVMDNAPIHKPKVIKALIK ER PHYBLDRAFT_171077 MRTQANDVHPKTTTAMVGIMVFIQRTTTTSILFAEVVRKPKRNR VKKYGNKAAINRIKTRCRKVWKNTDEVPKRTPNDAQWCLNNSNTLTVKNFVIEFGLTD RQYTVTRYQNILSAHFSRDRRENLLQDLDGWKKSLDAKIFWKEQARKQIVAETRVRCS AFVDSIIHEEGPQGSSINNDDSNNNVESSSSSSTNNRDRGTNINNSDDSNHNDRSISE RNHSSSNSNINSNNESNSNSNNGNTGSCNNNSGSNTSNNNNSSSSESSNPGTLTPTKI HTPFIIKHVNVTDTFKQYQEYISSHYPTFTIEKDLQEIMAMANILFLAPDDHSDCKKK IFQLSNLDLMCTEMLQELKILDTQENKELFNDDEFIKVTRIINEVAEKTKTIRTAKLE LLTLAASMEGGNKGSVVKGVANLLTKLSRVEILNMDKLGEVELQTTYYDALLSELIAD QDKNEQTDIRSDAIVSMIMQHNFGHPVGFGEVKPGNSSTTKHSVSLDVLHLGIASKRA IDKWKLNSCFGFMINGFDLTFFITKKQHENCYTMLEIAQFTYASSLLNLHSFVSIMNL NKLTKVGRCFWNECHATNVTRDDEAGAMANDDAGVVPVSKLYALISRTCN PHYBLDRAFT_72553 MVPNRNADNKYKCYCSVCRVRYGGYNTVSAQTLKRHEKAEKIVE IMQNNICMQYNYIFIYFKNEIIEETFEVESNEDTIDYIFESNDNEVETPTLTRDLPLS ESDAVFGIEGKEYTGRNDFDNEEYETNGEMSDDEESIAI PHYBLDRAFT_148233 MKAVRYVFSYKLLLAGNSFRNFGNRSPNIRELFTNYRSHCGQID VFRDVVHVVNVREDKINSAFSAGSSTHISLNDKDLLPLIDDNITEDIPFDPFQPRTYK ASCNFEAGDEGHVYGDNIFTENTFTTSQLLSIELYDIVTSFNVPTECHRQLVNLMNTV FRDHDKLSKEYSPEILQAGPVNTLLKKKTAIKAHAYGICENVCKLYNNTQCEEECSHC GSKRFLEPTGDAPAPLVSVKTMKMMSLGDQLARLLGNEEYKALKDQHFFQSPDDIAVA LLSDGFVNQKKSKQQLTIVHVMILNYDPSIRYTDEYLIQLAIIPGKPVDLDSFLLPII DEVISLGKYGLIIKKFDGERIVGKVHMVMASGDIPQVTKYCHHKGHNSRYGCRVCEVL GEASLRGRGMYFKNCSAPLRPKIDFVNGNTNTNIQEPNIFARLPTFTGSSFYGLDEMH LIGHGIGKLVHKLIVLSNTTTSTNNYYPRTADGSLLLKDRYTFSLQKKDVLSAGQCIE LSRSKIPVSFQGSWDNLMLKTEGTRAIDYIDLLLYVVPTLLVPLFTKVATQKALLALV RGCSISLQWNLNEELIVEMENHFSIWHQFLQAEITKNNISISVFSPVNHYLTHIGYMT RKVGNLRVYSTRSMERTIGRYLKLIKSRVFCGKNAGNLVERLAIRGYLNCAFNIEQQL DPIKSNQTSLDDYLELPLSSPHSQNHQLWSPFDQLGYLAQFVPIPTFIKELTTYYTRS KCTFPIDLHYTLETVKLVVRAWINNHVYGSEMYKQKRSEVRRGNHYVRINAIYQNHTL WYVGSVLFYFTHNPFDDESCRQFLVLVNVMKEHSVAEYDSNIPVVIMDTNSATQRLVV ISLNDIQNQVGLVQSVECPMKYKVVAPYYIFNEDMKSTAGKLRYIKL PHYBLDRAFT_171080 MSYFVNHPDDRGVSHIPVTMTQARVDFIPTRTNKTPSATFRINA LINFLWKKQRGTDFNVSAEEQKLIRLQFHMYTRQCRRIMREDGILETNWGKLSSQQKL YYSLRLEELILNYQFALHKCRGQRAATLLLQEMMKAEHQTENREVQEEELSLGGAESS VAFSPPRRNMWSRRI PHYBLDRAFT_148235 MSQFSDIDMSNSDLLLKISQITDILKNESQDNIISVIFAVANNL NVLIKVEVEDLDAPVAFEQENNKPIENFPEQDSLMESAPGIDSGDSEIFEMDFYDAQE ELTAPDVSAGQVQVQNSFVIQPTSLVPLSRRKADNDPVVIRYQASVIESTLQDIIQLK CLNREVFEASVMYKIMALAKSATAGLVDYGFNLVPVVSCHEEMEDISLRDAMLYCHDQ DVHYQNMKDLAMAYRNIVAFNMYRLVHEYCLRYYPYESFPKGRSKTFKALFSGIDSKI INRMTRMSMYGSSLQALIDSFGSDTIILFPYIVNRSKVDHSQDNIISVIFAVANNLNV LIKVEVEDLDAPVAFEQENNKPIENLPEQESSLQDALDTDSEESGTSTVDLSDEPPEP PATKVFTPRRRIRSDIQGTTKISLPRNKTKKVLARTESIENTIKDIVKGIEKLKSLPK KKFESLVKDILSNVEVTSTVGYETLFDNSDRIISGLELMDDNRLREGILMVYEYCARK FSDNNFVRVKSRALTMLFPDADKDTMVKMVNMCLHGDHMQTIITSFGSDTIILFFRII NRSILRKMTKSAWNKMLKQLKEKGLCDVSQITINEYTK PHYBLDRAFT_148237 MLNIEADIKLYNFPIFAIYSGNVIKMEVKGKGDGGSVYLHTSDF NLMYYAIRYAEKDVMMMVKAAFAGYFRVYEKTEIKDSTSHYREQREESEADRPVSQLT RYRVLHHIASNWTQSFVKVKGRVDLATFCRPLEGLRLELVKKDFMEETSGQNAYALER LRAQKVRQEQAALLTVEPLPRHLLF PHYBLDRAFT_171085 MGSNIAADMSIVQAQIGTVEETFLNMNSRISVLATSSTETITSI DSLSRVPLVAPANTMANVAQHAFNAPSEFSKKASNDVYVSINVSHIRNLMWDPKLKTR NQADILANESKPRWNTNVFFSKSPNKELVVRLLENLKRKFTHEGFREADLRARLHKNF TSRVSKARKTEEGIKATNTRSRRAGRARGNHTRRLLAYTDNKDAIDLEMKRDCDFTMQ VAAISDGESADEDSENHTKSIVKIVRSGWRSDEFNCLNELVDIMLLMQWGQVLVK PHYBLDRAFT_148239 MDLGDVMSSMQNYGPPHSKLLLLPRLLIHRNMYVNFVLRTRRSL SRLRERQKCTNAEKITPIAKLVAKGVSNFCYATPHSLKVVIAPSLVQLVTAP PHYBLDRAFT_171086 MRYTDLRVCIYRSFCVLKREQNKDEIAKSKANALSRRYGREKEV PYILKELYYLRKKKHVFNSYFYYHFKRREAAYLQNKLAIDVAIGEDCSALLAKETMSE TESDTDSTGLYPVRVILIPPSRMEKRRAIYAENYNELLPLDRDTILLDILLSIGLITR TVFLSFFQIYITRKRPKARDFAEEELKEAVRVVYEKGGTNGAHTRHSNIYLVTYHRIF IRKLYCENKELVDTSRIVTRKDVLATKIKDDQAKLLLEAKTIIENIASTFNFINPSSI AVPTIQIGRLY PHYBLDRAFT_187893 MDVVSNFISELRNKNLLSSNLGVVSTATIGVLLLLALKYPDRAI FTEHRDGVPHEKGLPLVGHLFGLLKNKYRIHDFQTDKFVKLDTMTMTMSVLGIPRTIF TIDPRNVEHVLKTNFENYVKSTWFSECTVHLFGHGILNSNGAQWRWQRKAASLIFNVK NFRDQFTDVFVDEVEILCNEILEKAVVSGEAVDLHEHIFRFTLDSFVYLGFGIQLNSL KTKEEVDFAASFDACQLYSLEKFMNPMIEYTAIIDRILHPRKKTIKQHIETIDNFSSA VISKRRTELANGGTPNDLLSRFMGAKNEKDEPLSDKELKDISLNFIIAGRDTTAVALT WVFYCLFNAPEVEKKLLEEITNCIKDEKKMDSAEIYETIKNMTYAHAVFYEVLRLYPP VPNNQKYALNDDILPDGTHVLKGDNVAWSPYGSSRNKKIWGPDAANFDPERWITLEGN LRRETQGRWSVFNGGPRVCLGQSFATLEALVVIIFLFKRYKFTMLPNQDITYETSLTL PMKNGLRVKVEKRV PHYBLDRAFT_72542 MSMATLLCMGEIKMNDNMNSLNQDLYRLTYFTKNTVDTFDFGMC LYFQAIYTVVHFYPMSLEHESAYVFTDIASVKIPTQRADIINTLLELDIIIRLAHLDN TLCKHVQNIIMYSPTIPCEMLEFKTKQYNPTKHNRSNFTETKFPASYAEQYELLSSKC DAILLDILLFIGLLTRIMSFSFIYLYSQSMTAPSDQTLIFDTEQQIPIYGLVKAQNRL PSEKVVSHQRMSSSNISVLIIN PHYBLDRAFT_148243 MNLDCLQRHPSKLFLTDGLFIRKGVKSEPLNDLAEGTELRQIAS VLSMNNRVAFPFTNNIFYTMNIKKTGNPMCVRVLSLCKDDADIAFAKTMQTSVTVGVR LQSFRKFSARPSLTTNLPATPQYSQSYNVTFQIKCGSLCLLFTYCIYSTRVLHHQLIL RDQIELFHAAKKVPICDPVESECRLTPGNLAKSTLPKKRPKISIPDDAQWCMDNSRLL TFRKFLEKFDCLDQRESEQRYNNILTKYVHNKCKERAKIEYNTWTKSADYKVFWNDRA ELDIILQTNKQSTGFIGLVTGQRMKSLMDKANAVAQTTTSNTSTQEIAKDVTDDSEKT NNDAIPDSNTINDTTDDIDSHNNADGISSFDLKSCIDSSSEVCKDPWIFRGNNITRMF KDYQSIVQSLVRKHVALPLETYINELAALTHILVLNKNQHSSIAKKVFSVDLLDYLAV SLVSESMDYNLSMNDQQYMAMAKIINQLSLSNTTREKAFLELTLMSSRMNYSERRLIH GITNLIQKLPNLPLKDNSSISESELWSTYFDPLLSCLICDPEKLVHLRWTNAIPSEGG KLRPDAIISKRQQLEYEGSIGHGEVKINQGSSSRYLLCMDTLRLAIFNKNAIDVNKLD GALAFQIHVANLRFPESFEDLPSFISLKNITLLLAVNDVFWRLCKKSDDTATITSRYK ETVNLEGLTGTSQDPVKVDLDVLALILSPVRDTRGVWMEFQRADFNKRIKTAKANVHE HIVQQTVASMKLTASEVV PHYBLDRAFT_80381 MTKHNKLASDNDEIRVVKLRSTVDNRINLEYLTVLVATFFNGGR VTVVYDWKCPNHNHLKLSGISRFRLSEEARIWTNKYTENFFDWKAIKGALRPEEMGNS TSVTSIPTTMVIQYKDVHNATVTRINNSARKHYKDETKNAEEWYLDSTHKTCMSFLDN KECYLMSVVVYNPVTNKGVPVAFSVTSIEFSSIIPNRAEIYPGFIWTFSSNSSVTLAY QACLGKSCQEVHSNNEANYNAHWQKFRLDYGMQFPVLMSYMEVIWEPKKKSWVKAWRQ DAVCHTNNLIESYHNQLKSFYFGRSRNCRIDKIIYVLSQLAERDYRQNTLEIYFDIKS IRLSVANTERKKKADVIAIERANGLVGEFEPQELQAYKMYSCKSFGEDSELVHFIKFT THLHNCSCPDSARLCKHIFLVSRVLDLPVTVRRNVILDSAALLDLIKKTHVGSLITSN AYFMVSSLSPIE PHYBLDRAFT_148246 MSPISRRNLHQTRCLGLSNLDNAKRQLCVPLPAHTLGHIEQVLL EFLHWWALDLCKHLHVPLAVQEQIPILTLVLQQYAKSCDLCNNLLVQE PHYBLDRAFT_148247 MTLALMIVLHRMVFPARSVDLSLLFRKEKSTLSVIFNEMIEKIY IKLYPALKFDYSLAMYCVGFIDGTFNKIARPIVEQEGAYNGHYAYTTSDHMMIPFRRQ MADEQELAINKSMSAVRISVGHEFTHIRSLWAFLKYSQTQRSG PHYBLDRAFT_171094 MNTFAADASVRFNHKINLIAGRDRLLVELLIHQHPSYAGHGQSG AAWDEILKKINDAGGKGNKISVSTLKQRHKNLVKQFKFLKEAEGDWGKAMKKQLASEE EVQKQTDKVEGSSSGHLVGQSTAGLSDAREMLKSFRDELSNMVAGISDGEVLTSMRRL EENVEREFLAIETRQRTLEQRQTLLEERQACFKYNMQR PHYBLDRAFT_148249 MAPIRKPTVRKECRCFICKSKTLGFNRVSVKTFKRHQEKDNHDI THVQTPHEDTCDTISSAVSEPVNQEEDSFEFEQEDVEMNSELRNLNDTNDILDIWTRN QPFSETDCVFGSEDNVQYTSDTYKEEEEYEDESDIEMDNDEDSLLESISELNLIHQFI VILVALFVSLYVVDEGAVILIAIINKILQFLFDPFCLPVSVAGLKRLAGFEALTSGVK KYVACSKCHAIYDNEAAPLYCTSPNFGKTSLCGNSLFKSGPGSKAPKKTYVFHSVKKA LKTFFQHPDFENNNQLMEPRPENG PHYBLDRAFT_102109 NLPRSERFKKENVILVGLMPGPKEASTSDINNYLKPLVNELMEL YKGIKIKTHQCPNGTSIQAALLMVACDIPAARKVCGFTSHTSTNACHKCKRQFSRLAG TSSVDYSGFDFSKWLLRTKNDNRKDAEIWRNATKPTERQRLEVAHGVCWSELHHLQYF DIVRCTIMDPMHNLFLGTAK PHYBLDRAFT_148251 MPSNSSRKTDHKGKGKASASISISANRVLAGHVGPREIAPINNS INSVKDDIAAVNSNMTAFKNRMGVVVDMSGKTHTAFADFATAYANNQTRMASLGPSLM PSYVPQTSLSDAKVSVIISEIFAEKLWDWKFESDDPALVAENESKKKWNLNEKINHRD NVAVINYLKSYISAQTRLAGTHPQVISDKIKNRYKHSHHTFHESPEQKAKKNSKGRAN SHTLQMIATTLSQYEYVLICDKSNIRVSGSPHNQMSIQRKLTYMDNWVAIDATMGYKT GNPVEKAYLKLFQKDAMSDVDRPTWRSEEFNRLLTMVDDIDCTHHVLNAGVGTKPRMN RYPATLLPCSVLATLSQSLPRWAINDE PHYBLDRAFT_148252 MDKNKKLIIKTYQQQKFIHTANISMYLIEWLVFENWFSLNNSQC ALCLVLTKVLPSLTSEIS PHYBLDRAFT_148253 MTKRISTAPRRPNLCMNAVLNNTIAVAVTPMDYVLTLLAVNNVS MQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSVLHLMTENAEIKKAMTSQNSVMP SAVPVDSSSMDNDLDLGAKHHPLISQLINSYIKKPNFVSTDPLKVAENNNRSAWSMTG TYGDKYNKTLALALFKYLRPQRCYTNVSKSVIMNIIKNHYQNQLLDRRIITYQTYTEA IHEGMNRYDCRNILSIDVMSDGELDGNNKVQAYRPSWRTDELQTFISTIDELTVIRLK KNSKSLKKRIPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_148254 MKNQHGSFMQVLFETSDGRSIKPYTGQIQYLFVNTAVNSFAGHA SQHVFAYIRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAAGEHLGLE SKVQMCVVSLPRKIYI PHYBLDRAFT_148255 MASSDAQRKRLERENGVRWSELHDLVYFNLVECTVIDPMHNLYL GTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMKADEWKS WCLVYSPVLLRGHLPEAHLGNWTTFVNTCQYLSMPSISMAHLDEAHQSLEAFCRECKK LYKAPFLLPNMHLHLHLRETVLNFGPVYGYWLFSFERCNDILKNYATNRKDWFEGTYM KKYLEEVYQGDLIYQTLSIIRPEHLAIILELAASTANSIATSTSTAISIQFDINAFLD SPEINFDIVKRNKPLPPSALPLALKGEISMDESEYEHLLE PHYBLDRAFT_171100 MSLLMFNTIFNLSNVQNALVNSPTERIKMLSLDVVVKVKAKNFV QELMYGETKKCHQAGFYISDRNVRLAQKDTKLCYCEATIFIKQYVNNPEVVLIYMTND YTNHVPGNTSEIRTLPLPSEVIKIIEDQLKGGSTCRNTRISVLKQIEEWGVGIRKPNY KDIYNRMRKMNNLLYKFHPDENKSLDIWMCEKLPSQNYCIFTGDLSAYSNNAQHFAFG FQSLSQMMLTRISQLFCLDATYNISARNIEILYLLVTHYPDTGKGFPVTYMITNDHH PHYBLDRAFT_171102 MPVENSTAESSSSANLSTSVGSNAFVALQSSSSINVSEFELALK ALPMKVRASTATIYRMPLEHWKPIFIVAENDASNKNGSKKIIEVPLGIEAVSQYKKFL IFLHEFRSERREGGWPSPKKTKEVIELIKKYKYNLVYDQVQTNVDRAAHCVIQDSYKS DKLIRILKILWTSDSKSGLHEMFSISSCHHMLLKDQDLRNLNFADCFCTIIPKKQHKG MQQALALVFSLDKGKTLKEGEVKFACAMRHENVFRCPFGAFPFFMFSLLQTSGDFLNE DR PHYBLDRAFT_171103 MAGFWEKPFSLARNGVSPPMELQKMVFPWIEDYFGVGNAEWVAV CEKEMNEVDENKDEDENIINLEIDKEADSVEFVEEDGRLQRIILQDAAVYLYLNKENK YINTRNLPFSSNSFRMFQEDIVAVITSPSIGRLEEYESFVPSIVDTNKEIANRVTEQL AKDIKILMIQQQCLNSQMQLLMAINNASQSVNTNASLSQLPIFPVLSTTHSTSPFPFS TSAPFTLPPTQQPIAISAAPPSLNSNRHPIVLSKLKAKKKAR PHYBLDRAFT_72525 MARQMIKSAFNNYCKNKRSFPIWGSISSQQKEVLIKNLEEMTAQ RHIALNRFQESWAAYYILSQKWRSAVMISRRKDYLEVQISSANCTGLRLEIFVRVDIG NQNWKLKSTLLQKKLSAFTLLNILEDKDIDRDFVNIIEGLCNKYQKTVHSKQIKVISF FKSF PHYBLDRAFT_148262 MSTRLNESHSLLQKVYHNMGATNGQNNNSNHSPIGQALTTGEYI KYHLPTVSRLIHSQTQAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEGKSFARK DSSTKSDFLMPILADYKAIHLADKANLESKFGETVVDLLDYDMLSDIESDEEKNKTKY TPRNRHPLVDEYFTVLKKQRLANKRPDVIGNSVYPIILRNTELSNEKKAHVAAWIHTR QQ PHYBLDRAFT_171106 MNSTTKTYTVMCTCSSCTKNAIGRILQNAQTFKHHNNADKLLDI GPKNRVNTEVVKEETDVEMVDVSETLIDYEDNYSIVSAETTIQSVPFLREDEIFQFEE SDVKTTSLASDNDNPDSSNESEDESEVEVAGVEDFEDMVAFEILAFVVASLKIHEMSQ TSQFMALFGIIFQAFYLVQAAGTAMLKFFHHLLVAFDKDTDLPLTIDALKTMTGFNFM TKSIVKYTVCNKCFAIYLPGNRQPNCIFEKYTTTPPTYCGNPLFSDTKADRAVPLMVF PYNSLKNALAQHFAKPGFEHQIENATKAEMWFCTESDAERAVLEKQHGTHFSELHRLH YFDPIRCTIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLVRMQRLADGILVPPGYAVL STKIKSGFPYMKADEWQSWCLIYSLVVLKDALPEDDYKNWTLFVKACQKLTSPSVTYS EIDSAHQLLREFGKECETLYGESSITPNMHLHMHLRESMLNFGLVYAFWLYSFERYNS KLKNIKTNRRNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSSLIEFLEGVAQVKSNSDS SSPLNLDAGHPPALPFSLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDHY QWLFEFYVKAYRSTSVSFCVVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKRG SFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRVSTFAFIKWFPTYHSSGNQPL ADQGLQLWDKGFMEEDALCIVPVHHLHSCFALTTHKMQSGMQKHLVILLPIKVVT PHYBLDRAFT_171107 MKAIPLDALLLREMTNSLAILRRDMTTVMKDVADIKAKTSNTSV SAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYNTAVNFNQSPNTELT ENLVAYLERNFVGAGLRKSDVRDFVYTNFTSRKRAANKSQAKKKSDNAHNRHCKRRVD SVEFYFLNFGKIWKNISNTIVFQYIFFLRCEFAIFSDYIK PHYBLDRAFT_148265 MNIDWFQPFDGVTYSCGVIYLCINNLPRSEWYKKENVVLVGLMP GSKEAKTSEINHYLRPLVTELNQLYGSVVMSTVQCLSGALVHAALLLVACNIPAAYSN AVDYSGFVFSEWVPHTDAENCRDAELWRMASKLHDLIYFNLVECTVIDPMHNLYLGTA KRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYMPLGTKIGCGFPFMKADEWKSWCL VYSPVILHGRLPEAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAFCRECEKLYK APFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNATSTSTDTSIQFNINAFLDSPE INFDIVKGNKPLPPSALPLALKGEISMDESEYEHLLEYYHKTYNDQTLVHYCQAGHSN NFVNNRIQKFESINLLGQIYKSKTKNQHGSFMQALFETSDGRSTKSYAGQIQYLFVNT AEVLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAVGKHLGLEGKVQMCVVPLP RKIYI PHYBLDRAFT_72520 MTKRIPTAPRRPNLCMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHFLTFLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISVIISRNRTLL AQTRSKLLRIIIDQHGQ PHYBLDRAFT_148268 MSHIPGVLFFWKDPERPINMILLQSDQSKSFDHLTIQNATTVPP NINNIKPITSEFNYALELICKHFANAQSKQEQINIYQLIERTLKQIDVQKLKNLKGPT VVEAIKGQPKNTKHKMIALEHCINTKKEKTTKKLKQKKNKKAKKISSTKEQKAIKNII NLGLSCDPTLLINLTIAPKHISTIFSPEANGNCGRIEHGNMPASNNPLICSLQDKRSP LPQQHWFGTIDHPQLVANTFTLGD PHYBLDRAFT_148269 MPSNSSRKTDRKGKGKVSASISISANRVLTGCVGPREIAPINNS INSVKDDIAAVNSNMTAFKNRMGVVVDTSGKTHTAFADFATAYANDQTHMASLGPSLI PSYVPQTSLSDAEVSVIILKIFAEKLWDWKFESDDPAVVAENKSKKK PHYBLDRAFT_148270 MAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHSHRTFHESP EQKAKKNSKGRANSRTLQSTYMDNWVAIDAAMRYKTGNSVEKAYLKLFQKDAMSDGES DIEIVDNLPRRCLHVACPTWRSEEFNRLLTMVDDIDRTHHVSNAGMGTKPRMNRYPAT LLPCSVPATLSQSLPR PHYBLDRAFT_148271 MHLHLHLCQTMIDFGPIYGYWLFSFERYNSALKNIKTNQRNGFE STFMRQFIEESWKRDFVCQLLKPMDALACFEIFDKFTTNNNNNNNNTNTNTNTYLSHS FSISEYLEASQNLSMTIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQ ISDCKDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNDHGSYIQAYFTERTGSEHAY IGEIQYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQDEFY KQDFQSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_171114 MAPIRKPTVRKECQCSICKTHEDTCDTISSAVSEPVNQEEDSFE FEQEDVEMNSELRNNVQYTSDTYKEEEEYEDESDVEMDNAEDSLLESISELNLIHRFI VISVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVADLKRLAGFEVLTSGVK KYVAYSKCHAIYDNEAAPLHCTSPNFVAHSVRWSELHRLQYFDIVRCTIIDPMHNLLL GTAKRMLGRWVANGLIDNKKLVAMQKAVEKVVLPPNYTSLGTKIAKGFPYMKADEWKS WCLVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSKEDIEK PHYBLDRAFT_148273 MISARLELATVCVLDRRDNQLHQETRIDSSPTTSQLPVPLLSSP VLFSFSEGGSGVLPSTSHFFVPVSDLSFSALPAPGSHEFFFQAPPTMIGSQKQVINST GRPTPTALILSGLKADFKKLHNVLSCSHCQTTGKIVSNGSTSRTGSPQFKCKCGATFT ASSMQSLINAVQHKIPEVHSASEPVVSPSVSILGHSISMADIHEIESDIAPVLPTVMP TLQDIWDRFQAYDERLSALEAVQKENIELCKALATANATIARLTKENVDFSVGAAASK YATIAASVPVVSQADFPSLPASQTHHSTEPTKTFVSKTPRKRAPTARAIAAVVRGMTI KENADQGFQIVYVPNAIHFPISTQRQRLRKLKIDNARVLDLHYPDRKVMGMLVHNEYA PELKTILASYGVTTLDNFDPLDPVHLRDPALASLSLDDRATKAIHVHNDRMLRAIEFI RAPVKFAVARSFCSQGWISDDQLAEITIPTILSYAPLTSLLFITETWLLSPHRYPTSW QQYHTYGQSVPNASRGCMGISLLVNPSFPYPISIVPSSSPYVLSCIVFDCLIHCIYLP PSLSNDDAISILYDLPLSSSSIPSSNTIFCGDFNSRMGSFLGDHAITSRGTSFLQWIQ ATGLTCWNELLAFGIPTFLSGGSGTSRSSVIDLFLSTPPLLNPSMQIRSDLSLGSDHK MVNLTFTPYVSPPPPPTNHPRLLWNLSGLAQPDTLKIYIDTASGSLDNLTEQFSAFLS SSSPPPVDSLCSAFAQAIYDALDTAVGRRTPRTMQKYWFWSVDLQEAMDLRERSYQRW RHSSGLQKAICWMRHQDACHAVRLSVQRCRHETWKEFCNKLATQDFAKTTATMKRIKS HRQTSPVFVDPGGPQVAANKMADHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPF THLSVESAIFKLPTRKTPGVDNLRAEMLHPIVKQVSPVLCLLFQLCWQWGSAPLAWRT AQVVPIFKKGDTHDPANY PHYBLDRAFT_73984 VSQADFPSLPASQTHHSTEPTKTFVSKTPRKRAPTARAIAAVVR GMTIKENADQGFQIVYVPNAICLPISTQRQRLRKLKIDNARVLDLHYPDRKVMGMLVH NEYAPELKTILASYGVTTLDNFDPLDPVHLRDPALASLSLDDRATKAIHVYNDCMLRA IEFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPTKKDLDISIHTASITIPSFSDL PHYBLDRAFT_171117 MGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGG SGTSRSSVIDLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPRL LWNLSKLAQPDTLKIYIDTASASLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDAL DTAVGRRTPRTMQKYWFWPVDLQEAMDLRERSYQRWRHSSGLQKAICWMRHQDACHAV RLSVQRRRRETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQADANKMA DHLHQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDH LRAEMLHPIFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSSTSIFRHL GNLPSMRERILTLGFKFVYRAFWLPDEALFTLLRPVLTNPAHQWFKLLANPIWLSLSN RQNADSKACKHANRSFLNQGLFLQRSQQILLSACRPSLGVDPILWLPMTNYERSRFIR WRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAIRLHLYSTVQPNPIDYVLNMLPLKKP KNNKNNAFWIFTWPILCRIMLDIEQICLSGVDLADHATTDRGQLFLNWLPK PHYBLDRAFT_72512 MSDINTTLINSVRKIEIDIAEIKQIVCMLQDQFSKQFAPAVSVK DLSIIQQSIIEQSDILAKHQEYYMMQLKRLAKDNGFAIYKCKSMWCVKSLFWRSFKSD NQKQKRRMVEKNNQDANDSSLYSDNISEMDGGKSPIIVDVLSPLTEMSVELAHKRSQR LYYILSYDIKKLVRIKEGRLVYIEVLETHEMLLG PHYBLDRAFT_171119 MSSFNINQQRDILSTQIHKCNQCVLSFNTYHQLQNNKRGHESNL ASANIISQYIAEDVEMQNNIRNDIIDDNELVSNSDYAVNAIEINKAISYKCDCSFKDS EEPGAKISHGETVNALLKSKTSVKGHEYDVCPNDCQLYEINDNQESCVYCGKPRYKTD TEQSQTPAASMKLMSVGDMFSQMLADPATRELLYYRANRESVAGQLTNIFDGENYKQL VQKGLFSNPNAVAIVLYTNGFVNQKKGKSLYTIVHAVVFNLDTSIR PHYBLDRAFT_72510 MPKSTCCLLLVTSQLWLTWRTLAHMPVCLVAKSVKQREKSQTTY STECTLKIVPLLCNLWKNSKPAILELSAFFRSFFFALDELHLVARGIRKHIYDLITVS LTKETKFSYTHLDDTLTSTEYPFFVPRTSLVTIDICITSSRPYMPVLFQGSFDNVFSK IDDTRAVDWLDFLLYIALLFVVPFLPNRAVKTAVLSLVKGCALALQWTLTLELLDKMD VHFKHWHHYLLQQVQNKTISLSVFRPVQHYLVHILFIVKQLSLLRYYSTWSMERVIGV FFKLIKSKCKGSRNASFLVERFALHNYINTAIRIQNEIDLIQLKPYGRESYMDLPNDP SGAQL PHYBLDRAFT_148277 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDETPKKS SFDNVFSKIDDTRAVDWLDFLLYIALLFVVPFLPNRAVKTAVLSLVKGCALALQWTLT LELLDKMDVHFKHWHHYLLQQVQNKTISLSVFRPVQHYLVHILFIVKQLSLLRYYSTW SMERVIGVFFKLIKSKCKGSRNASFLVERFALHNYINTAIRIQNEIDLIQLKPYGRES YMDLPNDPSEGVSSPSVKDALTRYYQQTLGLMISDIDDSTIVVAGRLWMNLTIHSSCM Y PHYBLDRAFT_171123 MNVYILSFFTLLRTFILLADLQCYQSLYGVLSSVSKEGSQGKAQ NSVIEIFSFSEISVLLRFSVFQLLRLSFFQLLRLSVFQFFSFSEISCNCWTFTCFECR LQRVVEFDIKKIRILTLCLCRTRFIRNTIAVVVNQITGYLR PHYBLDRAFT_171124 MDKHCEMVSHSGSADQTQFSRIAFDPLCDFNQEIVDKMHGEVVL QSVTDVFVNHANMEWTHTLARNPAVTNLLSLRCFLPTEVNEATTKTIVAQKPKNVNPD SVPTAESIGRGSYQKYNQNQVNKLFSLVFSENQTAAAAAREMGINVRTAQNYVRLARE KIRADFDAATVETDKSNGLETMEVEEVSAPKEQKHGNQKLFQAHSAFFLKLFENKSDA TLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTIKKLEKLPEKRDDVSTIEMRQDRI LKWQQLADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKTTVPMQRGVSITILGAM CERGIVSLSLKKPTAVVTKKKRKLDIYTNVEVNGQIGTRTQHYLDFFSHTMDRAKALS MSFMSI PHYBLDRAFT_72507 MPKSTCCLLLVTSQLWLTRRTLAHMPVCSVAKSVKQREKSQTTY STECTLKIVPLLCNLWKNSKPAILELSAFFRSFFFTLDELHLVARGIRKHIYDLITVS LTKETKFSYTCLDDTLSSTEYPFFVPRTTGFIHSIGAHFHLISIRFHLIRTH PHYBLDRAFT_148280 MTNVGTILMAWFKYNKKHIDARKHLYYDFPSHFIWNSNQAACRA LGLLINNCEWDACMEEPPAHELLSSIRQLFAVLLVFCHVSDSYALWITHHSSMMKYYL QQEDLCAKASTLPDSDLFLFNSNQRTVYNTILDPLNATKISFWFFFIDGPSGTGKTFI FNALLRKVRQKEKFALAVATSGISEWWLHNTFAFHDPI PHYBLDRAFT_72505 MKFKELMKDLIKKKIFGKVQAFIYVIEFQKRGLSQAHILLIFHT DKSSDSVTKPLAYATVTKNMIHSLCDDKYNDKVCCMKNGKCEKKLPKKINNETIDNGN GYPLY PHYBLDRAFT_148282 MLSIEKRCSACKMTGYKRSNHRSCPMNPKNRILLIPQKRTSFVV FTEVQYPAESITNMRVRRESPEDQVVLQEATSLVIPETLIEEATVAEA PHYBLDRAFT_171127 MKADLHLSDYKNINNNTMAIQRRQLALRPVEITNEQLMEILSTL KTNMTAMGTNMAADMSIVQEQIGTVEDTLLNMNSRIGVLATSSTETITAIDSLSRAPL VSPTNTMANVSQPAFNAPSEFSKKASNDVYAHIRNLMWDPKLKTRNQADILANESKPR WNTNVFFYKSPNKELVVRLLENLKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEE IKATNTRSRRAGRARDNHTRRLLAYTDNKEAIDLQMKRDCDFTMQMAAMSDGESADED FENRTKSIVKIVWPGWRSDKFNTLIKLVDEYVIEAMGSSASQMKERVFTSVSNTAAPD DVTPKFSQWALRDGY PHYBLDRAFT_171128 MFPSTQTHTLDCYCIKCHNSHQKSSYAAKHTETHRNKRAKVEAT MRNIDVDTEVIPTSRSNSVEAMDGQANSPFLNAASMFDNDRDNNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPENEVYQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYNQD FQLPTSLAGLQKTAGFSAITKGIKKFVVCQDYHTVYQDIVSAPPQCVSAKLGARSACN CNLTKSISSGALVAKCKYVYQSIKNTLSVFFRCPSFKAKILHGTIIDPMHNLFLGTSK RLMDWWIAEKTIGPEEFALMEKIAETMVLPRDYTTLTTKIGKGFSYMKVDEWKSWVLI YFPVLFITFDEITTAHSLLEKFCNACNIDYTTTILTCNMHLHLHLHECIRDFGPVYGY WLFGFERYNGILKNFKTNGKDGFEATYIKNFVQNTYKGDYVNAVLKSSSQIPFIHTLS KLVTTSIPTTTVTTLSSRPFRLQAFVQGYTDPYNSPKGNKPLPPSTFPLKYKKPSVMD DSDYLHLLEYYQVAYNLPDLASYQDTSYNRPALDNQIIKLNTISRGSLVQAKFVGSNG NIILGFAGQIQYLFTHSFQLPPTHNLHLTRMVHYHQHVFAFIKSREDDGVKFCLPTFS PDSYHSIIPVHRILLEVATATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_72501 MTSFLIWMNNKLPTLNFNICKAITSYSPDPSAFAYGFMSPVQQE KIKTATSFCLDATHAISSNVNEILYTLLVRDKDIGRGWPVAFMVTNDRGVSPIVQWLQ FLKRSFLLFDPKQFTIDCCAAEVHAIQTTFSATSIQFLHLSCNPSMEPKKTLTIKKAS WITLRKTGAQKQSLISEVEHIMNDNSLICSQITISSSEYRRVISNNGAMRFFTRQQKI CETEAEKVNDDREMLIVAPGTAEDVNWQVWSFVNENTKYFIQIAEPNLIISCSCFHYQ QRCKPWMFPPNGNISCIFGKKPYTMVKYLDLHAI PHYBLDRAFT_148287 MSNFDLSNISPNNFLFTSISRDVAVLPASIAPRPSNAQEVQGEQ QTPVATSEHLVTPQNRINSLSKITFMEVINKIIADGTLSARSMPANNRMIKGYICHPK LKGTDPKKIAENNSRPEWDLTSSFHSSYNHALIISLLSYLQGQGNILTSDVSMLKMTD IVKKHFSNQQKESRKSEEEGNKKRQKSRRYQRTGVLVAHQKARSCYQHFAFQKGVVSK GDSDNKDNLTVFRPSWRSYELQKLFEAVDLLSGNNLGDKSKLVTKQTQVDMEIDLPSM STIPLAE PHYBLDRAFT_72499 MKKYLKDTSKADLIRATLPMIQNKKHKLLLHELTNSAIIINMPP TNTAHNFNLSSFLNSMNNTDQTKGNEPLSEDCYLLKIGNYEPMKEQEYDHFLEFYHLR YESIYLRGYQEAVINLPFINNIIQNMPSINLLSQVYKAGSFIQASFQRTRTNAIKTYT GQIQHIFINDIVDHTPYKPLCHTFAYVKWYIPAVKNAARVEGVVIDDFRFFTDDFHCI LPIYCISSSVVIGECVNAKKVVRMVIVSILRKVYA PHYBLDRAFT_115016 FAIAWKNARNDNQQRIMERENDVHWSELHELVYFNAVECTIIDP IHNLFLGTTKYIMEKWISTGLISNAHLIAMQDDADKLHVLIGYTSLRKKIIKAFPFMK ADKWKSWCLVYSPTVLSGHLLQKHFDNWMCFVNVC PHYBLDRAFT_148290 MLTLNIDWFQPFNGVTYSCGAIYLSINNLPREERYKKENVILAG LMPGPKEAKTRACVCTALFLVACDIPAAHKTCGFILHTSVNACHICNCKFTRLPNNRD MHYLGFVFSDWVARTEQENQ PHYBLDRAFT_72497 MQVLCLLLRCLRLYVYVCSDIPKTYRKRLTGLHSENIIFNFYRK FYDLLISTDNNIFADQSNDDNNSIDLDLFEELEKSQEFDDYQIDHYYLLNMPEDPNLA FIASFVATFISKYVVNSGGVILLKFINEVLVHFGQSFHLPLSLSGLNSMTGIDLLTRG LHCYVACSECNSVYLESTSVPLYCDA PHYBLDRAFT_171134 MQTMLYNMPSSSSLASLGEHKSSNISKYLLVPEIFKQSWWWAIH EAKVTTWKVFSEEFKKQFASWQMENVWWTEMDETRQGAEQSVGDVALCLQELFGLVSL ANEAQKIQILLKAHHPEIAYEVEKSGLPRSWDKLFSVETWSNFDTKSFLNKQNLHKFS QIFVPNLKSSILPLKNFFNFNHHNKIFIT PHYBLDRAFT_148293 MFLVEVSGVGFPKKPWGTTLVPRDTSLGRLTIDVNAHESGTTHH GSPPDQTTKGIHCPVSITRNWLTLFLLTTHVTISRAAAIAQLGER PHYBLDRAFT_148294 MPSILYRCNVVCLCAQCSRNSQGYSLVTSRTIEHHIRKDELERI ERLDTTERLANTVQEEQMIDVDTQYDQADSPDSNAATMADNVSVEDEIS PHYBLDRAFT_102250 NLPCEKRFKPENIILVGLMPGPKEPKAKEINHYLKPIVNELLQL FMGITIPTFECPAGVNVHAALHMVACDIPTVRKTSGFTAHNSTCACLRCVRQFARLPS TNQVDFSEFDYSMWKIRSGLENRLHAEEWKSASTPSERHQLEIENGVRWSQLHRLGYF DLVYGTIINPMHNLFLG PHYBLDRAFT_171136 MHLHLHLCETIHDFGPVYRYWLFRFEQYNCLLKNVNTNRKDPFE VTYMNSFIQDTCKSDFVHAALTYPSQVPFLPLLAKLTATAQPSTSKNTITFPQHLFRL SAFIQAYSNPSLPVLGNESLPPSAFPLHIESPSAMSDANYPYLLDYYKVTYCMSNLEV DNTELQTRVVYQDKHVFAFVKWFQIEHDRSRELESVDICSADFIACDFECILSVHQIS SVVATCDYKTSTNNKKILVNALPHKQYN PHYBLDRAFT_148296 MPTPNRRLVPSLASTDVQLLQALNAMKEEKKAMKDKITLMDTRI GVVITSNAIAINGIDALSALTAPAHVPTSVASTSAALPTTESSDTNAVFGYIHGYMWN PKLKSRDQKFAGTDMRTCNLCKCIYTNFCSRRCHQRELPETRRALNTNSRRSGCETDN YTCRCLAYDVNKADIDLKMGRNCSGLIQKLVMSEGESDDDMSPSQPRNKIRLNKFITE VDSFVVKQLGANSHQLLKGVYGRTVESTVPIDLDLALSQWALKYGS PHYBLDRAFT_171138 MAELEILICSHLNAFISQSLSRLTKMNTVDPIFDSPYIIQLEMT LHILSSVYKDNLSLLIYILATVKDIIRNAMDYQYRHLFFACSFPIFTMYFFSVDNYSI QTVSSRPVEAIMDIQ PHYBLDRAFT_171139 MSTYKITQILEHPAYLEESNALIRHKVSLLENRCESLTKDSKTE QVLSTRDNASNAILLLSTTDDCDIKCLSYKWNPDGWNQLCSTNNIAIPSWGCLADDQK AIMSSSLKKNAALKNISPHRFQKSLEHCSYSLTSGELPNIIEDLIDNNLIKILF PHYBLDRAFT_148299 MIFAATTMDIVDDYEERTTDTMANAVLHEWEVTYPNSDNGIQTL VTKMDFEQTTTNEKTCIQGSDADTLEEENEKTKKKPYKPRGTYCKYMPEQMQGRFELV IENGWTAKMATKKWALMFVLTKTILPSIEKIKNNVFLENNVAETIQKARTALLEKFKD LMITLSGLQKHLVIQLRKDKVTEWLFNLDFDYVRDCVFIDETGFNMHIKCNFRRSTHG KPAKTTVSMQQGVNITILEAISQIGVISVTLCKPQAVVSSKKLKLDKKVEKINGHVGI PHYBLDRAFT_72489 MSHQNKKESLPKRKQLSDYQRGLIVGGAIVNGTAAEISEKNDIS LATVYSTINRWRDTGTAFSDKRKETLKIIDERDECHLINEIKTNRETTLGGLTTFMSD TLGIPSPSLLFIELFVDLDYLLVKLYTSHCYQKHTRRSSWNLLIMPERPIRDGIASSG VMSQGLCFSAEMTILEYGGILKKNTEKSSLDQDCSVVEAPLWFGAVKLLPFMESLSEN NDGEFIYQEDNASCHKSKVAEE PHYBLDRAFT_148301 MLDDSFVMKHSNQIETYIIAKACRKFNNRSKGSIAAPMDFAKLL AASNKNNTKVKSIDINDVQVEVYNSSVEHVTDHEHIVLTYMFNSCVQAVSLELFSMFF ENNISHKVYNKCVKTVNKYMIELGFTNVDSILPYYKVDTLLKDEYPVKSVAYNMCING CCWLSKIEEEDFINEDETCSHFGEGRYKVERVSVKSAQTFQMVSLLEQLRFKHAHHEE WAKMTYEDF PHYBLDRAFT_171142 MSTIPELYNKKCHCAGCSQNNLGYSFVARRTTQRHNKRARLNAI RCERDMSTQRNIMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDKSEDDVIELNDNELNSEVHRFIATFVVMFASRYVVDKGAV VLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFFVCQDCHKMYEESASV PSHCDFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSALHRLGYLDLVRGTIIDPMH NLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNSKIGKQFSYMKAD KWKSWVLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEVNTAHQFLQTFCT RCDELYNAEILTCNMHLHLHLRDTIRDFGPVYSYWLFGFERFNGLLKNLKTNRKIGFE ETFMKKFIEDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIPSIRQCTFHLQSF VEASEDPNVLVKGNEPLPPSAFPLSLKSTTTMSDIHYVHLLQYYKVAYNNEQLVHFQQ ASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQ YIFTHSFTPPPTSSSLTPLLRTHCRPTQLLHNSQHTFAFIKWSTPKNDKSREYEHVET CFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_171143 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNISIVIKGNKDSLEILDSVADASGELLAVIAPITIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSVAPSVAPSVAPSVGPVVLTGANAGKLSKQDRTRVLALIRGELK KHNFKSNKPELVAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADIS NCIYTNFCGTRCRVKESYEARKKTNSQSRKAGRETDHFDHRELTYHTFKAEIDMKVGK SCDGLLQKEAMSEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMCNRMDF NSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDKFQ PHYBLDRAFT_73890 MVKVKARTHLLYYTCCYFLDTRVLLPSDASPSQCPSGLAKAILP KLLSTIKHGYEHDEPPSHEHIANQELSFHMSVIDMTISAPPMYSLGLQINHFASGRTT IYKQATHSWAFLWEILFGEYLFYQNIGHFTLYEG PHYBLDRAFT_148305 MNYQSSLSVRPLSIPPLSYVMASTYTFEVAKHSMDYIFEKDRDY SYRCSEVNSMGATYCKRERKSERLDSFFAQSHLSYDVVMQEIYYWLNRIPRMTMGVML DVSPETIRHLIASIHQLIQMDLTNNDMRIGGIDVNSQFIIVEIDESKFGKRKHHQRHR VEGVWVLGSTEKTAERKTFLVTVPQRDAATLLQAVYGGLSSVVDMNYTHRTVNHNVEY VISDGLWNGIKMNCKARL PHYBLDRAFT_74152 MTLRLENIIRVKTSEWKECLTEIGEACAVKWVICNTNKQPTNIT TEEAKATGIKLCFSQEYSCHRWGTYESKAASCVVQKQTKKNKCPALLCVNGFFKTPEF YEFVVTKDYAEHTPGDMRSDICTLPLAKKYLYKLAQQLEQPSKSASQIRIDMLRAVDQ YGRKSERKVNYYDIWNLMNKINKKLYYFDRDQMTSFLIWMNNKLPTLNFNIFKAITSY SPDPSAFAYGFMSPVQQEKMKTTTSFCLDATHAISSNVNEILYTLLVRDKDIVRGWPV AFMVTNDRSVSPIIQWLQFLKRSFLLVDPKQFTIYCCAAEVHAIQTTFSATSIQFYIF HVIQAWNQKLSDSVKIPGSLPSEARILCGVMMKSLQEIIYEEDIDVFHHKII PHYBLDRAFT_74153 MRFFTRQQKICETEAKKVNDDREMLIVASGTAEDINWQVWSFVN ENTKYFIQIAEPNLIISCSSFHYQQRYKPFSTSETATITPTISRTSAFIQQCIDINQT LRYTNQDLLTMQQYMTEDDGQTLFDAYQRSLQVFQSIKNKYEVHLRRSHTQE PHYBLDRAFT_171146 MVAPADFESVVTMVLVQVKKENNAVVAPVDFESVVTMVLAQVKK ENDSVVAPADFESVVALVLVQTTMVAPADFEIVVTMVLAQVKKENDAVVAPVDFESVV TMVLAQVKKENNAVVAPVDFESVVTMVLAQVKKENDAVVAPVDFESVVTMVLAQVKKE NDAVVAPVDFESVVALIRTRRFE PHYBLDRAFT_70687 MCFFSYNTASVTEVMSNDNDMKIGFGDDVDIEYQVEAKDLPLLA IDSLFSSESEDDDVIGAVILDISDSESEDVRVYGESDIVPGCCNFETLSGRECRNTFF LCNIKILEYPNKDLHWNNPKDGNALLSVNHECSIMLAMNIHSFQPFDGVSCPCSAIYL CINNLPTPERYNKGEYCLRWSHSRTQGISILCYFLWCSYSCCLEKLFDPIYFHLVECT VIDPMHNLYIGTAKRVMAKWRSSGLITDAHLAATRVDAENVLLSEYYTSLGTRIGRGF PFMKANEWKECEVLYQAPFGSPKMHLHLRLRESVLSFGLVYRSWLFGLERCNGFSKDY MTNRRDGFETMYMKKCLEDTYQEDFIRQTLLVIQSGHSAIILELTTSTAAAILSHINA VLGSHEIKFVIVKVNEPLLPSALPLALKGEISMEESEYEHLSSIITSQIYRSKTRNQR GSFMQALFETSDGRSTKPYVDQIQYFFVNTAVNLFASYLSQHVFACVRWYKEIMLQPR AGEGVEVKEVGFKDAIMNSILPAFRICYPVVHYSGKVTAKFLGMHASFSSWMCVNKLF AQKKCSDLSFSR PHYBLDRAFT_171149 MHSVFSLLKMTAYTPPAPHQPNTGLNITRHSVLTGVAITATEVQ ITVSPIEHILTLLAANDARVESLDAKLKTTSEEMTHSKGSIDLSNKTNAFLKELVLEI RAAIPEIKNVMVSEKSIVYSAALIEFSPLMDGNFTPGKIYPLISHPVNSYMKKKNFKS IDLLKFTENNARSVWSITGTFGDDCNKSLLVTIIKYFKIHRCCENVPNCDIIKITSRP HQRILWLEIQHEEHTTERRLSLAVVSPRTKCTRMLSMYIMKQPECGNILSVDVMS PHYBLDRAFT_171150 MYICIYVYISNLKSDICAAELSQAGWSVLVIEKGNYYHESELTS HVPTEYKNIYLQGGSFANCKGDINVFEGSTFGSATVISFLDSLKFSDNLDKVYKRIGA TTEGIKHIIPNRILIDGCNRKSHEYDWCLTGCKDGIKNGTSTSWLRTAAQNGAHLVGQ AKFNRAIIVDGNATGVEFRINNSDQIIRLNSFRTVISAGSLRTQEVLFKSGLKDKSIG QNLRFHPLMVAYGFFDNSQTNPFEGSIMIAISGSIENLYGEYYRSKLVVLHHTTDIFI FGTSWQGQKEHKEAILKFRQSSSVPALVRDKDSKENVGYKKDDKISISYEISKIVLRN NSYCRMGVSPDTSATKPTGDTWETRNLYVCNASLFATTSGVNPIVTTEPIALHVADSI IQTANLN PHYBLDRAFT_70683 MFKKPLSNLKSFSPLRSSDRRRFQNEAYDTYPKLKEQSSQEGAS QLMPDPLQSAKFSTHIETPGIVYVADKIPWWIKLDQSVPIPTVYTMWQHPDMLPILYT WGPVVNKLTKGADLMIPGLVAGPDGTLPVLAKGDLVAITIKGYQYPLAVGTMALPTSE IKPRSGMKGKAVHIIHVYQDYLWTMGDKSDPPDMRSISDDEYESETEDAKREPTESTK PTKPVKPVEPVEPTATKTEEPAQTLSTSEIDALLRKSLFQALQFKITPERAADLLPMA ASMLYASYVLPSRPRDSGEVDIKKSSWKKVQKFLKTIEKEGVLKLKEQRGETMLASVN WSHPCFQGLRSYKTLESSSQATQTNSDSLGSRPASGTCPAATPQALGPIEIQDFYKPL GNSVVAFFDAAKQPKDAMYSPAQVRQLTLDYIKQNNLVNQRNQKMVTIDAILCDCILT PSEYNTIDALTRDQIVSRLLDKMAPFHSIAFPGKNPTVHKGNPKPIEITQEIRQGRKT VTKLAGFEGFGLDMDELCKELTKLCASSVTHNPIHGTSPKNPMHEIMVQGPQIKNVSQ LVMDKGVPKRFVCVNDKTAKGKGKK PHYBLDRAFT_187897 MADPSNPPRTSLTTSTYGDQRTIRANKSILERSLNKTRGAEVSL NAQSYMFSEMLQYAQKRVNGIQDLEKRMNEFGYRVGTRLLELLSWREKVPKRETRVLG VLYFIHSVVWKALFNKQADSLEKSTENDDEYMISDNEPLLTRYISVPKELSQLNCNAY VAGIVEAVLDGCQFPARVTAHTVPIDGFPQRTTILIKLEKEVLQREELLK PHYBLDRAFT_70681 MTSPNIPSDLTMPKNDLLPTTAAAIEQEETIENVTKEKLGYMKL MMKSFIQGALREKDQGNLTRYNELISILSIDPSNPEAPSALKLYVWISVLSQSVSQLD KSCSGLIEAILKVDWAVRTRTFVDAYIDLLENIVSAHAVYVVPVINTLVSGLRFRYNL PPYNCVSRTVIYERNHEAIRSILQLIPTSANSLFVSIVRQTPHHRLSSADQAAFVKNA LEIVEYAPVLRRQILSILIDHIAHIQIELDELEEDVDFETYNMDFDDDYQSDNSDNED EEDDEEDDDDDDIESMYSDDDQENGNVDLKEQMKKIKSMVRKLDAMMVLVFRYFAKCA VQQPAHVKNELYTTLIDIFDRMILKTLKSRYTQFLIFYFCSLDVNAYSDSFVEHLIKN IMDPLRPGVTRISAAAYISSYVARAKFLEPLAIQRIIGTLCAWCEEYVATHDKNLQAD VMKHDVFYAVMQAIMYIFCFRWRDLVLEDALDVSLEQEQEEIDVEKNNINIFGQSITT TTTINTNTNTATTNSTSSPSGLNVHIMSAAESGGVVRNWCRGLRNMPYLVMSRLNPLK VCSPAVVKQFAKLAHDTHFMYVYPILEKNKDLLITGVNADNGSSGGKNILQTVQTFFP FDPYKLESSKNFINNIYFEWIAEDDDEDSDEESEDESDGEMSAGLMAMSISPSPIHYM PQ PHYBLDRAFT_115224 MNINTTSNTNTNNCLAKIDTRKIASDKHLKCKSEEKPAPAFGFK CEVCEKPFDRRYNLSSHMRTHTNERPFACRHPGCTWKFARTHDLKRHSGQHSDFKPHA CPSCPKRFARGDALKRHWKVDKICKQPASMIKKRK PHYBLDRAFT_171156 MRKYTPQIVNEWAMKTSHYKKEILNTKVVFYNPQPQPASTQFIN VKMSTCFYALFQSQSYMLLCIRKFRSVVESKVKRRKMLENETSMIRIGEAFNSIRLSA SKVYFFSSFCRVFVPWFSLAEYISFNFLAKLILYVLSKRRLKFLLDISLVLLRKTFEA LSLMLLNASPIRIIDVSFSNILRRLTLLSTTDRNFSIVEQNLDTSIYLRLQII PHYBLDRAFT_115321 MNSQKRETPVNNPGGTTYHQEYGKVSNMIECSKMAITYYSDSPG LVPSTLPPPPLINSNDVHFGNGGLPPEWGVRISVWTAMIHYGPWTDRQRSVIQDYFFP SSYRNNVPTPHLLPGQQRAAASFDFHLEFMTEATLRFPTRERSKAYSDDLDIGADGYY TRPYGWLDIKAGADSSVKLIIPYVLGQQGCASTLDINLKDVDVSTSINYASFLQASNF EMKLLMNSPLEWNAHRVWEIKAYTNRPRIFLLRDHIFLFQDMLKDLSSEPLSDILYFI PTTYKFHLDINDPFIYLCVNEHNIISNPNAIDDNSKIYM PHYBLDRAFT_171158 MKICENLCRFCLLRKDFISKFDQVPTLNYYCYLYCFGLRKLYDW GQFKTKISALDIGWQRASTAISEARILFKIQNNNKTFNLNHCYSVLSLAPKWMNRLKG STRGQGNRNQIVVSITPSGGNVQGNNEMSSQQGVVRQIGCKQSKARSQGKRKVQEALF DLVNDQRKVQRSGSGKDKNFREMLDIKYIMMDADTISNPVRRCCVLLKQKQALRRAEE VERGFEDEDESSDDEEEEEVEELQSGEEYNFSDE PHYBLDRAFT_79899 MEFKLELDQWLNACAAFDSKDYETAVQSFLSMADNAKMHFNIGL IFAIEDDHQRALAAYSRAISMDAYFAVAYFQKGVSQFVMNNMEAAMHDFDNAYQRLRG NEMINYTQLGLAFRLYACEVLFNRGVCQLYLGKIDAGLTDLYHAQKAKMTEEHDVIDQ AVRDRGRGYSVFSIPPGVLYRPSEARMRQLQNANMFAAVDKLSLPNKNFKTALTSIQR NNSILLPDARFQKRVPGAQAAPRQVQQPPVISSLAEHGMRAIARESSRPKMATHIYTD QLPPPTPVSSVSSHYPRKQNTRQYSPIERQRNDSNAGSFSTEEWADSPHPSISSTSSF SSSLRQRADGRRVDSGFESTHEERYSSSSGRSSTKSHKANRYSPPPVPPIPHNASYEY GDTSANYGNFDLDEMYGSLPTIDTQDNKQDRVRPRLNSNNTAPLEDDRRGNDQINNND YSNIRAHPPQPTASVSTPTLKEGSINTKIRVKVHYTDTRILLVPHTITFNELLGRVRE KFGAPPSTRLQYKDEEEEMVLMIDDDDLHLVRQTSRQKHGDFGVEKIEIWCVT PHYBLDRAFT_70674 MQHRQDPVIQLLFGFAKDIFGTDIQEYENFFVVLKQICNQANSQ FSKHLQDKMKWDFSYKLEKILVSLAVTAVQKVQISKFILPDKSSGAVCLGESMLILSS NT PHYBLDRAFT_171161 MYRNDYFDLTSRTKYPKTQVWNLTGTTFLDGARRFYLTGLINCD SLSGSRCLSLILQGKMMCPGKCRLCGHSNQTPEHFLVECPFVWQVWNMTMNRWIPHWQ ARPSTILRAFYAFALPPSPPHIDSYHVLDGVLAAVWKVYWRTIFDDVPFVPANVVVSV NKSL PHYBLDRAFT_135099 MSTSKPSPTETPASTNGKKQPPVILCIGMAGSGKTTFMQRINAH LHAKKTPPYVVNLDPAVGNLPFTANIDVRDTVNYKEVMKQYNLGPNGGILTSLNLFTT KFDQVLDLIAKRADSVSHILVDTPGQIEIFTWSASGSIITDTLASTYPTVIAYIIDTP RTTAPATFMSNMLYACSILYKTKLPFILVFNKTDVVSHEFAVEWMTDFEKFQEALSHD TTYMSSLMNSMSLVLDEFYSHLKVVGVSAVTGNGVEDFFAAVDEAAVEYERDYKPEIE RMIKEKVYNS PHYBLDRAFT_25108 MVVLQTAPSGEISPSTQYTDHSQSYTSQSTDAYAPSIRSDSIQS PLSPTRVSLDDFDLLKVLGKGCMGKVLLVRSYRNSRLYALKAIQKERVVQQKEVTHTL AERDILVRLRGQPFLATLHHAFQTPSQLYLVLDYYGGGDIATQMSLCTIFSKERARLY VAEILHGLSILHGHGIVYRDLKPENILIALDGHIVLADFGLSKIFSSDDPVDEESGVP STQTFCGTAEYIAPEVLVGEPYSYAADFWSLGTLLYEMLAGITPFWAETHAEMYDRVI KDSLEFPSHFDSVTRDFISGLLTRDVHKRLGWGIDGADRIKEHSYFDPLDWEDVIQQK LQPDYVPALKSETDLANFDDMFVSMSPRISIVSEA PHYBLDRAFT_182374 MTIINHEEEAVFLNKMLVQLAEYRGGYIQNNSIYQTILGRYQAI MRSKKDRLTTLPAELILCIGHWIALISPQSLGVFGQLNKQIYITLDQSNSKMLWRTAL DTAYKCYRTEPSAQDPRATYWTKRHWRHLCANEYSLSPRSLSEDAQHTHQSHSHHPQL TADQKAPILQKHDLAHTRLLDSHMSEYMQYVSTTLNTSTACMQKCVAPFGPDAMSCGT VPYTHSVAVSGTRLLVGCHRLDRVKGQIWVMERMLNGYMLIKTFAGHQNMISCIIATD DKVVVTASLDSTIKVWDVYEDTDSPLVLKHTLRGHAGWVNSITIEDYFLVSGGSDDTV RKWNLRTGEQEGIFRHFYEQDRNLGVLTVSLRHGSIGIGSVFGPFYVMNIETQKMILL EERLTSTEHKTYEQELHQSHSSTIILLSETIITSSRLNNKLNIWDWKGSLLGSLNVEG NLHEVQVSRSEEIMMATTCDGVVKTWDFTLRSRTEQAFMRRLLQGADMEGAPGGSVWL KYSNRFDYIY PHYBLDRAFT_135106 MAKDQKTTKRSTLADVVTREYTIHMHKHVFGRSLRKRTPHAVKA IKAFAEKAMGTKDVRIDPALNKALWARGVKHIEHRIRLRIARKRNDEEDAKDKLYSYV TYVPVASFKGLETTVVDDE PHYBLDRAFT_126337 MRTILTSGAGFFTDSYDVFIINLVTPMLGYVYYKDNNSKMPTDI EGIIKGMASVGTLIGQLTFGFLSDIFGRKIYGLELMIIILGTINCATSGSAVRGVSAI GFLGCWRLLLGIGVGGDYPMSATITSEWSSAGRRGMMMALIFSMQGIGNLAAAIVTLI LLAIFKNAINADVDNLDYVWRLCIGLGAVPAVATIYLRFTMPESPRYSLNVNHDVEAA AMAKGQQVSAELAKQYTKVEEKRNHWAEFTAYFGQWRHFKVLLGTSLSWFLLDIAFYG LGLNNSYVLAAIGYSRKPTAFETLWANTVGQIIITCLGSVPGYYLTVIFIERWGRRTI QMMGFAVTTVLFTILAAAYYPLRDNSTPAFIFLFTLAQLFQNFGANSTTFIIPGEVFP TKVRSSAHGISAASGKAGAIIAAFAFNVLVDVNGAPGEHAFLPETLGIFAAVMFLGLV VTVLWVPESMGKDLDEFEEDYVSPGLVAVSDQTSIQNEATPTSKA PHYBLDRAFT_89956 APGKVILFGEHAVVYQKTAVAASLGLRSYLYLEQRQDGQCHLLL PDVGINKTWAIKDIPMGQAHIDIGLFCFTHPMSMPEELKSRLVTLMGSVTNASQESAI MAFLYLLVILGPTQTTGFTLCMRSFVPVGAGLGSSASYSVVLATSLLILFGHLPYDFA TSTNKEVYLNKINEYAFKAEQVIHGNPSGVDNAVATFGGAKSFIKGKGFSTLEGFTSL RLLLTNTRVPRSTSALVAGVGAKRARYPEVIDPILDSIDKVSLRCRDALKKCENQELT RGQLVEELEDLMDINHCLLHALGVSHTSLETVRSITAKHGLKTKLTGAGGGGCAVTVL REDTQQSTIDTVVKSLGTQGYDCYQTLVGGVGA PHYBLDRAFT_126342 MADTLKDIPEFFESELGESIIARTDALGAFRELGPPDLCHIIKA NAKPGVREIGSYHYVSGVDASSSATLAAYLNSLTYSMDESQSWFTKSNAWRIRSGIYC CFNAFSRVDVRVEVKIPGGVESYYVDVRGERHEATASIWQETYLSAILRAVLYSDDSY YRLAGYRKIDPITSLSAEARFLEAVENLFWRGWQLGSKPEIQVATSVHNHLTAGVMKY FGDSFRYGPADPEVGALLAQAYIGQNEEIKAVKVLHDDLKQTPMSYPLLHVQVDFLRS KGQYDMALKLAKFAVNTTPSEFLTWAKLTEVYIDIEDYKNALLTLNSCPMFTYSERDM HRMPAPSKTHLPIKPDIVSSGVMEEDSAGRETEADPNLLRLPAPALHGTFAKAYTLLT RLAAKIGWDDLLKCRSVVFVMEEEYRIQKAKEEEKKTTHSPTTEYSPVEKPISEKKPI EEEASSEDDEPLKSNEAETKSDELVDNMENVNLEDEDKSSSPKVDGPNITAPSLERPQ QAAEDNKEKDLESKAPDVSFSFGNKRLCERWLDNLFMVLYEDLRVYTFWRTEAAHYRA QQMSYRKTGTEWELMGDLALRLWHENEAKEAYEQCLDHKFSAKAWMKLLEIYAKEGNV QKALLAAVKLTVYHERWYHEIVYPTEIACNLNKLIRKEGLSKMQNILTSMNLPRPVEK LMTRYFDYGELFKVEGYEL PHYBLDRAFT_79892 MSQENEPLLGASQSDYKPVTFWSSFKATAKSSKFNWLLIFVPIA IVVSTMDVADTVVFSLNFIAIIPLAKLLGFATEELALRCGSTWGALLNATFGNAVELI LGIIALKEGLIRVVQASVLGSILSNILLVLGFCFLMGGAPRSEQTFNITAAQTSSSLL ALTTLSLLVPAAFSASAINDSDGGEAGILNISHGTAIILLIVYVLFLIFQLKTHTHLY EDEVDEAEVPTTTLSFSVISLITIAAFVSLHAEFLVGAIEGVVTQWGINETFVGIILL PLVGNAAEHLSSVTFAMKDKMNLSIGIAISSSLQIGLLVTPVLVIIGWVIGQPMTLFF ENFETIILFASVMIVNYLIQDGRSNWFEGIMLLASYAIIALAFYFHP PHYBLDRAFT_171169 MKYGPEVSEFSELWHVLRVVSVPGTFFTKVRSLMGRFLQHRTFP PVKLDTLCLPTKLGGLGVLNPKLQQGALQLRWLRPLFRSPSSPSGLVLPWLIHLLRHH LPDVHPLLPFVFPDLRHSRLRSYTSPFFNLFAACDLLPHDFDSTVVNLETCLDIPLAS ATIVPHGLPVFPSSWRHLRIRDAYEIDPNLEVLSRRFSSSFPRSPRILRNFFLRLDDH SLFLHTFVIRACLPQSILTAQFPDMMIRSGSEVDPSPLLSTLSPNFPWNRLSTRRYRS SCQVAIFSTEDMQQDIRAIEWRQFWSFVLPYASRNIWFRLLHRKISCRSALHHRVPTA FPSATCSLCGTTDESQDHFLFTCPLKLPLWETFWHTHFGFSPQTSDIHNALYKFTFPP PLDPTLEPASIFGSALLAVWRHHWAFVFDQAPFIATDAITTANSLLSRLHAEENLDQS PYPI PHYBLDRAFT_102956 LSDEVKTLLGNANGLYIAKDYGAAIALLQELITKHSNVHQAWNT LGLVHEEMGNKDKSLQLRMVAAHMCGTDAGLWKELAVKSIENDATQQGLYCLTKALQI DPMDVDALWDRAYLHKQLKQYDESLDGFNQILVILPNHFKVINELAQI PHYBLDRAFT_159516 MQSRTDNRHMQILQGMVFMNQYSNERKYLQEKAYNMGRIFHFLG LTHLAIPHYEEALCQPSAKYQGIRKARPIEDVYMWPVDNMYKDEDDEDDETDLKRESA HNLQNIYLTSGNFALAQILLVKYCSV PHYBLDRAFT_115407 SWFNYSFLFPPNVKRKILHMDAMAQMSLEYEDACVNHTLVVHAQ RLLSDAPRMVRNLETNLRTATYPYLLLEIKRISFVEDAWDQVSRKWTDIKKPLKVRFV EGGEEGMDQGGVQKEFFGVLFEKLLSAELGLFEMDPESRLYWIRPYLDTDTVRHYEMT GVMIGLAIYNGIMINLPFPDIFWKVLVAPTEQEVDAQADNHSLFTLSDLNTDWPSLAS GLEQLLQWPDEVQDVFDRNYEISIQVFGQGIITVPLIPGGESIPVTNNNREAFVQDYC TYFMYRAQRDAILALRRGVRSVIGSRALDLFTAAEIQVVACGLRQGPGSQDLCMEDLE SVTDYDDGYHADHPTIRQFWSVVHNSLSPDQKRELLLFVTASDRVPIGGLKELSFIIQ RNGPDSDRLPTALTCFSRLLLPEYSSEEKLEERLVTAIENAKGFGLV PHYBLDRAFT_115181 MVLTGLGWAIVLFFVGSYLITESWTWGYRGKWTNINNYLPRKEL VFSEEQLYRYDGSNPNLPIYVAIDGDVYDVTTGAGWYSVGGSYHHFAGKDAARAYVTG CFKEHITHDLRGLTTDQLKGVEHWKKFYQNHRSYFRVGRVLHPPIDDSTPIPEPCESA VGQKPKGKDN PHYBLDRAFT_135119 MPGYYYTSIAGSTLSLVTIVGLYMLLTGTGEAFNVGKLLEETSP FIWALLGMSLCIGLSVTGAAWGIFITGSSLLGGAVKTPRIQSRNLISIIFCEVVAIYG VIMAIVYSSKLSDVSPDQLYTPSNYFTGHAIFWGGLTVGVCNLLCGVCVGVTGSSAAL ADAQDPQLFVKVLVVEIFGSVLGLFGLIVGLLTTGKAADFK PHYBLDRAFT_159518 MYLLEVNVYGKCPDSEPARRLFDMHYTNFEELSINKTTFFNLPN STCTPDKRVNLLCVRKGGREDDKAWHHIYSLSSIIYSRTSCQQLSEEATAKIKSFYES PDSVEIPDSTRFENGCVKKECWSSDLIRGYVLIECGSVQQLVI PHYBLDRAFT_148340 MLPADLPFEIIACIARLLSIQDKYTCTFVCSGWKTPFQENLWNV LYVSDKKRLLSICGRPLKRQIAYQRHGKHVVELTLSKKLKATDDQLYLIQNSFKNVQR LWIESRSLSKVNFGSKSDWKIWEALTTLRINLDKRDIFYAELKLLDILSCLPRLQHLD ISQTAQRKRMVFTLEIFELLHFYLPDLKSLSINVDFCVPSTEDLERIANVTPAYNLTD FSMDTGSVSNRWIYYFACKYPSVHTLRWEVYGNGSLSDDHQDEAASLFASLPYAFPHL KTVDIFGSMYSEKVYSVLCELLNSFNIPIKNLRHEYKFSPDRPKLLKQLIRGYTNSSS NTLETLFIKSSLTFLNYQNITASFYHCPRLVELTLDRCFASIVLDVLLYRCPGLKILR ISSGKLLIGRNASKTSPKHGLRLIDIRYALVTPAALNYLSFRCRSLNYMRLSRVDIQG SFSQRDGRILLDMSYTHLKVLILEAVIFLPDNIWIISNIINLINLIAPTASQPSVGHS CAPSVVSDTMEKKTESTWIYRICEKDTDDDCSYGTRKLNKKESKYADKYYRNFQRNRN IPSKTNPPRNYTGPADSHDWKRNLLRGHVELRCGYIGVYFISPTASSQSKFWDKSLDD LD PHYBLDRAFT_171177 MTMLNECPLNLSKASRLYLIARCIEICRLRRRGRRNERNHVEDE DDNNKDEEVSLQSWSRDSITAFNRTVAALHIKFINEPLASIDVSGYLYIRICAPETNI KFFDQAIYLYSPTESIVLTVCNKSTETAPLPFMGS PHYBLDRAFT_70655 MASTLPFEIISNIADLLPKEDLIHCIRVCRAWVTPVQEVLWHTI KINSPTKLNAICYILASKNNTSGINHYTRKLLLVDGLETSDTQLHLIQCHFQNLQHLF IERKCLSDHEFGKMADWNMWKLLEELDIDLDGLDSVNAKDEFLKILSCLPSLVSLNLT QFWQKRNLAFELGDFEEIHTHLPRLKNMSLSIDIHVLSDEDWPCILAAEPALDLTHFK LKFGCMSYRWLFYFARKYPNICNLESEFFEHYARSKEGEDEAIMMFSNAPSLFSHLNT VDLNTAGYFERIHTLFWKISQAIDMPIKNLSHALVISPKKAYLVEESVNKYTHSFSST LETLSMRSTVPSSHLRPITPEFEYCPRLVELNLDKCFSSIDLDFILSRCKALKMLKMK TNLVTITVDAHYTPERHSLQEIHISGASIGSHVLSYLSFRCRQLNSMYLDNVKILGNL CPESKNIRIKMPYTSLKVLYCDYLSFHPAEDPRINDNMISILLINQTGNSQFPDEDFI IEDIVAHNPPYSAKLGWYLISRHPVPTDSKRFEMRRLQKEETERATECFIKSQKRLDL SSNTDIQHPGIKHRFEYEFDKRLTNGYATIIFKDIADIYVKPNVAKVTWAKIK PHYBLDRAFT_115148 MVGGFVNPSLPRKTTTVELVNGNLVLDCPVPARLLEASARKDKE FSQMRYTAVTCDPDAFATSGYTLRPQCMQRQTELFIVMTMYNEDEVLFCRTMHGVMKN IAHLCSRSRSRVWNAEGWKKVVVCIVADGRQKCDPRVLDVLTTMGIYQKGVAKNMVND KPVQAHLYEYTTQLSIDSDMKIKGAEKGIVPVQTLFCLKEQNAKKINSHRWFFNAFGT VLNPNICVLLDVGTRPGNTSIYHLWKAFATNENVGGACGEICVMKGTACLDLLNPLVA AQNFEYKMSNILDKPLESVFGYISVLPGAFSAYRYAALQNDAYGNGPLQKYFLGEGHE NSGDSDIFTANMYLAEDRILCFELLAKKHQKWVLKYVQSAFGETDCPDQLPEFISQRR RWLNGSFFAAVYSLYHFQRIWSTNHTMSRKLALTLEFIFNFISLIFSWLGMANFYLTF YFVTKSLTSPEMDPFGGGWGNIMFQLLRGLYMFLLVVAFICSMGNRPQGSKWMFIISV MAYSLIMTYILFAGGWMSYQSIRHGTESEEWNKEGAMGNILIMMRQSNFCNVVVSLAS TYGLYVISSLLYMDPWHMFSSFLQYLLLLPTYINILNVYAFCNTHDVSWGTKGDNTLQ MDLGVAHTSTNEKGIEAVEMELSYSPDTVNAYYENALINLQQKPEIIRESRPPKTKQD DYYKGFRTRLVLTWIGCNALLVALITSGSLQSLLPSYNVDTAKAYEEAVGISYTGFIL WAVAAIGAFRFIGSLLYLILRCFRL PHYBLDRAFT_135122 MPPKFDPSEVKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKGTKEWKGLRVTVQLTIQNRQAQVSVVPSASSLVIKALNEPPRDRKKEKNIKHSG NVSLEAIIDVARTMRFKSLARELKGTVKEILGTANSVGCTVDGQSPKDLCDAIDAGEV EIPEK PHYBLDRAFT_115367 MTNCLIFNFTNLIVLDLTNVNYHNLSILELSQQYSTSIERGLDK EQATKRSAQYGKNAISPPPSNWGKKLFNYFFGGFCSLLWFASIICWISWKPLGNPNPA PLNLALAVILMFVVFLQAFFNAWQDWSTNRVMHSINNMLPTQTLVQRENNVITIDAVG LVPGDIVHVKMGNKIPADLRLIEVSDDLKFDRSVLTGESEAIPGTVDATDSNVLESHN VAMMGTHCLNGSAVGVVVAIGDNTLMGRIARLSLADNGARTLLQIEILRFVIIIAVLS ITVGAACIITWAAWLRVSYPDFLTVSNALIAVISVIVAFVPEGMPVAVTLCLTLIANR MKRNNVLCKVLTTVETLGSVNVLCSDKTGTLTENKMFVSDACIYNEYLASHVCRDVLV QRDIEVVNKYALSHQVGHLQAVSALCNGSRFDPETMHEQVRLRKTFGDATDSAILRFA EQTNPSSTVADCREKSEKIFEIPFNSKNKWMLTIHRPFHPDMANALSSNTTHVSTDDW VLICKGAPDVLMNRCTHVILPDGVEEPLTDKRRELLEEVQTRWANDGKRVLLLARRVI KASDTPNNIQAGTSSFGAWATQMNQNLVVIGLLAIVDPPRADSAETVKICRRAGIRFY MVTGDFPTTAAAIARQIGIFTTAHPKTIKDLDPSRPIDQIPRYIQTEFNNSSSIELTQ KGSSETTLEDSTSLLLSGTDLITLNDNQWEQVCQFEEIVFARTSPDQKLRIIKEFQKR DNVVAMTGDGVNDAPSLKAADVGVAMGGGSDVAIEAADMVLLDQFSSIIAAIENGRLV FDNLKKVILYLLPAGSWSELWPVVVNIFFGSPQTLSSFQMIVICVLTDLMPSMALMME KPEAGLLTRLPRRPKQDRLVNARLLMQAYGFIGIMEMASSMFMFYLYLGMNGLPPNKV FFSFSNFNSPDGYMGYTASEISSLLYTAQSIYFVNLVICQWGNVLSTRTRRLSLFQTN PLWGPSQNLYLFAAMIGSLIIALIILYVPVFNTYLQTSPIPVKFWFIPFGWAGMIMAA DETRKFLARTYPKGFFGKLAW PHYBLDRAFT_171183 MGLLEIKLENQTLVLRGNQEESPGCVLRGNLSLHLVEKTRLKSL WLRLLGQVKVEWDDDNIRRWSSKVHSIKSVKSQKTENTFLEHEWMFLAPSKHPHTFMP GVYTYQFELILPGTLAETLDLPSTTIHYKLKAMAERPGFTTNLVAKQKMGVVRHLPPS IHMHYPIRAVESWAGRLQIEVILPRRHYERGEGIDIELSVHILPEELRIRNLSCALKE YLTIFTSSKKKTKTRLTGFVRDDNFPADEYVTKLEHFCIPQHTQWDTNNALFHIRHKI QFIISLIDRYGHVAEMRTSLPIVIVPPVEEVNDLPTYEDSWRSEAVCPAAYTIPMDSE CVEYNTCGIYNYDDVDSNLYRLPSYKSTA PHYBLDRAFT_182384 MSKESVVTDKKEETNKNGVPLHKAGITKRDEALDNEIVYEFGGP FGVTAMMIGFPCLMYYFWVSLEYHQGKIYYPQTFTFEGIRSFILHEIVEKVVADAAPN WTATKIYMGYIVYSFILAYIMPGPIVEGLPIPSLKGKRLKYLCNGLSSWYLTLVLAAV LHLTGVFRLTEIIDNFGRIMSVAIIWGFTLTALVQIDSIIKGNSHRLSGNFFYDFFMG YPLNPRIGHVDLKMWAEIRVPWPILFFLSVSCLIKQYELTGVVTGPACFMVLAHFLYV NACQKGEECIPTSWDIFYEKDGFMLIFWNMAGVPFTYCYASIYLMKYQLTTGKAITHS LPYTATLFVVLLLAYYFFDTGNSQKNRFRMEQNGSFFARNAFPQLPYGHIKNPTYIKT EHGNLLLTSGWWGVVRKPHYTADLTMSLCWGLITGAGSFLPYFYFCFFVVVLTHRTKR DMERCEKKYGKDWERYCERVPYILIPYVF PHYBLDRAFT_148348 MAIGDSTVFFVQPGGQWIQPTGQQNTFANVSAAIAASEVVAPSR LHSLADDALKPKKTKKRPVEKDTLSRRSNLAIGKEGSRRRQRWDNNNFSENPVAVLYS EDLRPPGYSRSKNIFQWNNIAINEEEPESVEEENQHVPIRSIVPLSRSVRKDLKRAHL PLGLVSSYEDQLMRFIATQQRRPPGEVDAQDLCLVWEISDRFVRWFVHAMCDYYNLES FSETISSGRRITYICHKAHLASVTTGEECSIEVDWKVPQMLFVDYLRTR PHYBLDRAFT_135131 METPDFEIASPPTDGISALAFSSQADYLAASSWDNQVRIYEIQP SGNTAPVASYAHEAPSLCVTWSKDGTKILSGGADKAARMYDVTTGQSTQVAEHADAVK CVKFLDQGQQILATGSWDRTIKYWDLRSPQPIGSVQLPERCYSMDSVGNLLVAATAER HILLFDLSNPTTIFKQTISPLKWQTRTVACFIDGKGYAVGSIEGRVGIQYIDEKEQSK SFSFKCHRDDQKNVYSVNAISFHPTYGTFSTAGADGTINFWDKDSKQKLKLLPNVNGT VACTAFNRTGNIFAYAVSYDWTKGYKFAGQNPTNKIMLHAVRDEEVKPRPSTRR PHYBLDRAFT_171187 MARIPLTSVLPLLVFLLADVPRKLLNPDSIFTFPILFVLDAILS TIYKIHGPQSIEPTNRKLIAGPDAADIAVSALGDTECLKDTPLEIFLGLEDNNNDNNN NDNNNSTPSTHPFTNTIANNSNSSSPTLSPLSFTSSSPFSTTISKNFITDEPESMDCR APWDDTPVANTVEGCQDNLCPLPDFSSFSPTPTHSRSTPVSLGNRRRQRQLPPLEPLE LDFFGPLSPPSLSPSMSVCSSISSASIDSVIPILARRRPSRVEHVVRLIEKGELKASA STPTTSTTSGVRPRGQSVSSLDSSTRPKPIRNRTFGFKPIKGVWEKRIQDTASIK PHYBLDRAFT_148351 MVHPMHLVQGSDFAINVESPEIVLHGSIEESAGVILRGSVVLNC TETTKIRSISLKFQGKAKVHWSEGSHQHHYKEEKVIIEHDWHFLQPTRKSYHLSEGHY KWDFELPLPGDLPETVDHQMGSVYYTLKAVAERPTFSLNYTDKKKLTVTRVLLPSSLE LTQSVAISNVWTDKLSYDISLPGKVFSTGATIPITFEFVPIAEDLKVRSITCSLKEYT TLSSEDHKRTEGKVLRTIKDNLSVSLDGLWTKTENLVLDHGSPHILTDSHSELIRIKH KLKFTVALVNADGHISELRAAVAIILAPISPDEDINWLPAYEDAWKSAPYDPSTLEEM VDRGQLPPSVAYTLPNAAASQAHTSIASEDSEELGDLPSLPWQGIDLSRVPSYTTAIH SRRLYSFSGSLPTYDSVSVPGSRS PHYBLDRAFT_148352 MIAVVDTLEINCGYSIQLDDDINITQKFSSSKQTPSFSFLSQKF LFFILKGSFLANQQALLSEINQAYFSETIPITFEPVPIAEDLKMLGNQLHMIPSVLGV VGIDLSRVPSYTTAINSRRLYSFSGSLPTYDSVSVPGIRS PHYBLDRAFT_79884 MPLQALAKLNTQLSSPFYPYGKQCASRTTSIILISLSIVCFLCY PIILTFYDNLGHLKTTPTIDQLDAQFWQFSPHLQPDNQSLGSSTFLIAQQIRITNPER QITHDLLKGALSIQNALTTSFAHVDGKPASLATICFGHRGFCVVHSPLEYWHSRESII DLDQDIVQTINRQRHSISDATGLSLHPLSVFGNVTLDTKGRFVSADSIVLTVLLHQTP YVNANKIWDTLWRDITAELDIPRLDMRYTTSDHAVAWQRHLSPVTNETLQYMFKLFPF EVPIQFFFTALSYLALFIIVSCTFGKAQLVRSHFGLGFAAVFTAIACHMSTIGILSRF GCELQLVHTSLFSLITIVSTLENVFLLTNAVLIAGCDMQVKEKIGRGLQSVGVPMTAT LLAELSILLIGYTTRIAVVKEFCIFSAVALIIENTLQMTFFVAVLAIDVKRAELADLE DRQVSKRLRELVNCDPNIDQTDYCPVQDVDASLGEPKTCAECKHFKTHRVVCALVLCL SILVLALFRSQLQPPVSAVSPSTEIPNNHINSDLMAISSRFWDLVNPRHVPELLQVRA PYLIILTPDPQEALASLDHYEAYYEAKARTYQSSHVLNPYDGRSWIVGNSLYFLHRFL YFCIVFVNIPSLMLCIVLVGIIMWMMPQWREEVLLPILKTMFVKTVSYLLFSIAPFSP WKSAPLIRRIAQEWSEEYTVDGIHLGAISAQEQFDKERKTNIRHVTVSTLSGKHVADV GRLDSNAKHSSLVSCGQDGRIIVWDTRGNDWILRLDSLRQSQFGLTSEMNPTYQPKTP ANTNSTITSRPKFTKQLSSARCVKLDQGNKWVAAGFEDGAVRVWNRTSGQLVRDFPLS TEVPVMMEESSGAEVRRTVRKRPNGQPTPVKPFEPRMKQPSLHRVNDRVLAIEFLGTV SDYCHPLIAQVVAKTNTHEFEGVSQNQIVSVHKSGVLREWDILSGECFHTIPTGHKRD ITILHVVSSKTPHRKLGLTWVFTASKDGLIKCWQRKMTKSQCDGFDSSMSTTWNCVYT IDEHAGQPITAMASELLANNVSVLVTGTNDGAVKVWNLETGDALCTLSTGGVKRKDPE VQIGGPLLKFSKLDTSLPDDPQFAQYHQLDINPSHIQADHRGPITQVVITQTCSTQVV PDACKGCDTCFSSGFAVASCSIDDTVHVWRLERQERQPAKQASIQHDNNHSLCANDYH QKVYRRPTRNTPTNTSPIDLVSPSISPSPSSPEIDFDSQRTRRRDAGAGPRRIKRHTR LPARPSVPSLGLSLDDIETVPDIEQLGGESDVRLVPVFLGKINQVAGRGLVFCNNMVL AGVRQKKEGDRLSWEAWFASLQTFKPSDTVPGSDGSMLVPVETFDLDDEKLQSINTTT MTTTNTTTSHTTMHTSSNHLLPADPSITRRFTRLFGDIADPIPHQKKSSRRRNARNIT STESSDEDLIDQADEEDARELLPFSAIRYLVPFDGQGFSCDFGNFIKIVRFEERTSSL NRTGQVDIPPLRTVIPKPKVSFPQKTSYSACKSSDCTSCSSGVDGKCPLKNSS PHYBLDRAFT_2405 MGLSRQTRITLMLFITTGFFLVEIVIGYYVKSLALVADSFHMLN DMLAMGVALWAVKIALKTQWDSKYSYGWQRAEILGALINGVFLIALCFTIVIDAIERF VHPEPVTNPVMVLITGCAGLAGNLLGLFLFHEHGHGHGHSHSHSHGHNHGHNHDHGHS NSHNHGQEDAQYTLENTQHKHSHENPKHESSLEANPNDSLQHHHNHEHGGHLNMKGIF LHVLGDALGNVGVIITALFIWLTPFEWRFYLDPIVSLIISIIIFISAVPLVRQTSAIL LQGVPSTVRLDDVRLELLQIDGILSVHELHIWQLSDTKLIASVHVLLASRSNYMETAA HMRKILHGHGVHSATIQPEF PHYBLDRAFT_171191 MVAETITKKNIVIIGGSCAAIGGALAWKVNPSPTHRLVIIETKS HFNHVFGFPRASVIGGFERELFVPYANLFGGDDSIGKVINAKAVKINANSVELDREVT GFGKEIEFDYMIYGAGTTIPSPGRLTCDTKKEGISILKNYQKIIKDSKRPIIIGAGAV GLELAAEIKEHYPEKEVTLLHSRDRYLPRYKISLHDITYNILRKHGVRQIIGDRVILP AGGFPLQVKPITVKTKGGKEIEGDLAIMCIGMTPNSNLIAELSPKSINHENGFIKVKN TMQLEDSAYPHIFAAGDVIDHKDVKTGHFAWMQGMAAYDNIRKLTEGMPYEKLEPYVS KDVALIKLILGDKEASMQTNVFGPLITVGSWIAGRSIPRNVYASAAWGWVDTPLDDEH SDQ PHYBLDRAFT_79883 MFKFIVVALFSLLSLASAIVWNAPITSPSSGTKWRAGGTYTVKW KPTVAGMQIPEGVTGSIMLGYLIGNDYNEHLNWNLGSGFALNKGAYTITLPSNLEPRT TYIIVLMGDSGNASKKFTIQSSKS PHYBLDRAFT_148357 MAPDLIAPHSAPISAYMSVHSATNLAYVRYFGRQDSAVSATFKS LNSRTFTVEYILGDGTRGESVIPFPHLLTRREEIRPVLESMAKEAESALGLPSSLSGP PPLNAIAKAMYAQATNMYTPEEPAAPLDVFYNADPFWQMMIAAGLGLLFLYRYAPDTY IRQHFPQALIQFRNYLGPKMIDNIWRTTVLVHVFESFATMVTCIRRKWYSPINIAKWT ASSFIFGVASMNKLVQHGKEVRHGMKYE PHYBLDRAFT_94977 SEPYDPYIPNTPRAGQQNAGAGGNQKTTRVQAQVDEVVNIMQEN IDKVMQRGERLDDLRGKTEDLQSTAGHFRRGANQVRKRMWWKDLKWKIIIAVTILVIL GIII PHYBLDRAFT_135135 MSDRKAELSQLIEAQGNKVRSLKSQKAEKAAIDAEVAVLKGLKQ ELAILEGVAGGDKAKKVAKSAFTLKTAKGTKDYNDKDMAIREKVFSTITSVFKKHGAV TIDTPVFELKEILSGKYGEDSKLIYDLADQGGEECSLRYDLTVPFARFLAMNGKEYQN FKRYHIAKVYRRDQPAMTKGRMREFYQCDFDIAGTYDAMIPDAEILRILCEALTNLDI GKFTVKLNHRKILDGIFQVCGVPEDNIRPISSAVDKLDKLPWADVKKEMTEEKGLAPE VADKIGEYVKLKGGRDLLERLLADENLTKNTSALAGLQDMELLFDYLDAFEITEKMSF DLSLARGLDYYTGIIYEAVTEKSAPPKPVEGAVAKPKKSTDEFDESTVGVGSVAAGGR YDNLVGMFSGLNKKGEPNLKIPCVGVSIGVERVFSILMAKQKPEAIKSNETEVYVIAV GDGLLTERMQIASDLWKADVKAAFLFKKKPKLDKQWAACEKDQIPFAVIIGRDELDRG EIRIKDMRSKDESQGGGVTYKRDDMVKILKEKIAQL PHYBLDRAFT_182391 MSEAFNGTSYRPVSSGQRSGDRSPQLDEIIALLQSVDPNDSEIQ LKQPKSMLAFMNEEQLRAYTSQNLDPLTILSPNENSLGYLYFITARCCAATSENAQQL FELLSHFISVFDVQQVLGAPARIEFIAKALDTLANGLKRPLLPIQPLADAIQRLNPEL NTLTTLHAPLVKACLISKMYKYPLSILDSDIDHVDPTIYGVTIVDFLEYHYDASLIYI GNKQFERALDFLSIASIITILYHFAISAPANIPSAIQFEAYKKYCLVSLIQDGKIRIL PKYTATVVDKGFKLQYEHYITIAKAYEQTNISKFDELILSFKGTLEQDQNFGLAKQCA VALRLKKIKALTKVYKKVDIQDIAVKLDPKGKITPFQVEQMVVRMINTDQISATILHV VTDGTSRKMVSFHDIDNSRLPNTTQHLQAEWKRVAAVSERTAKIDKEKGLDKAFQSKF MVLSVHGGQSTSMQYEEDIDLPLSKEGKLSVI PHYBLDRAFT_148362 MRTCLVRELYEHTMIQKPILYNAFDGFEEDAKALFLAKIMKVLM GIPVNAQKQQWIRQSEANYSGYFIWELFKIVTEYSHNKTLCFEVGEYKVQAIKNEIIR RGDKELESCSYNVDGCHTALVGGKTIELSILEVTGHCGLFDIARATQDHVKGGFGVLA LLQEIAHVFEFGSLDTFHKIRIYFVHVLEQVSRGVCVMELIEMADMPTNFEDNEMCIR DVTNLFCMYKNGLEKSLTQIEQLEREHKQVKLSVSRKTVNPASTISLVDSLEKGCILK IKGEYIPGYPDLKVSTS PHYBLDRAFT_171199 MAELGLGLLKYQRSFQVSGLIATETNASLFLKKKCECITVMYRS DYASLIYICVFIYVLFWVTCKLWDIVSQAFGNYLLSNVSKVVSSGGVTNIFWWCVHLF LSNGVTLSTCVPCFFPAIRESRNVGYFDLLGKSKVYIYIHVLFSVHFQVAVLGVNHDL SEGVCDHFE PHYBLDRAFT_171200 MGAKFSTYRRRSHNSHSHNFHLHNSNSNSQSPQSTRPSNESLSP IQSTDSSIVRQGRHFHNTQQSTYWLPNDEEEMDRLVGQHFALKSLFNGNFLDDPEISA LFENGCEILDVGCGPGTWIMDVATDFPACQCTGIDMSEVFPNIIRPSNVSFQGGNVLE RLPFPDNTFDLVNIRLFILALRKEEWLQVVKEIFRVLKPGGFIQSTECSMLDVGNEFV LHVGAAIIEEMIDRGQEPRILGLFPDVLKEAQFERITQDNRVAHLSKPDHINREFLWD MINIFKSAQAFLSKKLDIPEEKYPGFLQQAYKECQKSPGAEWVLGITVGRKPQL PHYBLDRAFT_187918 MPKRHRASQMRNRKFLDPKKLAFENQKFKRIFKINEGLRSHNLE LLRECGRKEGFVNDAMRRRVWPVLLRCEETIGEPLEILTNTHKDESQVALDVPRSVNR YPPNIDDERRKALQDDLKLVILHVLRSCPSLHYYQGFHDVCTVFLLLFGVNKAIVLVE KLAIYFLRYPLLQRNNNAMLVELGPVLEELSLVDSLIMSEDEELAAFIKKSDIVPFYA LSWVITWCSHDLDDLDKITRLFDFFLCSNPLMPVYFTAAVVLSRRSEILRLECEFSTV HGFLTKLPQDIDVERLIGSAIEIEGRYPPIALQYKSGIGLATISTVNTYDDLWISLDT ATIIKEGEVKVDPAVLAAESEAQAKAALEIPHNERTRLPIPTKTTQIQGKDDEFKHHS EFVLDKLRRLSRQDVFMMAAISAGVGTLAFLISQDILREWILF PHYBLDRAFT_182393 MRAIIKIVGLLGFLNAVSARVHPTEEFLDEELTLTPLSDGKLLA HFEFTTNVQAHTDPNAPFLDYGLFPKAIGQVLQEYDVQEMHLTFTQGRWNYEEWGYPP EQSAGTGVELWAWMKNSNKIDANWRSLTNVLSGLFCASLNFIDDTLTTQPRMSFLPEP LSQSDRESHHHQYINNDNDNDNDNRSSSSIHAEDQIKSIQRDSQLRYGALPHENVCTE NLTPWIKMLPCKAKSGIAVLLNAHKIYNSNFHAMGIVARSMCRDEACSERVLELRQTV TSVLDPVRDTGRRDWSLETIFERTLKGSCPLARQSRVLVNMDGVNKERELKPSPTLIR DEHTSENTQIAVYDLHQSTQPLDVRMSWSEPVFKYPLEPIHPVVSAQRYFTGYGQERG GLRITIHNRSPDKSIPVVYYESAPWFLKFFLHTLKVDVLGSTENDDDIIEKMYYQPAI DRARPTMLECRLNLPPQSVVTLSVDFEKVFLKYTEHRPDANRGFDVGSAVLTAWLPNK LTNQTSSSYYSNDNDSDYSSTSANTSASNSMSSSSMRIYTDTLLVSLPTPDFSMPYNV ITLTCTVIALFFGSLFNLLIRSFYMVDSEDNKPKKS PHYBLDRAFT_70630 MIPKAFTNTNVFKTVLPRQNGWLNTLCLRERPVKSHYGRPANTH NRTRRTVTSTSKLNALIPQNSSLIEKLCAVNEHIFRHKFPVLQPSPPPSSHPLLFLSA TKKATGKESKHSKLTTAQASRAIQPESRPSVYSFVYLPYCNHLKYSQVRKLLRTLKIQ QSYSGMSVKTAFDTLDRRIHCWSDTPTKLTMCANDLSTSYTANVFSPSAFVSLPSTLS SIWKIELFCLACPKSGFKSHREFMKYSGKANR PHYBLDRAFT_155921 MFRITPQLSEKVQHFARFPQTGVSLRQMVLFGQKPSKGTLFKAS QFLHEELPIRLAHRVKELEELPKGLDKMPSIVKVKDWYAESFQQLLDLPSPKLSDSMR DRIKEASKNKEGFILPPNVHNPSIKLPKNSSSLHPHVPIGHRYYNNIDEVDCSPEMVD YTANFVKTIECIKRRHDPVVTTMARGILEYKQHTHANLIGTEVQDFLDRFYMSRIGIR MLIGQHSALYHGRFRRKDYVGVICIKTNIHEIAADAIANARFVCEDYYGMFKAPPIQM ICPGDIEFTYVPSHLNHILFELLKNSLRAVVERYGEDYEDEYPPIKLIIAHGKEDITI KISDEGGGISRSAIPLVWTYMYTTAQAQSIKPEDDDAEFKAPMAGFGYGLPISRLYAQ YFGGDLKLVSMEGYGTDVYLHLNRLSNSHEPLP PHYBLDRAFT_70628 MSTHEITQILERLAYLEDSNASLRTKVALLEDRLESLTNNCEAE LALSTRDNARTSMMLLPATGDRDIKRPSLHGKPEGWNQSTKCFKGVLAAHFTGGDLDK AELVYKAVDNFDQ PHYBLDRAFT_70627 MNIKDLLNNDSETRTSGHMLDDSFFVKRSNRIEDRIIAQACEKF NNRNKVATTAPMDFDKFLAAGNSNHNEAESMDTDDVQVESVEEINENINYMSDNDYGY SRVRNPDRVYNAPVDRVTDYEHFVPTHVSNSRAQAVSLELFSMFFENNVSREVYDKGI KIVNKYMAELGSSKVDSLLSYYRVDTLLKEEYPVKSVAYDMCINGCFRFSTVEEGDFI DEDETCPHCGEDRYKVERASVKPAQTFQIVPLSEQLRFKLAHPEERAKMAYGTRCLAG RREDVREDIFDGDAVGRLLDCGVVGLDDILVSMFVDQFNPFKNAKMSSSVIHGINLNI DLKKRYKAGNMMQLAIIPGPNHPKDIASFLEPVLDDLRNLGANGLQFQTDSGLVIAKV HLVMATGDTPAVSDLMNLAHHNAHHGCRACISYGARDSSTTCIVERDGPSLLRTEESL HQSVGGMYGVKGPNVFKDLPTMTSTAFFGLDEMHLLGHGTGQQLYVALGGKFCPTIND GGRNAHGIHLQDRLKHHRYPFALDVSLEDIDKAICASRADIPADFTGTWRSLKESNGK RKAVDWIDFLLFVVPTIVVDHFVFDRTKAAVMKLVTACRISQQWRITAANIQETEEAI GRWHAFLRCEIEEKRLKPTVFVMNQHMLVHLGYMMREMSPLRACSCRPIERTIGVYSA AIKSRKKPGKNMENLLLRKAAINHCLGCRPVICATNDRRTSNFEVASNDVAGPQLWSR PTRSSLAELAAAIGIECQDLVRSLVPFWAREGIVSFEENDEGGGREVYQGDRWPRPRP KRRGHSAHYLAFAKIQPIVMFIVSILYNPADYVV PHYBLDRAFT_148372 MPSDKKKTSNSGKSAQHTISDFIGAFAVTPSHPFGISFSSNMSP VEESDIANSDKRSAHNALERQRREGLNTKYQQLAHALPSLQTVRRPSKTMIITRSLEY VSTSVQRESTYQKQLRILREENEKLRKQAHRSSAFLKKKLHLDSFQTKKSPENIATTS TVSGNSIGAGSKKISSPVSPTPSARVVKKKSSRSFLKKFTSKLHPQMRPKQKVKFEQE QKQERDREQTKRSPGTQQQAFQEEGSGSGSVPVPVPMPIPAPVPVPVPAQIKAPTPMP VVDTNTVATLLATQTPLSSPSYLSSSTISPLPPHPLPPLFSAPYLSAHSPYPLSVNPA LMPQLDDTLSPLEQVWLTDNLAGQSAMYSFDQTNMNTTDSYFMDSQMVQMPQNMCGAG GLHQPTHTPLNQTPSTQYPEAIDYNNLESYYYFGVPSTDPLFNMPLPVTSAEMSLITS YIEQEDMSPIFMQNMSSNGYPYI PHYBLDRAFT_171208 MTLHKLPIKGLMTIQITNIYSGLRFTVSNCLFIYLLKENKCKLG YQVQLQIGSNGSVLPQAEDLSWASNLHFWLWYLNKVYYSGACVTSTLYFKVRVCTWYM YMRAKDIFFKKKMSRHNTVQYISFHFEYFNRFKSPSARIFCPYLDMEVL PHYBLDRAFT_70624 MFNFKCIAIILTPTQNSLESRKKRVIIIEYMALNVSKYYLGLRV HIWKRKSYIFKTRNIQWSACRIFAWPGYKITNTISHKKSKLIPDFMIYKHSFTPTLIP PHYBLDRAFT_25082 MTLNSQVIYTKPPTEFPVVGETVKISKSTIDLDAPLPEGDILLK NLVLSVDPYMRGRMRDPAIDSYAPAFEYDSVLTGDTVGLVIKSNNPKFSQGDLVYGRT SLGYFEEYSHVKGSYADESYVVRNDPKTNGLPLSYYVGVLGMPGLSAYVGLKKYGRPK SGETLYISAASGAVGQIVGQIGKALGLYVVGSAGSDDKVEYLKELGFDGAFNYKTENI DAKLTELCPKGIDIYFESVGGSMLEHVLNHANNFGRIIACGMISQYNTQNPYGVRNLL YIVTKRLAFDGFIIMDKENMVYEEEFIKTCTQWLLEGKIKYRETIVDGIENTPQALLD VLKGRNFGKQVVQVTSF PHYBLDRAFT_70622 MIGVYTVALFSVILFGLLESISCLGLTLDQCDCGFKDENDRIWT NMWFEDYTKDIASPHEDHDYLVMNYTVKSIRPTTLDRLFDPNNVQLTDGGIDMTVKSD DSGTFTSASFGSRRTDILYGTYRINVKTSDVPGTIAAMFIRNDNTEIDIELLSQYKDP AKVHYAVHPQIYESNGSPSPLTFGLKDLSFDPTEEFHEYRLDWLPEIVEYFTDGVPTH QLSKNIPEKPGRVVINHWTDGNPNFGGALPTEPAVLSVSKFVMMFNVTGNTEPLACQK SANACSIHDVTEEQILPENIAMPSSSMPSKRSPTNSTSLPLSTSLGRKPLDNQDLLVK KVIGRCLSILLFTGIIIAIAIAIF PHYBLDRAFT_70621 MDQQTANALFDHGAFLLFLDAPPNLEFGIDYHAWTIGPLFKGVK MIPPGLHFIYFSATSNEGLQGIRTGFFKYFESKEVAVREWNTHTEDLREERELDPEQV ERYKKNIRDFDRNMGPYPLDPPTYYQRWRTLTEHITPGLVRRILPNDGKVSHLPRKSP EPLDDDVLSVKDKRVGKEVEKEEGMEFTPFDLRQSFPKNATAYEITKWSIDKSWLARD LLRRVYHDDHLVMLGEMQLSFVCLLMAQNFSGFHQWKQMVRLFCSCQEWMEESPGVFI DFIDTFEHQLEECPEDFFRDILSENNFISSMLQEFRKNIPLHEFELGKRFLQLKKFLV SRFKWELPTEEDEEDEDAPMVVEMDE PHYBLDRAFT_171212 MSFIVPKSDWLAKLSSGSFGRSRWRSRYFVLLDSELRYYKDEHT DSASSTLSLRDVSRVVACSFPNHPYCFRLEPNLNAPIQDKDSSLPPWTIECNSAFDQG AWVDVIQYRLARLSVIRVPVSPAQSRPRQRPFDTFLRMIKTSSSSSSSASASPRHTRQ IRATQLNHQPIKDIPLTLHIQPIRSKDTFEQEEENNAYLSSEIIPIPRPQPRYPPSLS RRRGVLLSPLTTTRPLPCLDTPSESVASSASSGLESPVLDTAQHKINLDANGIKYLEE TGSLSPAIFTNKDCFQIQ PHYBLDRAFT_70619 MDRLKLQSLIIQTVRVYSTNYKELSTIISVTSQEVTWQQIQNKV VKVKLLVVMSSTKLDLDGALCLHKINYSFAVAILSKPNFSALWRMDTHLPYFVLTRPD QTRQYFILNEIFS PHYBLDRAFT_155923 MMISDGMGPASVAFTRTYYQYTQGEPFDYQMPLDTIHVGQSRTR SSSTLVTDSAAGATAFSCGLKTYNGAIGVDPSGNPCATVLEAAKQQHGMKTGLVVTSR ITHATPASFSAHVQDRDDENHIAVQQIGDNPLGRNVDLMMGGGYCHFLPKSNPQSCRG DERELFKEAKQNDWNTVEYNNRSHMDYSIDRDPTREPSLTEMSAKALDILLHSTKSTE NGFFLMIEGSRIDMAAHSNDPATHVHDILEYQKTIAYVKRFVDQNPGTIMISTSDHET GGLSLARQVSPIYPEYLWYPDVLTKVRKSTVSVAHYIKDNRLFGKVDKIVPLVNKYLG IEDVTKEEEQGLAKIKKTNLMDFYLAEMVSLRAQLGWATLGHSGVDVNLYAYGQGTDS LRGSHENIDIGHFMAQALHLNLTDLTRKLNK PHYBLDRAFT_171214 MKDSQNQFKDNKKYKSEPHVKETIEAKSRKDKEITLFLKYNRMA YEFNSLSYPTQTTHQPKRRHEDDEDESQVEEYNSKRFNDTRETSFGDEQPRAASPLPS ITYASPDFRPPPRQDALWLQPVQTTDDSEDDNNSTVYQDEDCAMY PHYBLDRAFT_79874 MFGCILPLIFLLLPLLATCSEKLVPFTDNNIKYFGRWRITTTDI QSGWPGAYLKTNVNGPTIKLRLNQPTTVYVQLGQEPMRKLDAVYKDQLPIELVVATDL SQGPHQLMVVSTANTSICLESLVLAVAATTAPPRLSFDLVEFVGHDLTLGTSTSQSLL TSFPWLVSTMMEIEKVQIAYPGAWLLDHPQALGMESQYFRWTPSLDETERNQWDFSSY LPSAVVILLGQNDPETDLYSHGLQGFLLRLRKHYAHCAILVLSEPRGHRVRQSQAAVH ALNDAGDQDIYYIDTTDWVQDGSDFLDPVHLSDQGHERFARRLSPWLHTKLIWPRQPF PDPFPNPNLPRDWHTMDVGQEQSIGLPGSVSFDSGNTFTLWGSGTDIKDNQDAFRYVF QALSGDGSIQATIGSHSAFASCAKAGLMIREHLSLGSPHVMLGISPAEGLFLQTRHTN LSPSHLVRKTRGSPPYHFRVTRKANLILAQISQTTTTQWDTFANLTLPLARDVYVGLA VTSCDPSVVSVAKFGDVVLQGGVGSGTYRSSSTTTTATITAAGKLTGNFDSKYNSYYG NKAIIPSINPTKPKAKAKEDEEKPIGGGNDNDNADTNGRFIYQV PHYBLDRAFT_187922 MKAKINLHQIKGSRIDTFRVNSPYNRPTQQRQQQQQSNNNVRPQ TAYSPNYIQANLLLQAIRLNNLRRLSPGCIEHLAGYVTHAWEANTDDKTQQLTKVINN LLDSTAVEASSLDDALVKFCRNSIATPTVCPSVTLLVAIGYIERLKQKYNNIKGTSGC SQRLVMVAYMMAAKFLHANLRLIVDTNHNTNPNPNPSPPPTEPNLNPISPSRRSSEGS ISSAMSSDERITLPPLTQPSPLLQPISPPTSPKSLSAYHYFPSPNTPAQQIQTHTKSS ISSISISSSNSSDSNVSSPSSSPSLSYSSSRESSPIITSQQHQTSDSNNLPPLLDKNP SVKDRGYGVFRMEFEFLHFLNYNLSLSNPSSLVQWAHNMNSSSSSS PHYBLDRAFT_115227 MKSFSPEEVAQHNSASDCWVIVNGMVFDVTKFLNEHPGGKKVLL KMAGKDASKQFKSFHNDAIMQRVGLPMQIGVVGTVATATTVSNLVSAPQAKFGEGLPY SDPTWYQDWNSPFYNESHIRLRKDVRAFVDKEVTPFCHEWSEAKSIPRSIIKRAAELG LLNAGSGAATNPAAKGLMPYPLPSGVSAEEFDIFHEFICVDEVARCGSGGFLWALMGG LSIGLPPVINFGSKEMKERVVPGCLSGDKIISLAITEPSGGSDVANLQTTAKDMGDHF LVNGEKKWITQGAYADYYTVACRTGGPGMAGVSLLLIERTMPGVEARAMDAQGMWGSG TSYITFEDVKVPKTNIIGKLNYGFKYIMHNFNHERIGIVMQANRFSRVCIEEAFKYSL KRKTFGQTLVEHAVIRNKFGHMIRQVEATHAWLESVLYQIKTLPVKEQPELLAGPIAL LKAQSTQTFEFCAREAAQIFGGLAYTRGGQGEKVERLYREVRAYAIPGGSEEIMLDLG VRQAMKQAAAIAKSKL PHYBLDRAFT_187923 MNPETQVNLSQDNLSYLCSVNGVSIQVFEQGKLSLFTEGQIVAY ITSQPLPQQAIILQVWDNYSHQTATIVLLSNTRAWLQEDRILVFPREQGGGLWRLDCR QSDPTAFAALQDVLTYFIKYENRHNMRNTLAMMSPVSCQVTQVVANDIHLDANDQNAG TLEDPVAAEEAKIGQKLPVTVDRFDIQGDHDPAKIQKVKLLHQSSSAIVTGSGWLASA LVFAGNTLAKGIQSGSEMIEKRVEPSQNPVRLSEGERQVLDSIADTTNLVCKTGVSLM DKAVSATVNGISNLCESTEQQQRSRDPVAQAGRSFGISALRAAATVLGGVATAASAVI GSSRDGIVHIVHRKYGEDAGYVAQRTMGSAADIADTLVYFDAGGIARRVLTSSAHAIS AYHANRNGPTTQREIVFEVDHDEDQHQDEKGKGSYHQYQHQEEHQDYNNHQNSFSEAC VSSSSSAKPIRSVDV PHYBLDRAFT_182399 MATPKFITWSPHPDRNQFLVGGAELKLYEWIPETDDQPAKTHFI SSVPSETLMMCADWSPDPNCPDMIAVGLTTGKTVLVSMQDNVHPETYPASEGAHTPTN AFRPITNNNNHQSAQEYPTLSAKMSRPCNVVSFSKSHPNLLATGLEKARNFPCLLVWD ASQAMDSYSSTPTGTQTPTAQYFPRQRPVDIRSATTSSWRPELSHNRNSDSIIQDSIF DKSKITATVNPDQGIPIKGSTSNREQTPIQQYGPAENTVSCTWSVHSHSPLLIAGMGS RYLRVYDIRVDSGANPLQFSTKAVHGTIVDPFNPYRIASHTEEGVVKIWDIRKTTDSI SFYICFSPSRQGSLAILSKDSSTVQLWDIQETSSLQSVISSDVRSSPIQTAFDASGMS ASRSSRLGSQTPRGIRSLVSQKDDTISTPVLWKSRRTNTSSRPLSSFTFIPPSVPGMP SSTSQRILAMNREGHLETIRLQEAAEMTWKPTGGMMISKGNDLLAYDATLPSVEETTQ QMSKLRLHGFGELHNERMHMRSGPMMEQAIGEELHMRKVLSKDISVVMRKRVGLGYSM DCAKNSEIIKTDDTLHELWTWLDRAERMSKGTAQIGNIDYSFQGVYGIWMGPGSQGRK ASPASTPRLGNSQMQARQPPQKQNRGMAPENDTQANSGAVPDENDLGMVSTAKLAQRR LALKVCGFGFNPAELESQLVRLESRGEYDKAAGWALFHGIPERAIRALRSERGLERDE TDQQRKLISAVLAGYQPNASNINPTWRELCESLSRDMLDRPYLRAIFAYIASNDWFKV LDDPGLPLRERMAIALRVLNDDQMTLYLNRSVEQLVQDGDVEGVVVTGLTSRGVDLLE QALDHYGDVQTASLVMSFVVPRRFKDKRVEDWVESYRTLLDRWQLWHPRARFDIERGK YMNSSEIAPPQIYVRCTFCAQSLGHSLLIQNVRNREGKRMNVQTNVSPASGGRISGKQ KSTVCPSCRKLLPRCALCLLHMGTPIDSLRQAIAANDVHKVDPAGFDLWFTWCQTCRH GGHAVHMEDWFQKHSTCPVSNCICQCKLEP PHYBLDRAFT_70611 MSTGLAFRTFTIRWVVLLGLASLSVNALTVTHPFANTNIVAGED TLVSWSDVNAGTTSILGAILLVRRSKRKNEPERNIQRASNLFKDPSGHHGTGGFDETD NIKDAQHLHHQSQIQNQSGGYTDYRQPQYPAYAEPNNNYADYGYGGDNGGGYYPPSVP IGRFENSGYHRQSNVVSPSPGPGQNQGQNRGIPYSPPMQTMPAPMQEYSSSRYQYTPQ LQFQPQWQEQQNYYDYPNDPTGGMNEMPPSHNQQGFYYPQYDQYDPYLQQQQQQQPPL PQHSVVNQPMAMPGYAPQQQQYPIDSRSPEPIIVSQSPPPPGANSNISDARQSRMSSD QRNSFDQRNSSEQRTSMPEDQRRVMSPTRAAQDSSKLVV PHYBLDRAFT_79871 MAKKSKKIVSNRGFATVSAPSKKTIPEKNVNQPEPEPEPEQQKR EEPPKTEPPESLDPQPHHDPTNQLIDSNLNERKALRILDRISVADQEPFEQNFGFGIP ATIEHELLKTSQRDKATFGRCGSHPNDAKMIGQLDVLYRVLQKLSFDVTDIERSFEAT FALTIEEHLDWLCVNVPYERMPLGFFDKYFNEQDTAISVGYRKTKESSDSTKSTESTE SIRQVESNPEHEEEQSINEKYATLMVELSSLEKQIPDKKSKKKKGNNKRSSDEQQQEL SEYEIQNIKRKISNIQQSLKHLETDWEFDKQKANELFIDHMKKAHKPNEPTVEPTSVP ESTKDLDKETSLKDDNSKNINDDHNDNDDDYDDDTMFGGMMEDMENMENASPGPSAVT TSVKWEILDLSCPKWKGKMPKDLLHDYEKKLSFGKQSYSSKTEGAGLWRSTVTISPKK SSGETTKFELPPGLGASNKQEAEQLVATAALFKLDPEASIFQVMPLPYKDRWLVWKEE KRLEEEKPKLEAAKKRLDFLTNLLDQSQNNKDIISNGPVGSEEKIDKSISDNYTPKDT SPKRRVFSKVQHSFEKRLKTNAYANMKRKRSELPIAAYREDILQLVQDNQVIIISGET GCGKSTQVPQFLAESLLQDFTRFGSVVCTQPRRISAMSIAHRVSVEMGDRPRATGTPE AMVGYQIRLESKVSPENVLVFCTTGILLRRLESDKNLEGVTHVIVDEVHERTIESDFL LIILRRLCHARPDLRVILMSATVEANRFSSYFGHCPVVSVPGRTFPVHVRYLEDVIES TGYVLEADSHYALRSTRVRQDQGSVAVSGKHGNSQRVRIEWYEDSDDDDPYDPTRVES KLNVISNPNEIEDGTETENEGAGAEGDRLGQPKIKYSKQTAKMMRRMDESKINYDIMV ELLEYICIESHANSNSEVPSTGAILVFLPGMPEIRKVYDLVSSHSQLGDPQKFLLIAL HSALSSEHQERAFDIPPDGIRKIVLSTNIAETGVTISDVTVVVDTGMAKVKKITRLRQ TFVAKANARQRRGRAGRVQEGICFHMFSQHRYELMANYETPEILRLPLEELCLRIKVC GLGSIRDVLDSALDAPSKTMIDNAVQTLQDVQALSVDGVETLTPLGVHLSNLPVDVHV GKMILFGAIFQCLDPVLTIAASLSFKSPFVRPFGKESEADTARMRFQHADSDFWTIYM AYKAWRAKLLQVQGKPGWIRQMREFCSTHFLSQQNLEMIEDMKRQYLGLLISIGFVDP KKTSSNPLDESTGSDFDLKKSFKLCQIPAAYNTYADSIPVVNAAIVAGLYPKVAARAR DTGLFMTDKLGMHTHPSSTLFGREKQLKSEFLVYNTIVMSNDKVYLWEASSVDSVAIM LFATRMEIKHKLRQVVLDNWIYFKCFARSAVLIKFLRNELNNLLTAKMDHPEIDLTDI DRDIMSVMVKTLETRV PHYBLDRAFT_159534 MVSNNVGKGPIYVTGADGQKGSAIVNQLLELPKKYKHIVNYPIY AAVPTSSTAHAQSLEKNGATVIAVNLLDHQATVRALQGVAKLCLVVDPLSTRLTRDNI FDYAKRYIDCAIEANVNHIVFLSPFSPLLVSPPTSPQPQTTIQDDPTNLFRTQFGMIE TYLRSRFTNGRGITVLYYPGLLHQHLVVFGNYIRKHNAFPLPSTLLENTVESSNLLDI ARATATVLYSPTSRFANNDYRITGPQLLTLQEVSARVLSGLKKDHTIDGMDVQNLTNV LRESVGNDEHVQFLMEMWGLQRKLGGRRFEVTRDLEALTGQSGKTLNEFFEDQHVIDS FMAPTQPFLLAAQNI PHYBLDRAFT_115246 MSAATIPDLMQFNPHMVAYQLTLIDSAIFRAIPQTALLSHSPKS PHPCIVASTDFFNYFTRAIEHSILLPQEASRRAELVNRWIKIASYCLKLLNFQTLKAI VSALHSPPVSRLRRTWECIPKKRMQRLDFLH PHYBLDRAFT_135145 MSRTPFALNTQTLSLFSRRLLNCPTYRLKYKPDAKNAAVLVPLC HNEHNQPSVLFTVRNLEMRTHKGEVSFPGGKQDPVDASVQETALRETMEEIGISPHDI DILGQFSCLPNQSGSLRVHPFVGYVRKPVNAALLDYNPEEVAKAFCLPLEYLLRPSVR ELRQFRDTSHKYTVFHLPQPIESETEIWGLTSFILDGVLRKILPEYFTLKN PHYBLDRAFT_148389 MPNYSQSYIFMWTNLEFTNENFEKLNGLEICYTDDPSKPMLQPK LKVNGFLSYYHRYKYDFPKNQQIFKNKLFQITRDNIQYLNKVYRSHQPVDIGIYEQKQ MSMKQIYSESAVIIDLSDEKRSRSFSTWYSAAKSGLTLVDQTCKIPKKKENDVSKTKI IYRSLWVLKSIHRGNRLERNQPQQYFVFLMKASSVDMAFGSYNSASDKLFRCSSRPQT LHKTILELYVTQDTLEYLISKIPS PHYBLDRAFT_171226 MNVYPLEGYRPQRQAHIRYPSLIKCCGCIHLRTGAGFAGLLWAG LSMYFAVLSFQYKSPFYSYLLQAPLLVFGVANLILSCVGLGVLFAVYVNRWHAIRTAS SAVFVSTFLVAGDAIANTILFIATKSSYIGWCISSSSGALDAGVNSALNGTQTIDSNS NNTISSSSPSSSSSTFGFQTVDYYNCNKTWENELKFGILSAIMLSTLYLYWAMCIYSY AVKKGHLFFQDMDMAQPFQPEIHPIYTPVHPQPIQPIQPMPPVPPNIIILNNQKPSKY SNKKTAALVDRESDSVPPRSKSALLVLPDEPTMYNKSPVWHSS PHYBLDRAFT_148392 MPHFKTEKSHKRKHSQHTNPLLPRPSFQGNHNINYGAYTIRIPN YTFDQPKLLLDFQHIIKTSDQLSVFVKLSPYALRLEREYYMARRLYTLPDGPHYLSNV IEMVSLAHDGLAALIYTAETPNLELPTTFENIESFMTFAIFACRSLHFLHANNIIHGE LRPSSFQTNNSKDITRIWNFGSGMRSYEDILLTGPGWKRSAATATSSTATTGTTTAVI SETEPEIETETEIETETSKTSSLLLSSSSSTTTTTTTTTAAAAAAATATAAATATATA TATTIIKKKSVKKASNVIKHQQPNQIEDPIEPRTFARLAASKSVQHTLAYLSPEQTGR TSTVVDHRTDLYSLGIVFFVLLTGQMPFEGSAVDILQAVLTRNVPPAHTIRIGVPPVM SLMIDKLTRKVVDERYNSAYGLLEDLLECQRRMKCPDPAESLVFFPLGLHDIYSIFQF PSHLFGRDHEIETVRLITRRVSCTHALEMEKRSATTVAEKDKKEKDEERGQDDKDKDK DKEEEKVLCIPRQAMRDTKTASEVIVIMGPGGVGKSVLVTMMHTQARQHGYLASSKFD SNQKRPYNGLLRCLSSILRQLLTEPESVIRDFYTDLKEQLGPQFCNVWLMVDRVPELK PIFNGEEPDMPQDDMLGGNSESRFHAVFLSIIRTIAATKMITLCLDDLHEADEPSLHL ISTLIASRITLLIILTCRDNHDLPQSVLNIIQCTADEAQRIRINLGPLPRNAVTKLVS QTLHREPEDVEQLSELIFRRTRGNPFFARQFMMMMKRREDIWFNWDAKQWQFRLDHAT QNLYPQQQQLQEQQLQQQQQQQQKGEGSKINSKEICHLVSHLKDMDPHAQQFIMWASL LGSLFDFRQVQWLMMTVDLSGDEADQAGTSTPTSTSTPTPTPTTSVAVVSGPSSASSL EEDLPFLFVDPAIDLHQDDPRGGQAMTGLQVALQENIIVPKLGSEFQFIHDRYYQAAA MLVNPDHVEHMHLRIAQMLLVDPAADIFLTADHVVKSLELVKLLPDRSKYRQILMKAG DEGYRSGALQMSSIYYNTTLQLLSETPWKQDGPDATYEETLSLYMKLTEMSWRNSERD QASCFLQTIMHETDNRPIDGAYALRFQARMYFEQQRHHDGIGTILKALGALGITYITS DHLSESQVLELYTKVRSSIEELGDNLMTIGPCTDPRMLAAMALLYEACTGAYWISPLL VDFMALCLVRISLRYGFGMASGGGLIGVGCTAARLLQVQFGARLGQLGMAISEKYAGN SEIARGIITYHVILAQWTTGCHYRDLIYQFQRAYKFAIAGGDKMHSALALFHASSTLL YTSFNLSEINFHIHRSIEECLDDSSQDAYTLNISLWRTILAFQGKTTVSEPGCQLDDP PHKKNPFREEAFVANVYRKKAADTGFKILETSLNHPSHRHIGIALYYHSLSMIACIRD TEIDDSVRKMYLDQVAKNQTILSSWADHSEVNYRMYYKVVEAERSTLDNDMERTCRLF NAVIEIGLKGQWYSFLGLTYQLLAEYYVRAKINMLALPMLNQSIEFYECWGAYGKMQY MSERYGHIINSNKAMTRKVDASTQTEEQDMTNGFWQDEDSSPEPSFRELYGDESIPGL LDTETALFSLDIMDLTSIIKSSQVISNEMNSFDELLKKMMGIIMANASANVGAIIIKE GEFGIAAHSTKDEGCRTCYPPMRLDDGTDLISTLVVHYVIHTQTVLFIPNMQEDTRFA TGTWLLRAEAVSVICLPIMHKNKLVGVLYLEANLSTFTRKHVTVLSLLCDQIGISVTN ALLFKSVQEATTANAIMITKQQCALEEARKSKEQALKATELKSNFLANMSHELRTPFS GFYGMISLLSETRLDAEQREFVSIAKQSCEMLLQIIDDLLDFSKLEARKVKIQYGLFY IEDLIADRIELLINLASKKNLELTYFINQDVPPIVYADGHRIGQVLMNLIGNAIKFTH VGEVVVRCEIDNDTDDLKIPLKENEMMLRFSVQDTGIGMTKEETKCLFLPFSQVDGST TRNFGGTGLGLSICLELVSLMGGNIWVESHGGSGSTFVFRVRVMKVASVAEPGIEIDP RNSEALEYTKKLGEPRILLATSKRVSDMIKTVIPFDVSTIESPEKAIEVFKSIADISQ EIAGLPSPPLPTTAAYDCVILDMPPTRTVQNLLDTIESTEELKNIHIIMLYAPTVDNI KRQIGNANPNTSLRPDAVNPMFHPRVTRLSKPIRKLKLLGALLQSVNSNTPFPKNPCQ EVCKNTTACINEAGKQIDHVEPSFSYAHKHREGFAPEELAVFQQQKILVAEDNPVAQK LIVKQMTRLGFSVETCNNGFECIETWKSRGPGYFSLAWIDHHMPGCDGLEATVKIRAL EADMDVAITLPIIALTADIQKTAQQNCLDAGMNDYLTKPLMQKDLAAVLRRYCFHPNG GTRTTHFEEEQELTVYKPSMKLNLFIALGLLASVMLVAADDKSGYSDKDKKDNKDVKD YKDDKDYKDHKGKYYYHDKDDKDKKDDKDKKDDKDKKNGKDKRDDKDKKEDKHDKDKK DDKHDKDKKDDKHDKYKKGGKHYKKDDDKDDKHKKDDKDYKHKKYDDKDDKDDKHKKD DDKDDKDDKDKKDDKWWNYKDNILKKSDFGK PHYBLDRAFT_70602 MGGAIKVLVIQILAVNRNSRNAALSSTIAVRHISIIKNDSVEYP GHPQKLQGVQSIEYWVLGNDEEDLLKICKWLRIYPGQARQIILSSRYISFSFYREREA LSSNELYDIENVHRSNSYAHQQKYFC PHYBLDRAFT_182403 MTFNVLLEAFFKDCEHKCVEAKLSTFIANNVGALVCSENIVYAN EEDMKRRWVNLFTTASANADVTAVIDRNRVLWCEIWKTHAELELAKSKFAAKYLAMIP THHHHHDCRRCP PHYBLDRAFT_171230 MTPQTSSITEPKRQELDAFIRGRIIGQWEKDATYREISKALDIP KSTVGNVVKVFRDKGVSKPLTRLVREPNVTKRTQSAMVRLFHSELFLSIAAQHQRLVD AKISICMTIFHKNMELLGFNSHLAAPKSGFIEKHKKTRLKWCLYKYFYEHYFLRGLFR ERHKDYALAITPYVFGCHKLIPML PHYBLDRAFT_79867 MEYNYIRPPMPVIYPPKPIRKIRKTTEFERGMIIGRFKCNMTIT DISEAEGVPRETVNRIINKYLHTGSVTDRKSSGRPKIIQEDDVDCLREILNEDPQQTL TDITKKVCDLKGRSISPATVRKRLYELGLLSYESPPGSSPDSTPISMLMSPPVPMPVS ATLPVSENAQPSTSTSMSTSSSSSTPTHE PHYBLDRAFT_25077 MQHSYVEKYLPLESSPKFNSKYVNSEGGINYGMLLEDIDDIGGA IAARHIERMGPSDICANFTVGMEQTYMNIPDTIEDYKLTGHIAYTKDSLLIGVITLEV VKDEQIPDNAGIFHPSNIPSLGVSNPNAVAEFSVVSIHIDSFTKKAIPVVQLKLTTFH EQWLFDKTGSIHDSRREIVKKRRDRQKIHLDNNHIFRSISTRDIGKGVIDDRLTSGGV SISDTRIENNATTTPQQMNGNGTIFGGYIMRDAFELATTEAALFLLSPEFETLKINDI SFLSPILTGDHIRTSARVIYSNSPLSGDFVVRVEVDKRSIESSDYKPAVIMHISFVSV SQSVEIKKIVPSTHEEMELWLNGYDIVQQKNKHLLESLTGTKDPGFSLSKL PHYBLDRAFT_79866 MKRRSFSVLPLFTAGVCLAVLILIAPSEAVSHSKYKPSTKEVIP NRYVVEFNYGDSQSATTFVNSVKSKYKKAKVHVAEQFEHKIFSGISFGLEGLDEEDHN AALKSVLDQNTVKAVYPVNLIKRPDVTFKSVPASTKAPSILPHAMTQVDRVHSELKKF GKGVKVGVVDTGVDYLHPALGGGFGEGFKVQYGYDLVGNAYTGYNTPVPDSDPIDSCT AESGASGHGTHVSGIIAGYDAQTNFTGVAPQATLGMWRVFGCTGSTGGDVLVKAFLMA YDAGMDVISVSIGEASAWTDGPDTIVAQRIAEDGIPFIVSASNSGADGAFTVGMPSTA KDVWSVASVDNNYYLVDLFKASTIADKIPYLTSSSSKIPDGTIAAGDKNVGSGSDACS TATIPDLTGKIAIVQRGSCTFDDKATNVAKAGAIGLIVYNSANGDSFTPSNPTSPIPV AGISTADGLALLDAIKAGTTTLKFDTAQSLYPLISGNTVSDFSSVGASYELDLKPNVA GIGGQVFSTLPRFLGSWGMMSGTSMAAPYVSGSVALFIEARGLKKKNQVISEQFKNYA LQLANTQVKTSTENPLLQGAGLVQVYDAISAKVRISPTQLSFNDTSSTKYKTQTLSIY NSGKVRTTFKIINEPSIAVAPYDRAAQGYAPLAPTGFSSAKAKLVFSKTSITLNAGEK KTIRVTVVPPNTDPKDHIMYGGFIHFKSGNQKAAIDATVPYFGVVGKQIELPIFDAET PYLSDPTGGPVYLKNETYTYDRTKSSTAPTIVYRLLTPTAKFDVDVLNAKTKKSIGKT FTDYVYLSRNTFEADNYISGAVWDATYVPTGFSDISSGIPVPSGNYILNLRALKLFGN PKNSKDWETFQTGTIVVKN PHYBLDRAFT_182406 MKRRSFSVLPLFVAGVCLVVLVIVAPSEAISHTKYKVSGKDVVP SRYVVEFDYGDSKSANSFVHSVQSKFKKAKTHIAEQYEHNIFNGFSFGLNDLDEIEHN TALKTVLDYSHVKAVYPVRVVRRPKVTVEKASSKGKEASILPHAMTQADHVHSELKKF GKDIKVGVIDFGVDYFHPALGGGFGKGFKVQYGYDLVGSAYTGENSPAPDSDPLDNCP ADTESGGHGTHASGIIAGYAAKPDVLTKAYLMAYDAGMDVMSVSIGEDNAWSSGSDTI VAQPIAEKGIPFIVSAGNSDSSDVFTVGMPSTAKDVWSIASVENDNNMIKTFKACSAS KKMEYMNSSTSQIPNGIVVSGDKNIGSDKDACDASTTPDLKVKLAITQRGGCNFDDKA ANVAKAGAIGLIIYDKSGSVFTPINPKATIPAIGISAADGLTLLDGIKSGAETLKFDL APTIIPTKNGGHISGFSSIGASYELDLKPSLARVGGGIYSTLPRNFQSWGFMSSTSMA APYVSGSVALLIEARGLKKKNLVISQQLKNYALKLTHTKGKNEIESPLLQGAGLVQVY DAISGKVNVSPTQISFNDTSSFAKYKTQTLTVHNTGKARVVFKVINEPSVAVAPYNHQ DYIFKTSVTLSAGQKTIVRITVVPPKTNPKDHIMCGGYIHFKSSNRKSALDATVPYFG VVGKQRELSLFDAKYPYLSDTNGTKVYSKSQTYIYDRSNCKTIPNIVYRLLTPTAKFD VDVLNAKTKKSIRKTMTDSVYLARNSLFEDGYSSKVTWDTTYVFNTFSGIPAKIPVPS GTYILNLRALKLLGNPKDPKDWETFQTGPVVVKN PHYBLDRAFT_70593 MVIIIVYHLYRNNNFENAVYAELQCKFHGKTGGQGVGGGAVGLV RQGSIMTPVIDRYIGMDRRERSGRDQIIKPYYYTRAFKKKFKILNFQNKWGGHTRKYS SDASASTRQIARVAIAAVLLALSGISSKTNLKTVLEHLQQSTLSPLLH PHYBLDRAFT_70592 MGIVMNDWQRCIEFQQKKIEEFEDSETCWNIREQDPILVLKQRI RGDSLTYKKYLDETPEEEKVNKRESVELVTEAQAKQFINEVYCPSQPKNDVIYVKGII STKKIYELVKTFTTVFREKPAQSFAAWCHDLINSICIYLPKYQESLKNHKKNGYEIIG YARKSPTSEDVTSRTRLLKSMVSNLKERSFATKIFVSPFIMDELSVDGNTQDLLVYLK SFHHDVCLVTIDFAGITTRSEDIVNLVEANPSLKRIAVETFAQCNEVFLFDTERLVKD NGLLQKFENRNYCIQRSK PHYBLDRAFT_171239 MKSVQLPMMNKRFDDQYTFDLQHYLSRKSFCSTINLFNQAAHRC PPPGSKAIWLCTLWVFWMLTAVLAHIVRIHFKANHTLIPLLLVMVMSTALFVWGYRRT QQQNRIEYQYNKLLLIVIISIQFEFAILEICSQVNATQNIRGVNYRLSKNGHHLNKSD PHQILGFKTSYAIVIEFDDRYSSLPSQRFSGSYPSDDYVSIPLCSNAAPTVDQPPMAH LPWHHDTEKNQSFQQSTVFPFTSDEKKWTGI PHYBLDRAFT_70590 MKSINLHSYSFVKIAGDCPHIIENSGNQSVGQMFIILEPLISQI MCAHVCFHVDEISSDISLSFGLFHVSPKAVTKAEKSNLIVRNLGIGTIFMGTLIKRIV HMNFDIYERKHINQGSLGGFTSLFSILIIIGWCLNYRYIQQSSIQSW PHYBLDRAFT_57857 MPFGQIIVGPPGSGKTTYCWGAYQYLTAMGRKVAIVNLDPANDH IPYPCAVNIADLITLEDTMKDLELGPNGGIMFCLEYLLKNLDWLVEQLKPIKDHYILF DFPGQVELFTHHTAVKDILAKLEKLDYRLVAVHMVDAHYCTDPAKYISVLLLSLKTMV MLELPHVNVLSKIDLIESYGKLRFNLEYYTDVMNLSYLLESLNEDPFGSKFKALNRAL CDLIEDFSLVGFYTLCVEDKGSMMKLQQVIDKAGGFVFGGLTEGNESIMLTAMQAGYH EDVRDIQERWIDQAYDMDNSPDDR PHYBLDRAFT_182407 MAVSSSSSSSDSNQDTKKNMLKDLQYSLSRLTTDVRTQMVRNNP LQRQDTKALSMWIYKERTDLASMRSLSYQHSETNRMLMEWATEERQEAGPDSRDLEDI VSKLCKLLDKQVDLEKQYAGKYRQYRHAIKAIREREEQLSEMREKKKTLQARITHLAK TNPKSPKKIEFQKELDALQKDTLETEMEMGDFKRFALREAFYLRFNAMNEFAEKSAIL AGFGNYLVDLLDIDPTPAGQTTRRPYENEEVASTIVQDCLLALEAWSPAEGEQRATLA IPGMMDSDTMSMDDASSVYTMDQESGQLKAKGDHYFYQPEYNTSSSSFGPSLPPRRSF QQPPSHLYSLANPSQDRIPYPLYDNVPPPAYGNDDSSSSKQHEDKQLVEPGVFESPFQ SHAHLGANNMNINMNASTSSNSIGSTGSNGSGSGSGSGSGSGIGAPGYNSSPFLPHSS LHGSVGGGGEPVGMYPPQQYAGPVSYQTNYQQLFRHVSMRQQQGGAQQRTYSEFQQQF MGPPGSNGSGGPGIPYRQRIDAGGFRIPPPLEVEPVLSAEEEKKQLSERYAAEEEAYQ KEIKEAQSISEQEQEPKGKEIEGVEGNGSNDELSLSSLPPKVPQHSQSTELSDPVEND DVLEPKEKEQESLVSEKSGNESDNVQQPQPTESLSSDAKSIESTKEPEVLNTETILHK PHEQDT PHYBLDRAFT_148403 MTSASTSSTMITQSSFVHHYNFHQHSTKLLAAPLSKPHEGPASK YTYRPCPICSERLLVRIYGAFVHKWINLHFATHHHEFMHIVVNLH PHYBLDRAFT_126377 MVAQHYILRTAFGGDFSAPARSMLEKGCVVLDVGCGPGTWTMEM STEFPNSSFIGIDCLNAFPRDIKPKNCHFRTCTVGQQSSTTLASLPFPDNSIDYIFQR DLNLGLQAHMWSPLVKEYLRILKPGGWVELMEPDMETQSSLRNECAMNDKLLYGLSLR QQDPYVGRRLPSILAVNGFRRVESEFQSLPLGWGSSHASPLSSPTQPTHPNASESNPR NPSLPVDLSPPCSEFARAVSSHYLFTLQSLQPWLSAVINISPEKYAATISNLPAEWKQ ARTYINWHCAVAQKPRTEEPF PHYBLDRAFT_115157 MQEGQKIVAQFKSSDGDVTGPPLNLPGDVTPEQLELLLNQLLEQ SEDPLPYSFHVGEDEILKNLWIDRKDKSTEDTITIVYQPQAVFRVRAVSRCTSTLSGH TEAILSVSFSPNGAQLATGSGDATVRIWDLNTETPQHTLKGHTSWVLSIAWSPDGKTL ASGSMDNTVRLWDPKTGKALGDALKGHTKWITALAWEPYHLNSKANRLASSSKDSTIR VWDTTLRKISMTISQHTAAVTCVKWGGEGLIYSASQDKTIKVWNSSGALVKTLQGHGH WVNSLALSTDFVLRTGPFDHTGKRYASEEESKAAALARYKAFKGNKPERLVSGSDDFT MFFWEPEASKKPITRMTGHQKLVNHVSFSPDGRMIASASFDNSVKLWDGMTGKFIGNL RGHVGAVYQVCWSSDSRMLISSSKDSTLKIWDIKKMKIKMDLPGHLDEVFAVDWSPGG DKVASGGKDKQLKIWRH PHYBLDRAFT_115309 MYIILLVLNGKFYGPVAEKAYKVQLSRTSNNKSGPSVAGNGFNN VVQSAAETIYMILFYIAAAVFAFFLYTIPHIGIPLSFVMNCAIMSYYCFEYKWSYLGW NMEQCLSYLERHWAFFLGFGVPGTILTFFLSVIRSGVIFALIYPSVSRILA PHYBLDRAFT_115400 YNQYKGELQSLAQKIGELESEVEEHKLVIDSISPLEPGRKCFRM VGGVLVERTVKEVLPALETNYNGIQQVIQSLLQSYKRKEQEFVEFQKKHSIQVVARQ PHYBLDRAFT_155927 MNLGDKSTNELLFLNFNQDFSCISVGLKSGYRIYNCDPYSKCYS KLEGGTGIVEMLFCTSLVALVGAGEQQNFSPRHLQIINTKRQSTICELTFPTSILSIK MNRRRLIVVLEEQIFVYDISNMKLLHTIDTSPNPAAICALSPSSENCFVAYPSRSSTT GSNLSDYTNGDVEIFDASSLTLVSIVQAHKSPISCISMNSDGTLLATASEKGTVIRVF SIPKAVKVYQFRRGSYIAKIFSMSFNLVSTLLCVSSDTETVHIFKLAARGPPSQHITN GHRKEEANKDPRAKNSSVGQLIRRSSMNIGRSVGSYLPEIITEIWEPSRHFASLKLPS GGVRSLVALSSTTPQVMVISSEGLFYQYNIDLENGGECVLLKQYSLLETDEIGDGMMD EL PHYBLDRAFT_115158 WSQLDLPQAITDALKYQKFTKPTPIQEQVLPVALQGRNIIGAAE TGSGKTLAFGIPIVRRLLTNKSKHGLGALILTPTRELAIQVRNHIQNISIFTPIRVSP FLSFLKYLYKVEVIVGGMSIQKQQRVLKTMPDIVVATPGRFWEIFSESEEYLNMLKHI QFLVLDEADRMLERGHFAELTNILNAISSKRQFISLLIHLGKYNMVHGIHQTFVFTAT LGKDLRFNVKKKHTTRKNNAGSMEELLSRLELTNKDPAIIDMTTENVVASRLVEAKID CLKTEKDLYVYYFVTRYPGRTIVFVNSIDALRRLVPAFKLLGVEVLGLHAQMQQKQRL KSLDRFKTNDKAVLIASDVAARGLDIPLVDHVIHYQLPRSGEIYVHRSGRTARANRDG VSLLLCGPEEQKVYTKLCYTLRKGKPYPDFPVDLSVLKEMKKRVNLATEIDKLEHQEN KATHEENWMRNMARDMDLVFDDEVIKGRGNNTDTKAVQEKKKRKINAMKAELKQILAV PMLPNGVSRKYVTGSGMSDLVARLIKNEGKIGFFFTFFFKKKNSFHYMY PHYBLDRAFT_182413 MFSKTISRIATRSTIRLPSRYTSTLSGAEGATASSKGAFGEKEK ALENQWARAHDAEKIKLLREALKKQQEHTAELQKDIEALKNASKN PHYBLDRAFT_41568 MGIDLKNHHVKNSNRTAPKSDNVYLALLVKLYRFLARRTDANFN KVVLKRLFMSRVNRPPVSVSRLAKYAATKSAANKTFVVVGTITDDVRILDLPKLSIAA LHFTKTAKARILKAGGEILTLDQLALRAPTGANTVLIRGSKNSREAVKHFGMGPHKNK KPYVRSEGRKFERARGKRASRGFKV PHYBLDRAFT_115401 MSAADHADDLIDYEEEDEQNLPQESSITQKETVSATEDDESKKD KKGSYVGIHSTGFRDFLLKPELLRSIVDCGFEHPSEVQQECIPQSILGMDVICQAKSG MGKTAVFVLATLQQIEPVDGDVSVVVLCHTRELAFQIKNEYARFSKYLPEIRTEVFYG GVPMTKDVETLKDKTKCPHILVGTPGRVLALVRDKYLKLGNVKHFVLDECDKMLEQLD MRRDVQDIFRSTPHHKQVMMFSATLAKEMRPVCKKFMQNPLEIYVDDEAKLTLHGLQQ FYIKMDEREKNRKLNELLDTLEFNQVCIFVRSVPRANELNRILTDCNFPSICIHSQMS QDERIKRYKSFKDFEKRIMVATDIFGRGIDIERVNIVINYDMPDSADTYLHRVGRAGR FGTKGLGITFVSDEKDSDVLKDVQSRFEINIVPLPEDIDINTYMTS PHYBLDRAFT_115239 RNGGLIALAAVTIALGPDIALHLDIIIPPILSCFNNQDSKVRYY ACESMYNIAKVAKGEALRFFNGIFDALSTLSEDTEMSVKSGAELLDRLIKDIVLELAT TYISPFDPPGQTTTFSLPNFIPLLSSKISTKHSFTRNHLVLWISNLESIPELGLISYL HEFLDGLLDFMSDPSEDVRVATEQLLDNFLMDIMDDCFARQVADDHGSASDEEPEGKY NSDDGEGDGEGEEDEDDDEDAERTLKGKSVEKVSPPQANIQYGRIIKILVPHLSSPNE EIQKVALKWMNELLNNEKDIIIQFTPQIIYAVLPSLSHPVKEVKVAATRTNHSLQRLV LETPVMESLEVEDPFDYQMTVTNLRLQFLNEHEETRIASLDWLLMLHKKAPYKILASD DGTFPVLLKTLSDSSEEVIRRDLQLLAQISSYSDNDYFRSFMMNLVSLFSTDRRLLEN RGSLIIRQLCTSLDPERIYITLADVLEKDEDLEFASIMVQNLNVILITSAELTDLRKR LRNLDTKDGQQLFTSLYKSWCHSAVATLSLCLLAQAYEHAANMLQVFAELEITVNTLI QIDKLVQLIESPVFTYLRLQLLEPEKYPHLLKCLYGLLMLLPQSSAYSTLRSRLSCVS SLGFLHVMPKSPMEVPKKQPTKSISNGHPKIIDDFIKFNELLQHFRNVQFKHERSRKQ GKKLVWSVIS PHYBLDRAFT_182418 MFDSISDYCRSKLPSTKGRGNAEEVRGLLDDQNIASLVTYAEQN DKYSLVYWIFFLYGIAMLLPWNVFITASEYFAKRFEGSGYEGTFQNYFSTSFTIANLV CFAMLLWKQSKSTAFYVDLVSSVVVNVIVFGVMAITVETDVGGSEYFWCTLVMLVVTG VSTSFFQVSVFAEASRFPSEYMQAVMSGQGVAGVAVAVSSILSALAGSTNSPPDEAAI ARSAFLYFMTALLITVAALIGRFVVARQPFYLRHITFETVPASASDVSSEDDVESSSQ LFATESLSVSRVFCKSAGLIFAVGYVFFITLALFPSITALIKSVHQIEVPLRSMTGRN RFFDDDIFVAFHFLLFNVGDWVGRTMPIIQAFRTFDTRALTFMSLARTIFVPAFLICN VVVPDDRKLSVWVNSDIAYFIIVWLFAVTNGWIGSLTMMAAPQQTFLKSAAEKSLIGS LMSFFLVLGLAIGGAASFILRAMV PHYBLDRAFT_171254 MSDAPLFTSDLAMWSPPVISAFLSPISSVGYELADDPITFIHEP VYLPLACVICGLPHALPPQPTTSLPWNPVDIMATPVSLSEKTHITSDRSHLYSLPVHT KHQSLFRAFVQRLARIVRRLIQQKRHIYPVKRSHEYCTTENQEIRVQ PHYBLDRAFT_79855 MTNSITVKWGKRHFKIEFDDYEQQLEDTTVGDLKQRCHRLTGIN TTEISLSAYGAVMNNDKLPLGAYSIRPGSKVIMKVHKKPSDTLPDPIAPISSNEAATL AKLQAIQTRLSSQLIPDINAYEERVKAFGVQSGDEKAKKKLLEHGIYLGEQLMQILFQ LDGVMIEPGFETARQARKNGVKTAQTLLDRLDGIKGSTKNFV PHYBLDRAFT_115274 MAGIKRKPEVEIPIADENDLLKITPLGAGNEVGRSSILLEYKSK TILLDAGIHPAYNGLASLPFFDEIDPAKIDLLLVTHFHVDHAASVPYLMERTSFKGRV FMTHPTKAIYKWLLSDYLRVSNIGDEDELYNEEELNTSFHRIEAVDYHQQVEVEGIKF TAYNAGHVLGAAMFLIEIAGVKVLYTGDYSREEDRHLMAAEKPEGAVDVLITESTYGV QSHEPRLTKEMRFTSLVHDIVTRGGRCLMPVFALGRAQELLLILDEYWDSHPELDSIP IYYASSLAKKCMAVYQTYINMMNARIRKQFTISNPFIFKHISNLKNVEQFEDSGPCVM MASPGMLQNGLSRELFERWAPDKKNGMVITGYCVENTLARNAMNEPADFQAMDGRKVP LRMSVDYISFSAHVDYTQNSKFIDDVKAPHVILVHGEANAMYRLKSALQSKYSEKEEN VKIYTPKNCDTVKLHFRGEKMAKTIGRLASKYPSENQPLNGILVSKDFQLNIMSAEDL NELGGLITTVVTQRQVVPFNAGIGLLKWHLEMMFGAVGETDLSKTVNTPGNVIRVFDT VDIKKVPSKPNQVTLEWIGNSMNDMVADSVLAIILAIDSSPASVKGTNIK PHYBLDRAFT_89013 ASGHVGNLTAKQTAELKDIWIRLFKLFEQPGTEYKPTKSETSSE SSKKSGFFGFGKEKKKEDINTDVFLGATTDPALLTLPLEKAITLIPGSTLRKTFWNAV ATDNPDAVLLRFLRARKWNVDAAYKMLINTLRWRVAMRLDDIIALGETGLRDELENLK PGLGKSFVGQVHSGKATLGGPDKLGRGVCFINVRLHNKEAQSNEILRLMTLFVMEASR TVVAQPLEANCILFNMDGFTLSNMDYDFVKFLVTCFEAYYPETLGMCIIHKAPWVFST VWNLITPLLDPVVASKIHFTKNLEELSEYI PHYBLDRAFT_148421 MDLQKARNDNLVDLFEISFEENITVDGRELQGITVDLTSANHSR HFLKLHEGPDKSLRGTYQMNSLITKWRKRKSMKESFDRQGSYLLTEKAFTISSQKESV NEELELVEVTSKKLSANTISLLKLAFNDIKEEIAPFTRISGSSQPIDHYKLCKLEPAE SYLRHRLSGTMIRKYAKEYIDFRSIALHQQGKHAISFSLFSGVDFKASIYKWIQQQKP ESRSTILVKKHIDEVVIPKRLEIPESVSTSEDGENCGVTIPQPSTNGIELVLVTHNES TFYSNDGKEAMWLVEVVRCSTLELIEAVFAFDQSTNHKAYTQNALIATKITLGDKEVE EDDPYGVQQVQSMYYKKDEWFAKKNGQWMTKKVKYVKGTCKFLEEHASRPDFMSQKTA LHEAVEDSGHIFELYPKFHCKYKNIHTFLDHAGKLPNIRRYYNNSWRYIEAYSQDMNV KEAHDVVKNLTSRKYTSHRRDEGKE PHYBLDRAFT_79853 MTSALSFTLQQLTDAPSASGIVRRGTLQFSKKAKTIQTPACLTY TVRGSVPHLVAENVKLLPVQLLQVTLEQFVEEKEPMSFKYPHGIHKYLNLELDHLVFC DMRDPMKQEAVTFNTDKYLSVSTHGGVRQITPEMWAQAMKAYNPDMCALMADIVTDND AKLKRIKRSVDRSLRWLDDILPKAKEIGIPVFAPVVGHTSEEERARSAVSTAERDVDG FTVNVIGLGLGNEATLKLAKASLRNLPKNKPRIVYGFGTPEGILDAVASGADLFDGSY AYKVTEQGRAMIFKFGEISADNTARDKPKTVNLWDPSMSHSFEVLDSTCGCYACNASH TKAYIHHLLNAHEMLGPILLMSHNVYQLDNFMASIRKSIDDNRFESDLTRFMEYYNHV EEGDGMRNHQDEIDVESLGTPLKKKRTLLL PHYBLDRAFT_126401 MSTSITIPSACDFHVHLRQGEMMRMVTPKVVEGGVSLAYVMPNL QPPITNTDQALAYKKELEALAPEVTFYMTLYLSPELTPEEVRKAKKAGIAGVKSYPRG VTTNSDSGIENYEIYYPVFKAMEEEGLVLNLHGEVPSDHNADICVMNAEIKFLPELEK LHNAFPKLKIVLEHATTSAAVELVKRLGDTVACSVTVHHLQLIVDDWAGQVHHFCKPV AKYPHDRDALRQVVAQGHPRFFLGTDSAPHP PHYBLDRAFT_159550 MSENSESGNLRKIQKKSDFEKGIIIGRFKCGMTIMEIAEAEGVP RSTVGYIIKKYVDTGTVANRKPPGRPKAAPTMGM PHYBLDRAFT_135171 MDYTKFMSERSQARNPSAIRALMPYMNCKNMISLGAGQPNPSTF PFESMQLKLKTGEIIDVDPQLFQRSLSYDLTSGLSPLNEWLRGLHTLEHTPLVDFGLS IGSGSQDLLTKAFDMVIDPGTAILVEDPTYTGALSFLDTLDCDLVPVATDADGLVPAS LEKTLANWPESNPIKKKNQARPKVLYTIPTGGNPTGISSTFERKKAIYKICQKYDILI IEDDPYYYLQFDPKRIKSYVTIDTDGRVLRMDSMSKILSSGMRIGWVTGPKALIERID MHTMVTNLQPGGVPQLMAYELLKVWGYKGFLNHVEHVAGFYKSKRDEFIKALEKRMTG RAEWVTPNSGMFVWLRLLGGITDSYDLVMNKAIKKNVLAVPGVAFMPAKNKNPYIRVS FSCVTTDNMDEALRRLAECIDEEAAKNHIQLKVK PHYBLDRAFT_148426 MNYTRSDAVHCPVSRAKAKKLPPRLSKFNMQSVVPSSLSILNIE KTQEPIIKLLYGIATPVLRDKTDNKEARQEAIEIICRKSVPQINRTVSFVLENVSEPK AQKRTSECLQNELDLIEELHKRYIPTSLSSTGISTSNHWQFINNYRKEHRAKMNWTAC YEAEKDIDLFGKYTSLKSGKGTTHFRTSLSTPRCPSTATGYKIICTTRMLIIPSSSNS TSLTSTPSPDLG PHYBLDRAFT_171264 MHPSTSQCRSYPWLTLMRPINHWKLSAECEMLYKAPFCLSNMYL YLHLRETVMGFDPVYGYWLCSFERCNGILRNYAPNGRDELTAIPFDINAFLDFPEINF DIIMGNEHLPRMDFL PHYBLDRAFT_70565 MCFFLYNTASVTEVISNDNVDIENQVEAKDLPLFAIDSLFNSES EDEDVIGTVILDISDSESEDVREHFSPFDMPADPIHAFNALFAVLFISKYAVNSTSAV LLKFFNEVLAHFGQSFHLLSVKTIREYPNKDLHVKLHNQTIVYTFCRPKFEDIINHWR NRSHVPDMTFGIYDRA PHYBLDRAFT_148428 MAVHNTLSCGYAGAGTGFKKENITVVIGFNFDSAAKLVLCGEAS TGTGFKKENDTVVISFNLDSVANLVLCGEASVGTGFKKENVTVGITVDFEGGVKRRCE AVASASLKKENVTVGMTIDFEGGAKRLLVQV PHYBLDRAFT_171266 MVVSYYKYLFKSAYKENLKYINSYLLKRSKPKKPYKTTTRKSVK QTTGTAASTRQWEILPSLTVSAERDVTVLSALSNMSTRLNESHSLLEKVYHNMGATNG QNNISTYSPISQALITREYINCGRENPKTYFIYASNGKSFARKDSLTKADFLTLVQKD KNYKAIHLADKANLESKFGEAVVDLLDYDMLSDIELDEEKNKPRYTPRYRHFLVDEYF TVLKKQRLANKGPDVIGNSVYPIILRNIKLLNKKKARVTAWIHTRQQ PHYBLDRAFT_148431 MISVWKDLRYLPTAVLVCMQRLANGILVPPGYAVLSTKIESGFP YMKADEWQLWCLIYSLVVLKDALSEDDYKNWTLFLLGEFGKEYETLYGESSITPNMHL HMHLHESMLNFGPVYAFRLYSFKRYNSKLKNIKTNCRNGLEVTFMRGFLKKAFIGSFL RAYSTNLLSPLIEFLEGVAQVKSNSDSSSPLNLDTGHPPAEVLPPTTLPIKLQSLTMM KDDHYQWLFEFYVKAYRSTSVFFCVVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGE KKKRGSFVRVLFLKRTNDNVSEFSGQIEYLFTHTIKIGGVKRVSTFAFIKWFPTYHSS SHQPLADQGLQLWDKGFMEEDALCIVSVHCLHLCFALTMHKMQSGMQKHLVIPLSRKL VT PHYBLDRAFT_148432 MKPENIILVGVMPGPKEAKINQMNNFLEPLVDELVELYGSITMK TPEFPNGTSIHAALMCVACDISAARKTAGFTGFASTNACHICKRHFTVVAGTKENATE AEMWFCAESDAERAILEKQHGTHFSELHCLHYFDPI PHYBLDRAFT_148433 MNSTTKIYTVMCTCSSCTKNAIDGILQNAQTFKLNTQVVKEDTN VEMVDVSETSIDYEDNYSIVSAKTTVQSVPFLREDEIFQFEESDVETTLLASDNDDPD SSDESEDESEVEVAGVEDFEDMVASVASLKIHEMSQTSQFMALFGVIFQAFYLVQAGG TAMLKFFHHLLIAFDKDTDLPLTIDALKTMTGFNFMTKSIVKYTICNKCFAIYLPGNR QPNCTFEKYTTTPSTYCGNPLFSDTEADRTVPLMVFPYNSLKNALAQHFAKPGETVNS TLLDVYDGAMWSELLDEDDEPFVNHDHSLMLKLNVDWFQLFEGLHMQVGPCTCQ PHYBLDRAFT_73554 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLHTLGVDSSRLLDINFPARGVIGILVYVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHIAIPVGHFFCEEGWISEDEIPT RTTLTNATGGLWNANGLQPRAIQDTLQHCQSLHMLFITETWLLSPSHLPTSWSQIHLY GSPVAGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVCLYLPPNMPTH DVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSRGLALCSWIEERSLSVVNADLA PCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLLSLSFTYDLQH STNMPPPLRKTWNLSRLNEPDISLFCNSVSSLSLLQLFSQLQSGEQIQPLKLCIHPST SLRKTIHPSRKTHLPLPKVVQSLSLVFQLSAKKISAQSDNITPSAFSIPMANLQIGNM QGLPTELTSFLTTLQAQIMHVQNRTDQLERLAAENARLTTELNQARTTIANLQKQLGS QSAPEKNFSEISLSNPAGAVGAPDENKEPGLEVSTWASKASVSLPAIAPKAPTVPSAS HIAASVRMFALPSGPSGYEYVYIPQSRRLKHKEVRSSLRTLGVDSSQLLDINFSARGV IGILIHAKLTTASVEILNAFDPLDPDNVADPKYASLSTHELANTAAMLHHDRCLQALQ FLRPHVAIPVGHFFCEEGWISEDEVPTHTTLTNATGGSLFKRQCSSSVTMSE PHYBLDRAFT_171270 MSQLLPANCMQSLPAELVTFLTSMQSQFNALNEPNVWQENADLQ SQLLQNNVTGPVPSSASLPAHQSTADLGTAASTWATKTSLILPAKTPRVPSAHRVAAS QRLFSDKTGPDNFEYVYISCSRRIMHSEVHRSLRTLGVDTGHLLDINFPAHGVIGILV HVQYLEEFKSQLASAKVSLVNNFDPLDPKNVADPKFANLSVSGLETQALVLQNAHCLQ ALKFLRSHLVLPVAHFFVQSEWIGLEEIPARLVAEHFGDAPNKKHALDALTAMIERVT FQ PHYBLDRAFT_171271 MPNNYALTIKIGTLRLICLYLPPSMLTHEALDIISAIPLTDDTI ICGDFNAHLGSVTGDYASNPRGVALEQWLEERSLTVLNRVLSPCTPTYISFRNEVEIS SIIDLFITNTNFANPSLHIATKLSLGSDHRLLSLSFTYDLQHSPPAPPPMCQTWNLSH LYEDDIRSLYVTIFVTKSASLLTTLQDLVQNPPTICPPIDALTNSFNALIYDSLNSSI SSCPPPAPHIGNRFGPLHCKLQLTIEMVVTSNGVKLVALTRSIGRAGTSMHTRSFVSK FRQQSICLGMLFAAQ PHYBLDRAFT_171272 MSNLNSVGACRSGFSLLLSSRLYKTFVRPIFEYGLAITILRKKD YTEIERIQDKCLRMIVGGHATSSTAVLKHICNLPSMQFCSDILISNFCICAQSLLSGC LLSLLHLHHPQSSSLPALSKNSLFVSIPITLNFHSNTKLKRFFETFHQFKFDQMRLTN TKVLLQACHLLWWLKLKKFLRGRIEDLRLGMKIRENLCRFCLLRKIWYQSLVSPNEVL TSKKDLHILKCYYIRRLACIRIKKLSAMYPSTNNKRGEQIQMAMGANSVSNGCKFNFY GSQSDVNGV PHYBLDRAFT_148437 MPRHTVTPEERAERRQRYMREYQHNCWQSLQIETSETETQQRNS MSQAEYMREYWQNCLQTVEMKVIPTVEVENINTSLETVEAENISNSRADYMWEYRRRC PLTAEIEVVQAEVVRAQVIQAEVVGTEIVGTEVVETEAAETEEENHNSRAEYMREYRK DPTCICGYCEGLFFQKDISSVKRTALTGNWGCSEDIVEKTFWAIDNHKIPSTFVGEDI IDNIPLCSTCITSLKKKTLPTLALSNGLHFPDHVVCLDDLTRLEERLVAP PHYBLDRAFT_171274 MNNTNTNNYNENNNHVYNDDHEANVKKLEISHMTQMREHALFMF TLFSQVYITTKLTYRHSYLHNGTGVNSFQAHYRVNRETFNIIVNVLKEDSEYKTSEER NEVAVVLWHLSNMHFGYRMASELLSVSQESYNWFTNGFVNSIIQSFMKTAIRWPKTLQ ESQKIMERFAVPSVWSEHQYLNNYINYKEFCNITALLDKGDSVYRG PHYBLDRAFT_148439 MPRHTVTSEERAERRQRYKREYQHNCWQSLQIETSETETQQRNS MSQAEYMREYWQNCLQTVEMKVIPTVEVENINTSQVVETVEAENISNSRAEYMWEYRR RCPLTAEIEVVQAEVVRAQVIQAEVVGTEIVGTEVVETEAAETEEENHNSRAEYMREY RKDPTCICGYCEGLFFQKDISSVKRTALTGNWGCSEDIVEKTFWAIDNHKIPSTFVGE DIIDNIPLCSTCITSLKKKTLPTLALSNGLHFPDHVSIWTHKGLTEQYRSKGGIINVP VNVDTMVSLLPCNLDDSNTIHLSLARRMRYIKDYIRGNLSPAKVWRAALFLQSTTLYQ EYNISLSDNWVQEVANNDTENVEEVDRVEDFEGNEESSTEHESINSSEQETAIVSDHE ALRL PHYBLDRAFT_171276 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLPE KRDDANTIEMRRDRILEWQQLADFNYLSNCVFIDEAGFNMRIKRTFGRSVSGTPAKTT VPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQHY LDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_148442 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSALSTTDETPKKS RGQYQKPMDKDIKKLVYLYFIRGLTIEKASKIVNMKQTTAGGYILKWRKSPVVFFIKN NKEATSDEKMNLLFETYSAIKKAYK PHYBLDRAFT_68377 MAMGANSVGNGCKFNFYGNQSDVNRQSTYTVCLKNYNNIIFVDV SYQQPIEINSISSDVEIIQEAAISIFKQLVNKIPSLDCCLNEKTIISLRIASLKKLLD FVTNHNISDCQATNFHKNIRAFKSAFAFASIKTDMDERLASKTDDVFTFHINNIIYHN IVAFQSQYSRAAGFSQIYFCNTNKRLTRRTTLF PHYBLDRAFT_171278 MKETHSLSHSDAPSSQQSSGLARVNEHPSYERAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCLFVLGTSNHNEYIKSATKPYLRNPPS TYTVVFLKMYLRVKYISTDKDIKIFGCL PHYBLDRAFT_171279 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTDTISAIDSLARTPLAAPVRAELTVAAHWKNRPCRRVNSCR KLKPNDIF PHYBLDRAFT_171280 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEDNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSMSGVNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFERLSE LHDLVYFNLVECTVIDPMHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPE DYTPLGTKIGRGFPFMKADEWKSWCLVYSPVLLRDRLPEAHLGNWTTFVNACQYLSMP SIFMAHLDEAHQSLEAFCRECKKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSF ERCNGILKNYATNRKDGFEGTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTAST ANSITTSTSTANSITTSTSTATSIQFDINAFLDSPEINFDIVKGNEPLPPSALPLALK GEISMDESEYEHLLEYYRETYDDQTLVHYRQAGHSDNFVNNRIQKFESINLLGQIYKS KTKNQRGSFMQALFETSDGRSTKPYAGQIQYLFVNTAVNSFAGHASQHVFAYVQWYKE VLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAVGEHLGLEGEVQMCVVPLPRK IYI PHYBLDRAFT_148446 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAVTSQNSVMPSAVPADSFSSMDDDLDLGAKHYPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMARNKAGRRRNRKKTLLDRCIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_171282 MICISTIDDIVPLLKMIYHKNCDDIGSIIYIFGTFDPDEITLFF QWHLLSSPTMSLPAHIHNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAE RLLSNLERRFGSSSMRWSDLQKRLHTNFTSRTRRERMSDDEIAETNALTRRAARADDN ECRRVLAYKDNKKAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKHVIHIVQPGWR SDECNRFIALVDTYAVQAMGSSANQRIRRITTSVSNSAVPDNISPNFPRWALRDGL PHYBLDRAFT_171283 MFPSIQMHNTDCHCIRCNNNDQGVSRVSRRTAQRHNKRARFEAE KRSMEVDTEIIPTYQSDSVEAMDGQANSPISDAVSTFDNDVFVGNDYNGDESDTTDDN DSDDNGEEDTAEIYVEEFNNEDPFAASGMPENPVHRFIATFTVLFASRYVVNKGSVVL IEFINELLKIYGQDFQLPESLAGLHKMTGFLSITKGIKRFVSCPNCHCIYEENMSVPP HCVFTNVGARSPCGLRGTIIDPMHNLFLGTPKRMMDRWVDKKTIGAEEFAAMEKIAET MVLPRDYTKLTSKIGKGFPYMKADDWKSWVLVYSPVLLHGVLPFEMYNNWMNFVRACR YLIKPSITFDEVNSAHDYLEMFCKKATELYTPTILTCNMHLHLHLCETIRDFGPVYGY WLFGFERYNGLLKHIKTNGKDSFEATYMRSFVQNAFKGDYANAVLKSSSHVPFFNILS KLSPKFTPTTTVITLSSHPFRLQSFLLASSNPHLPPKGNEPLPPSTFPLQLKKSSLMD ETDYAHLLQHYKTSYDLPDLVSYQYATLTNSFVDNEITKLKFIDLLGQQYRGKNGSAS CGSLVHVMFVGSDGRNTLAYAGQVQYLFTHSFTHPSNSNLHLTRMVHDHRHVFAYIKW FNTSSDRSREDDGLEFCLPTFSPDSRHCIVSVHRIFLEIATARITTSRNVSKMLVIAL PKKLYA PHYBLDRAFT_171284 MGSVSYRSWQNDIFHSSILENLCSLRYYLVMSDLKVKSLSLYKY NFEAVSICVKNILGVVDAEKKNILFEDKDFVFGENFHPILSVVKQEIWFQIVNECLHK SSFQNHIKV PHYBLDRAFT_69634 MLKVGISLLILQNIHSEARLYNETNINVTQLIQYVIGVKRFDKG HDSLQHLIPELNMTTNEREFSFCLSSHRFPICSAFAMTIKKLKDKLLIILKYILIHLY YLRNSYTVLGTAAISKQKRSFKIFTERDLHVLHCKIVKDRSANLNTLIQTMSIILHQT VCKDILY PHYBLDRAFT_69633 MSKVTLTRKEQQALRKAALEAAPVRTLRDIGSPLEPLTIPNWII SRPNRHSSTVDITKKKHVLFSQVYSCHRGGNYESESREKHPIQCKSKKVGCEATLTIT CYADKSHVYVFDFIANHTNYIPGDIKTDLGLIPLTQGHVDDIVARLTASPGSSARKIR LEILRNIDQQEYSLNGCKINYFDIYNKIFAINRTIFCLHEDNFKSMKMWFTKKLSPKG FIIFEGNLQTYSNDESLYPCGFISPFHITQRSDDILYTIVICDEELDCGFPCAYMLTN DYSLGPIVQWLKHLKDNQLVVNPHDAETNALMAIFPGCQIQYCLFHVSQAWYRQLNLK VKTGNTAAQNRLVRGKMMAFLKHIIYEEHIVVFLDKIADFIGSVVVDFVSKFDQVPTL NYYCYLYSFGLGKLYDWGQLRPCIISFRLIHNYSSSQYSFTTGRYQQRQPDFVRYFET NWCTMAKYCVWSRAFHQLEFSHMLTNNYIESWHNQLKTYFLGRSHNKRFDQLIFILPN KVEFYFKEESIRIKMRSGPMTAAQRQQRKIEMSAEAVPAYMHANMIVSLSIAMSLNTT LDDTDDILEDEFWFINSFTKDGITYQVEVNNNVILSCTCYSWTRYMKPCKHMYLLCIH MSGFAFLSVASANNMLPITISGEQFVNDNTMSIDGTRESTGHGYAIEAFEYAKNCSLT TRHNEQDLYQLMQYATEEEAEVIRAAYAAPIKAFQEIKAKYEAHFRTLNT PHYBLDRAFT_69631 MELFCLQLLLLKVPGPTSFDNFKTVNDVQCETFQEAALALGLLV NDREWDLCLTEAGQMTSSTAAVRALFSYILVNCFSADPAASRKNTKIGCLMITFLKFN VASFTLMSHLLKKNIKCYFRELLVMFKHNYKAKTLSSLQALQIVELKSYNSEEKQYWK IFAP PHYBLDRAFT_69630 MPLLQVEVPEVSCHYGKLPAACQANVDLVSLPLASGSGLAWKTC NMKLHMVQCTWHFDIWLSHLLPLLIFVRLLLHSGSFFHMDHVSLNLQQYYTAEDLLED WIIQHRQSYQNL PHYBLDRAFT_69629 MLANVDQQLIIKCSIVIVLSIQGLHTLSKIRFLVHVVDQLIIDN LLIPIVLTIATTLCISAAVETVQEDVLVLTSVKLRAQIIISMSFHLWKAGVPFCNAYM SIDECLKATSEQSSRFGLCYSNGKTIIPLPIATLQKLLDFVTNHDSSDCQATNFYKNI RAFNSAFAFASIKANVDERLASGTDGVSTFHVNGTIYHNVGAL PHYBLDRAFT_69628 MSVSNKINVNFNDMKFEYESNKNAKDTADIDVEDFDTEFLYESY KKYMFLNSNMSENPVYRFVATFTLLKIYKQNFQFLTSLSGLQYMIEFCELFKSIRQFV VCKNYYEIYKENQSIFSHYIFAKTDTHSALQKLADTICNVYNDAVWKELKNSNAVSFV EQSCLLILILILNIDWFQLFDKVTYSSSAIYLTTNNLPHSDQLKAENVILVELMSGLS KPTR PHYBLDRAFT_69627 MSPSIQMHNTNCYCTRCNNNDQGVSRVLRCTAQRHNKRARFEAE KRSMEVDTEIIPITIDGQTNSPILDIISTFDNDIFGGNNYNGDESYMTYDNDSDNNGK EDTAEIYVEEFNNESQF PHYBLDRAFT_102129 NLPRSERYKKENVVFVGLMPGPKEAKTSEINHYLRPLVAELNQL YGGVVMPTVQCPSGALVRAALLLVACDIPAARKTCGFTSHSSTCACNKCNRQFPRLPD SNAVDYSGFVFSEWVPRTDAENRRDAELWRMASSDAQRKRLERENGVRWSELHDLVYF NLVECTVINPMHNLYLGTAK PHYBLDRAFT_148457 MQLDADKLVLPGDYTPLGTKIGRGFPFMKADEWKSWCLVYSPVL LRGRLPEAHLNNWTTFVNACQYLSMPSISMAHLDEAHQSLEAFCRECKKLYKAPFLSP NMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDGFEGTYMKKYLEEAYQ GDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFDINAFLDSPEINFDIV KGNEPLPPSALPLALKGEISMDESEYEHLLEYYRETYDDQTLVHYRQAGHSDNFVNNR IQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAGQIQYLFVNTAVNSFA GHASQHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAVGEHL PHYBLDRAFT_148458 TVLNFGPVYGYWLFSFERCNGILKNYATNRKDGFEGTYMKKYLE EAYQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFDINAFLDSPEIN FDIVKGNEPLPPSALPLAIKGEISMDESEYEHLLEYYCETYDDQTLVHYCQAGHSNNF VNNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAGQIQYLFVNTAV NSFAGHASQHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAV GEHLGLEGEVQMCVVSLPRKIYI PHYBLDRAFT_171290 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAVTSQNSVMPSAVPADSFSSMDDDLDLGAKHYPLISQLINSYIKKP NFVSTDLLKVAENNNRLAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFWTSAEKIMARNKAGRRRNRKKTLLDRCIITYQTYTEAIHEGMNQYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIHLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_69623 MTSAGPICASCKQLGHSRGSNFSYSLSPRHKTLLIPQKRTSDNL SAQEEYQAESTVQNSVVLTVAEINALSCAAQYSAEGSRAAASKPRAEAVQSHVMLTIA EKIALSRAAKYPAESDSAAASKPRVEAVQSRVVLTIAEIIALSRAAQYPAESSRAAAS RPRVEAVWDFVVPTQLLSIDLSFTEQYTAESDRDLTDAFEALQVSDVERVLDLTTTIA TATTTVIPHCSSCNGIGHQQSNSLQCPNNQRDRNFVPGQLTTTHNIAWHTTTSAMIPV LYAMTLADMSSRLPL PHYBLDRAFT_171292 MPSISHRRNVVCRCAQCSRNSQGYSLVTSRTAERHIRKDELERI ERLDMAERLANNDQADSPDSNAATMADNVSVDDEISEVNGNDSDIERDMNSDSGSGEE EGVETDVEEFVNEDPFDAPNMPENPVHWFIATFAVLFISCYVVNKGAAILIEFINQLL KIYGEDFQLPTSLIGLQRMTGFSNYANGIKKSVVCEDCHKVYEQDVLINLPLEGIKIL PLNSTILVKASEWEKCLERINVLCSTK PHYBLDRAFT_69621 MPPCWPIYNKLPITSCPERYEGMLLYSCLKNHTASRQPQCCYIL PNKGIYEVRTLPLPSEAIKIIEDQLKSGSSCRSTRISVLRQIDSWRVGVRKPNYEEIY NRMRKMTTLLYMFASDENASISIWLNVKLAEQNYCIFEINLSVYNDSKKQFAFGFQSP MQVSIMRISQSFCLDATHSISSRSDKVLYTLVTRHSQTGKGFPVVYMVTNNQTAIPIK LWLDHLCIKSSFIPMNITIDCSIMEVNAIKEALPHATIHYCDFHVLCTWQHNLDSKIK LNASYISKQLGNYKTALKNYLRHILIKSNKDVFLRAIEDFKLMVQDQPQFLKYFEKKW TENEKLLRRWGRLYISQQHQRYVTNNYVKSWHNQLKTIYFGRARIRRLDRLVFILTND VEFYFEQEVERIHFNNGKMGPIDNELARNSFVASKIQNDMLPSMILNPLGKTGNSIDD YNVEWQIRSFVTEDK PHYBLDRAFT_69615 MAETMVVPMDYVVLKSKIGKGFPYMKADEWKSWVLVYSPILLKA VLPIEMFRNWISFVDACRQLVKPSITFSDIDDGHKFLQEFCTECQRIYTPTILTCNMH LHLHLCETIRDFGPVYGYWLFGFEQYNGLLKNVNTNRKDSFEVTYMNSFVQDTFKGDF VHAALTCPSQVPFLPLLAKLTATAQPSTSKNTITFPQHPFRLSAFIQAYSNPSLPVLG NEPLPPSAFPLLIEPPSAMSDVDYPHLLDYYKVAYCMPNLEGYQHPSSPFSFVDNQII KLKSINLLGQVYKGCKYASGRGSFVQSLFLGSQGNNRLAYTGQIQYLILHSFTPSVDN TELQTRVVYQDKHVFAFVKWFQIEHDRSRELESVDICSADFIACDFECILPVHRISSV VATCDYKTNTNNKKILVNALPHKQYN PHYBLDRAFT_171295 MPTPNCRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNTTAINGIDALSALPASAHVPTSVASTSAALPITESSDTNAVFGYIHDYMWN PKLKSRDQAEIQANAIKPKWAVDVRFDRSPNRELVKQLLYYLEKKFAGTDMRTRDLRK CIYTNFCSRRRQQRELPETRRALNTNSRRSGRETDNYTRRRLAYDAYKADIDLKMGRN CSGLIQKSVMSEGESDDNMSPSQPRNEIRVARPSWRSDELNKFITEVDSFVVKQLGAN SRQLLKRVYGRTVESTVPIDLDPALPQWALKYGS PHYBLDRAFT_171296 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDETPKKS RGQYQKPTDKDIKKLLYLYFIRGLTIKKASKIVNMKQTTAGGYILKWRKSPVVFFIKN NKEATSDEKMNLLFETYSAIKKEYK PHYBLDRAFT_171297 MPTSNNSLEFVMDKHCKMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTHTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLLEKVDPDSVPTAESIHWKNNNKYTPGNSGILFGVLPISTGTKADLVTCA QTCYLQVVPRKLYFKLCFFAVIQSVSMLKVNNQGLRRMCIVELSLGE PHYBLDRAFT_171298 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYVCIIHIRLLR EHLWDPKFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGAGLRK SDVRDFVYTNFTSRKRAANKSQAKKKSDNARNRRSSREKEHLKRRKTAYQSNKTAIDD EMKRDCSGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPGWRSDEYNHFITLVDNKVVA DLGLNSHQLLSRAFGETVEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_159552 MTSILSSFISVTSTAVATSKCMRCTGLKFGHCCYGRKLERVTRA YQNCYSQYMFGQGDQLISIL PHYBLDRAFT_102255 NLPRSERFKAENTILVSLMPGPKEPKSEEINHYLKPLVDEMIQL YLGIQIPTYQQTDGATVRAALLMVACDIPAARKTSGFTAHNSTCACYKCNNQFYHLPG TSSVDFRGFDCDQWRHRSDRANRVHAEEWNSASTRSERQQLEVEYGVRWSQLYCHGYF DLVRGTIIDPMHNLFLG PHYBLDRAFT_171300 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDANTIEMRRDRILEWQQLADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKT TVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQH YLDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_148469 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDETPKKS RGQYQKPTDKDIKKLLYLYFIRGLTIEKASKIVNMKQTTAGGYILKWRKSPVVFFIKN NKEATSDEKMNLLFETYSAIKKAYK PHYBLDRAFT_148470 MSSTSELYNKKCYCTKCSDNQQGYSFVSTRTLQRHNKRARYEDR ERSERNISVQRNLMDIDFETTSNQQTGPIEAMDGQTNSPVWEGAPISDDEVAFSNESN GESSDGDENDNDEESNGGEESEDNEENIVEIEIEEFDTEEIEFASIAN PHYBLDRAFT_171303 MYTKKHAEMKNCSKYPHVTMNLHTRVFRVISPVIGRGSYRKYNQ NQVNKLFSLVFSENQTAAAAAREMGINVRTAQNYVRLAREKIQADFDAATVETDESNG LETMEVEEFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDANTIEMRRDRILEWQQLADFNYLSNCVFIDEAGFNMRIKRTFGRSVSGTPAKT TVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGLIGTRTQH YPDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_171304 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRNYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLRRQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLRQRRVKSCERRQSALKANRA HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFV DELDAHYEAAHDKKNNTRQFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_171305 MPTSNNSLEFVMDKHCKMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTHTSAGNPAVTNLLSLKSFLPTEVNEATTKTI VAQKPKTNLSEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAAREM GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEFFENKPDATLEQARIA VMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLPEKRDDANTIEMRRDRILEWQQLAD FNYLSNCVFIDEAGFNMRIKRTFGRSVSGTPAKTTVPTQRGVSITILGAMCERGIVSL SLKKPTAVATKKKRKLDIYTNVEVNGLIGTRTQHYPDFLSHTMDRAKALSMSFMSI PHYBLDRAFT_148474 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDETPKKT NKAARATTTTTTTTANDNGSNIDSAEQTFIIKKTLLEHSSDFIGGKTTITSENIEAEA SKAVEQALSPECYPVLDQLLRPYIQEEQLYEKYDKTQSAYFEANRHIIKSVVDYLCNQ AESKLITPGKIRRKVLRYISSQKLKGKKTDDQTAETNRVGCLSQRRVQTRNKRALALE TNREYFVKTYGEGLDDFLHADYMSDLETDCEVEDN PHYBLDRAFT_148475 MVQIWKELEYFDNQALLAMQDLENGVVVPPDYARINKKIADKFS FIKADEWKLWCLIYSPFVLKHILPVKHLSNWMFFVNACRLLTEPSVTPDHIQCPRPSP IVLFEKYNGLLKNLNTNQKSRFEVTMMKRFLEKAITHSQVILEQPSSISSSFILFTFI KYSMNPRKSVMRCEPLPSDMLSFKVEPKIVMCKEHYECLYQYYRDAYNSHNIFGHYSD CCAGQIFVNNRIIKAKQISLLGQQYFSGSYFQALFVEKKSEDISAFPGRILYLFQHTL NFGNIDIIHTFAFVEWYASYLSGKVQPLKNEKIELWQEPSSLLTYENIIPVH PHYBLDRAFT_148478 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIKELKLEM KMSIKDLNLKITALQSQLENRNISNQHTSLSVSTISSTNIICKPVSTFHEIALKHIFK MISEDLGIEVTSNEKVMLNMCTKLVCDDMAAHSSVIALGPNPSWGSIPTSLGVLETEH QLQELHISGGTAIKDYSLNETLSNRRANKGESESGDL PHYBLDRAFT_148480 MQYQNFNSKFCIEAIEATGTELRAQINSSQAHQGGITQEPNTLP SALGNAMVQGDISESALQIHCTEGRLQASVQIRVLLHQTNQFFDICQVNYLWLHLPIV NNWYIIYQTDCCNLYKSKRSPLVELVLKEDNNFSEEILVNWGVCRIYVAVFINLNL PHYBLDRAFT_69602 MNNSNLITQTSGESNDKFNINFSILSMKPTWQEIDLSSDGQYDL RDFVLEENISSRAKSMDVDIDFDQDMPTGIESPPAAINALMSHYKMNTLLKMEYTICS VTYDMYQEGCIRFDTVEAGQYEDDKEQCPHCNSQWFQDERGTLILAQTFQVVPLSEQL RFKLGNAQEQAKMTYDRNRLSDRVGCTRSDILNDNSDVVDQNDILVSMFVDQFNSFDN SEISATVVHVINLSIDPKLRYERESMIQLAIILGSKHPKHIASFLETIVEDLHMLQTS GLRIQKFSGQRYTVNGSNVFRDLNILISPAFFGLDEMHLIGYGIGYQLYNALNSKFNM SNEAEDNAVQQAVLDQQQQQQLQNEQNNIDTFALHIGLDQINSCIGKSRPDIPANFTG S PHYBLDRAFT_69601 MISVFRPFGPLVFCSFCFFFIYKFSLFSLFPTFIIFTDFLDTRI LLPSDASPSQCPSRLAKAISPKLLSTIKHGYEYDKPPSHEHIANQELSFHTSVIDMTI SAYSMYSLGLQINPFASDRRSVWKQTVQEEVSKIG PHYBLDRAFT_171313 MYNILLDSFQTMVNNHQSIALAPSPEYIELLRRLTAMEESLKTM NSNIGIVIKGNKDSLEILDSVANASEELLAVIAPTIIPASASVPFAASSISSTLDWYT TPSEAFFGSSSAAPSVAPSVTPSVGQVVLTHANAGELSKQDRTRVLALIRGELKKHNF KSNKPELVAANDSKCSWDVNVDYSLPPNRQLMHDLHAYLAPKIVGTSVRQADISDCIY TNFCGTRFRVKESYEHFDCRELTYHTFKAEIDMKVGKSCDGLLQKEAMSEGESEDNMP GVSSNRAIRIVRPSWRSDEYNHFLAVVDDFMRNRMDFNSRQMLKRSFGRDAVLAVPSR LTSHLHHWAFRGVSINFVFLSSIFSTSSI PHYBLDRAFT_102252 NLPHNERYKPENTLLVGLMPGPKEPKSKEINHYLWPMVDDLIRL HEGLAIPTFECPSGVRVHATLMMVACDIPAARKTSGFTSHNSTCAYYKCNRHFPHLEN SVNVDFRGFDFSRWVLRDSVENRLHAEEWESASTPSERHRLEIKNGVQWSQLHRLGYL NLVRGTIIDPMHNLFLG PHYBLDRAFT_171315 MFSSVDFLLFVMPTVVVHKFVLSRIRHAVLDLVLACSIAQQWEV TEKEIHTIERVINHWHSFLRLEIQERRLKPTIFVMNQHMLVHLGYMHCFGGWAARRRP SDKRTSNFEVASNDVAGLQFWSNPIRKTLAVIAIECDMNYHNLVRFLACLWDQDSAVM EHKTIEVVCTTKMWKDNVVLKISGIKILSTRLRAFSAVRELLIYLKLNTATLAKEKSI FPAVRFIFFFK PHYBLDRAFT_171316 MSSTQCRCTACHMLAHSRSTYKQCLMNPKNISLHISQKRTNVDE YPAETAALRIRSEPVQDQNLDIEISTSISVSELTEFPLANETITEVLEAVLEEEIEET SSDEEVTGREEEVEVTSSDEKVTGREEEVEEISTVNRGSILPHCPHCNGTDHCQITSR FCPNNNSSRARESRNRGRGLNNIVRLPAISEPAVDNRGDMDIEYQFCGAMMWAHEKNS RSSLRSPTFSMCCIKGKHVLPQIEPTFTGIAELLNYRTRDGKNYNSTMSFTSLGAKID TSIGNNINGAYNFRIHGTICYRIGSILPVTESDIAHPKFAQIYIYDSAAQIDQRQYHS PQLERSVLEKIQSILMETNPFVHLFRTMDQISWEKGQSIDLTLRLVAEGPRDQRRYNA PTASEIAVFIMNNEESTSRDIVLHTRANFQQNINEYH PHYBLDRAFT_148488 MSSTEKRCASCKLMGHSRKSSVLYPLYKKQNTLYIPQKRTNENI SIEEEFPAKTASSRVKINPVKEQQIIVDTVVDPTIILAEEPTIEHEEETMTINESTAT RHCPSCLRTNHLRITSLMCPNNVQILVQNQNPQNQNVANIARLQNISEPEIDSRGNMD VVCRFCGALMWLKEKDTDLSIRRSKFNMCCGKGKYVLPSLEPTPPGISELLNYRTSNG KNFLSKIRGYNSTLSFTSLGAKIDNSVANNQGGAYCFRIHGTICHRIGSIRPSRAQDI DHPQLAQVYIHDPASQAQHHCHHAPYLKADILEKI PHYBLDRAFT_148490 MREAMELPVIFHLCHSKRHVVHVSREFAFAMILYQFAFPRRYCS MKREWGMNAKDLGFIIKKMSVLLIKKFKNRLDFDTRQFSAENCEHFARAIYERTKTYL SVIAFIDGTMQKLCCPKSEEKQKTLYNGWKHIHCIKYQAIAIPDSITSSLIGPFVGST HDAKFFDETKTLDRLILYLTVVSGDKYPPFGYVIYGDQVYPRSYKVFKPFPLDETLKD ESSSTIYYN PHYBLDRAFT_148491 MLPTQKVCAACKLSTHKWSNSKLCPMNKKNRALLIPQKRTSNNL SAQEEYQAESIRAGVSRPRVEAVQNSVILTVAEINALFRAAQYSAENLTAAFEALQVS DVERVLDLITTTATATATATATATATATATVIPCCSSCNGIGHQQSNSLHLAYYSLCN DTRLIFNDFGIYVIKATIVTGSSAEKVVFIPRIKLNSTGSTMSIEFKRCQFPVRLAFT MTINKFQGQMLDKVGLYLPDHVFGHGQLYVALSQVRTPNSVKIMHYE PHYBLDRAFT_148493 MPRHTVTPEERAERRRRYMREYQRNRWQSLQVETSETETQQRNS MSQAEYMREYQRNCLQTAEMEVIATVEAENINTSQVVETVEAENISNSHAEYMWEYRR RCQLTAEIEVVKAEVVRAQVIQAEVVGTEIVGTEVVETEAAETEEENHNSRAEYMREY REGPTCVCGYCGGLFFQKDISSVKRTTLTGNWGCSEDIVEKTFWAIDNHKIPSTFVGE DIIDNISLCSTCTTSLKKKTLPTLALSNGLHFPDHVSIWTHRGLTGQYRSKGGIINVP VNIDTMVSLLPRNLDDSNTIHLSLACRMRYIKDYIKRNISPAKVANNDTENVEEVDRV EDFEGNEESSTEHESINSSEQEMAIVLDHEALRLSPGDGRIPLSILTDKDSDFLAFPQ IFCGQQLSTDTLTYSFLTKSIARRFNFLQKKTKLSNNVPYAASDFLNNDSIDGLLSKD QAYKTFSGIRSTAEYWKNKKKNLLAMQKQVGLSYYAF PHYBLDRAFT_171322 MNLTNSYEYDFSIGTDYMSTLGIGIYGLPLSYDDADSSKERREA DRRFNNKSDLLESIERENEQKENNPAVGPKQFEDAMDYIRPFIKDNQDIPKGSFCTIP ESVVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPESIKDINKANKRLLKD MKVVNSIPKEKSGIKRKNYAKTALQKKKRSKV PHYBLDRAFT_187947 MSSQHSAANSLPREEQSPLTEVGIPSPVLNEQTHLTEIGDISPL LGSTALSIDGDIEMIYTSLDSLPPLSVVGSVPPTPVPTVPSAVNSNTSSSITLEMLLA SAKEDLSIKKNNFYVAYANYVALSKVNPMSDAARHASSIKKEAQELFEDAQKTLKVLE KANAPPAILEDKKSMVVPSNLPFLQLCTETRVKQNRDVFDSVYDFCQEFTMVLESHSL SLDSCWERLLPICLNKEERSWFEDKLKGKAYKWKKAEGILLDHYDTPFRRFLNMGRVW KMMQKKGESVRAFGAKFQTARRQASLEDGIQMVLCFWWNLRPEVREASLIPLSANYGT KLPSKVEDIISLVSVATSDSTVLLNQPAESGTPAKWKSFADAHSISSSISHKGKKRAI ARDNDPKHAKKSWNFKKAIKDNVCFSCKGAWEKGHTCPERDNYLTKVSRMAVRSSADR SVASSPTCGGFPPSRDSHFSVRGNSSPVGSSSTSWSMDQDNTSALAKMALN PHYBLDRAFT_148500 MSSTEKHCASCKLMGHSRKSSVLYPLYKKQNTLYIPQKRTNENI SIEEEFPAKTASSRVKINPVKEQQIIVDTVVDPTIILAEEPTIEHEEETMTINESTAT RHCPSCLRTNHLRITSLMCPNNVQILVQNQNPQNQNVANIARLQNISEPEIDSRGNMD VVCRFCGALMWLKEKDTDLSIRRSKFNMCCGKGKYVLPSLEPTPPGISELLNYRTSNG KNFLSKIRGYNSTLSFTSLGAKIDNSVANNQGGAYCFRIHGTICHRIGSIRPSRAQDI DHPQLAQVYIHDPASQAQHHCHHAPYLKADILEKI PHYBLDRAFT_69585 MSTAQVQVEMEFGKVGQYFKYYKFSPEMKIKSKTLPATIYILFT TFKNFHTCINGSATSEMFGIQPPNIQDYIRGLACDPVLEDTVDTVDTTLHNAPRLVES ILLDT PHYBLDRAFT_69584 MKRNTFSQPKPTKSKKLSTSSDSSKDGPKFIVYGPTIQKSEEST RIDSVLIKKRKQGTWDQERELVLMEAYNHYCLFAVSHRQVEEAWEQVTAAVNAVYLNY KQALTSRSVQNKKDVLFSKFQSLFDQHSNKKFSEVNMDIPTHLGRAIYSAMKTEEDAK SIKELKDLVKAGKTKDMRLLNDKLMSFAKYVQETPSKNEKKNTEVDKVPEVKPMPAKG QQQLVELLEKQMEILKRQAETNEGVLLVLQSLEKHIGESSKSQTIIADSLSLCQKS PHYBLDRAFT_171328 MIDCSPIEISAIKEVFGNSVNILLYHWHIKRAWEVNIKKHIKVQ NSTHASNIACNSVCAVLSNMMHATTSVAYDTLYNEFLMKFGEYENFILYFNRMWVATF HTNNLIESYYNQLKTFYLERVRSLRVDRLIYLLAKVLTLDYRQESVKTLYGFQSNGFL QNCTCPDTSKLCKRIFLINRMLDIPYSLRQSLSSSSSAVYISNTDTKVVVDTSLLSDE IEADIMKYFQLYSVELDTKIAEYKRIPEDMSQFLDTLKFAYNKLKEHGFLSQSRTP PHYBLDRAFT_69582 MSTFDIAANYDNCIIPDYIEAVNSFDLSSENEFTNWLNDIAKKH ANWVYYRSYRHEKNMVFVGKSLENPLKVKTVVYLCYHAGKPQVKKTSQSAQKHVRTTK SIKIGYPASIYKHIMTDGTVCIKYNWQHPNHDPFKIEEISSSKLPDELKQWVEGLVSQ NMDWKSIKNMLRMSEDRLLELEQAGDRSSFPSSLLIDYQYVRNVINARMMKLSRKHVD HYESVKLWVQELNEISGEFGRVMH PHYBLDRAFT_148506 MIFRDKHNIFDENLELFKSLSSQKDFQSKEQLEDNNDDSTKVVE KRTLEKYFEDEWMPCKEKWAGYLTSQLKHFDYFEGDYQDLELNETTITDARIYHEPRL CGLIHYVSRIGLITICAELLEEVIPGELCNCRVKVVFGLSCRHDLPRDCMLLLSDIPE RWILSSSLGERLKQLEWNQQVQNLMAMVDELVDNASEIIDHSNVVFPLASEVKAPGRP KHVKRKTALPNDFVRHKHRHLLVQKNKNDIRIIKEIKKETQFAEKQEPLKEAKTTNFA KKQEPLEEAEKYSSGIKRPKHLQDDYWHNLPSPKKQNKNVHDFALPAQIDQATISLTF NPKSDGWCGFRVFAHLKEGGEDQFPLVKKKILATMATHGKLYEHNFGMDVAKVTEVIA FGSEIDPALGENIPSCPSSVWFSAPDCAQIIADIYNEPVCVYSDDQSVLPVTFLPLHD WKPLKRKSLPMVLHHVHGCH PHYBLDRAFT_171332 MCQDWIVIDTQSQPSSPDFLCLLSPGPSSPDSRRLSFSEPCSPD SAFSYLDIVLQTLLIGHRSPKTSSSSEPVVLFVVALASFDNWRTPIPLIPSESESSVS SMASGKSKTATPPPTEQSEFSTWHMSENVKSIDVNPLSNSHDLRFWLHEFKQEAAAVD ASLDACVKVLRKSFWNLVEAELLQRFASPATVDDRLIITKLRKLRQRADQSIAKYAAE WEHLHTFLTTPFTVEEQVAEFLQSLYLEPLRSTLAHGVLKDISDTVNVAIKVERRARL IDYSPTELRAERTLATGPVPMEIDAVQTTQPRPHLRAYTKDGKPICNLCWTASHRTID CSKNSGTSSYSKLPYNGNSRGSRNQPSRWQVRNITSTATQEAPQVPEGEMFDNELDGL FSLQSQFLHPTTTSEPSSTGTINQVIVADIDHHQEVSPTAYLRVGDGTLTALVDMGAD ISTIRANIAQKMNLSVDSSLALSFLTFKKERHLMLGKVFILVFGHTLGFHVVDQVHHE ILFGWDNIKILRGIIDSTTNRISLKQSTGEIMSLPLANPQEYQAVLHTKQSTPLALAL SLDPAIQDILCKNEAAITSNPKRPMPTHLLEFTIDTADNKPVFIPPCCGRPEVDVALD TEVDEMLANGVLEPCIKADPLKTAAIKTLSALTDYHGIKRFLGIVGAYHTFISHPIII TVSTVDNILKIVKIIISYCRKYESKMVGFVDSFCIKDVRIFSAIRQNIQALAFELRQK SIKSYASFLFYIFYGKIHVCDWMKILIRLLINAQTLYSKK PHYBLDRAFT_79556 MSRAQGRANSEPTLVQAKQDTDLNGPNNNPNNHHSGMSTTTTTD DEGQDMIEEGLPMNLPLSSLAGPALVSAPDLDGHTLTEEFDWGGGDDDDDEAEKKNQE EKRNKRALATQGVIICLSKNASSIAWFCIVLFAAIFITIDVSIFVVYRNQNKESMVSY NLEIWFTWITFMWCIGFLSQLAVELLPWIIKKGAAYIHPNNSEVLRMRLSYYMALRGF IKLWLITAWGWGSWAFIQAHIPLPGTNTIPSYLGIIYNILECCFFAAFLLFIEKFILQ LIVTSFHKKAYGDRIKTNDKALKILDRLKRVKRKTPQEFLFKHIRRKPKTDGQQSRAA SLYDIPTDHSQPQQQQQQQQQRMGNGRFDKAKKSIMTTVSSRATSMDEIPRGNVRFPP AQNMDTLIAIPPIEERFPNEDVKSDFSEIEMEKTDNVAHIEHQQNQQQRPPLRTSTTI AESGNEIYSDTERHPENVFTNLSKKLRLNKRDQHNQMTMSDEDGNPIRHPRPPPLTRE FTGFSRFSHDDRSFFNGAKDFGLSTATAPGRLLKGGYKKFRSTQPSQTQTSSHQAKAL AKRIFHNLMGPDSVRQSVVEADMYPFFRTHEEAADAFSLFDTDGNGDISKRELRSGCI RIYKERKNLARSMRDLSQATGKLDLILLVIFTAIWVIIVCASFGVNVGTELMPLWTAF IAFSFVFGNSAKDAFEAIIFVFVTHPFDAGDRVFIGSENWVVNNVGLLVTTFNKWDGS IVYAKNSILATQYIINCRRTGRTCEGIDIHVHFSTPGWKINRLRDEMFEWSNKFPKLY TPDSTSANIISFENLNRITISFYFEHTQNWQDAGGRWMRHNNYMMELKETCERLGISY TMPNQPVDLKKDEVPPELNNMGSKTSYGLEGMQLRRGYNYKDDCEESRSGGGGGEGTG VFAPSHSGNDSGADAGAAGAFMIGASL PHYBLDRAFT_33350 MIFWQRRKLPGPVSLSLFVLLLLGCLNFCAASSGDNLPEYKNCV ESCVESSGLNSSEHVKLPLYLRLLFWTDAQECRYQCMHALTSKAIENGQTVHQFHGKW PFNRVFGIQEPAAVLFSIGNGLFHYHYYKKMQKQLPDNYSLKNGYLVMAITAMNAWVW STVFHTRDFEMTERLDYFSAGLYIFYGFYIAVIRVFWLTGLPKKAFGVLCGMLYCAHV TYLSMGSRFDYGYNMLACIVIGALQTQLWLIWTVVQYSPLTSNPSRRSYAWMAGLSVV LLSCAMGLEVFDFPPWLGAVDAHALWHAATIPVIPLFYQFILKDAKVNLQTVDTQATK KRSS PHYBLDRAFT_93111 DEPICKYYLKGACTKGANCQFRHKGYDRDKSVVCKHWLRGLCKK GDSCEFLHVFNMKKMPECWFYSKYGECCNGDECMYLHIDPESRQKECPWYARGFCKHG PNCRNKHVRKLVCQNYLTGFCPDGLNCTNGHPKYEL PHYBLDRAFT_69574 MTTLSKSKDNTFLIHLVTLIFFFNADSGESTNPYTQFMRQMQYK SNKKVFGLKIDMRFVLTLTRIDMDIDARKLLVEAKNIFNGLFNSIHDIKDVREISGAG IQVGATFLIYCSHLGLTGQTLNLHLYKPKTYVTVPNAKLGIPSNICLLVSFAETFEIL MASIIGRYGTFPLDFTMSLFSNHYYKSMNRNAGTIGVVVLPTHNLIYAIKGDYQ PHYBLDRAFT_69573 MNIGVSCKLARTACVDSLHLDQRDQLLRKDQCYNRYDSTEFLCF QNLCVNVLPHSFKFMQFDIGSFHESLMDVFSFTQQCLFHLINIYMWFRCIETGGTEVD DTVEEADIEVNVTCEWVGVELASDYEIVFDSNEGVDLRDLAGSLIVNFGGIGVVPTGI ATDALDCFGNVCQIFQAIPVVLKSCINIKRIKSLFGYETMVSYLMWNKFIYIEQKGSC FS PHYBLDRAFT_148512 MNEALINLVLPFIQTRAMLDTILGEISAKDCHWTRSAVYPYNVE LNPFLVPSQSTFNDTSDLITRIKEACNYVSSNHLRAFV PHYBLDRAFT_69572 MHTIDHPNSAKQLQAIANLGQSPITDDLLELIACEFESIIPCTV DSGFLQDNQAPETKPYIQYKVHHDLPSPPLSSSDKQHYPSDFRKFQTLQPTTQTQIEV ETEATPQSQSLPGAAAALPPPPLRAFISSVVKRSQTTTGTLLSSLVYAQRLRSKLAGT SKGMKCTHHRIFLATLITVTKYLHDTAPRNKYWVWYAQLFSASEISLMERQLLQLLEY DLAVPIDCFNQVSLSILQSTNHGQSRNTKYHDYHQQQQQQQPLISSSQRRGGNSNTSS RLPSFSTLFQMSNQIDYSNTMSNTSTSTGSNTTGNRSQSQSRTEAPQSSQSASYWQTF SGPEKSSSLSSSSSSLSSTRSLSFTSQQLQSQPQYQYQYSQLDYTSNDNYINYDNYTN NYNKHYADSPIDIWQKPFPHVANVDCESNVDRLCDFTQKEQHSWLVEGGERGRGGQRK GEEANRFGQDDSVDYRRRHSHQKELIDTTGFSSEDYCYPFQQIVLSPFQSLSTF PHYBLDRAFT_115226 MHDSTQTPQALLHLVASWQPVDPQDKPPYSYATLIAHAILSSTN RRLTLSEIYSWITTHYPYYSAGEHGWQNSIRHNLSLNKAFVKLDRTSSKTTLCGKGSF WTIQLGSEKPFIENLVKRR PHYBLDRAFT_24267 MFPNGAGKGLGSATGALIVLGALGYGVNASLFNVDGGHRAIKYT RLFGVQNTVYNEGTHFVVPWFETPIIYDVRAKPRNVASLTGTKDLQMVNITCRVLSKP RVDDLATVYRTLGQDYDERILPSIVNEVLKSVVAQFTASQLITQRERVSRLVRENLVR RALRFNIILDDVSITHVGFSPVFESAVEAKQIAQQDAQRAAFIVDKARQEKQSVIVRA QGEAKSAELISDAIKNKPGFLELKRIEAAREIATVISRSGNRVMLDSDTLLLNVNAHS DSNSTASQA PHYBLDRAFT_171341 MSTLIPFGDAFVTQLFTQDSSNPLLDTPVDSSSLSAVLDSLYKT TDALHEQLFNNICDNVDIFSASYEQMNELTQRVTSLVGQAMTEQSNVIDPTMGTHAVV SHALRRYTAALETSQQHQTQLDSLRVLVTLVEALEDIESILDQQQIVPATEALLRLQA NLTANEPYLAHTVHWVRERIEQQKNRLVCILQDFLAAAITFETNRVKIITTGCSDPSP SLLLSLSSSSNDTSGVDLKDVFGCLDQLQLLTTHMSTIKRSMFKHIIKPYLEFDVTAD VVVTKDGSKGATLTLRPTTDKQINPLRKLKNLGQILDFCFENLCGADLDHARLFGNLA LPELFGLVIEKVLGPAIPTTSKDLGTFGAVSRAAESLEQTSRDHFGWSEKENSLKEYV SHLDRHFARKRGEKVLLEGRNVMLRRLYDVEDAEEEEEKKEKEGEGMGKAGTETQHYR ITQTPQLLVLLLTDMIQEASGLVNTHPISAAHLVHATADLLDLYRAIMPSYHRAHLIA RPANCLVFRNDCFWIARQLQHKLGASAASAAAAACSAANISATTQPTNTTSSLFPDLA KRLCDEAEQLRLLGNWWAQLAFEQQMHRINLLLERLDGFVTIADHRQRQQCDEAIGGI IGQVRNFSDEARPVLDRRLFVETMARLVDRLLIRLIRMIEDIVDIGAEESELISRSLN SVAQLVSTFDLPGHDATEPEVMARVGSWQKFWLLKDMLEMTMKDIMEAWRRGSLGMFT VEEMCSLVCALFADTDRREAVLDEIKSGRVESVVQEVHSVTPPITVESRRWKDEPVNS NDTPTDLADTDEQDGWGWDEADENIFVETGEENVSEPMPDLEINTEEGWGDADEDLFV ADEMHK PHYBLDRAFT_171342 MTPAYPSLYCPPSKPNHLESLWPIQYDPSIHKDLYCYPIPPTFT SNLPSSSSSSSLSSPSPSSSSSNILSPKICFPNQCSPYRCPQSFYTPHTPATQDMMST PDAMVSCCESDSAQLGRINYPYYMSTTPTLDYDPSGDNVLQDDNFSIATAPELDIFPQ AHTFGARRYHSMPDISLAQTPQDIWASPQSSFSTKSTDDSQHLFISLYAPSQPTTNQD ARLPNPNEKRHICPVCFHRSRRRHNLLEHMQTHNPNRPRSFICPSCHRGFARKYDMKR HEKIHLR PHYBLDRAFT_171343 MNGLIKTASLLFSRSPLWQPTLLGLKPAKSIRPVWSRTTQLSFS CSFSTQTQSNIARFRPVAFYSIVPLSQDRVRELQSEIHSRLKQIDVVGRIYLAPEQGI GGINCQMAVPLSQLETVKSFFQGIREFTQTGPIAFTEGIQDTTTPSFRNLRVVTKRNL VATKVGLQTKDLEMQPDYLDPAVWHEQLADKGNQAFVLDMRNHYEYDIGHFEHAVKMD VDTFRDGMEVMDSLLKEKPKNEEIYMYCTGGIRCSVAGSYLLKKGYSKVKMLKGGVSA YGNYVSKGKNKTSLFRGMNFTFDGRRGERITDDVLSHCFHCNAPCDRLSNCSNTLCHL LFTQCDACQAKMDKTCSTKCKETIEGKIEWTDEYNYHNQIRPTAQKKAVAVAVAV PHYBLDRAFT_182434 MTTIPQRQPPDDDDRPAGLKLARNHHGFQRDNVPTNPTPYAGRD VMHRLQPRPEDPRVSMENDGNTMPSFSNPPRPYLQGRAPSLSGHSPSVPARSPYRIRD SQASVRMSEKPQERIISHHAIEEPVSRLRHLSAADRTSLSVELSRLWRYKPDLTPKVP SIKLAVDGTDDLLLQLLVSQACLDARDFEILSFEQLDTLKQKHHKAADRLSTAASRLE LETKINHATRSLAYLDSVSKENGAPTKEQLEATETKTSELTAEVCRLTKEAAELQRKL LSHTAGVLNAGVQRLETKVNETLVSQPQPQPQQQQQQQQQQQQLVPQPVAALVATPET EDQRNGQERMARTLDMLLRQHQTKYVDANGNGDRDGYGDSDATTDSDSSDTSAKEPSR GRRSVLARIGDCLEAYRSQTRELERRLERAESQQEIKDMSEKKLEIQLRAMQDRKEAA EARCRTLEQEVSSQQNLATTERLRDQLAQALHENARLQNSLENSQAEALSLKAAVVEL EVQASKVAAQTDHQSQTEAHRKSELDQCRDELMVLRNEKERWERTMKRSSVLQLMEGG SDSLRAKYEQQLEEQAQEYEAQLKEQGALLDRTSRTLAKTEEERDKKAAIIGDIEELL REKIRTMDSRDNKITLLKAEMRALRAEHAQQIQQIQQDQQSSGGGAATAATEEALREA QREFSKREAAWMDQSTSMEANFEGILKEYDRLTGSAMEFEGDRMKYQRRIDDLSRHIS QLEHVLAEEQIRKLGHSGPDPPTTANLRKEFRQMVNDMKADHQRSLEKATEEKRGLET QVKNLKHEREMVLYERVNKGVQTYFTLE PHYBLDRAFT_148520 MEPKPSTPDEYLIDDYSLLALNKLIRKNPPTWEFLAFQNDIFNL GVNLAYKGFLSRTLFSPWTLRERLSKKKKEPDFISPPSYNKIMLPHLGGYRFRFFNEG ILFYILFNAPSETVRFEISSELCSRGWIFHFNLGLWVKICSSNHMEARIQNKGYEYLA FDMEEWKLGRVVTKYMF PHYBLDRAFT_182435 MSTHSIYLFRHIQSNQVLVSTRQILKNKVLEQLQHAGRPVRLRR DLWRPVVALTGFDSENSAQAVSDALLHRSKARQLEFATKQEHLARPKRLRQVDENDLL EKSVTSLREALEAVSPKYIKDGAKLTALWEQSRFIDLKGDAEWPAFLEHGELALKNNR FVSAESS PHYBLDRAFT_187955 MPFYYKVSNANQYIVKTGVGITDIELVKKGWVFPGQHATVFDVT PQNFSLSIHAMTIEKLEFLLPAVFTIGPKLDIPSLEKYARLLAGNALTSTAITDLVRG VIEGETRVIAAGMTIEEIFRERRTFKDMVVKNVQSELDHFGVFIYNANIKSLQDTPGS EYFSYLRQKTQEGAVNQAKVDVAEARFRGDVGAKEKEGLTRQNTQRIEADTVVYENER KADILKAQAILKEKAAEYDRGVQLAQIEAQQHTASREQELKRMVEEKRSLAETERLRA TVVAKAKAEYEISVQKSNAEQYNKERAAEAELFKQQKEAEGIKVYAEAKLYKANREAE GVINMLNAQATGLAGIVKAFNGDNGAALQYLMLEKNTFQDLAKTNADAIRGLNPKISV WNTGDSSKGQQNPLADIYKNLAPTLLAVQEQTGISPKWGVNMPQPPSGPST PHYBLDRAFT_126422 MDFVDKYGSSDEEDVGTKDNQSTAVIQTMKINSAPDTGFHDVDP TALYTAPTATELTVNVPYSDMIRPTLGPDNPFSDRKLATQNILTGHVQQEAISEMDFR TQHRTFESYGYARDPSLSSSNLAGQTGQGIMGTGYVGNLAAAAELGGVTILDRLPKQY RPNKDLRKKREKKGDAGILEGENAYKGPWAGYDGDGIGEPSGLESDLQEEVQIAMNPT KAANAANAAAKKLAAAQVVGTETTTFHGEAEHDYLGRTYMAVPQDVDVNLLGEPGTQD CFIPKRCIHTWEGHAKGVSAIRFLPKSAHLLLSGGMDNKVKIWDVYHDRRLLRTMSGH TKAVRDIAFSNDGRKFLSSSYDRYVKLWDTETGQCIRSFSTGKVPYCVTFNPEEDKQH IFLAGCSDKKIVQFDINTGEITQEYDQHLGAVNSITFVDENRRFITTSDDKTMRAWEF DIPVVIKYIAEPDMYSLPAVTLSPNKKWLACQSLDNQIVVYGARDRFRINRKKRFAGH LIAGYACKPGFSADGRFVSSGDSNGNVWVWDWKSCKILKKFKAHDKVVMNTEWHPHES SKMATCSWDGKIKYWD PHYBLDRAFT_57770 MPRNIVLAEALDKNKDLDKVAGHWQAPSREEMLNRLKNVDSDGK TATADIYDLLVVGGGATGTGVAVDAATRGLKVALVERDDFASGTSSRSTKLVHGGVRY LQKAILELDYEQYKLVVEALHERKIFLEIAPYLANQLPIMLPVYKWWQMPYYWVGCKM YDLFAGREALESSYFLTRSKALEAFPMLKKDRLVGALVYYDGQHNDARMNVALALTAA YHGATVANHCEVVDLTKGEDGMVNGAKLRDTLTGDEWNVRAKGVINATGPFTDGLRKM DNKENAEIVAPSAGVHIILPNYYSPRNMGLLDPDTSDGRVIFFLPWQGNTIAGTTDSP TDVTQNPLPKEDEIKWILDEVSHYLSADVKVRRSDVLAAWSGIRPLVRDPNAKNTESL VRNHMINVSENNMITIAGGKWTTYRAMAMETVDRAIEVFGLKPTGPCITETVQLLGSK EYTSTMFIRLVQEFGMDTEVAQHLANSYGDRSWVIGAIEDPTAMSWPVYGQRISPNYP YIEAEVRYSVRQEYASTAVDVLARRTRLAFLNAEAAYQSLPRIIEIMAEELKWSDERK KEEYLNTIAFLATMGLGEKAKAHADSVSA PHYBLDRAFT_159565 MTMIKQQSLYQLENTDLKLLTAYCIQNQPSTSSLLGWLLNSPQS CPNEFSNAIFYCSAPNPWALIAQNQTVVWLIEIKDRIRIFVSSEPFLDQCPTTDLAVK YCEDPETSLQGPMFIAADHQALYKESENLLEQLLKTFMENKKEILVHGCSVIWSPLLR RLFKIPYNGPCKRFVNPASKYPLPCSLRNGYSIAKAEKKHAPLIIEYNKIKFEMQYVI EGLEMSTVITTQDNTPVAWAMSHRDL PHYBLDRAFT_171351 MFLMEKMGHKLQGLEKPAIKYFQMMEEMVPRVLGAAIAVAFKFS FFSVFKCFLTNSVKRNSSAVVAFPTALKKTTVSDSRSNEVALIMTYKCVYKCSQDDSS ELFLIPPAQILH PHYBLDRAFT_69555 MLNQTAANFASFTTTTIVATATLHTMPSTIPAIIPATIPASIPV PIPVSTSTSTNVHMDTHSLREERNRKNRAAVAKSRAKQKALARSLEEKLGKREIENEE LRGTLAGLKREAEELKELLCLSTMLCIFEHGL PHYBLDRAFT_69557 MLDQTSANFASLTITANTTTSASTTTSTTASTTTNTAVHMDMDP LQEERNQKNPAKIFGEEVVGEPCGAREGERGAPEDPCGVEARGEGVGGAPSPLNNVTF LRADRLILGSARI PHYBLDRAFT_69556 MLDQTAANFASFTTTAITATLHAMPSTIPAIIPATIPVPIPVST STSTNVHMDTDFLLEERNRKNRAAVAKTRAKQKALARSLEEKLVGRKKENEELRRTLV GLKQEAEELEGLLRLSEILHLCEQSGSFSPLLEFDFENKSI PHYBLDRAFT_171355 MLNQTAANFASFTTTTIVATATLHTVPSTIPAIIPATIPASIPV PILVSTSTSTNVHMDTHSLREERNRKNRAAVAKSRAKQKALARSLEEKLGKREIENEE LRGTLAGLKREAEELKELLCLSTMLCIFEHGL PHYBLDRAFT_171356 MYNSVHRFVSFLEREEQERLYAEKMFENFESLGNSLTKMFKERK DKDVYLQETVARPRQEINKKFEKLLYLLTMTCNESSVPLCVEIKNAQDEFGITFKDLL PHYBLDRAFT_171357 MARFEICNYGERTRLLEHKMNSSYNYRKKKEVRYTLDFFDLLLN IVLLLNIRALNISQRLSFGNSNGNTSHVFAPDVSIDVTSSANSNGLANPALPVVYNPP VLFKQQWINNTPPRQFTPEKSVSFADQKSSLLPPKKFKEPIFVTESPQNSYKKKKRSK KSKRSAGTNMNGDSPKNDDDNYNSNSNNNGDDDDDDEDDDDDDNDIAMDENDIRARTK HLSPKERRQLRNKISARNFRIRRKEYIGELEEKISQQDCVVRDLREENRRLNEVNMEL FQELQQWRQGTMNIPSLASPPSSSSSDSHSPVQAGTNMADPGNILHIDFDDLYDFGPF NNILTNSTYLSYTVIPDFDFFRNMSGKGSRLNICDANETGDNDDVELIRTYPLLAPAL MSIVMHHTFTLNYAAYLADSFPYTQCTNTSDPFNPKSMVTSHKSGGVLSPEEWFSAMV ALQEGQSITENNAKDDDGDCFDDETTCCDYDDERCQDYLSKGVQALEAKAYVLNKWHS HYVMWKMCGYSQEKIMEKFAACVEKQEEDERRKQEAKKDKAQKKATKRPSAVVTSARS VIAFCSIASTLLRNPNSTHQIGRIVNQNKHINPKPCSKKGITFSSNSAGCSTTTKVFR PFGITITK PHYBLDRAFT_148531 MLPLTLLRFRGNRPYTLEYASRIESVEDFIRAASLLLPGRFEDA ELFLSILNLFSLHHTKLLVRAASSGFEPDSRHKPDQPRLAFNRNIEEHYDTRWATRTV AMSLSVLSYTEVVIEMLIQRRCSRQKRWRWVSCLEGIKAVLRLYLFFRTKHRMVLHPT HLVRNIDPSTLEASSTDKFELTTLDPRVGTPSTSNPDLIHSKDAAVTRPRRGWAIFGE ILWTLRPLIYVLLVMRDQSKHTPSKSDKTVGSGDNEKEQQKDDFDEDEDEDDDIERSW MPWMVSLGVDLLARVATRQQTMTPLERDERRRRDYLLLYYFLRKPFYTEFTQPILASF CDSTEHRPIVSILAAAINDYRPFWERLYFYTAGS PHYBLDRAFT_69551 MKRTSNSVRQHDNLQHAFSNQLPPNLLNKKPRHPVQQQSSFGLS FNGPPKHIRPPSSSATSLNREPFGPPLNASYNEFAGKTNDEVLRKHTEDYNTRQAMKT VLKQAQSVSYGFYIAKQSKSNNILVPAIPRKRIDDL PHYBLDRAFT_126427 MAKKDVAAKPSSSSGGKKAKKKWSAKKVKDKSNNLVVLDKPTHE RLFKEVPTYKLISQSVLVDRLRLNGSLARIAIRELEAQGLIKPLSRHHAQVIYTRATG DEKKPEVQAEAASDEE PHYBLDRAFT_69549 MSIRSKSNKQSDEESSLGIDHPNYGSVQRPASHLSSSSTASSDE SGSLKANSDADEPSRATRRKKFRIQQRHSPHQISRLSSVIPGSNSNEASTSDLVLPAL DPKAQEVIEAVTLSGPLEQLFMRRSNINLSTVRQKYRKSRKLVRDEKTEVLSIIQNIQ LCIKQCFNYNTYISAIKDFLLANQTIVLFVCLARFNGGSNVLYGISCRDEARIRYQSG SLLALQMEIL PHYBLDRAFT_69548 MFIGSFVRFLLCGTCVHLEYASFFMTGHPLSVFFSFRACIEATT TLPFLVSNFIEYGQFLYVPYFLRSWVLLLRIKSAMKIRTNLQMTDKPVDPLNTKLIHL IGTLIVLLYNGMSAFQYCEVTFGSNNYTILESLYVVMVTLSTVGYGDITPNTQASRII MMALIVISLAVLPSLITGVLDTLRKQSDGGGHVGRGAIPFILIVGSFTTEQAIAILDG FLNVENAESHLSVIFLDNNPPTEELKLMERNSMWGHRVQFLHGSVLSDDTLKRVQARY AKAIFTVSDQHAADPGKEDERNTVRLWSIFCYTVSNNVPIYTYNLSPSTAVYQKVAKE VICVREFKQYLLAMNCRCRGASTLMTNLLHQREPMNVYDEPWQAQFDDGSCNEIYMER PIDCFIGRNFGHVSWLLFKECQIILFAIKTRVEETDDCEILLNPCNNYIIKHDDICVY IAESPKERPDYVAKVIDSLKFNRNRYKNEYVPNADMQLPNDTIKEKQQQQKQQPPPPP PSSSLGIASGAVGGFQFQLKLPKLPARPSMAQRSTPSYDSFSSYQWTGGSTQQNYRIL KLPPDRHAMITGRRAMIARLGHTSSSPTEDTSLPQCYLLDESPKLSDVMITDTNTISG HVLVCLHREVINIFKFVYNMRSPTIKPEDLQDIVLLCQKQPKAKTFDLISMFPRVFFM VGNCRHPDDLLRAGVKRAKQVVVMSEKECLDQYERNSDSPAVMTSHILDLLLQERPKD SYTIVNLVEKSNIKYMHLLQGKDVAEEIDVFYTPAYAAGDVVADSLISNVLLSQTYYK PDIVSVLKSLCGMPCPTYDPSPGQISNDSTTTGGGPDVTSSSSLSSSSSSDRMKSQFL SSILLPQVFVGKSFACLFETLLLDYDVLPIGLLRATDEIFGNELPFVYANPVPSLILK ETDMVYILASPGWSFD PHYBLDRAFT_1170 MTIRLVPLGAGQDVGRSCIVVTIGGKNIMFDCGMHMGFNDERRF PDFSYISKTAEFTEVLDAVIISHFHLDHCGALPHFTEMCGYDGPIYMTHPTKAICPIL LEDYRKIAVEKKGETNFFTSDMIKQCMKKVTPISLHQTIQVDADIEIKAYYAGHVLGA AMFYVRVGEESVVYTGDYNMTPDRHLGSAWIDKVRPDVLITESTYGTTIRDSKRSRER DFLKKVHACVLKGGKVLIPVFALGRAQELCILIDAYWERMGLKVPIYFSAGLTERATQ YYKLFINWTNQKIKSTFVERNMFEFKHIEPWDRQFVSNKGAMVLFATPGMLHQGTSLE VFKKWAPDPINMVVLPGFCVAGTIGHQVLQGRKLIEIDRFTKVEVNLQVRNLSFSAHA DAKGIMQLIRHCEPRNVVLVHGERQAMEFLKSKIVNEFGIECYNPPNGVTISIPTSHT MSAKISSAYLKTLLAQTSSSLSSSSSSSFSS PHYBLDRAFT_171363 MFCSKGYFCSHYTAAFFCAFLFPMYFSKIKISKFSDQILRNTDS TNKESFLHIFQNGGWGVGGPHGCYVFYIRKYAIPKTMLRFTRKILGVIIIMTCITLVS DIRLESTFVAFGGCTFFNQGLELLRLFGSSTRLSRIEPEKEKLPFVSAVNREL PHYBLDRAFT_24224 MSKVPFALSWLIRTAENYNCAASQFCLAEAYHDGVGVPRIAGEA FKWYSKSACQGFSRAQVMVGHFYKEGFAVQQDYRKSMSWYQLAVTQNSPDAIYSIGCC YSNGIGVSQDPKVAFKWFKRAAALNYPPAQSAIGSCYSNGIGVDQNPALAFEYFRLAA EQGYAWAQFYLGMYYHNGSGGIGVNVPMAVHWYTKAAVQGQSMAQHHLGFCYQNGLGV TQNLTDAIKWYGRAAAQDNVAAFHSLGYCYENGIGLAVDSFKAVYWYKCCADLNHPPG LISLGFCYGEGIGVQRDNREAIRCFRRAADLGSATAQNLIGFAYEKGLGVSKDLKKAV EWYLKAAQQNYSISQCNVGYCYSNGLGIDKPDQTEAVYWYSKSAHQGNARAQWKYGVH LLSGKGVRRNSKLAFEYFVKAAKQGLLKAQYHLGLCYENGVGTSVNYNQAIIWYERAT LVSVSSVI PHYBLDRAFT_171365 MAVVLPFILVGAPIGYFTWTGVFETVDRQVSQLISPQTPDSSVT SPSGVGAVCGVLGSSVVLTKVLLGSSTRHRLFFGPPPPNTSLRMATLYKGFEFLLRSA GIFYGAAAVGATTGRLAVSLRDKKIK PHYBLDRAFT_33326 MASHKIAKLSGASPADEFELSVAQALLDLQNNVPELKKDLAGLQ ITGAKEVELGAGKKAIVIFVPVPSQKAFNKVQARLTRELEKKFSDRHVVFVAQRRILS KPTRRQNPKQPRPRSRTIKAVHEAILEDLVYPTEIVGKRTRVAVDGSKTIKVFLDTKD ATSLEYKLDTFSSVYKKLTGKNVVFEFPANVDLF PHYBLDRAFT_182443 MAPTQSWKTRAFQAGLFTLAFLPDHTKAQCNPGPTNRSDFSAVY LNNAVYVVGGSKSTLNVMSLDLSNGLDITCPDWKSPSNAITPTGGFQPFAHGVAFAGQ NGLIYAQAGDSGTSQTSSVVAYNTTGQWSTAIISGKPLEERAEMTATTDPSLSNAFYY GGRTPSDDTLGGYNDLYSLRISDLTWIQYNLIYPYGTRPNRYAHTSTMVHNKLFIMGG IIVPTGNENLADSLADFRSVLVIDPYSSQSLTIATYGDIPPPKLYYSAVLGPDGHSIV MFGGRSSYSNSDFSHSQDVYVLDTCKLVWSKPTVSGTPPIARAGHEAVVYNQYMIVML GYTSEETTAEAATYTDDVGILDMTSWKWVNKISKQVVTSDTNNGGSSAANPTCWFNFP SFPLANQANGTAPVPYDSSVILNPFLAQENTSHEEKVEETKKKGFGISFGLFGFLLIL GAGIFYLRRQRRKARTLNPRWLPGGVSNSRPSGFEERRNDYPLFVYGNRVEKNGNEDN TVPATGATATTTATPPPTTGVRTYTASDNDEWERSLGNQHANPVDNQPLPRHVDVWDR MHSLNEDRK PHYBLDRAFT_171368 MRRSIVFMKHFYKMVGSQASVNRPIPRVHESCVTGNDDLPGNWI FTLNINISKRKKTELVTALLASHAPKRLHITVGDLSSRHHKNFSHENPNGSFIQKVTL KSLKRTQMNNII PHYBLDRAFT_69539 MITIPMVESQSENKKIYPGNVKDVLSRLLTSLNDASKNQLVIEE QLPIFEPVFVDLTKLMVNPNTLSIKNYSLIGENALKSATSIYLVKKHSWATHADLEKI FLLIFTNSRLQTSIGNKFKLDEFCDNNDDQEQQLQLQTDPVVMINRFAGVLYCHHGLS ALERWVKPLLDLFCPVLLKQLPRVMYNRLFGLVNEETENMAAQPAQIQFTTYVQRAKG EIVINNEQNSLFGRSLGWNAEVLYKLSPTSDWHTHSRHAINKRKARDLAMRDILAFYK SNPGLLHTHRLSSVNSDQANPDVLNIPPCDYAEEIIEASIPESLIPPISRQDDQQAGE THARKEREGDEQNCQMFSETDLDEEEFFIDLTGDNPVADKRKKPLLDCDDFENTTDSS NGTNQNVSLQRPSLIEILKSLRPPPIYDPVDLKVIKQTIYQKPKLEILLKQKSQERHP KDQIYQIKHYFEHVQINMSYDKSGPLHRIVFDAICEFKFQDLTIVTNGRGTRKSEAEI QAYHDLLVLLRE PHYBLDRAFT_100335 LPLKYLSLLTLVVQNSALILVMRYTRASVPEDKRYLASTAVVMS EVAKSLACMIVLYLAPQPHLRSISRLFQMLNRELIVNWRESAKLAFPAILYLIQNNLQ YVAATNLDAATFQVTYQLKILTTAFFSVVILHRSLSRTKWIALALLTLGIGLVVLPKD ASLAVLSYFNPEKYAENGLGDGNIGNQSNIEGFTAVLMACVLSGLAGVYFEKILKAPN LLWIRNIQMSFFSVVLGLLFVVLLQDGATIAEKGFYANYTGLTWLVIGIQAFGGLVVA VVVKYADNILKGFATSISIILSSIISVWVFSFSISLSFVMGATLVIYATYLY PHYBLDRAFT_171372 MPQIYWHMYTSLPTNNKNNKSYSFPDPDASQKNLLGPFVRGLMF FLQSFRAKRNLTGTTFPGGLTTLSPHFVCLSISPQVPNSHSSSYAEQYELLSLKCDAI LLNISLFIGLLSRIMSLSFLHQLIFPESGSTSWNHIEVFYAGKYFTVCSLVESRCRFP PENVVPLILAFFHYDCLSWKRRKSKLVLSKWDKFYGELLPLRDVRQKSRTEGQKLINK SMTNASNLCSNLSILNKLERKFNFQAKSILAVDFVGKYFLEKKEGVAVAILVEELVIP IKKSDLEHASTTINVLFVLKNYALKLAEEVAAQPTRSKLRCIVRYKEPITALYQAPQI RSSEFLQHKTV PHYBLDRAFT_69536 MALANSVNLVYNFITMEMNICLYCEKRLDDDNLSFCSGACQENE ASKSTFAHHAYRTSSERHCPTPSFRLSYKRRLGYNSLGKKSNRCSLSMHNTPFLASSS SSSSSSSSSSSSSTDSVLSVCSCDDKHDSPFLVYPSYAGSMDNVDNLFFAS PHYBLDRAFT_94293 SSFRTTTWDPLLIIAQIISLQSLSYLLVSLALIIALALTGSEIT LDAILSDQEIRMDAVSGWTLALVWFLHAFLAIPLLVLIVQRAKLILDFVLTMHGIHLV AVWIYRKQLPTGGVWWLLQLVHALIMTLGGEWACMRREMEPIMMTAKPKK PHYBLDRAFT_135206 MPIPVISPAEKSYIEQGVETDCRADGRGRLEYRHLVLETGLLSQ ASGSARCRLGNSDVLVGIKVEIGEIEQDQPNQGRISCNVECSPSASQQFEGRGADDLN NTLTLALERLLSGPQSGLDLDKLCIIPGQQCWVIHIDAMVMDCAGNLFDCIVMTTRAA LFNTRIPKTEIQDLGDGEFEFEVMDDVEDAEAIAGWENLPMSVTLYKIGERYILDPTI LEELCSQVTLTVGVNKTGQVCGVQKGGSGSVDPSLLTEMIQTATTLAGPLIQQLDAKL AEEEKNVLDKRRKGEPVQKLGFFAAVI PHYBLDRAFT_69533 MPSSLSSKLTRLKSLQLKQFVHRKNRGPPSPISPSTCQPIHTSS QMAIVPDDMYSPSSPTNVNSFSETLRIVSEEAKNGKYADVFDAFFYTDRRCQNRAFFM AARLKHTLWPLVSEALGTPLRNRTHSVQVPSNKNRDNNNNLPKTSISETTSRNQEDQQ IDAFWELVTHRLYTLNCLLFVFPSSSPFHDEAAMHLNQRLSNDNSGARETLFAVRYAL LRSHHPNSLSRHRHSVPSGRDHRDDDAVGEFLQSAQAVIARCLESEERLSQSERDAMY LFHGLRMGLYGSFVPHIELREASDGLQEALAEAVLHNQNKSNTGLLNNPFEDNQAIVQ EHNNDSSLEQKNSTSILSV PHYBLDRAFT_148547 METFEKKYNFRSYTKGIRPIQNLILQRVHEVRTFENGMATFTVK DKPASSMFRSNRPYHAYKKESMEVSDCEFPLKQHIKQHIENCPVQVLKDVKANSLGVP VVVPIVNGKFIMQKKKPTRKDDVA PHYBLDRAFT_79537 MQNTSPLTTMSLASNDFYDSPSGSSTSEPHDDLVNILNPLIDNS FFYKLDHLSTKNPMFFMHNQKNETRHDNISSAKHMDQSSPYNYHTPSLATPTIATQSR IIESLHPVNSPAESNQTFWSGFNNIFTNVTNNSIPPTIPSLAKNFSDYISHSPVHLPN PVYVPTSLSSLTPHVGSPRKCDESLDTPFSHNTLTPSLDPTTKGMQIRVLGVPQTGAK SRVETQIKLCIQLVTDDGDKSQWWSHLKLPRHMVAKERLKRQSLVPAVSNNIKMDSTD LLVKPERTLFLSARVICASNPSKKVVTCLGCIQRERKRSQRRKENRVKTDTDDEKSPT EDEESLALEEEKVLLFNCPDMVDFSSGDTILPTRITCYCRHHNERLGFCIYFEMHDHT GKQVATGVSPAIMITDDHKSNKSRVGQKRQRADIEAPKVPLMPAESYEPYQELSSNES NSGSRRFRAAYRSSPTLHALHEHKSPQPLSFIELSPPRNEEHSTNIVRHESYDIPVEP KALTRLMLPTVVSQGHEISLVSDTSHPKITAAVASNEGPQLKRIIPSEGPTCGGIEVT ILGSGFHPGLTCLFGDIEATSTHYWSQNTIVCILPPAAESGTAVVSFKEHPLPLEGDV TLFKYYSENDRALMELALQVVGLKMTGKVEEARKIAIQIVQNGGGHGHQASNETTSDS NTQNRHKDMSSSSDYTPEIKQSLSRYSAAKRHPFFVVF PHYBLDRAFT_187967 MQNVSPLLDMILPTDDASCDSPSGSSTSEPHDEAMNNSQPFIDQ SFFYKLDQLSPDNPLFFMQQSENRPRQSEELDTPMDAPSPLSAQASVSMSSPQSMSTQ GFMSAPSSIVEPLQPANSLPAESSQTFWSGFNNPCVSMITTVTPQLIVFLFLANYSPD QSSQPSPPPHAVSPIKYEPSLDTPFSQSPITRPLDMMKTPGMQIRVLGVPQTGAKSRV ETQIKLCIQLVTDDGDKAQWWSHLKLPEHMVAKDKLKRQVMMANAQNGLKVDGANLPI KPERMLFLSARVICASDPSRKVVTCLGCIQRERKRSQRRKENKIKTDNEDDNRSMEDD KSLALEEQKVLLFNCSEIVDFSSGDTILPTRITCYCRHHNERLGFCIYFEMHDQTGKQ VATGMSPPIMITDDHKSNKIKAGRKRPRTDVESHTPLISSGGYEMNSGVTAPNMLGPP RFRVPYGSYPNVQTLREQQFSLQPRPVDHVGMNHDVNHLANPNSNTMHRQQFDASSGP KIQPNSIVPSMATQTHPTPMVTENTVQQTVTPTPILNDRPQLQRLIPNEGPTYGGIEV TILGSGFRPGLTCLFGDAAASSTHYWSPNTLVCILPPAVEPGTVVVSFKEYPMVMDSQ DVTLFTYFNENDRALMELALQVVGLKMTGKVEDARAIAMRIVQGGNQNQQSSSGTSNQ DNQQNQNQNQNQNQTCLERHIIQVLEVMDIMEDVDSVDVSLTSGQGHTMLHLAAILNF SKLTRTLIELGCNVDTMDRNGNTALHYAAMFGHEDLAKILLDDGKADSGIVNQWNKRP MHLSKDNTMRLILHTYMPVDADEVSDSCGASVSSDMLGADVDTSFSDESSYDDDVISL DGDRVDRNEINQRWLQFYQSDSSASAQSELYEEGLRNRRRPTLNIQSIQYLEDDGDLH SPDEIMDAEAVHNNHIDTSSDTDDDGDMSKADLKSTWMQRTLSHLQQEKDKHLSYVTD NTFVQNIKNNMPAKPTELNLKTIADHVLQFPRPTEMIANMSVMFSQDQAKGSSTDEPE QTLAWYMALAYSIGAGSRSLPEQPIRPLDQKQPEMWQFDNKIANASSAQPSRPQPSSM GPEAIADQKRRDRRLFSLWVPLLCFAVIWLIFQFVSSHPGVIDFVVGISGFRHQYLRI PHYBLDRAFT_95526 SNILGVFGLSLRTNERNLEEVFERFGKLKKVTVVYDHRSNRSRG FGFITFGSVDEAERARDETNGLEIDERKIRVDFSMTHRPHTP PHYBLDRAFT_69528 MKGIYWSLFDMDCIGFMSRSGFDGKSLSDKNFTSAVCTVDTALE LIYERPVRAAKDSLALVGIATKIDLSTATIYRLDPGVHDVSVACDSLRTGGGQNRKRY RIRKASTGNTYARGLIYNTLSTRKCSWNRFNEALRYILRNFKTIKGYYSTGFRELRYH CYRNKKKALDKMCKRLFTGSREYREKEVPRCNNNGEAKRPTLVASGTARLSELYGSIA RSTKRFRATLLNYIESTRHNQAHAAKCVVMIDEYLASQIYSGCHIKKSVLFYVKPIAS MTQLIYVLHSSKTLRT PHYBLDRAFT_69527 MLLMLFRNSLREAAKGSARVDSFFLPIANSSSAETEIGLDEESD SADEVESKEEFKSRVKDAIIDLSKFAVPVISSTSEQQKLGVAEMGKYEGAYHYLYALI NTDMKKMAASKFASDIVYKQKSTWYRARKIRQHAKEYLETRRISLGAQGKHAKRVSVL DDEDIKQQILEWFRSQPRAKRSIAGLSVHLKEVILPKAIGSSLLSDELEIEGSAIKPL STDCLRRKLISWGFHFKHLGKVVYFDGHEREDVLTYRNAWSKCMMEYYQYSEKYDNVT PSLVSYPQLPEGVKQHVFVTHDESTFYANDYQKYAWVEDGESYCLPKSEGRSIMISEF QCPCHGTMRGYVGDQYKTSRVVFYPGAQYEGYWKKYEVIESRLHKNKKYKKYFIGLRG ILQQRSMYRNEAERYSLKRSCNNVAAADSRCCTIHIMERQPDFANQKSALEEIVEGSG HKFELYPKYHCECNWIERYWEAAKKEARRECDYSFQSLNRKINSFLDSVCPPEDDVPE KIRRYFHKSFAYINAYSLGHDAEHAFEIVKQFSKLHKSHQFSSIKNLRNSIDFRDLED FRCFKSYKGYKGYDGYEGVMMGIRVIEALIALRALGALKTSKTSEILNNSMMSETFLL SPYPFYYAYTVYDDNCLSN PHYBLDRAFT_182449 MPSRIFAHVANLLPKKHKSRQTPSNTMRDLHQLFAPDGIVIDRQ PKLDNICLISVYSSDDQEQSNWNIRKLILDGMIEVNDEQLSILQRHFQNIQHLTIRRV TLNSLNFGDTTDWSLWKSLTELKIGLDIITVPNPEEEFLAILSCLPRLTHLEVTRPRA IGSPTFTFQAIETLHQRLPELEYLSLSIDLVNLSPDDLLNIANVKPATSLVLFQPNKI YSDHRWLYYFVKKYPNLHTIGTMLFEGTRRSDTFYNPDEYQDIITSIFKDPLSGFPCL TRVRALIERHAEPAQIAFWDLFCSLNVPLTHLHCCFDIVETHTNTFENRIKRCMYAFS KTIAKLYIHARFSPYDPWVLTKEFTEYPCLSELIIGVHRAIIELDTLLDHCPVLKKLN MSSESLILSPSTPASPVKHNLQILDVVNMEISANVLDYVSLRCQGLKHMRLNGSRITG PVDPKTGNLYIDMSYTDFDIIQLTSICFNTSEYGNDPRTNINILKFVSNTTHQKAEEP TKHQTKPKLLLRHRIFREANTDNVSLNENDSGSNLSSKWYYMFYGYISQSKYSTQAWI LTKEEVEHAQKYFSNFEYERSLAEKTEDYYTGNQESTKDNWKLDLDRGYVTWKCGNVT EFSLNQPSNDDGLSMKNIFDDLH PHYBLDRAFT_148554 MDSYTEKVLPFKSSPSFLEKTIAHNGEINMGYLLQNFDEIAYVV GTKHLDIPSCLHRVPSHILTASVEHFHILHPITIQDIKISGHVVNVGTSSIEALVKAE IISCADKSLGTRMKLKDSTINRIAAPTPKTILIAKFNMVAVNIETAKAVKTNSLITTN LRERRTQELANDIETSTDGKPETSKGANNIGANAFDKKKNSMGEYKLIRDTALQNAFI VTPQDRSVLGYLFGGKVTIFVISYWPSRMFAGSNVRMYSLDEAKFHSKVNVGSTVKLT AQVIYSSEDDNMFQVKVVYSLSDCNGTFGGAEAMTANFTFLSLDKKIKNVVPMTLKEN ELYIEEAGYSTACQKGDL PHYBLDRAFT_171385 MDFSNIQCSSLLPEVEDLHIEVLGIPEGYSSRVETQIKLNLRLL DRDGVRSNLWHFLRVSENILVESDFKRRINRFYEYEETFSLLSYEYNVLVLEANVICD SDPYKKVSPCSYCISREIRYKNKADKKRVGRFNSIKFSSLTSLRKAEKELMRFNHSST EKKAREIILICSKPLIKFDYGEISLPVRITCFCRHKNENLGFSMSNYFCFRVLFSMKN YKGDVVATGISPAIMITHKYRAIDKQGPNNKANQLYENGEQLTTADLLDLEPENSHFM ARHQHSNNLTINHFQNSIKAFTDSNYKTVEPYTITNTKNNSTIISPANIKAIQGFVYL DYFNTNTLYSNKLIDAATVVDSSSSFQEYFGRKPKCWYTEAPEEYSNILGLNILPAIE DAGLEPSQILNVECEDESQLYDAFIHSIPDKYVGLDAISNFLEQDVDALLDTHDIPWL PHYBLDRAFT_187970 MKINLGHKTHYNMRLSKTALFAILCIFGLIHLSTAHDDLAPKLT LEDPKPISFVKNVLTTPKDQNYCNPVGQIKDTCCDFQSVEDMQRGIFDKIQDLVKTRF FRYYKLNLWRECPFWDEDGLCMNKDCSVETTDESRLPKEWTQEALSAVQMSPAGLGFQ PFKACSYKDQDYCLIEDQSNENVVYVNLLDNPERFTGYAGVSAGRVWKAIYEENCFNI VHKMTEGCKTCNNMMNIAGSAGNPLKVAEKVQQTVKEVGPGFAHVPKDTEKLDQLLSD LSETTDGGENDEEVCLEKRVYYRLISGLHSSISLHICDEWFNRTSGEWAILRAVTKAG HFLDQYDFYTGDDKEDIKVKSMVHDLIDNASSCAPTFDEKTMFQGPDAKALKLEFRDH FRNVSRIMDCVGCEKCRLWGKIQTTGLGTALKVLFSYEDNQLNPKRTPQLLQRSEIVA MFNTLNRLSESLRAIQRFRKMYLDQVEEAEKTKQAEAHSLNNTRASVIISRFISAVAH TCSHAVATLERWGVPVPTYFETILTPLD PHYBLDRAFT_85885 LLGKGADPSFDKLFISLASLASSQQKAVIDAVMRWRSEMSAPLS RTREVESVLKERQSLSSVYILCRALIEIVRRFSADTCPESVGENLEEIVFIQLKKPDP DAIKRSANRTASMNLFAELIGELSNIRFASVSDRFIAELEKYNSHTIMKERQSHMEML IRGMRFLKIKIYPLDALEETADFLSSCASFFKNAHGAKVKHAYANLFVQLLLPIAEVA VAEVNFPAWVKAVDLMYPRALKMTLKPRHILGGYPLVTTLLCVSRKEFFTTNWLPIVE SCYQKFNKDKYTRQMAIGCISRLVWTYLFRCTESVSASFKKLDNIIKNIFPPFRRAVY PSEIPLDHFILITYFCLMKDVDTAMKKVTYYLLNTENSNSLHWETINPERVIIGLRAF RMMLSDLEKNVLRPPFPGDPDMTAPGISISCSADVFSGPIRAVKAEVMEQVEEIICKV LISLDQTFGRLLLLEEKNIVLRNITGSGTNTGGGLSANYSVPMFTNGVSMSSTSDGGP QIHHQYATFSVSYTKDKQTYFDVLTTILDSMPRLMPAGIPLPRLVEMLSRYTVHIDPE VIQAASQALLRIAAQIDSQTVVTGYSRFVYRIEDRCCDVLTSLANGPLTGGNYNGNKG VMKLYVDLLAIWAEQVDLSALKDLEMSSNENPNGTSKAQTNLIRLFNMVEETEANGLL FLCSQSSSARRSAIQIIKFAAQLENRLEALLEKEPHHEHLSTLIAQLNNFFSKSQKYG RLYDLLESIGQDLIKFDNDSNVLLGSKISIETRIRIQQHQRRGVKHVLIQIAESDHSA DLVIWNICFPKVFKFCFEHFPGTVALCRQNICMRLVQMYPFIMGSLETIKAGPAGTLS MAKSSNSGHKAASPEMVDQWRIYLVFACATATLSEDRSPTATWANSGRKGSTLVDKIA TPRDLFRMALKFVTCEHRQIREGAIQGLGNVNKATYKILMTDLQPFVRTVLDDGKQRN NQKPYQNKRSKKHDRLRISLMHLLELTAGCLSEKEHLEDKELMNMMMSYIKETKSFLT DVEVQLEWEYHKLRMHLCGTVEKLYENIMRLEEPTSVMSFETRLGLYKMFEEWCGYGM YANNTRARDAAMMRDVLEQCKDPRERGTMTQILEEERKSLETAALSAMAMLCRGPLYA FLGQKKARQAVIQFDLHNVFRWIDAVFESHDPKCHSIARRALEALLIYNQDQSLLLDD IIEQCYAGNPKLEFTQGYFLAFAEILCKVEDYPCHIHQVMSLALFKAGDSKKAIRKSA IALLRTIEERVFADSCAKEYEIGITSSLPAIYKYTQTLLSARLALDHPEQTYSMLSEI SQRFEHISPNSQREVLAYMIPWLRKIDLSVGPQDSELSASAYMVLSNLYYITIKFGDV YVKEVAALWSQLVDHGRNVRAIIMYLLDMGLEKRNPWFLIHAKRVFVCLGRTPAFNRI VEEVIAEITPRSMVPQLKEASIRHTHAFPLLFVADTEKVLPSYEKRPVFSRGQLAMVF LVDLAIEAGADLAPHLPLLLHSIFVQLDHLTSIVCDQSRCLLINLIHSIVIRQSIDSD IPTKATEVTQWLASKEGKRLWAYENITSKNPRLQSSEELKDLLNKVVDVFSHEDPGLR QKWGETALKWATCCSVRHIACRSFQCFRALMPAFNQHMLADMLARLSNTIADKSDDIR GFSLEIIITLTEVTTAMDKAQMDQFPQLFWAAVACLYSPYEAEHSEALLLLEVVLEKY GFCSELAESFPKNWSSEFDGLQPLLLKGLQFSSAEERTFSILSRIMLIDNPPLIDPSE TRLMYLLLGSIPRLLHALDDDETLDPSTVELANKMTQLFERYSLPDVQRILATYPKQK AKFQKDYLEQMLGSIRDIFLPKYGKTALMFSILLVKNKMPFYREKGLMMIENLVPYVT GKTNWPGGSNSPIPIDIASLEPLLQLIQTDFGDKALSILN PHYBLDRAFT_182451 MSSSQQLGRLIGLIGTLFLAHAAYSTYEHLAYLKAVDQASIDIP IEIIVECLVSAIVTLIGVLLSADSFKNILMEAEIAKQTIDKIDTRPSFITFNHRKVVS THSQLDRKF PHYBLDRAFT_126446 MASSTDKMETNPRGIPRSPFVERVEDYVSEAEPVEVVLKKFQEA VSKYKFMEVNLLQRRKVLEEKIPEIEKTLAMVGFLTEKQATDEPVYTDFELNDTLYAQ AKLEATNSVYLWLGANVMLEYTFEEATDLLGSKLQTAKSSLRNTIEDLEFLRDQITTM EVNTARVYNWDVKQRRLVRQATESS PHYBLDRAFT_171390 MAFELPIEILSKVAEFLSPKDKSRCIAVCKSWYTPFNMALWNYT TIFNQKKLKAMCDINSIEGSTYQKNGQYVRHLYLDRHTDASSHQLRVLQQLFQNINRL DISYFHLRKAGFSQTEYSNPWKALSELEIYLDFSEENITRGFLDVLSDLSRLKRLVCS SHFQELDTWAVKFGPKDIDTLHTKLPRLTHLSLHTELRILSEKELETINNTIPAANIT KLVVTCKVMDYRWLCYFARKYPKVETLRWNPNEEDENQRNKYNEAVLMFESLPYAFPR LKRLKISQGVENEQGYLVIWELLRPFGTHINHLSYKLAHSLDEVKVLSKILKSFTLLC FSTLETLCLRITSHSEKTSNLFDSFNCYPSLTDLKIQLYGTYVALDILLDRCAVPKRL KIQGGEMSGTLKDSVNVAPHGLRIIEFTNMKLDSATFQYISYRCRNLNYMKLDKICIY GGSAGKSNNLFIDMAHTHFKALILNRISFRLSYNYDGFKDSINLLVLSYPLEYFDPNI SKPANIDISNKTQHTIKSIWIHLSWSYTYPWRWKQDFRILGKKDADQIHQFFYPFDFK PFSKVYGNNRQLPDDYLYQDHSTNFSVKSHLDNKIREDLCRGHTSLDFRQIDKYSIGI KANDTKYFRGDIKCLVLD PHYBLDRAFT_69519 MNQDLQSKNSPPLVPKILSREQNRHFMIQKDNIICHTELYLRWW KDNHNIWGLDYQASQSLDLNLTEHLWWTTEGRMSNAMASTNNICDLKALVQQEWQRIG FELASTLAYIMQHR PHYBLDRAFT_79529 MSHSQNSLFRQQQQLYRSSHYLSKPKQPAHYTPPQDTSTVHGRK RRQSEDEDMIFSENTNTITTSSSSSSSSSSSSSSRGRNNSGSGSAVSASLPIEERIKR NRQDTVKEFPIQKLLATLDKETLIDLISNLVDSNPQLQSEIDAQLPEPTINSVTLVIT ELERKLTDLIPAESKTDDRLEDKNYERLKPALTSLVSAVLEYADHFCNRSDEFPGTIF SYLHYATCVIHRLPEWNSKEHNQLKQDAYETLLTFWKKAIDIAASKLQQGKIYGQQVV TEWAKQLSYHDTETHGLFEKPIQQFTDRLGWIIGLDSQPTPDSQPHNHSHNRTIPTYL PRW PHYBLDRAFT_24309 MAAKESQGFAIPKLAQDLIAGTAGGWAQVVVGHPFDTLKVRLQT QPSPPIYKNAMDCFRSLVKQEGPKGLYRGVASPLAGIGFCNAVVFMCNGEFRRMLQGN DPNKTLSLLEIGIAGSMAGTVMAFFNCPIELLKVKLQTQDPRGYKGVIDCGIRTVREH GAKGIYRGLGITLLRDSPSYGFYFVTYEGLKRVFKSLKSDPNAELSTTDLLVAGGLAG FGAWIPAYPQDVLKSRIQNEAKSQTIVQAFRNVMKQSGFKAFFNGVGPTMARAFPANA ATFFAYEMAMKAMDNQ PHYBLDRAFT_148565 MVAFDIPEIPAYVYEQNMFIQELSPIGGSLVGSFFVGLIPLLLV LVLLGVFRVPAYIASFCGLIICIFISIFAWHMPAQQAFQAIGNGIVFANWPIMWIVVN AMFIYNVSVESGIFDIFRRWMITNTPPDTRILLLIVGFSFGALLEGVAGFGTPGAICS SLMVSLGFEPADALVFTLIFDTTPVAFGALGIPVTTLASLTGLDVMDLSAMMGRQLPL LSLFLPLYALGFYAGFRAGIMECWPAAAVAGISFSVIQAVFANLVGPELPDLIAGLIS LLSLIIFVQFWKPPYRAEYEATVGKMRTQGDIEASAGVAPAPAENHDRPLSISSGISA APNTTEKAVGEVNKQEIETTENVMSYVKPTFRETLLAWSPWIIIVVIVIIWSFAKVSE KGQVLVHWPQLDQRVWLTLYGKPYAAIWTFQPLATGTAILISFFPFAAIVLWYGAHPR VFYHALKATYRQLHMPVLTVSFIMAFAYLFNYSGIVYSIGLTLASVGKAFPFLSAWLG WLGCFLSGSDTSANSLFGNLQVVAAREIGLSAVLMAATNSSGAITSKMISPQNLTTGV STIGLQGQEGRILRRTIIHSLIMVCLIGAMACVQQYGIPGIIPHYEH PHYBLDRAFT_69513 MNFYPAVSASSAFPKDGMKNKDEVVNVMKAYAIENNFVLVTARS QVPILHLKCAKGGAYKTRRDGDEKAKRITQTCRVGCPYLLRFSFRKKEQKYYLLKSLH EKEKYHNHPLSPKELSTLHEGRMATVTKEDFIIAGQLFTENSKTIKIQDLLNDESAPG KMLASHIIDD PHYBLDRAFT_69512 MSLYTTKYRQSYFPEPERIQQVQHKLGVDRPKIQEKRIIECVEK KTPQETFYQGVNTLPPSFLQSNTKRTLSESFSEESFNKKNRVEGPVKDLEECFFTSMR DRVEELVKQTKTQDKTNELKNKLLNVKTLPAAIITNAAQLAKCDRKVMRSHMEHRPGL KDVEEWKKFVSQNFDKIAEAVVGSVVKDTAKVYSSSTPTNKQPYEKMRTCTVQINSLF RSDLPPIVKTFVCTRLQDSMVTSTDYTLCFSALVNMIISELRTSEFFFDNNDINIKKV PGFNLAKLLSFVTTNEPKQTIQPLDKDLIASKRFDTDFKCLFTSQHLQVVHSYFFGAR GAKEENLNSHPVQNSLFCSFKESGLDKQSFCLEKASSSAMLMALETYLVNFENMWDGK KIINKLLDKVVLVLLRHHLARNRDSKRISTTTTRNPHEKKDIRNHARYVCCAEDKKWK KLVQRKEKAGGLEREKLATKINSAKQRLANLRHTFKKKISQMLNDRKEASVEHKLVVQ DLNIAEEQQDFLEEEGTLDGDVPERRLNQLKSIIKHLVFSNDTPVYLEDAKHQSPEAT TTEKSVCLLICNTLMKFLPPKKQYHVIAYQMYFCIFANDVLKYARYTKFTRALYPSTL FSSLSALHLDSVALYQLLTQNIDQEKLEEPSSHTNQQEKKGYSRMIFYGYNRDELIGS QDKARQNKDATFNAVFDMGEIQKACESYGLSFAHRMTCLPGIKTVRLLGSKIKTRGTV KEGTKQSYEARILRNPSIMQEGRKTKDILFSELQSLTEEVKTLESVRKRELDLLKDSN FQRKIKEYSRSPIEKCDKGVTKAEDRTVVNPGYFNYAGTDNGLVNMTTSIPMSLQRMK FHLKFFNYYTALRKVSNEDSIGLNLSKEEESFLHLPSVTNTKTSDVDVRSIEDSMREM ESTPITSIGMTIKNVRDKCEQRRRLRNFYNSPKIINQKRHVEIQHRYRHHLCRRERLK LKHSENKSSSKKPLILFIGYRETGAGSRINGFRKYGGKWKQKIHGEAVTVYITNECKT SQTCIFCFSLLTNPRILGEKEGSYKVNKGIFLCINPRCITVKNRCASKPRDGLSALAI ALVGLSSVMFGAALPPFYNVSQITAKHYTKNNI PHYBLDRAFT_5502 VSVASAAQVQDLKLFTQLSANAYCRIVVPLNQWTCDHCSADETL VSTFFTVLIDTNGFITRNDKTKTINLVFRGTNSFQNFFTDITLITQSYPPVKNARIHV GFYTAYLDVQKSVISTMIEQISKYPSYNVAVSGHSLGGALAVIATMDLYQRDTRFNSN NLFLRTYGQPRIGNPDLGYYLAGTGIEYKRTVNDRDMVPHLPPLSSDYMHVGTEHWKR DDGSVKICTNFESSGCSNSIVPFTTIVDHLSYFDINTGLCL PHYBLDRAFT_69509 MQIRDEFAACECNVKIFAGGAVNTCTLAPMTFRVTQQTRIYFSS SNIRKNHTVKARYSDMDGGQKFYHYHYIERVFFLLHALFSDISNAAYKNKLRARYSDN KEARTQIFQKIITISRLSLYRISLYRALTVSFLMHRKIKVQIQTENISGQFIIEWSSI PHYBLDRAFT_24312 MGLNSTTIDLSAASFGISRRADVYATAAKVQELKVYTTLSANAY CRSVVPGNEWDCKHCSTDDTLVYTFDSSKYDTNGYIARNDKLKVINLVFRGTSSLENF VADFEFIAQSYPPVSGAKVHTGFYNSYLEVQNIVVSSMIDQITKYSGYKVTVSGHSLG GAVAVISAMDLYQRDTRFTSSNLVVYTFGSPRIGNKEFAYYAAGTGIKIQRVVNNRDI IPHLLPQAIGYYHNGDEYWIKSNKAVKICNTVLDSRDCSNSIVPFTSVLDHLSYFGVN TGLCL PHYBLDRAFT_171401 MFSASPYIGKQKWILDIGSISVIGIITFLYKSHNAYSSIKQVIF SGKYMGMSVCLKKPSYSGGLYTTGFLVDGGYLSEKIKDVDDPNCIMCDLLEYSFPIIR IWEAHFCLVFDEVGFIPSRITTIIYSKSEPQKRKK PHYBLDRAFT_115329 MKSNGPLPANVEQKGGMGLNSTTIDFSATPSGISRRADVYASAA KVQELKLYTQLAANAYCRSVVPGNKWDCKHCSKDDTLVSTFKSSKYDTNGYVARNDKT KVINLVFRGTSSLPNFVADFEFIAQSYPPVSGAKVHTGFYKAYLEVQKDVLSSMIQQI TSFPNYQVVVSGHSLGGALATIGVLDLYQRDSRFNAKNLAIRTYGGPRVGNPTFAYYV TGTGIDFERTVDRQDIVPHLPPQSFGFLHPGVEYWIRDNDNVRICDDVLDSAECSNSI VPFTKLSDHLSYFDINTGLCL PHYBLDRAFT_148574 MKFTPLSIITVAILLVSSPVSLAIPAAKNTFNNVTQPFSIPPLI AERVTMPTILPAVNLFAEKMALIANGLLPENVAQIHGLGLNSSLFDFDAAHSGISRRA NVYATAAKIDELTKYAQFSANSYCPSVQASNWTCTYCYKDDILVSVFKSSKYDINGYI SRNDKTKVITLVFRGSITKPNFIADIKLIQQDYPPVPGTKVHTGFYEAYMDAQKEILK AMTEQITKYPDYKTVVIGHSLGAGIAVLAGLDLFQRDSRFNAKNLSIITLGGPRVGNP YFAYYATGTGIPLERIVNKRDVIAHMPSQALNYLHFGTEYWNKSDNRIKICDDALDSP DCSNSIVPFTSFRDHFT PHYBLDRAFT_69503 MKLTSLSIIAVVMFFVYSPVSMATPTANNTLTTNATRSFTVPPV IAQRATVPSEFSYLDMVGQKLDTKINTPLPRNVDQADSMDFNSSAANSNHVNSRMSRR ATFYASYKKVKELKGYAQNAANAYCRSVVPMNTWVCEHCSRDESLVSTFKSGSLDTNG YIIRNDKTGIISLVFRGTSSFANVIADADFSPTSYPPVKGTNVHNGFYNAYMSVQKDV LSEMTQQITDYPHYRVVVTGHSLGGALAILGGLDLFQRDSRFDAWNLSIVTFGGPRVG DPAFAHYVVRTGIPITRVIHNQDIVPHVPPQYLGFLHPGTEYWITDEDRVKICRSELD SSQCSNSIVPFTKLRDHLTYFGIDTGTCT PHYBLDRAFT_115387 MATQGDPFQNVPLTSLSLTHVEFSSEDKIAYAFAYITLLPLAIL IFYASVIVSRRELAGIFMLAGQLLNEGLNAVLKEYLKMHRPHGHLGTGYGMPSSHAQF IWFFAVYGSLYLKKAIHLDNSVWKVLVSFGMFGLAILVSVSRIYLGYHTSGQVAVGAS IGSIFGILWYCLVEHVIRPSGLVELILRQRFAKLVYLRDLRSVDNVAKLEYQQWESFV AGQKPKAA PHYBLDRAFT_182458 MATVSAALKSRVRRPALLKKVMTAEDTLKFFEPGQYLGWSGFTG VGYPKMIPIAMAEHVEKNNLQGKWKFNLFVGASSGAECEDRWAANDMIDRRYPHQVGR NIKKGINAGRIRFADKHLSMFPQDLVYGFYTKDKPNKKLDVVIIEATAITEDGHIVPG ASVGATPELIQMADKIIIEVNTRIPSFEGLHDITMCDLPPYRKPYLVMSTEDRIGSQA IPIDTDKILAVVESNRPDCTGPNTPGDEKANAIANNLVEFLEHEVKMGRLPETLLPLQ SGIGNIANAVIGNLAKSNFKDVTVFTEVLQDTFLEFFDSGKLKFASATSIRFSPDGFD HFYKNWESYKDRLLLRSQQVSNSPEIIRRLGCIAMNTPVEFDIYGHANSTLVCGSGML NGLGGSGDFLRNSKLSIMHTPSTRPSKTDPNGITCVVPMSTHVDQTEHDLDVYVTEQG LADLRGLCPRDRAQVVIDKCAHPDYRPLLQDYLDQATKQCLARGMGHEPHMLDKVFKM HTNLLENGTMKIDSW PHYBLDRAFT_135227 MATRAPVTVPCKYKTGKVLGNGSYAVVKEAVHIETGQYYAVKVI NKQHMEGREHMIRNEINVLQKISQGHQNILSLVDHFETLNNLYLVTDLASGGELFDRI CEKGSYFESDAVNIIRTVCDAAAYLHDHDIVHRDLKPENLLFRTPDEDADLLIADFGL SRIIDSEKFHILKTTCGTPGYMAPEILTKKGHGKPVDMWSIGVIAYFLLCGYVPFERE NNIQEMNAILRADYTFDEEYWAGISEQAKDFIRCCLTLSPERRITAHQALKHPWLDNS AAKTKASNENASPEQQQDLLPNVRKNFNARRTFKKAVDLVRLSNHLRQKHSISMGDNY KSKGNQVRPVSESIEEVLKTD PHYBLDRAFT_24302 MENLVKVQKESTWVTNHRFKSFAPPRNLAKAKWFIDAKDYFEAV AEAILSAKSEIYIEDWWLSPELYLQRPPKENDEYRIDRLLKRKASQGVLIYIVVYKNQ PVLPLDSQHTVDWLSNIHPNIIVQRHASTTLWLWAHHEKILVIDHRLAFIGGLDLCFG RYDTQEHTLSDYGGPHNSDNQIFPGQDYSNPRIKDFKNVSQYNISLIDKKTTPRMPWH DVHVAMLGPPARDIARHFVQRWNFVKSTRAKDRSDLPFLMPKGEYVSSRDESKFRGTC RIQVVRSSAGWSQGVPREHSIYTAYMECISKAKHFIYIENQFFIAATHPDDKIIKNKI GEALVERIKRAHWEGQKFRIIVVIPCAPGFEGDFNNTDLRSMSLRSVAHYQYMSISRG GNSILERLQAVNIPAEEYISFYSLRNWGKITSTTTNININININSTSTSDNAQTKQQF TNEPFVPHESSILSRQPTIETAAQTGSDTTLSRGFESKTEKSDRNMSDGRLDYVTEQV YIHSKLMIIDDKIVLCGSANINDRSQLGHRDSEIAVVIEDTDLVPSRMNGEPYMAGRY ALTLRIELFKEHLGLLQGPKGGHFPLQDHTFSQHNNNNNNNNDGLSTEDRLVLDPLID SFNSNWKKTAKRNTKVYRHLFRCVPDDTVHTFERHRKFVPDTANVHAGHIANPHLHTN DEILNKLNEIQGHLVEFPTQYLCDANMTASIVQEAAPPALFT PHYBLDRAFT_148580 MAFAGSSSAKPIIAGPTVRMAATSPANGPSVAIKNESSFCLFLP PRPGLEIAPYEDNALPFCTAPNLVPHSKPIPQGFITTAHYLKTSKYEQVTGYLNISKY SLKSSDGGGQYDSHAGRKPIGAFCRGYDFFVSMIEPDLGRFCIRCCQDTLDCPTGRSE YGCLRIISGDYSKLGSNSTRSSRNTTMSSSSSFSYKDDSK PHYBLDRAFT_171410 MAKIVDRAIWNIGPLFIVIAVILVDLCALAYYLVVFPYTYNWSD ASILRRIYIIATLAFTFYMVYCIHFHYYMAIRTPPGGTADSGRTSRPDQTSEEQEASL RQVLLEMEEYDEYPRTCKKCHQPKPERAHHCSVCNSCVLRFDHHCPWIHNCVGHFNHR YFVLFMTYMVVSAAYFAVFSWRPFIISIDFVNTEWPYYFPRPLLAFALILAICMGLAL GALCAWHYYLILTAQTTVEFYNNYYEKGLAKSEGEIFVNMYNFGAKENLKRFFNIGDR YRWYTVFYPIPIPPRGAGRVFEKCEEFYQLSHSRQRNQIRANQEVQDLDDMKDI PHYBLDRAFT_182460 MTPINHNYNHRLEWNPTHPLPSHKRPMVAPHTTNPRQSSQSSFG NTLPKVAVPPASVARRSNVSSIPTTMSTIPEHSQPAPLASQPQQQQPSTPPQTVSKRQ ARLEARLLEDDVPLALLAYKKGYTPIHGTQPSHNEPQVNQMMTVNKRTSLPPSTGTNT NNVISSRHHNRNNNHNHNHNHNHNHNHNHSHSHSHSQNQSSASPSSSSNSSKPLASSS HKRSQKRPSSADISKKPLSSMTAKQRHSMNPVLLPAEPLISDTTQPQTKHKRWFSLRL PTKPFPKPTTTAIAA PHYBLDRAFT_126461 MQAQPFADSHHNQPFPIFGLPNTALFENTMPIRGQKSEWKPNND QEKRKWEELGDPDNNNQANKLFQKEESEENSAFEGTLEEQQTRRKEQNRAAQRAFRER KERYVKELEMKIERMEKEYTKNNDALKKENEELQALVKSMEADIYTLRGAVYAFEISA NKLREAGIDVSLENSQTMSPPVSGPQQP PHYBLDRAFT_41096 MTRATETFAVMAIVIVAYIVLFFGFVPLPETIQDKIIPVLPWWG LMTFGSYSLGNLGWHIMTFSDCPDAFNELMHEIQEAKRDLQSKGLVL PHYBLDRAFT_115328 MLPDFRNLGNRHQGLQTELETSDANEILHALEAAQKKPVVNPGL RHSSTLRRSYTGRSGTLKTPKTPAAGSSHGSHAPRIPDWFRVGWTSFSTKRNPGGSLN LRATEKKDNSIDECLETLYFGETWHHSGIIFVTGFLAWLFSTWGCGLPTIIAGAMFLG TYYHITNRRFLRNARDDIQREFAVARVEEEPESVDWLNNFVEHFWLIFDPVMSAYVIE NMDTYLVDYLPSFLDSVRLTTFTLGSRPFRIEAVKTIPTTEPDTVCMDWTVAFTPNDV SNMTQKEIELKVNPKVALNIRLGKGMVGTAFTVLVEDMSFRGQMRVKMKLISKFPHVK MVEACFLDYPQFDYVLKPLGGETFGFDVNNIPGLQGFVRDQVHAILGPMMYYPNVFAF DVEKFFSGELDITNGVLAITVYSCSTIDSGDSTLNPFIRFFLDKAQELGRTSVRENTL QPIWNETHFLLLNNLNSIMTLELRNHSSSNKDRRIARANFDLKDLEEDDNEIQGLDLL LLRHGKPLSDVKVDMRYMPVSKPIPREDGTIEPPAESNSGVLRFTIHECRNLGSTKLN PYARVMINGAEKIKTPVFKRTSNPKFERPGEVVVLDKTEVSIRVEVKDSVNFAEDVTV GVWTAYLVDVMQQQDGRNYWWDLKHGSKDVGRIRFSVQWKPVVMEGLTKAMCGNGYSN PPIGVVRLSFWEAHDLRNVEAATGSKSDPYIRVLSGTQIRTRTEVVDNNLNPEWGEHH YVPVHSIREDLMLEAMDWNAKSKDKTLGYTILHMKDLIRQRTKEHKNYIDKWFEPLVE KLER PHYBLDRAFT_159578 MEGSVMINLIEARGLRGVDKSGTSDPFVRVRIGKTQAYKTKYIK KTLAPVWNESFKYSVPSTPISIDFKVKDYNRFSSSVDLGECQWNLWSLVRPEQSTIFE GWLPLYPTGSGEIHVRIEFS PHYBLDRAFT_148586 MTPSSLPPHYAAQSPPGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFS ELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_69492 MRMNGALKIKQFKNSPTIVTFYQLRSGSSCRSARISVLRQIDSW GVGVRKPNYEKIYNRMKKMTNLLYMFNSDEKASIANWMNEKLPERNYCIFTGDLGVNN IESNLFASGFQSPVQVRVTRIATSFCLDATHGISARSGEAMYSLVTQHNVTGKGFPVA YMVINDQTVRPISQWLVHLRERSYFHPLNITIDCSISEVNAITSAFPHVAIHYCKFHI LRAWQTNLDNKLHFLRYFETRRTGSEVLLKRWGRPYVDDSHRRYLTNNYIESWHNQLK TIYFGRARIKRLDCLLFDLMNNVEYFYEQEVDFIHLNNGKMRPSITVVNDLIECCSCP RYISRQVPCKHAFLLKRYYANHLAMQRPAVLAKEEEEVVIVDEEDSREDVVGAQNDVD TSIADLITHTTLLHHQRLNLKHMQTISDIDVSEINDMTRCVKELLDRIDNIRNRNRNS FRNMNTQFQ PHYBLDRAFT_171416 MWVKQLLRMINEISALTHSCLDIQTRFTKIVIHFRNQLPNRISW HELGIHVRAAQRWVKRYYEDPGSIFEKKRKSSRRRILGEDHKQFLLNYIDENPSALVI EVTESLTQIFAGLNASRSTIYSFMATERNLSIKQAQFQPVERNSEEKIQQRYHWVQKW QQTDLDFTTN PHYBLDRAFT_115349 MAAPVNEGRAALVSRDYSLPPIIKSRANILGKFPKVDLTAEATA KANNGPLPENVQTTDGIAVNATSGPSQAQTLAAIGNSVSIATEVQVQDLKVFTQLSAN VYCRVVVPLNQWACAHCSKDETLVSTFFTVLIDTNGFITRNDKSKTISLVFRGTYIYA NLYIIGTNDFDFITVDYSPVKNAKIHGGFYKAYLDVQEPVISKMIEQITEYPNYKVAV SGHSLGGSLAEIAAMDLYQRDTRFNSTNIVLHTYGQPRTGNSILGSYFTGTGIEYKRT VHDHNMIPHLPPLSVDYMHVGTEYWETETGPVKICTDFESIKCSNSIVPFTSATDHLS YFGINTGLCL PHYBLDRAFT_69489 MSLNTQVIYTKPPTGFPVVGETVKTSKSTIDLDAPLQKGDILLK NLVLSIDPYIRGCMRDPAIASYAPAFEYNSVLAGDTVAVVLKSDNPKFNQGDLVCGRT GLGYFEEYSHVKGTYADSNYVVRNDSKTNGLPLSYYVGILGMPGLTAYVGLNKYGRPQ KGETLFVSAASGAVGQIVGQIGKTLGLYVVGSAGSDDKVEYLKELGFDGAFNYKTENI DSKLTELCPNGIDIYFDNVGGTMLEHALDHANNFGRIIGCGMISQYNTQDQYGIRNLI YVVSKRLSFDGFVVLDKENMAYEEEFIKTCTQWVLEGKVKYRETIVDGIENTPQAVLD VFKGRNFGKQIVNVANL PHYBLDRAFT_69488 MHNLYFAATILLPKHKQKVSYEDIIELKMSIGPEISSSHRDMVC RWKGEQGPVATSGTQTGIGYGPLLLGFPTKVDYIISIKYINLTKLELELELELELELD PHYBLDRAFT_33299 MTNTDILFKTLEQQFLLPKDKLSPIIQGFMEEFKTGLKTPSKGL ATMIPSFVTRLPNGNETGTFLSLDLGGTNLRISAVELLGNGQVQVLELKRCPDTQLKT GKGSVFFDWIADAVKELVTVKASHLFTPDQVSGKETLLLGVCWSFPVDQTAVDRGTIL RMGKGFTLENTEGNDLGDMFHKAFERKGLNIRVSAILNDTVGTLVAHAYSNPRTRIGL IYATGINGAYPEKVSAIQKLSASIRDAYKPGTEMLINTEIDIFGNDSYLPLNKYDLVL DNSHNQPRFQLYEKMMSGAYLGELTRLVALDFIKEGSLFEGVVPEGLEEPWSFPTMYM STLERDSTVGHEKSLETLSGYHFVNTPTLQDIAILTRICRTVSTRSASLASVAIASMI QQQNLIKGDQDIIVGVNGSTYEFYPFMEERIHRTLREWFGVEISDRIRLEVARDGGSI GGALIAMLCCP PHYBLDRAFT_115360 MRSLFFYFFYFSKYIYIYKSNFIILYNTGIFIGSSFVFKKKGLL QSVEKAGGVAGEGYGYLKSFMWWAGMILMIVGELCNFVAYAFTQAILVTPLGALSVVI SAVLSSIFLKERLTFQGKIGCLQCLVGAVVIVLHAPVEDSSDSSIATFKGLVLSVGFL VYVGIAVLISLILVFYCGPRWGKKNMLVYITICSLIGSLSVVFTQGFGAAVVHSISIS NQFTNWFIYIVLIVFIITLIVEIVYLNKALNLFNTALVTPTYYVIFTTLTIVSSSVLH QGFHASGTDIGTCVLGFVIICSGVALLHNSKSQPDDDDTGTMMDIFTAKEQPLQEGMS G PHYBLDRAFT_57762 MSLNTQVIYTKPPTGFPVVGETVKTSKSTIDLDAPLQKGDILLK NLVLSIDPYIRGCMRDPAIASYAPAFEYNSVLAGDTVAVVLKSDNPKFNQGDLVCGRT GLGYFEEYSHVKGTYADSNYVVRNDSKTNGLPLSYYVGILGMPGLTAYVGLNKYGRPQ KGETLFVSAASGAVGQIVGQIGKTLGLYVVGSAGSDDKVEYLKELGFDGAFNYKTENI DSKLTELCPNGIDIYFDNVGGTMLEHALDHANNFGRIIGCGMISQYNTQGQYGIRNLI YVVSKRLSFDGFVVLDKENMAYEEEFIKTCTQWVLEGKVKYRETIVDGIENTPQAILD VFKGRNFGKQIVNVANL PHYBLDRAFT_171424 MIFHEFLCLEAETFSFQSMHYNFCVMIYERKSSFSLFILNIRPC QEGDKLIVKDSVQVAFGYLNPFREYDYPQKKNPALEANIIFYIKAKHLPDVPWRYRAY PHYBLDRAFT_171425 MLGMKLVQSTKSLFVGKKELWKKIIKCTIAYEIGTILVLIPRVS PHLGAVPYLLPLGTLFFNPSGTTGNQCIEMLLNIGMMIIPAIWSAVLVYLCTLYNRAR LNGHEELYSNGAGVIAGIGFGISMMGVAYYRLKYPRLYIPAFQGFTTPMFALTKGIYE TSFNIMSIVGIFYPIIMGGALALLINIVLWPATASKSFETSLEDVLCSINQILDFVHT DMLKNDDTGIMAGDLELARKLSTMSSKLQAEMTKMNKAWSEAKYEVVVSHFYPRWYKE TVHSVEALCQNMLGFSLAIEKEARIMLDIKISRQLEESYRGIDDEKIAEYNTLRQRQP GVNNLNAYSTHMTNSLSASHPIKYLHIIHLQNLIQPELKQFLRACKNIMEDIRVKMAE RKAIPGYRTQSKNSLSARTSPEFLQGTESVCSLDKALGDIKATYILLHREFKDRSMTP MEEHYLVYTIVFTLIEFGKEMKNLEVFVDELLGHRKPGSWSRLFFPRVPLKKWLSRGG NDNKGEHDPSEQTLFSKELERVETRPGLRSEASSTSELRDTLDLTNRRESNSSLESNY GDFADEEYPLQNAPGKHVWNQWLRSLFDFFESGPTRYAIKYGLVTEILALMAWLPIPG VNQLYNENHGQWALLSAMVVFNYTVGSTMQQCFYRIIATILGAVCGYIALLAGNRNEN PYVIAVLVLVFQIPLWYFLLGSAYPRIGFISLLTLSVIVSTGYSNNTNESLFDPVWKR TVTSIIAVLVVMIVDNLFWPVWAREVMRKQLAALLNETGIQYSKVASLVCQENTSSYR YQSTLADTTTQSKRLWAQLQSARAMLQLSCTEPRVSKAPFPIESYQKIIEHEQKILYW INHMMRAQKLIRPEVRKGIMNPMNPHRKIMASIVHLYLFTLSGSLGTKSALPASLPSA EEARRKLQQRQAELWKEQYDTLYSMDTPKSHTECDMMVYWHTYAAGSVEVVMEQEAIG SVVAKLMGQHIFRAATKDWIET PHYBLDRAFT_69480 MSNLDIVPRDAHDPGYTSLAYKADGSEFVSVGSDSTIRIFKTSP EERYGDPIEINYISGNLETVVANKDHFAASGEKGQVILGDAKTNKILKPLFRSTVPVR CLAFNVSGTKLAVATDENAIRMVLLTDISRTVNIRGHTKGVKCIIFDPRGTLLLSLGC EGDLRVWDISPETSEPKCIKHYPGAVKPTHEDDTMNGILAWSLDGSYFAAPGKDCDVI IYERTTWKQKGVLSGAHVEPVTCLTWSKVGDCIATSSADCQIIIWDVSTLKVITKYTV SMEPSCLEWSPTKNELSLADKYGQVLTVDNAGTVNPNIRGPPKKNDDDGAGYTEHNAE KEMVQKKLEPLRKRPEAPRAPRVDFTPHPIVHPGETPFHKTSGNKDNVPEVGDRRYLA FDMFGVIYTIFHGAYSIVNVEFHDLTDHKNFHFRDFDHHHIAALGRKGAAFGSKSRKD EKEDDDDEEDNDEEKRVPSTVHYRPLDKGANRLEWTVPLPDGEEIETVAINDFSVIAT TTAGFVRIFSLSGTQTHLFHLKQVVSSVASADMLMLMHSPNQSANNIHHIEYRLMNTD TFETIQVGSLPLNSKKKLTWAGFSENLQPAVCDSAGVVFILHQPRRLGQALWVPVFDG PATAVRRERTERYWPIGLLKEELMCLILRGKNMFPYFPRPQVNSAELMMPTTQLDTET GRLEEKFLRTKIIATHEKEEIILDGGDENAIKKMDLEMDKTLLQLIQLACKAEKHDRV LDLSSALSSARSIDAAIKIASHFNLSRLAEHITRIKESKFMDDSMFDGFSMQAHHGTQ SFSTKRSSRDVDDDMHSSSSQAFHKRTRPM PHYBLDRAFT_103735 KPPVGSDEWHRIRRENHKQVERRRRETINDGINEIARIVPGCEK NKGSILQRAAAYIRQLKENEASTLEKWTLEKLLTDQAINELNRQVEVLKVELDRTRQD LSRQNEVLK PHYBLDRAFT_171428 MDKFREKLTSIHAELDTATKRADDLDEKVKLLEEEHEQKDNQVT ELQNRVKVLEEQLEKAEDDLKDTTKNYREADLRVEQLDKKAVKLEQEIKIWDKKCDDI EEKYLASKAEMDELEGQLEV PHYBLDRAFT_126467 MSTKPAILNWASKDGEFKRQQSVFRNHIEADKNATFAAEKDRYH LYVSWACPWAHRTAIVRKLKGLEDIISISAVHYLLGEKGWKFASAEECPGAIPDTVNN AQFIREIYFKANPDYEGRFTVPVLWDKKHNTIVNNESSEIIRMFNTAFNHFLPAEKAK LNYYPEELQSDIDSTNEWVYDTVNNGVYKSGFATTQTAYENHVFPLFKSLDRLEKILS ENKFLVKNTFTEADIRLWTTIIRFDPVYHGHFKCNIKSIQHDYPHILAWARRIYQMEG VSDTVNMVHIKRHYYMSHLQINPTGIVPVGNGPDLTIVPS PHYBLDRAFT_159581 SRLSTRSYWLTCSHHHANAQPLSPHLSSRQLHSFWSFALPHRAR NVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQMSIETQEHFLLSCPLKSAVWLGIW LEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAASVFGLTILAIWDHHWALHFKSAPFL PSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_171431 MLHHESSVMQRIINYPKNSRVVVSAPKGPGQHNFAFDDIGKTCS LCGKDFDRVWNLRRHLTKYHKLATHIANDISPQYADRNLASQRQTTNTAEPTAPDHND DSVNEDLHVESDLEDDDSSDVDDMNSDGDDNVSEIELDAGESIIEMDEDTSPFESPSP GNHLYMHIRNSMLSSASNTSSSLDADLDLLREATGSHTTWNQYTSDTHPFPDLQSMVL LAFVDGDKDMVSRRILKKILFTISLVLKLHEEAIRKKSPFKLPRLDALLNYHVRFLAA NPKKARNMFSLPDRTPNQSICLQQGEKWRTHWYYQQPMFTHNGVDFWSGDIVNFMNGS TPARFLVESFHTMDNSAVFVQGYMVYILEGGQFIGIEVESTSIKLETLLGVDSTPVDV ALCYSVSPGKVFHLIPRHKSLLEEPHFLKRHVLDETGKPIDPKLFYKVRISPIILFTD DTSGNRSKQYNPYESWSMKFAALSYEERSSIENIHFLSAIPKKKGASGMSLLPKIVED FKRLENGLVMFSAKDNENVLVASPLLWIEADTPCHSELCGLRAPTSLYPCRKCYVRLQ RSMPNLQSSSYYTGRHTARTKAHYLAAASTSGRGSTIPDAPLTGNALTASDLCFANRA TDALLELQSFDPSTDTPVEVLHNILLGVAKYLVNDLVKVVLKKNPNQMARLSKALKDY ENSQGMSRKFTRELRHCGSFLGRDYKVLLQILPAILVTEFANDSILSLITPSFVRLGR LCSLVFVRAVRYDYNMYIDEVEKAVTSLIQELHHYVITCEIEGHNPYSSKPKVHLLTH LPDDLRRFGTALHYETEKGEQFNKHIREHLMHTNRLNTSRDVCLKFAKQSAMRHIIDG GSWVSKDKMREKYGNSTAEFLKENFNDNVKNILFSGSRDFADNNDTDDITAKALCDNT FVVFMLKESRDQHAHPFIGKVSSLRVEHYRVESSPHAQVNNYLLAQKVSNDASTTLDQ LKIVCKLDMHTEFNNKLVINLSKFGSYWFFVSLFSNRQY PHYBLDRAFT_171432 MYVSKIFPNLISKISRGDSCTISPPQKLEAKYGSVWYSRNMIGL ENFSLCNFFSFITFHDHNVHSNMKMKGNLTDSLDSLDSLKVCDITHNKEFNGYTYFWA GVRLCINLTVTTFPGGVQYFYLTGLINSDYMSGDWRLSLILQGKTVCPQRNTIYSLYA LALLLCPYTLYSLISRQAPNFHYFKSHSMYLSNLIIRCCLIRKANSVYSISNPKYRLD NKEKRANRKYTISVTSYAKDYKELLSLDCDPILFDILLFIDLLTRIMSFFLSPTYSQS STAPADRLIPCRQKGHYLWSGRIKMLALTKKCRPPKLRVHAVQAVLPGCPIRLIYSII TSNNLNKLPNQERLEDDIREAELTDTESLRPDANVFELEQRRITFSTEYVEMKLGKSR SDTLKTHEDLLRLVNFCKDTLDKKDVKSMIAVQEVGYYVTIYLFSLEATGLNLLTELY SFNVPKSISELPQFVMRFDEIKIMLIFEQNDHDPEKLYVFLERAMLLSNPASERAGIN IRTAQGWVKRMDDDPEWDIYGKFMNKANRGESQLHEEHKYSLIHLFNEQPQVTRTNVV YILTAKCEDFSLKESQQITCRPVAKDSVKTTHQEEMVGREISQTDMDYLSNVFFNEVA FDIKIKTFDGKICKRNFSHVYYTIDSCSISHHSRDNLCHGCSEYQDYAYLCSYRN PHYBLDRAFT_69472 MTKSIFYEDGQDSDFNEQRENFFYPMEGILTEIADPNIVLETIT NQREYLALKLIERAIQEKNSKEINVVQSTKKPPSHTIIVPIHASSVLHVVLKKPPLKS DRSSQNKKIKKINNGKKRAFSDIVNEKTNVEEDENIDDNAKPVSKGTNTAHFIKFINE LLDVMDFDHSLKGSYIVMDNASIHKLDSMKREVESRRYRVMYLPRTLLS PHYBLDRAFT_69471 MPPHLLHPRPSHSQTRFCPGVKLAPPIHHVTIIGFERRSDQKIW FLIDVDPQQADPQNNTAGSRNLARKSYTIARRYEDFSHFSQSLQTTFSPARPPLSSIG RNSRQKSAQNQDTLVLPKIKGRIQILPNKQIHIQRRAELERFVDALFQLPTSITQSLL VLEFFGLQKADTEEQLWRDQQSSKLTKPTPKEPKRRLVRSSSVGQVKSVASGLPKSVS QPNLRQPPITPISLATLPVKSATHPLHSLHPLSLPLPLPSRWKRLRCPSIRGPMPVST TSTTSTSSVASTSSLSLFCTQAATVILPWNNRATPSIVPLSTPAMASSTSTSSSCASI HTSCSTNTCSTVNTSASPSPSHSTNGSPSLRTIKIKVVYDADNIIVIQVPRSIDLKEL QQRISQKLADPGMGGIVLDKDPHLLFNHTRSSASSTYQEDISGTDTIIGDQSDWTLAM HTQWHDLDKVTLRCV PHYBLDRAFT_171435 MAVIKPTIWKAQIIDRKGMKDVGLWIKITNYNSLVMTTQSLNNH STAGEQGWFRSKWAKSKGSPKQKSEQRQRKVKRILILLRISQFLHAHAQGRIGSLSWR TFNERNIFLIVWTIAIAPYPLGTCFVAIQAGTEAKATLSFLDPCVGAW PHYBLDRAFT_182467 MSNPEPIPFVHYYCDCPDFTSYSDSHNGRRSIEPSVKKDTSFEE KSQFLTVNDPIHLSRSSSTGTDVGASSTTATVTGQHLLSAQASNYVYPLSRLYFCEDC HQIRCPSCVQDEIVSYYCPNCLFEVPTASVKSEKNRCARNCFQCPICQNTLSVVAAQE SATVPAAAGPYFLACNVCRWNSQEIGMTFEKPTSLALQLQKNEEALPDAKEFDHLKEH FEKYLRANAPPALPTSFMSFSNTGAFNKMMGGQFSGDTQAQGKKDVIEPYVPSVQILD DDTKTLNSMFISTLSQRFTQLHDQPYQLSKIHPQRIHLCIKRSKRCRTCRHILIKPEQ KAQATRFKIKLVAMNYIPNITIVKMPRKPWLLQLGVPTQFVLKFTNPLYEEMSITLAT PQMRRKSATDSEGPQIPEKTNTKMMGKVTILSPHFTVGAYNETIEYDDELYSNGSTRK ASYGGTAGISSGGGASAGGASAGWSSGVYDRRNNYTSVVVEVVPEQYGEFKFPLLVTY HYKADDGRMDVSSGDIEMDLDDIDPDDSFSGSKKANSSIRMDDDRTKSLSFWCLIGLG QVSGDPENRPLGESFHH PHYBLDRAFT_24286 MSLGLGQLFYVLLCFINAVAVLSEDRFLAKIGWNAQSDAGFGSD QTQSIKSKMVNLISAVRTLMRIPLIAINIIVIIYEIILG PHYBLDRAFT_171438 MYGTTFVMCLVYYTSTKRNIIRKEEVSQITKGLRSKKQYLTCTS KFYTVLRILALERNPSNIENSESHKKKQKQKPLKELKLDEYQNLKANERKYANSSKIT PHYBLDRAFT_103994 RMLEDPEIQELISWSRSGDLFSVANPTVFSKIVLPQYFKHNNWQ SFVRQLNMYGFHKVNDMIHSNLTNETQTWEFRHPHFRRGAVDDLQNIKRK PHYBLDRAFT_148607 MKFYPAVSASSAFPKDGMKDKDEVVDVMKTYAIENNFVLVTARS QIPILHLKCAKGGTYRGRRDGGENVKRSTETRRVGCPYLLRFSFRKKDQKYYLLRSLH EKEEYHNHPLSPKELSALHEGRMAKLTKEDITLTERLFKENAKTMKIQDLLNDERAPG NKLTTHDINNWRYSFDQRSDIRRSTTPDTSNNEG PHYBLDRAFT_171441 MNAQSVYKIGKYDDRILNKFLLGIDQVAMASELPYEVVSLIASH VENDSRLTGALVCKQWTEPFLNAHWYSFGIFQIIADRICDTSNLENIYLNNAHRVCEL RLSDRSIIDMEYRPKLRQNYSGIKRLKYYEPNRRRSFTEIIDWSIWNFLSHLEIRFHC QSDIVLEDVFTSLSGSPHLIHLTLLHQYLLESSDIRNTVSWLDIELLHLKAPQLEYLN IDFAHIKISNYEVNNIRHVLPARTVTKAIFDNDKIDTSWVFYFALKYPNLHHLEFKNN GTIYGTREAYYEKTYEKDIRLLSTLDQFFPCLKNISLDPLNYNSWPFSIFYDTLRHFG VNVERAKIRVIHWDRAWTRSLHSCVEFSPKSLRVLWADFGHESVNPAYKGQIPSILPL YPCLVELHFMVVGGIEIDVMLDKCPSLRLLDIHFSSVRISEKPNHNPHPLQRLNLHNL RTATPIFKYLSFRCKQLLFMKLEHVEFEISESTKNREIFIEMPYTQLDTLILYSIGTY RGFVKHFVIKQEDNIDVSQLDLTNQQQPIRSSWYHICKGETKHFAWELGKREVEYAQR FFQDVTNFDSDENKIMGYSNISYSSSLKKDWKSDLKYGYFSLRVKSVKGYHLDKEELL EKSYSLPIMYLPSVQ PHYBLDRAFT_171442 MCERVSNSLIKLRFASDISYLIIPFCFPVKIYLTGIQTEYLTFM FYEDGIHGRIEDPIKEIIMSSQGYCRYRVDSKSLNNESRLFDKSFSFKQIYLIKSLSL RIKYGLPSSQRHSGYSDKSIK PHYBLDRAFT_115220 MPIRPSLDQNIDQQIAAILVVGFHHAYGPIVEFCLPPLPQSDHS PDNLDDANGLGQNQTSLEKLELPEEWSFLPFLALPDGAHQKDEDFAYFHLPPVPGWSV AETTLFGISCNRQIPAKDLLVTTPDITRSIVQKAVVVLARQPIFGPLRQKLAVITAAW FNQRDFTQIGILYNLYNSLNNTFYGPIDDSTLYMGTSLRELLHRFKGKTLMLLKLLLL EKKILFYGYPVEILCTFQYSLISLIPGLLRCLQDAGAPDLDTAESTLEITEARELANG TKESLLRYMGLPLRIFEKGSFFQPYLPLQQIEMLSSEKTRSYLVGTTNQIFFRHKNDT NIDVLVNVETGTIEFYNQQLASVVNPTMADRRWTEKIVKVVNNPARPLKNTFYGSDDY LRARFEEYVLSLLSSMKHAQASSNFSDNSRGMHHSDSSSEEVMRDDDRERNYLSDYGM SWIMAWRETNNFRIWNKYTDHEIYEIVEPG PHYBLDRAFT_148610 MSGRQGGKLKPLKAPKKKQFDDEDDEDLAFKKKQMEENKKLKEM QAKAAGKGPLLSGGIKKSGKK PHYBLDRAFT_69462 MLDHIHSTLRVSLKYLKLAPGTIDSLTNAIACVAYGLRASHLVD LHLPLDRSRDLLDMLKRNPDSKDLVLLQFGDRFTFFANVVRLRQHYETTLAKDTSAYI DIQGKQPVLRDCPSQLTDWLTHNLKPFLDNRPPRDILFLPTVPTCMVTLTGWALEYPV IYTSHQDSDTLDSELDEWEVRTNCLGGRCLNVVRILIDGHVLVHNNVDDHKNEHALRP AAQNTPKQETQMMLLSFSYPATLLTKEHQLKIQSDLDTKINHRLQDSTTAWLKAAQVK VIREQVNLDRVAL PHYBLDRAFT_135236 MPTNDNSGHHLFKLANGHLFGRKGYHKSAETAITYYRKAASLGC APAESVLGFCYEFGFGVTKNFVLAEKHYLEAAKSQDGLAMARLAFLRKYGRPNVKIDR VEAEEWSERVRHCPNAVKWIVEAATVDGDAAAQYALGVCYHDGVGMPKDDHAAFRWYK ASAEQGNARGQGILGYCYGEGFGVPKDEVEAMKWYRLAAAQGETVAIYNVGYCYEDGI GIEKNVEEAVRWYRLSAEEGNAFAQNSLGYCFEDGIGVEKDFEQAVFWYKASAEQGYP WAECNLGYCYQNGIGVPKDDTLGAHWYRKAALQSHARAQHNLGFCYQNGIGVPKNEPE AVKWYRRSAERKNIFAYHSLGYCFQNGIGVAINEQEAVYWYYMSAEGNHAPAQLSLGY CYRNGIGVPKNEREAVKWFRRSAEQGNALAQNSLGFCFEEGLGVKKDSPRAVYWYHKS ARQNNPWAQCNLGFCYANGIGINRDNTKAVYWYRQAALQNHARAQDKLGLHLQAGLGV DRNLDEAFDMFKRAAEQDHVAAQFHLANCYEKGLGCTIDLREATLWFERAAMAGCRSS HERLRRLLVRVCLFSPGSTVLSSNATDDDLICSGFISGHSAPAA PHYBLDRAFT_171447 MDLPDNIPGTFPESISKTTIRTDSSLLDSPPQTPPLSLSPPQQQ SPHVMADPVYRSPQLTTSAAVQQRRRLQQRPPRIMTIADERPPSRQALLWGHFKYMTI AFVRFALKHSHLALLINLFHHSWNARSFLVSARHSRIDRDRLGTMGSMHLAFAVLSGL TLRDRKKTTEHSALLVLTIAALGQAWSHIATIMSWTKGFGGSINRSSNGGGGGGMING GGPLTMQLGSFLDSLVLGVTAVAFYQAEGNFST PHYBLDRAFT_171448 MAFFHIPFFHKNRNGIVPHHDEHSKESSDHKDDHKEEDHSNSHS HFHWHSNLFLLASNKKFMNDPTRTSDHPDVVGYYYDPMSVGSHRHHGYEYDITNTGA PHYBLDRAFT_148615 MLSAVDYQALQLIRHILYFAALMACIRLIVESVRLLARAYRALY AVFSGPSANDTNVPPLLPPAPKDNSKYNAQVFLYS PHYBLDRAFT_148616 MAAEMAYEIVESELLIPLVKEFFEWDVCLEIFFENSIQARNNAI DNYEWDQENP PHYBLDRAFT_135242 MPRDSHLKKILGSSTYNTVASSNVLLIGAGGIGCEVLKNLVLMG FKNISVVDLDTIEISNLNRQFLFQKEHVGQPKAHVAREAVLKFQPKANITSHHANIKD SQFGIEWFKQFSFVLNALDNLDARYYVNKMCLAAEIPLVESGTSGYKGQSYIIKKGVT ECFSCSPKPTPTTYPVCTIRSTPNAPIHCIVWAKNFLFCQLFGDAELSDAIKEDASDD NGKYYLSELKREANDLKEIKNAMGTEEYTRLVFTKVFTTDIQRLLDANISRGGRKPPC PLDYETLDKQAKDPKQTVENEDGQETLADRKVWSLPECFHVFKESANNLALQLIAQQA NDPNAMLSFDKDDDVAMDFVTSASNLRAYVFDIEQKCLFDVKSMAGNIIPAIATTNAI IGGEVVMNAYRILNNKIEKSKRNYVDTQVANGSAFMNEEISKPNPECDVCKSKNVPIQ VSKESATLGDIIDSIILKPVEDGGVGFDEEFLIMDGNKLIYDPDYDDNKNLLLKELGI QDSSILRVSPDEGQPLDLIVQFM PHYBLDRAFT_171450 MSRPIIKILNKGIVFEHSKRLRTSSDDDTEEESLSPSISERFKR PCTEIYPQAPNFSCEAYYNNTIIPFQLSMPLKFSRGVVLFFYERDFMEQASVDINQIE NNLAYFSSVQVLPIAVSVDTINNHKAFLDRPDVYGIIDPISFPLCKSAFIIDKEGFVM YKFKPRYVDKPVPYPMNLIFSKASLYINIITIIVSWLVEVSPLTLPHPVN PHYBLDRAFT_171451 IGHHGLLPHGGPITHAVGGVVHGVEHVVEHIPIVGDIAKDINKG AKDVLGKLPGIGGHDSSKIGHHGLLPHGGPITHAVGGVVHGVEHVVEHIPIVGDIAKD INKGAKDVLGKLPGIGGHDSSKIGHHGLLPHGGPITHAVGGVVHGVEHVVEHIPIVGD VAKDINKGAKDVLGKLPGIGGHDSSKIGHHGLLPHGGPITHAVNGVVHGVEHVVEHIP IVGDVAKDINKGAKDVLGKLPGIGGHGSSKIGHHGLLPHGGPITHAVNGVVHGVEHVV EHIPIVGDIAKDVNKGVKAVVDKLPGIGNDDDSKSGHHGLFHHGGPIAHVVHGVEHAF EKIPIVGPIAGGLKNDAKSIVNGLKDGSELVVGKIPIVGDIASDIMKGPESIVGRISG IGGDSSKIGHHGFPPFNGPIVHAVGDVKDTVEHVVGKIPIVGDIAGDIKKGLKATVGK LPGLNGDSSKVGHHGLLGGPIAQVAGKLPGIGGKPSKVGHSGLLAHGGPIGQAVSGAA HKVEDVVEKIPLVGDLQKGARTIIGKLPGIGGDSSKVTHNKMFPHGGPIGNLVGELNK DINHVVEKIPIVGPIAKDLNKGVKSLITPPGIDGNGSGKVSHHGIHHFGLLGHVADAL GHVVKDGVEKIPVVGDIAEGLKKGPKAILNKLPGTGGHDSSKIGHHGLLPLGGPIAHA VGGVAHGVEHAVDGVFHGVEHVVEHIPIVGDIAKDINKGAKDVLGKLPGIGGHDSSKI GHHGLLPHGGPITHAVNGVVHGVEHVVEHIPIVGDVAKDINKGAKDVLGKLPGIGGHD SSKIGHHGLLPHGGPITHAVNGVVHGVEHVVEHIPIVGDVAKDINKGAKGVLGKLPGI GGHDSSKIGHHGLLPHGGPITHAVNGVVHGVEHVVEHIPIVGDIAKDINKGAKDVLGK LPGIGGHDSSKIGHHGLLPHGGPITHAVGGVVHGVEHVVEHIPIVGDVAKDINKGAKD VLGKLPGIGGHDSSKIGHHGLLPHGGPITHAVNGVVHGVEHVVEHIPIVGGIAKDLNK GAKDVLGKLPGIGGHDSSKIGHHGLLPHGGPITHAVNGVVHGVEHVIEHIPIVGGIAK DLNKGAKDVLGKIPGIGGDDSSKIGHHGLLPHGGPITHAVGGVAHGLEDAIEKIPIVG DVAKDLNKGIKSVVGKLPGIGGDDSSKIGHHGLLPHGGPITHAVGGVAHGLEDAIEKI PIVGDVAKDLNKGIKSVVGKLPGIGGDDSSKIGHHGLLPHGGPITHAVGGVAHGLEDA IEKIPIVGDVAKDLNKGVKSVVGKLPGIGGDAGSKIGHHGLLPHGGPIAHVVGGVAHG VEHVVEHIPIVGDVAKDLNKGVKSVVGKLPGIGGDAGSKVGHKALPHLGLPHLGLPHL GGPITHVAGGLKDGVDHVIDNIPVVGDLKKGVDSVVSKIPIVGDIVDPKHKHHGLLGA LPHLGGDDSSKIGHHGLLPHGGPISHIVGGVAHGLEDAIEKIPIVGDIAKDLNKGAKA LVGKLPGIGGDAGSKVGHKALPHLGLPHLGLPHLGGPIGHVAGDVMDGAKSVVDHLPI IGPDSPKLGHHGIFGFPHHGKGIMDIPADAGESAGSAAGGAIEGTKNSAHGVGGLVGD VAGAGAKVADALVGGIPIVGDVTDGLKKGADVIGDGISGLGDAAKHVASGFIDGTDAL IGDVPIVGDITGGIKKGADKVAEGLSGLGDGHIGIPGLSGDVPGIGKGIPSFADAAGD VVSGVTGSIKKGIDVVDDGISGVGNIAGDIIKGADALVGDVPIVGDITGSLKKGADLV SGGPLKIGGGLPGLGDVAGDAASTVTGGLKKGADLIGDGISGVGKAASGAASGLAKGV DAVVGKIPVVGDLTGAVKNGAEAIDDKTPSPGSGLPFGIPSIGGVAGGLVKGADSLVG KIPVVGDITGGLSKGVDVVSDHKKSLFPKLGKGHLFGGLAEEETASMMADSDDYLYEA SAPAVDLSPVELEYPSFEMGYLSQADLENFDLD PHYBLDRAFT_148620 MDKNKKLIIKTYQQQKFIRTANISMYLIEWLVFENRFSLNNSQC ALCLVLTKVLPSLTSEIP PHYBLDRAFT_171452 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPQEIAPSFSS TTIQDQRYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHMAFA DFATAYANNQTRMASLGPSLMPSYVPQTSLSDAEVSVIILEIFAEKLWDWKFESDDPA LVAENESKKKWNLNEKINHCDNVAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHS HRTFHESPEQKAKKNSKGRANSRTLQTHMAFADFATAYANDQTRMASLEPSLMPSYVP QTSLSDAEVSVIISEIFVEKLWDWKFESDNPALVAENESKKKWNLNEKINHRNNVAVI NYLKSYISAQTRLAGTHPRVISDKIKNRYKHSHRTFHESPEQKAKKNSKGRANSRTLQ MSIRRKSTYMDNWVAIDAAMGYKTGNPVEKAYLKLFQKDAMSDGESDIEIVDNLPRRC LHVARPTWRSEEFNRLLTMVDDIDRTHHVLNAGVGTKPRMNRYPATLLPCSVPATLSQ SLPRWAINDE PHYBLDRAFT_171453 MAPIRKPIVRKECRCSICKSKTLGFDRVSVKTFKRHQEKDNHDI THVQMPHEDTCDTISSAVSEPVNQEEDSFEFEQEDVEINSELRNLNDTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYEEEYEDESDVEMDNDEDSSLESISELNLIHRFNVI SVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVKKY VACSKCHAIYDNEAAPLCCTSPNFVAHGVRWSELHRLQYFDIVRCTIIDPMHNLFLGT AKRMLERWVADGLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQ FIEESWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTYLSHSFSIS EYLEASQNLSMIIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGC KDVIDDSPFVNDWIEMVKSIDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEI QYLFVHNFRPTVFSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQDEFYKQDF QSILPVYRILLTVAIVDYKTTKNVNKKLAISLPKKIYY PHYBLDRAFT_148624 MTTKKSVQQTAGTAASTRQREILPSLTVGAELDGTKVYHNIGAT NGQNNNSNHSPIGQALTTGEYIKYHLPTVLRLIRSQTRAVLATMPLTVNESAFSTSNH PIADVVQNYTHQQAEVKSVSSAVVEEKTRRHILYMLQRAKALPEKIAQQNRISRRRSR KRNILADYKAIHLADKAILKSKFGETVVDILDYDMLSDIESDEKKNKTRYTPRNRHHL VNEYFTVLKKKRLANKGPDVIGNSVYPIILRNTELSNEKNSTRSMNADDALEQCMKNS LPCTPSPTTLDLVQLTLEK PHYBLDRAFT_171455 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEAA MRNMDVDTEVIPTSRSNSVEAMDGQTNSPFLDAASMFDNDRDDNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTLQRLGYFDLVRGTIIDPMHNLFLG TSKRLMDWWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSW VLVYSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNACNVD YTATILTCNMHLHLHLHECIRDFGPVYGYWLFGFERYNGILKNFKTNGKDGFEATYMK NFVQNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQTFVQGY TDPYNPPKGNEPLPPSTFPLKYKKPSVMDDSDYLHLLEYYQVVYNLPDLASYQDTSYN RPALDNQIIKLKSIDILGQHYRGTNNSTISRGSLVQAKFVGSNGNIILGFAGQIQYLF THSFQLPPTHNLHLTRMVHDHQHVFAFIKWFCTSSDRSREDDGVEFCLPTFSPDSYHS IIPVHRILLEVATATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_159583 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLEN LKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTRSRRAGRARDVSVISF TCIHRQQRSN PHYBLDRAFT_182471 MFLFPSDASSSQWPSGLAKDISPKIFSTAKHDHQHDEQPSLKHA MNQKLLSHAAVIDMILYRLLISIIYIYK PHYBLDRAFT_71504 MHFEEYINKIIELDSKQKLENLNDPIVVEAIKDRSKNTKRKMIA LEHCLEAEKKETTKKKHQQFSPCKMQTNQKLQLAANKPISQSHITYFQTVETVETVET VQAVQAVPPI PHYBLDRAFT_148629 MNQVPAYRFLNWRNPCITIKRKLKLNLLNTSYYASAHTEILMNP TRSFFQDLLHILVTNNYVIVSETYSKKYNAALTKFNSIFLIGREFSSTVAFCEATKAY GTKHNIAFTTYSSSSTFSVNQKTPISEWERQQLEPEAIILVVQHLEENDDVSTIFNNL KRSEYTSFICQDIKNIKQQFRKPEEGREIFSFITALQELDFYVRYSIGDNKTNSHQMT YVNIVDISNVSGTPHTTMKTFPIAGAWVDHKTIDNYLWVLFCLHNSVWPDVNDSSSSY TTTATLLLPSVFITDNEKALRNAITNAFPECKQLLCYKHIKNNFKKQLLSMMKKDKNG DKRDFLNELASYLDQITLKCTTSKEEKKEIDAYLRFAKDNCKDQEKSTKAFLESILTL EIALPTMQKVHMLL PHYBLDRAFT_148630 MSGNCHILPEITVGEKRIIASLAGMQANIKAVKRQLSDMGKNVG AIAATSSDNAVPASTVANPIPAPIVATILATASTEITAPPKADFAAEPSKANTKNKFI KGYMWDPNFWSDKPALGQTNENRSRWVTTVCFCISPNQELAKNVFDYLVPKFFGVGMR EANLNKYVYMTYCSCKSEQNKDREARKKLNTVSRRHRHEKEHFRRCKAAYNKNKIAID KKMAQDCSALLIRKAMSEADLSSNAHQLLKQIWLRTTDLAVPDAIASQLSQ PHYBLDRAFT_148631 MPGPKEPKTDEINNYLRPLVDELLQLYFGIHVPTYKHPAGTWIC TALLMIACDIPAARKTSGFTAHNSTHACYKCNKQFPCLKGSSASQLYRLGYFNLVRGT IIDPMHNLFLGTAKRMVEKWIKENNISPAALATMQKTSESMVLSANYTILRSKIGKAL LKNILPQHKLKNWIDFVNACHLLVKPSITMSEINKAHDYLQSFCQQCLVIYKPGFLTC NMHLHLHLHETINDFRPVYDGFEATYMKTFINDAYKGGYMRKVLTCPSLVPFIPLLQK LTSSATTTANYDSYVSYAPLSRQNFQLQQFVNIFLCLSPLTKGNEPLPPSSFPLCSLN ASTMSDIDYPQLLHFYQLVYANPDIVSYHNASLCPYFVDNQITKLKSINLLGQIYKGK NSSGNCGSFVQAMFLGSNNITKTALTGQIQYLFIHSFTPPPHPNSPVSQVHQKKHIFA YIRWLSITADKRRKTESIDVYLPNFMPDNYHSILPVHRIDMEVATATRKARNNIKKTT QKQKGNSKDE PHYBLDRAFT_71509 MSSTSNTHNKKYFCNECKNNQEGYSLAQRRTAQCYNKRARYEAF KRNTSAQSSSMEVDVEMHRFIATFVVMFTSRYVVNKGAIVLIKFINKLLTIYEQDFQL PLSLPGLQCMTGFSAMNKNVQRFLVCQDCHKVYEKSALAPSHCDFMKLGAHSACNCQL TKKSSSGLQVAKREYCYQSVKNALRLLFLRPDFKQKIRQWNKQLKIINTLCNVYDGDM WKELEEKDGESFVEDPHLLMITLNIDWFQPFDGASYSCGAMYLVVNNLP PHYBLDRAFT_71510 MLALLQSLFDNVQVEVLLSGATSRSFQPLTGVMQGSVLSPHLYS VYINSLPVALRAAGELCNTVFNQTAATRLNDQLVNSLLFADDVAIIATPEAMPVILNS AEQHSQELGYHWSPTKCMILHYSCSLSPLSFSLYNVPIPHAHSFPYLGVPFSAKGTID LSLLISRNCVSAINSMCALQSLGVNHTELSRLLSIRLYRQFIHPQFEYGLAISCFNIK QVAVLEKAQNTCLRMIFGGHSTSSTSVFHHLGNLPSMRERILTLGFKFVYRAFWLPDE ALFTLLRPVLTNPAHQWFKLLANPIWLSLSNRQNADSKACKHAIRSFLNQPSSCNALN KYFSLLAVLLLVLTLFSGFP PHYBLDRAFT_71511 MTITQNSLLRTTTKLSIFLSELTLVNKSGSYKQPMFKIVNILVE DITGQQASKTYYFTSVRKEISDRDLTFLSSLFFYLRLRFPVGFTNFGCVIALEEQPRS KTTHCQEFGQNKNNSQHSDTRAGLIVIVVFIYLPIFIFLIDFFFKLLESSLQSSSHLR RNHSRLSASPTTSQLPVPLLSSPVLFSFSEGGSGVLPSTSHFFVPVSDLSFSALPAPG SHEIFFQAPPTMIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSHCQTTGKI VSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVHSASEPVVSPSVSILGH SISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIELRKALAT ANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASPTHHSTEPTKTFV SKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAIRLPISTQRQRLRKLKIDN ARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNFDPLDPVHLRDPALASLS LDDRATKAIHVHNDRMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPTKKD LDISIHTASITIPSFSDL PHYBLDRAFT_71512 MEWTRTSAGNPAVTNLLSLRSFLPTEVNKATIKTIVAQKPKTNL PEKVDPNSVPTAESIGRGSYQKYNQNQVNKLFSLVFSENQTAAATAREMGINVRTAQN YVRLAREKIQADFDAATVETDESNGLETMEVEEVSALKEQKHGNQKLFQAHSAFF PHYBLDRAFT_171464 MLHEKLEEYNSAFEKIMEGPEEPEMPEDPKSSATSTTDETPKKS RGQYQKPTDKDIKKLLYLYFIRGLTIEKASKIKSHYTAVNAQEDATVHKEILQLTIFR FYGFIKIMSNSSQSG PHYBLDRAFT_74041 MSPISRDKILSIEVELRHGKSIAEVAKIVGVLQTSVKRYQRKLN ISTSVPGGRPAQINERLAHHIIYAFLNEEFMTTTEAERQLCDEGFAVKAPAIHTLLKA SGFICTLESPPK PHYBLDRAFT_148637 MSNINTTLLNSIQKIEIDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKRVINTGGKFKGKNKAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGHTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKVNISDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSRRS PHYBLDRAFT_73877 MYFDDISARLRPLEDFKVGNPSKNIYQPSIYTQLSTFSGSSFFA LDELHLIARGIGKLVYDLITITITKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNC ITSSQKYIPTSFQGSFDNVFAKIDGTRAVDWLDFLLYLVPTLVVPYLPNRAVKTALLS LVKGCALALQWTLTSELLDEMESYFKHWHSFLYQQVQNNTLSRSVFRPVQHYLVHISY IIKQQGPLRCYSTRSMERVIGVFSKLIKSKSKGGRNASFLVERFAIHNYTSTAISICD EINLIRPKPYGRESYMDLPNDPSGAQLWEPFHQFVNLNDDSVEGVGGPSVKEALLKYY QRTTGLTGHKFGDSVVVVAARLWMDSTVYSSCMYRRKKNETSRGNHYVMFTCPYRNNR NVIVHSWLVDKKNI PHYBLDRAFT_148639 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQRTVSN QRPLAPKRARLNLEGDSSGRTCNIHDVYEKLDTMNSVLNTVLKNTSSEKAEATASNAV EQDMSPECQPTLDQLLRYYLSEEKLYDQYNTNKNKNSEGNRLILKSITKYLCHQEEGK KMNLPTFRTNIVQHIGNRKLQEKKQEKRSRKRIDESVFISNIRVKEDNLS PHYBLDRAFT_71515 MNITINCSIPKVNAIKEALPQAAIHYCDFHVLCAWQHNLNSKIK LNTSFTSEQLGNYKTKWTENKELLRRWGRPYVSQQHQRYVTNNYVESWYNQLKIIYFG RTCIRRLNRLIFILTNDVEFFYEQEVECIHFNNGEMGLTENKLSRKSFAASKIQDDML SSMILNPLGETGNSMDDYNEIARAELSRQGYTNENEGEVGEIVEERRIVEHRDTHGFD RIATYSTTMYHCFEDLQTLKTIPDLDQTDVEEMKRALAHAVQLMDKYRSKNPSYFRSL NTQR PHYBLDRAFT_71516 MSHNTNNTIYNISNVQQVLINSPLEGIKMLPLNTTISVKAIFGE TRKCHRAGKYESQCQTHVAQKDTKTCSCTAALQIKQYISNCNVVTFCQTRAHVYHVPS EKKEIRTLPLPSEAIRIIEEQLKSGSSCRSTRISVLRQIDD PHYBLDRAFT_148642 MSDLESDDKREEKELDSFSEKSFFWRFRPSWRSEEGDRFVDELD ADYEAAHNKKNNTCPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_171470 MHNLYLGTAKRMIQIWRKCNYINEKNQLTMQELANGIVVPCGYA RITKKIADGFSFMKADKWKLWCVIYSPFVLKHVLPAKNLENWILFVDACRLLTKPSII DKEIDEAHSKLQLFCTRFQTLYGKSAMTPNMHLHLHLGECVHDFGPIYAFYQDQLAAL HPSSTASIFSLSDFVEYSLNPRHSALGCEPLPPSVFPIKLDQRITMCKGHYECLLEFY RHAYGSHDLFGHYSNCKSNQIFVNNRIEKMK PHYBLDRAFT_159588 MLTLNIDWFQPFDRRTHSSGAIYLSINNLPRSERLKSENVILVG MMPGPKETSTDSINHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_148644 MPSNNSKKSDRKGKEKANASTSASTSISHVLTGRVLPSKIAPSL PLIANQDQRYMDIVDMFNKVNENIEGVKSDIANVNTNMTTFKNKLGVLVGTSEKTNMA FVEFAKAYANDQARMASVRSSLMSYSAPPLSLSDAKMTAVILEILKATVWKANFQSDD PKWNKNEKINYPDNVVVIKYLKSYILAQPRASGFSHKFVVDKIKNNYKYYHHKTHMTD EQKAATRSKGRANSHTDQCTYNSNWVVFDAAMGFKAGNPAEKFNRLLAIVDDIAADHD LQNAGVGTKSRMKRYQTTVLPCSIPTSLSWSLPGWAINNE PHYBLDRAFT_115470 AEVWKNAIMHAERHRLKVANNVCWSELHCLQYFDIVCCTIIDPM HNLFLGTAKRMMERWLADKLIDDKKLIAMQKAVKKVVLLPDYMSLGTKIAKGFPYMKA DEWISWCHVYSPIVLRDMLLLPEFKNWIESVNVCRYFTKPSVSEEDIKNGHICLEKFC NECETV PHYBLDRAFT_71520 MAPIYQKTVRKQYGGYDFVSAKTFKHYQEKDILALKEMQETVIW ETAEAVNYNEDMFEIEQQDIEVYFVFKNLNNIDCRLDTSTRNLSLSESDNVFGDEVNT FYVSDSLEDEEPEDEMTDNEMTNDENNSLKSISELNLIYQFIIISVAFFVTLYVVDEE AVILIAIVNKILQFLFDLFCLPVNIPGLKRLAGFNVLTNGIKKYIAYSKCHTIYENNN TSPICCTLPMFGKCSLCNNSLFKTGSGSRVPKKTFVFHLVKKALKTFFQ PHYBLDRAFT_71521 MSNNEVECSCSVCSPNGRYSVMVSFQTCHRHFADDVQRNFQRQS SIDMVNDNDNDMEIDVKTNISEDLKSVYNLPGSNDEDLSNSYEFNNKCENIKEQLFSH EMPADLTHSFIASFAAYFISKYIINSGSAIFIKFTNEVLAHFGQSFCLPLSLKRLNSL TGLSTLTADIHRFVPCDKCHKIFSESASKSSPQCCDFRKLSGNTCGNNLFLEAPNSLD RSRKVYMYNSITTALSIFFLRPGFERSINLWRFQQEEKYKKQNIVLVGLMPGPSKAKT HQINHYLCPLVAELNQLYSGVAIPTNECSSGTLVRAAILLVACDIPAARKTCGFISHA STNACHVCNCQYSCCSDGKGMDHSGFKFSDWIFNTDEKNKINAERWRQAGSNVKRARL EKENDVCWSELHRLQYFNAVECIIIDLMHNLFLGMAKRMMEK PHYBLDRAFT_71522 MHLHLHFKETVLNFGPVYGYRLFSFERYNGVLKNYTTNNKDGFE GTFMRRYLEDTRKIDLFHTTMQSLQTPSQVSLIYDLVDSFLTLVTVTAATTTTIVPTA LYLSTTSSGFNLKIFLASAEVNINNVKGNKPLRSSVFPLSLDEVFSMKEDEYVLLLEL YCMAYSNTSLRGYQDVIFGQLFMNNMIQKMQPINLLGQVYKGSNGIVRHGSIIQAMFH ENNNHEMSAFTGQIQYLFVSNIINPVTYQVDRHIFAYFMWYRTSSQDTRSEQFVEMSE FLFTRSNFQNILSAYRILMPAAIGVHTTATGNTHMLIAPLYRKIYA PHYBLDRAFT_71523 MPNNNTGLELVMYAPTTRPAGSHVDGNVANPVLAMLASLNAQMK SLTDQIASMATGITKSNDTITRLQETVANIVSDQTVVQNTASRYNVTSGVEAVTGLSL LMEDDYVPGKRHPAILPGLVLTSYFTGGYNHGLALALTAYLRSQPQSDGILTSDLARM VKNYFCNQVRESCRMPSSANRKRTASRRHQCSLLLLHRHSMAYLENKEVIDMVTKRDN CAHVLQKTVMSDDETDNEATRSANSKCLKAHCPS PHYBLDRAFT_71524 MDLPLSHCLKHRPYTQLIIFFQKHYPSFSTRILNATNSLSHTLV ENTISETSQEELFDAETESENEKIQLSYENLCEYIKQQISFPSDRCISVYEIMKHQAV KTYFFNRIQDFRKMEALIQAMENVYNQTNTYLSLAIRKWGKEYIVTRYISRRQQGKFI RPPFILADEAIANATSNWICQQKIEKRTASNVKRYIDQILYPVKFGVVGDISLFSINK YMKTWGFSFRKSTSTVYVNGHKREDVVKRMEEYSGDNKEVVEEPKVLHRRDGYWTSDN IIKQLTKDVISLFELLHPDSQAVFIFDQSSNHQAFANNVLLAKRFTLNNKPVKEDKEF DFKNTTFLLDGEPCPQAMYYMKMETITKKKRSVDIAVKYVKEIRNEEPDSKCCAHHFL AVQPNFKKQKIIICEVVKANDHIFEMYPKFHCECNWIERYCGPAKDLVRKKSDYNFKS LKINVNSYLDRAVIFILKLTSARKKIPDRDLTYLSELTSWIEILKSGIQLVIPFNICP QCYTDWFPFLLIKTYYIAFHKCKTNMS PHYBLDRAFT_71525 MAASKWPTSFKRLRWLLLSLKAKLDTLRLTFKPQNKLNILAEER VVHIPNTFPKTLVRQTDLGDDMMISCNVCFSTIIFYDLVLMFLVLLARVSVFVLAGLK GIVKLRARTRDGKPAATQKIKNSKIQNKSKKESLDLVNATKEIGFKRPAIAQEDYQYD YCTSVGKRVVWFWALTHLIYKDQEKFPLVKMYMLATLPKYSHIYASTFRTNGKQLEDI IEHGSDLCITNSNSNTNFISACLDVSM PHYBLDRAFT_71527 MPTNNIQSNKNIYILATISEALECTSVSGVMTLRLDTTIKVRTF QWRDCLLEIGESCAVKWIIRNSNKQPTDITAEEAKDSGIKMCFSQKYLCHHAGTYESK AAIRVVQKRTKKNKCPALLCVRGFSKTSEWYEITWTKYHTEYTPGDVHEDIYTLPLAK KYLHELSQQLEQSSKSASQIHIDMLRAIDRYVFPNEVLTSKVNVFMSYNYAYNNSLMY HILW PHYBLDRAFT_148650 MRQAQLSGDTGIALLLDQENAYDRVHSAYLRLVLSHMGVLMVFV NTVCELFFSTCIYVNINDNISSLFSQGQELRQDTLLGLLTTFGLASNAKINLGKTVAV TLSGHFVPDWDPTLDTANICCRHDCNQAEALEAFWMGLLRKLEHQCQMMVERQLSICG KDIVTNSLLLSSIWHCVYFTSAHMSFFVSVRSVIRSYLALGFGSASWEKLSSLGILFP GPAASCPLSLLYLYCTCSHILPMVMPHEMSFPSSFVLHFPLGAIFHTTNTTDKAPVLK GVKVLNAFKLSQNGVILRRLYHDFPKAQNWIYHLSQTIDARCLQLHLCLSYYGCFSKT YGSSGKDHQLDPCLLEEFLVSNHPIPLSERLVESIMKLHSGPCPHPLHHPYQSVVYCL LTVFCPRRGPHTLFLFLSAQIPCLEYHNFIFNESPFLAGVVAEKVSSAMAHNLAMKTS HIA PHYBLDRAFT_148651 MVSHIVPGNMASSPEALADIEHQSGNIQIIQASNLAKHVGIKWC EEGEFSNKYFFQVIKQRTSNKSITSLMDPSTEQLFTETENLKGHTSSFYQSLYLADPI DFTAAHSLLAHVPLSATLILSDSSYLVSDVSLYEILGILSHIPNHLSPGLGGLSYLLW KRLLQDHSVQELAIEVYSDALRGVFLSSWLETVLVLLLKTGYLTLLKNWRPISLINCD AKSLTKMLIAHLRTCAGPLVNPHQTEFIAY PHYBLDRAFT_148652 MTDSTLWVAHQQARRRSLGPSNGHSQLLASVIFNLDKLPNFAQV EQILFSAFGPMEALSTCYTNSKDLLAEVLFSNPKTCELVITTGFSHNNKCIGPLFKAL QSHDKVAHLKIYCTPFGTFRGEATVLLNVSAVDNLTPLTRKLQLSGSLMGEIVLRAKD IPPICCYCQKEGYNLSAYTCHRPWVVCCSDCEETGHSSNNPSCAAVQAKVNQADSTIT SSPKIIEVIVSTPLRNHPTPTPPTTFKTEGAELDAYLAESTAQAIVKDTKMGDNTPSP HVIPDASAPIVVAQGNYQGIKDEFSGGTNVKNVLIGLAMIKGLHSLVHNPSSPKHLLI RHLRSLHPPLSLLALQETHISPEHQSQIQLMFQGHNSLWTPHCGLVSLTPTLILSQIH VTDDDHVLVIKEISMQIFTTAIEYQLNDLTSLTPTVTVSSLSPDITFVNPSWTDHSLL AVIIPFSRPSGPRIWHFNSNYLLHDEFEAQLVDLLADAPTMLPSHCSPGHC PHYBLDRAFT_171481 MALYLKVTYVLLLSSTGDSSSLSLRKHKDRHPGILKPIKSNISS GCSLLKVSNQDISLVRCKTTPRWYSYTIRMLASKSANGTVKKPSFFGVTPVTLPAEST QLLGCPWGEGHGMVLPPMTGCKRFTSGGLPQTGCSVAASLPSNLASNLASSQAYAVSS ITSRRFNCTMLSNDIFRTVKKM PHYBLDRAFT_115726 MCASGCFCFPDVEPGNSIIENEVCPHCNGPRYQHERGTISPVQT YQVVPLSEQLRFKLAHPEEQAKIAYGTEVLAGGQSNVRKDILDGDGIHRLLAGGIVGQ GDMVVSMFVDQFNPFKDAAMSASIIHVINMNINPAERYKKGNMMQLAIIPGPKHPKNI ASFLEPILANL PHYBLDRAFT_148655 MATGDTPAVSDLMNLMHHNAQYGCCHCFAHGESIAKTMCFLESK GPAPMRDVGSICRAEGSRPGGGRYNAFAELPTLTCSAFFGQDEMHLLDHGTGHQLYQA LGGKFCPGTAGLGRKSHGMHLQERLQRLEYPFALDVSLDDIKKAVSTSRADILTAFAS TWRSMKESNGKRKAIDWMDFLLFIVPTVVIDHLYFADAKQTVRDLVLACSIVQQWSIT ASNIVAVEQAIGRWHSFLRCKISDGKLKSNIFVMNQHMLVHLGFMLREMGPLRAYSCH LIERMISAYTSVIKSRKEPGKNMENVLFRMVAISHCHGNRPARTGPADKRTSNFEVAS DDVAGPQLWSSPTRYSMAELANTIGMEWEDLVQQLLPFWAGEGVSSFEEQDSVVCTTK MWKDLVVYRVRSSFDSRHVRANNLVVLKHIYGFVRKFFSHTVKGVTRLFAATESLSNV RPLLGMLFLVSSNHSQGEIRIVDVKSFKGMAGLVHNTKDGAIRHIVWPSLTHNQ PHYBLDRAFT_148656 MANEATPYLSAFLTERPRDGIDMIQSRIRSSLKLNEELAEAHAE DLYAKSLVKLSKKLFISDKSALGHLTPIWEMLSNEVTEVSTIHAVMSFKITEEVERPL RSSMQQDPDYATVRSMDSQFQKISRDYDERQLKMIKHKKTAEKTRKPEAEAKLAESTR AIEDIRAEWLRSGRDYVLKHQAVDEHRIYNLKNAIQSFESLQTNQLLKRLAGHVAEAA SQFKVEDEVTAFCNASPTVSFSGEMHGQPQPLPQFHSLPQSQSQPKFQPHSRSISLES GHQELPTSGSDNSLHVRSKKTFSTFISIRRKPKQENGYISTDSVHPPHTSDTQEVHDN ASFFSSAMNSDIPEQDNNPDSSNNPASPTSITPPSAQKSQSTTSSMNNSTPLPRVVVD AEGYTIPPPDRAAWPEIGSLKDDDIGSDGESTFGNQRINIEIKNEKIEQEDNQHATEA LSRVRSILKENQSTISKRPRGRRENMRSVMLNPSAEGHSDLMAHKNLTEDGFKTIREE EQAPEIIEVPVSPFGDVELPQLEQLPRIKVHITETIHAMFQGGQVVKSEVWGDVSIVY EGPIESASPICFKLLNANQLDQIAPNDNYVTLYQDSPDVFQINTEMFRLAGASAVNCL KYRMRHDIQVPLVIKPMWKCDDEQTRLLVKYQNKQNQTLQNIFFLTTVTGQVQTAQSI PAGQWMVEQQKMVWPMGDLETNDEQVLKAKFVTSQKGAPQPIAVRFEWHDHLVSLVNV ETGENTHHVLWVSVQEVKKTVRAGKYIAEVV PHYBLDRAFT_171484 MHNNPTVRVHVHGNPSSAGKVKMTKSHEQAPVEDTTDFIRVKQK DQVPILTFWQAVEPYFRPLAEADREFLLEKGDNTTPYSIPPLGPYYADVWAEDDASIG NRQNSPHTRNESSSSSSSSSSSSSTSSASAVNAAANRATGVASGLKLRYLPPNRPLTG DCLLNNNLSCGRLTERLLSSLVKEEPLNEQALENIKAEECLDWSFSQSYHQELKTELL TYPPEQVVMFEERLKRELRYAGLFSEDDATDWYTMEDDQICAELRQLGRELKEQSRIN EFRKKRLLQVVDQQLQYEQYRQVLDTLDTQVEQCYMKRFRTQKSKKRKAGSTPKATLS ENAVYAMEKRRKWTTALGPIFGKKNCVMPTVSIYEDQSEDGLGNGQI PHYBLDRAFT_171485 MPFDVRRLPVLRLFSILPNPSVNWRSVAISVNALSAVVKNPLPR GYINQLQLFHEVFNFKLLRYSSYYWGPKSTRNTTPKKRDTSKCLHLTALFDNTCKEEF QFTLSEQLLEKNICYPIYRITFRREGHCFCARDILAEFSLIYGHFCQNIPRSVPGHTF VLAYFKYVVNVCLNLKWHNRRNILILLIIKRGRIKTNRRRSGNNNNSINGMSQNNEIY TKCTENEQESDVDLTFAMDTNLTRQYSSPTPVSASTVVLYRQFRQSYIGSHGPTQFGN LPESNDLLAIKANLEELLTSAETRNRLLKRDLNHLEKDVKINDNGGRPVCLMDHQSKK GSSASSGKNMGAILEQMRSKQERSFGENAIDGIHGSMTKEQIVLFNFDASGVKMIMET QLTSMATTSRSASPHHIVKIKKLEGESPLTSRSLSPPSVSKPHSHSKHITNKGSDAKR DRQKNNNDENQWR PHYBLDRAFT_71535 MVNFEVTHTTVDALILSQILSEYGLEHKFKHFDARRFFLPRPPG LFPKPALDFWSIRYINRLKPAIISASRDTTEIVFRAKIFVNYYITLRSQQLQNNDISH CIFTQQFWYSVCQMIEAGASQCIAEVCTELATCY PHYBLDRAFT_80109 MVAVTEETRVYKDIKTAVGGVVDTVNKRVSGKAWKIQKTPTIRA QKAKTLRRTWEQRSAERTRDQTVKALERQLKDEKKAEKDRKREITQERRKIQEEKERM ELLATKMNAKRLARVKKRELRKKARV PHYBLDRAFT_71537 MPSLTLQAFKAIQPIKQSMLGFRTIQSFRFSTTTQCHADQPTPE RPQIGASSLFENVQVETNETLTKSGEKPVDKQYTWSSANFRTSPRKLNMLARQIRNLP VEEAIKQMEFSSKRSAKKILHNLAFARKNAQEQKGMENMVVSQAWVGKGRFIQRVNPH GRGQFGVMHRKEAHISFLFKEAETPQEAAKANKRSIRGWKDTEKVWTPLVGTKPIYNA KSFYNW PHYBLDRAFT_148660 MTDKFSLSVEYMPDYSISSYANKAGIEEQGILYTDNSFDCLKTS TPTACPVVSSQYQRTTMSNSRSSPHSLTYPSDGSPETPLSFLTSCCTSPTPAPLTMVL SNSTSTSTATATATTVASGPGQGQGPAAITSASISASNSTSTSIFSNSSSSSTSSSSS SSSSSSLPLCFSSQLLPCSPTSNASPSPHAHAHRPSFSLVETSLSLPNSFFPEFFQYS KETYEQSTGFSRKKRRCIPSNSPSQLVLEMTNNEWDFQENKSTGHNTETPSTCAISNA ELRRQIHIQSEQKRRAQIKDGFEDLRNELPACVNKKMSKVALLHRTVQHIQHLKSMQM AILAELESLMAENEQLRKFRESMLQKQALEKMYQINTL PHYBLDRAFT_126476 MDDSLYDEFGNYLGPEVEEDDDDFEEQHEETRMETQSEEEDENE PEHVNESALMLIDDIPPNQIVLHEDKKYYPSAEEVYGPEVETLVQEEDTQPLSEPIVA PIKVRKFHVSEKNLPETRFSKEFMMDMMNFPELTRNVAIVGHLHHGKTSFVDTLVSET HNIPINVDQPERYTDTHILERERGVSIKSMPMTMVMQDLNGKSYLLNVIDTPGHTNFI DEVVAATRLCDGVAIVVDVIEGVMVNTEQIIKHCIREKLGMTLIINKVDRLMLELKLP PTDAYFKLRHTIEEVNTVIRNTPGGEDIRLSPELGNVCFASSQTGWCFTLKSFARLYA ESYAEIDPEEFGKRLWGDVFYNPAKGTFHRKPQGEGSKRAFIHFILEPLYKLYTQIIG EDTKELKRTLRTLDIRLKEKEFGLNVKVLLRLVLSQFFGPPAAFVDMVVEHIASPIAN AAQKVAQIYTGPMDTEVAQAMQRCDPDGPLMIQIVKLYNDEDATAFDAFGRVMSGSIK AGQMVRVLGEGYSIDDEEDMTMQKVSNVWVYESRYRMEVDGVPAGAWVLLGGVDSSII KTATIVNQKSREDSYIFRPLRFPTAATLKVAIEPVNPSELPKMLDGLRKINKSYPIVT TKVEESGEHILLGTGELYLDCVLHDLRRMYAEIELKVADPVVRFCETVVETSALKCFA ETPNKKNKLTFIAEPLEKGFAEDIETGEINIRWPVKELSKYLQNKYQWDVLASRSIWA FGPDDMGPNVLMDDTLPSEVDKKLLFSVKDSIKQGFQWGTREGPLCDEPIRNVKFKIL DAVLANEPIYRGGGQIIPTARRVCYSSFLTATPRLMEPVYYVEIQAPADCVSAVYAVL QRRRGHVTQDLPKPGSPLYTVKAYIPVIDSCGFETDLRTHTQGQAFCQQIFDHWQIVP GDPLDASIVLRPLEPSPAQHLARDFMVKTRRRKGLSEDVSINKYFDDPMLLALAQSDV LGGVYGSGV PHYBLDRAFT_3230 LKDFELLDTLGTGTFGRVYLTKFKTTNKFYAMKVLKKSEVVRLK QVEHLMSEKQILASVRFPFVVDLFCTFQDDTNLYMLQEYVVGGELFSHLRRAGRFTND MTRFYASEIVLAIEYLHAKDIIYRDLKPENLLVDHQGHIKITDFGFAKKIVQRTWTLC GTPEYLAPEIIQSKGHGKPVDWWALGILIFEMLAGYPPFFDDHSFGIYEKIMAGKVRF PTHFDPLAKDLLKRLLVGDWSKRLGNLVGGSEEVKRHKWFRGVDWIGLLEKNVRAPII PLYRHPGDTSNFEKYPDEAESETSSTPGEDPYKHLFTDF PHYBLDRAFT_126479 MLRTVKPKNARSKRFLKNRESKVVENPKTTLLVRGSTTSQTVND ALKDLSALKRPQSIYFGKKNEIKPFEDEEKIQFFSNKNDAALMVIGTHLKKRPHNLTF VRMFDHQILEMFELGIEKAVSMNDIKHPKCAVGMKPLMVFSGEQFDSDIVFKNIKNYF LDFFNGEETEAVNLNGLEYVISLTATPDNKIFFRTHTIQMKKSGSKTPRVELVEMGPM YDFTIRRTSPPKPDLWAAAVKVPKEIKHKKVKNVNVDEMGDKYGRIHVGNQEIAKLQT RKMKGLKKRSAEKETDKEGETVSKKQKRDSSSSSSAAVAVAEGSDEE PHYBLDRAFT_159582 MIIGVFAVEMVVMVNVPKNIFSNNISGYSRNSLNNKHTRAFFFF WVYFRLCGSRALSVRCDAQATTHTKSKKENRFFKICHNTSKTQDHKYRHL PHYBLDRAFT_71542 MPLDFLSNDFIFPCEPETPKLMSGPNVKVPESVDWSFQATQDCV HEYTIVSSGRIPGAFEFQLKTDSTQYHTYSETSSICSHSSLDQSLEPEASSTINTKHT EDLKYAQHEIERIQTYGGDRFQGSYSYSSSSSTHSSAEDGVFSDVEFSTIHDDSATES EDESVIEIKNDSDSVIEVSTSPANKYPLLSFDSQGSTLAASSRSSTSSICSTDKVQKG YYLHTGLPPVTATVTKVVSRSNFNINTRSLQDLVGKFKRQKDSKFSHVSKASSTQPLS VTQRFQRFLHIGVY PHYBLDRAFT_71543 MDKYPEMKTYNLAIDNTLIHSSATIRKFLEKELAITRIGKACDI LYLRGYMGFISFSEMFWHCIILHADKIVHIIPSLSLSENLLLRVFLDLSLYDTSSP PHYBLDRAFT_171494 MSGTLLEESGASIKSLTDPPKIELSEPCKDILNLISKSSASATC IKSQIRSFIGTNNSLDESEYADYYFAESTLHHFLQMMTSPRNPILFPMKERTAAPITT IYLLHAMFLSCNDLVSFHWIERTADITGAAKWDGICFSIKDKRLTPVLIEFSGGIHFN STTEKEQRDESKMIRNMVKLLEYAKYVKKHKSPVPQFYCRFFNNQIFFEAIFLVDEET RLVKRTFCKIPCPVTPRELKIFAENIPAMLKWKQAIINYVIESQK PHYBLDRAFT_126482 MSDDDIKHVENFVVGRSGYGEVKFEIPVDLSKTDLHSIMGKIVI ITKNKIVLYSNMQSPPVGKELNVPATCKFLEVYPVDKDTGKPIKDPNHPKAKSFTTKL QTQPRTTFVSYDINTGVWIFKVEDFE PHYBLDRAFT_171496 MRVNTLPLSFLQINTKRALSESFSEESVNKRNRVEELVKHLKKC FFITIRDGVEELVGDAKTQDKTNELKNKLVNVKTLPAAIITNTAQLANLFRTDLPPIV KTFVCTRLQDTMVTSTDYTLCFSALVNMMISELRSSEFFFDNNDIKIKKVPGFNLAEL LPFVTTNEPRHTIQQLDKNLISSKRFDTEFKCLFTSQHLQIVHSYFFSVRGAKEENLN AHPVQNSLFCSFKESGLDKQRFFLEKALSSATAIALET PHYBLDRAFT_71549 MLVDLKYVDEVLASEKAIPCNCVSDLFLYLRKRRSDELIGSQNK ARQNKDATFNAVSDMEEIQKACELYGLSFAYRITCLPGMKTVRLLGSKIKTHGTVKEE TKQSYEARILRNPSIMQEGRKTKDVLFSAIQSLTEEVNALESVCKRELELLKNSNFKK KIKECKSNWGDNG PHYBLDRAFT_171498 MAICFKSKLHNVKDIPQDSRSPIEKYGKGVTKAEDLTAVNTDDF SYADTDNGLETDVSFRSKCFRKYGDKWKQKNRFATKPRDTLSALAIGLVGLSSVMFGA ALPPFYNSRFGSYVVALEEEAVEEPSLREALRTLNASGMQKDIENIIEVINDNLAYIN TPHNMNEIIQDTEKLLEEPVSDVTRHVHKDSPIGVYIRRCLAAYRMSSLLETTDIFAA FQAYVNGTSDDKIMHRLGSNELKPKLASFKQDDNVLDRIDGWITDDITVNFLDNQIRQ IRDIGKSDCLPELLDKYLNFLQLYAPDIKKIDHVRALNLAQVKEYESASITFHKAVDT SLLSHENEHDQYSMLNLGILETRFGHFTEAIQSFSAALIIAQKKVDQHCLNEVQSWLR YVSSTDTYTGSSPPVNIKSEDIEEISRVIYHQSFYDLIWIRDALLHGRASNECFERLF QTIIRGGKSNVKGLSTFQNLVASQLWRHYGYGLLADNYLNAALDTEEEMIDNVEKKYI YAAEIFIAKGDYEKAQSMLNTFQTQYPSQSTMMIEWKQVQYRLDKYISQPIGPNTYRF VQEAMMPDYHPQNTSKYYDIKQNYAMEFYRCGDLEKSRVLLEQTLVGLKKEKLPLHIA INLMARAQLNMDIQCYSEAILLLKEVLRICKESNDAQNYYAAAIQLGHILVQQTPNDK REALFISQRLLPKVKSLESIELTTKCLALYEKSL PHYBLDRAFT_34142 MSLKTFTREEVGRHASENDLWIIIDSSVYDMSRFINFHPGGAAP ILEMAGKDATEDFYGLHRQEVLVKYSKYKIGTIANEKAQITIRQNGDFSKVPYGEPSA WMGFKSPYYKESHYKFRAAIRPIIDELAEEARHFEDTETKPTDEFMQKLGSYNLLAAN VGPGPWLRNITLPGGVTGEEFDYFHELILHEETARWGTRGAGDGIFGGMVISIPTVLN FGSPALKAKIVPEVFSGKKRICLAITEPYAGSDVASIRTTAKKTADGKHYIVNGVKKW ITGGMYCQYADYFSTAVQTENGMSMLLIDRSDDVETKAIKTSYSSSAGTSYIIFENVK VPVENLLGKEDRGFMVVLSNFNHERWVMITSVSMAARIVIEECFKWANQRKVFGKRLI DQPVIRNKLAVMVAELESFHNWVENITYQMCHMGYKEQSEKLAGPLALCKYQSTRMLH NVSDSACQIFGGRALTKTGMGKHIEMLQRTYKFSAILGGAEEIMADLGVRQAMKSFPA GARL PHYBLDRAFT_171500 MSFTETTVAWVNSTHSLLSKTNVYILCLILFGAYLILVRSLRYK YINNLRKQYPDPQVVFEDYDAAEAIFSHAFRREFPIISRESLEFALFKTFVVPSISKT LVASTIFEKDCAKRADDTELILGEIADPYPRIQNHMKICPFISEMDIMNQRGRATQAI NRLNDIHAKHNIRNDDHIYTVGLFVAEPIRWIAQFEWRELDEREINAMFVIWADLGKK MKIKDIPATPQELTAFRQHYEKTVVRYSKSNWKCAQHTIDHLLSRIPKLFWPIVYKII PCVLDEHDIVAFGIEPPSPIFKTIFWYTMRLRANFIRYLCLPRTDFVIRTPYSPNAQG RFTPVYDVYKSIYTTGYNIFELGHIKGESASKCPMSR PHYBLDRAFT_171501 MGLAQDQILSNLLYRTALIYAVQNNIYIKTTNHWKYTLAHSFPL TAIINVSYKISNIKQHFLALASFINISIGKGIIHLYPYSTNTLINISLHCRIADVLYL FAAYILEIVLVYLKILSDESLFVQKPLFFLLFVQHEDLINIII PHYBLDRAFT_182478 MIKDPLVWIDCEMTGLDIQKDCLIEIAVLITDGELNVVAEGPEL IIHQPRHVMDSMNEWCIEHHGASGLTRSVLESKISTAEAHQRVVSFLKEHIPAGVAPL AGNSVHADKRFLEKEMPEVVEHLHYRIVDVSTIKELAKRWNPDVAAGVIKKNGHRALD DIKESIDELKYYRKYLFIAPQ PHYBLDRAFT_126491 MLKGDALFRATTLLAAIGFLLFGYDQGVMSGVVANDGFKATMGS QDNSALVGAIVALYEIGCMFGALSTGKVGDKLGRRKTIRVGCLILIIGAILQTAAVNS GMMIVARIITGVGNGMNTATIPVYQAELSPPKSRGSHVAFEASLLTVGVGIAYWLEYG LYFAEGEVVWRFPLAFQMFFAIILGAASFFLPESPRWLQAHGYEDECKEVLSRLWSDC DINHPRCVAEWEEIRDGIELERRENISSYKDLFKKGKMNNRYRVLLGMGGQIIQQFGG INVISYYLADVFKQAGMSTEMAMLMAGVDSIVYFIGALTAIYTIDRLGRRWLMYWGLI GQAVTLFVVGGCQYAVENGNTKASPGVILFVMLYNFVFGAAWLGLAWLYPSEIFSTAL RAKGNSMSTAANWLGNFVVAMIAPVLFEYAKYWTFLLFGILNVIFLVPIYLWYPETKG KSLEEIEVLFSTQEFQNDAKSIASHIGSVSLYDSKHDAESLAQRPRSSRVPSLASRPV SRRMPSNFSVQQEKVSPDTLNPNSAATDIKKNYQDSDEKGPNFK PHYBLDRAFT_135261 MSTDRRRKTLPVVKTENDIIEEVEQSRWQEEFTDEPFSLTADPS AQYEDLTHEDSNEFASSSQNSQYSSSRPNSPQPHWLQLSADVDETFLLRTRHSLGLDV PGAVHTIVPHKLRNERQLDSKLAIVMVGLPARGKSYLVKKLRRYLNWLQYETKIFNVG NTRREHETSQTEQNAKFFDPDNASMKQVRDELAMEVLENLVEWLKNGGRVAIHDATNS TLSRRKLLIDRLNMEPEIKVLMLESVCTDKNMLERNFLLKLSGPDYRGKDPARALADF RSRVANYEKAYEPIGDWEEDHDIQYCKLINVGKKVIAYNISGYLPGQCIFYLMNFNLR QIFLTRHGESTDNVLGRIGGDAPLSPRGKKFAKALARFIKQQRLAFALEMVRAASNSQ FTVWTSMLKRSTETGAAFDPDEYDVKHIRFLNEINSGCCEGLTYEDIQKAYPEEFHAR QANKLYYRYPGMGGESYIDVIHRLQSMIIELERMTQSCLIITHRVIMRILLGYLLDWT QTEMPHMMVPIHTVYEIRPKPYGTELKKWQYIEETDRFEEF PHYBLDRAFT_182481 MAVKESPRSHRVTIGDLPSPHSISLLTDSPESSPECSRSGFSNS NPTRTTLPTDLSYPNWPSNDDFKPKDPDFQLRQTMRGSPSRRNQTKMLLVALIEYFCN TYGDSPDANHRVFFLICQTLRSLGFIDAEFVDEVASVRSTFQQAFRKLFYTAVQTVNN QHVPRIGNDQRLTLPDTGARTDQSSNDRLNPLNFDTLSLMSPNSENGEPSNEKAVDLF SKGNVFYNLSVHNSRYRNDFVELGLLGKGGFASAWKARNKLDGIEYAVKKIRLGQDLE EEFGKDNPYEKIFREIKNLARLEHQNVIRYYASWLEYDDSNAIHKSSEDSDESEFLDN RTEASQYESPAGDSSCCTTGDDTSSVATFSVAEQQQHINWEEPSDMGIVFETGSAEPS VDAFSDSFNQSKSFVNNRNCSSGTYSLSSGTQASKCKKRKDQGSWTLFIQMQLCPTTL FDYIKTRNQEGSTDAIDCHKNILLFSQILQGAAYIHDRGLIHRDLKPSNIFLSVPSSH SENGRTSRRSSLTVPSEELPRRKSICSEDWVPKIGDFGLAATVLDETGDKTIVLPTSI VSEPKSQLDMDIPDGTTTSQTLLKKRPKLPMRTRTIGVGTRTYASPEQLAVPAQAYDE KVDIYSLGIIFFELYQPFTTGMERATAIDRLKDGLFPDGFVKRFPKESALILWMMDKD PAKRPSAHRLLEFELFAQATDMFDLLQTRFMKKSDALEIKSHEVELLKKRLELMEQEQ EKSKMTISEMEHRLGNMQIQLNKAISQGYNVE PHYBLDRAFT_171506 MAKQAKNTLEETIRVDKKPEVWMEEIIKLETLFRSCEGSQQVAK LLNKIKNVTSEFEGKTGNPSINFQAPEKIKYPDKRNDSALSAPQKNGLIRPVTALEDY QYDKRTSVGKRVKFQPGFPVSHEIVGVVKGGFNLTADGRRGFRVLAHLIYKDQNKFPL VKRDMISVLPKYKETYANTFGTDIK PHYBLDRAFT_171507 MCFNTSDCAQLASDTYIRPVCAYSYSPNTTSVSFLPFAHPKNTS KQQQPLIFNHVNNNDWTTVNLSRNISRKWPIIPELFLLGCVRNQIPNSFDTYWNKFKE FNKQSCLNLYKSYQSVSLRPHKLSITCELNDSPLCFFIEIICGKYFTLRVTLISSELK SINVE PHYBLDRAFT_171508 MSVLEMIFFKISTSICKCTPMNISLATSAVRELLIYLELNTATL AKEKQALVIRARHFSSWAQRFSGALRLLDLRTLAFTGLIKSDLSVIQQSYLVPDHPK PHYBLDRAFT_171509 MEEVIKLESLFRSCEGSQQVANLLNKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKTKNKKQNKNKQEPLNPVDATKNKIKQIKQEPLDPGCRLTRICFLVDATKNKTT KIKQEPLDPVDAPQKNGFKRPATALEDYQYDNRTSVGKRVKFQPGFPVSHEIIDDVKG GFSPTADGWCGFRVLAHLIYKDQNKFSLVKRDMLAALPKYKTLYTNTFGTDTSQLEKI IQHGSQLDYSNTSNTNTNFIPVCSDASMWFNTPDCAQLAADTYTRPVCVYSDNPNTPS TTFLPFALPNNKTKQRQPLIFNHVNSNHWTTVDLSRNISRKWPTVPELFFLGCARNKI DDNFDTYWNKFKEFNKHDRRNAMLSLHSDLDQPIDLTPK PHYBLDRAFT_148679 MRCPGKDTATNSPLGRPSGLSSWESVMKMSDIQSCATNQVELAS NQSRILDRPHRPLLSKIGTFYLFMYFSSGRKATRLAQDVLFWPSDIPICVAQTIPRAP TEQVPY PHYBLDRAFT_76156 MSTNTDGPQKQNWFNQNDRSESDAYLSLMLSEYLDNQAVLPIVP ESPIVEEPQKLAVAEQVQLTELIWVPANKHPQIAPGEFVNWINTHPTNPARRTSEIKR RNSSLSQSHVSEDEDNLSVKTSRSRSAKSTHSPSTKQKDSQQKTFKDDTMETIQEIEK DANNQLDFMDTMPVVPKESKSLLRRSAFSARGKTRKEALERERKDPRRTMSQRRPSDK EIPPMTDEGISLYDRPVCMSEWVDLGNATFSDDSQLGILSRVHDAETQVFSQLMDESF QEEEEQQQPEKHTIEVEEELSSKIEVEEEQPLPQKLIEKPRPTISEATIKRPTIDRVK SKHEKKPSWLSGLFNDKEKKVRSSTRRVEDEQVSRLLEERRLEERRLENKRLEERRAA EKRLEERRLEEKRLEEKRYSAQATKKQSGLASLFGRSLSIKPKAEPKFVEPKKKKRIN QQDIIPPQFINSYRLPLHVERAVYRLSHYKLENPHRPLRHQVLISNFMFWYLSIINQH DNFAQAPQQQYVSNSPHQDDYSSQFHKKGKMSRFIISAKKRKDGPKTLLKDKWEDKSR PPAPQHAQDNHSSDEEDNVPLSYYKQ PHYBLDRAFT_56674 MEIFLGQPTLLELSPPVKIVGDTHGQYTDLIRLFEMGGFPPSSN YLFLGDYVDRGKQSLETFLLLLCYKIKYPENFFLLRGNHECANVTKVYGFYDECKRRL SSRMWRVFVDVFNTLPIAALVAGKIFCVHGGLSPSLKDMDEIRNIPRPTEVPGYGLLN DLLWSDPSEATAEWEDNERGVSYCFGTKVIDDFLNKFDLDLVCRAHMVVEDGYEFFND RTLVTVFSAPNYCGEFDNFGAIMSVNEELLCSFELLTPADHPLAKERLKAQEQAR PHYBLDRAFT_126499 MLRVIRQTGVARTPAILNAAGARLFSATASKKEEIEVFIDGKSV MIEQGSALIQACEKAGADIPRFCYHERLSVAGNCRMCLVEVERAPKPIASCAYPVMPG MKVKTNSPLVHKAREGVMEFLLYNHPLDCPVCDQGGECDLQDQSMRYGSDRGRFNEPS GKRAVEDKSFGPLIKTEMTRCIQCTRCVRFANEVAGAPELGTSGRGNEMQIGTYIEKT IDSEMSGNVIDLCPVGALTSKPYQMTSRPWELKKYETIDVSDAIGSNIRLDTRGVEVM RILPRLNDEINEEWISDKTRFFYDGLKVQRLTTPLVRDGDRFVPTSWEAALSRVGDEL NKTKGNGAKAIAGHLADAESMVALKDLFNRVGSDNFAIDAPNGSKPLAINADFRSNYT LNSTLVGAEEADLVLLIGSNPRHEAPILNTRFRKSYLHNGQDFGVIGQAADLSYDYEH IGTDSKAIESLLDGSHPFAKRLAEAKKPLILVGSGVIENSKDSEYLLSKASELAQKHQ STVFQDGWNGFNVLQRAAGRTAAYELGFLPSKEASSEEAKFVYLLNADEITPADVPKD AFVVYQGHHGDVGAQYADVILPGAAFTEKNATYVNTEGRTQITRAGVNPPAAAREDWK IIRALSEVAGQTLPYDDLASVRSRLSEISPALTRYDVIETPSTAQLGLSTQIKSNVQS SGEVLSSVIKNFYQTDAISRASSTMAKCTKTWVGKEEAELERATA PHYBLDRAFT_95300 MENNARPYQCHDCHRAFARKHDLQRHSRVHTGLKPYSCAGCKKA FARTDALKRHLR PHYBLDRAFT_148684 MDSFQALPEKERKVLAIAWRAMNLGSNVKASHPSKKDLVNMNIP LLCDTLSTPNSKLALRVTAQLLVGIVKVLQHQHYCVYEDTVQLWTRICRESALMTIED IDMPLATARQSSITFSEREFGQLGIDYKNSVFSLLLRYEPFPQQDEEYICADQEVSRP EYEDQTVSQMNTSAAQEPSRLSVSLESDPLRTDAMEIDILDQEPSIPQDYLYEGDHYY LDQPEPPTSSINSISEHQATVLPLEIGRFSREAADILTDIRSPISRRRSTSTGSTFSE QVAPFDIPEIEYNPRQASEGWDQSTTDTENNPALVPQLLHNLPVPRLRQRTSVRSSTI LLPLDFYEKSRLKTLGFGDQRQTHKVWIFIEMIRLAIIAYFDPFYLIRIIVCTVSTPL HSLLNHENRPRLHNNTLVSPEYARRYRSSESLNEDYSAGTLYSANEHMGILEFQDGTD SEETLALEESNQRYRRSNSPSFGHPSSIDIQYSPSPLDLQYEGVEYGDSFPNTLGGAS AIIEEHLSSSSRNACALAGKTYIEFEQLLYDGQNCPRSQVAHVFYQLLVLASINKLDV VQSEPYGCIKFRAQ PHYBLDRAFT_188005 MLVSVVLPASIYQVILTHALSTEKEEIIGMLIGNWETTPCKNPY MKGRTTAHIEAVSLLTRSDKRKDRVEIAPEQLHLAALQAEEYSKRTNKPMCVIGWYHS HPHITAFPSHVDLKTQYLQQSMDDRFFGMILSCFDATPEHENHIHLACFQSEKQVDGT IGSTEVGIVIKKESIFSATSRESMMELPRSIFEENQNEYHSTFNRTSRIYTTNSWENQ KLSQPLQMEDVYNTSVYGQYVVNLIDTFIIPMKRNFELRRLAVEQEIALLKEERDIRA NKKVPKSLIDLYDEEEELEDKIGQDKDKDDYSDNYNYNEEEEKGNGEIYEAKQYLLTS KYPVAEEASPKVDHTYIIPGLPSPIPQFGMNDMIALGVPRPINTDPILSESPDNNFFH KETNGSGGSSLSPSTVEILDLEDEDEDSTHVSEYTKQRRTKRESLRRSKLKTSDVLID LS PHYBLDRAFT_148686 MSFDEKTGVFKIKRNRVTSSYKLWKVPDGGEDNRTPLERLYHFL FKDEGDNLNKYFDGTPEGETFVTTKTMIIDDCREYFAEQGIDQPAAQIKTRINYLIDK QYLPALNLMRHSEEFPSHYRKLEEICPEFFRINEIRRPGETESPCISNSGTPFDFANE YGLSSASRKKKISGKKRDLTDAQLDEERRKDTEKKLKVVDATTKAWRDEKFALQKAHF FKAREDRLKKEAFEADVKMIFEMASLFSWSEEKVQEEYEKRCNKRNND PHYBLDRAFT_182487 MTSCYPLLRHVCRQDAGRLGHQIGLKPITSVQTWKRWNSKSTTE KLKSADPTKLIDLKTIPAENIRNFSIIAHIDHGKSTLADRLLELTNTISSRGSNKQVL DKLKVERERGITVKAQTVSMFYKYKGKNYLLNLIDTPGHVDFSYEVSRSLAACQGTLL LVDAAQGIQAQTVANFYLAYGQGLEIIPVLNKIDLPGAEPDRVLKQIQSAFEVDTSSI LKISAKTGINIESVLPSVIEKIPCPKGSMTKPFRALLFDSWYDKYVGVVCMLGVVDGT IRKGDKVVSAYSDTRYEVTEVGIMHPEQLPTGYLHSGQVGYIVCGMKTASEAHIGDTF HHIGKKVEALPGFQPAQSMVFAGIFPVDTNDFKKMDDSIKKLTLNDASVSVHKETSNA LGQGWRLGFLGTLHMDVFRQRLENEYEANIIVTQPTVPYRIVYKDGTTKTIRNPSEFP DGDERAARVSRLQEPMVLATMIFPDEYMGKMIELCGTRRGEQREYVYVDEKRVMMKYI LPLAEVVTDFYDELKGRSSGYATFDYEEAGYEDSELVKMTVLLNSKPVDALSVILHRS QVDLVGRDWVKRLKGVIQRQLFDVVIQTALGTKIVARETISALRKNVTAKCYGGDVSR KMKLLQKQKEGKKRMKMIGNVELPQKAFYDFMDNNAS PHYBLDRAFT_171517 MTEAVDGNRRALRLKKIFRHHPTPILTSGSHRKNAGTSQIPISS PILQHSTSIYSLVSPVRADFDDYALDELLAQYQPMKIPLEKPFQRLQTPEYTNSLSPA PRSSSSEEDIPVTSPRARKMKTCSHNQQAPAECYDLSHDKAHKKSKNPARPSLRRHSC SSTDILRQHYSQQLAPPMTAPLRTSSKPNLALEIPVDGTPHTESSRRSASSAAAAAAA LPSSPTMGCSSSKSTPLRKIKTYNVHAKSKKAVSPEKPKSVHDVEQERRRQILEDLIS GRRGSTLKFTLTPEGLT PHYBLDRAFT_135272 MSKRAAVKKAPVENVTLGPQVREGELVFGVAHIFASFNDTFVHV TDLSGRETISRVTGGMKVKADRDESSPYAAMLAAQDVAAKCKEVGITAVHVKLRATGG TGTKTPGPGGQSALRALARSGLRIGRIEDVTPIPTDSTRRKGGRRGRRL PHYBLDRAFT_115595 EGERYFGLENVSTICFYSNSVVQALYYCKPFREYKDQNNLNLSP GMEDTLFAALKNLFWTISTHKKKTGIVAPVNFINKVKKENELFRSSMHQDAHEFLNYI LNTIADDVQKYQRNVAKDAGREKEEKDRQENRRGNILIHSLAENSDHSSNTQSTWVHQ LFEGVFSNETKCLMCETVTSRDESFMDLSIDVEINSSVTSCLRQFSASETLCHKNKYF CDVCCGLQEAERRMKIKKLPNILALHLKRFKYQELLQKYIKLTYRVVFPFELRLFNTC DETEDADRMYELWAVVVHIGSGPHHGHYVTLVKSNGRWMLFDDDIVSVITVDAYLRGR PHYBLDRAFT_60034 MSAIFLYEDGQGKIYDQSGNNAMNVEEDVNPYHLETLTNIRHYC ESQGPEQESLIKDLDTRMEDVANLLGTLYNAAKSGRLAGGIAERAAQKWAKRLKENKY WNILEKQANKVNRKTSQLQEEHKVHLINFYDEHPQARVSDGVASLTEKFKNFTLKNSS VQVFLKNECNLLFKRIIRHPVARNNELKLANRKAWV PHYBLDRAFT_28352 MAIDSIALTKPSWADEVEEEEDAFQPKAFTDENGIKTIIEYREN EDGKKVKVTRKIRSKLVTEHVNKAVAQRKKWSKFGDEEGNKPGPDMATTTIGENIPLK LGSNTGKNVEAVAAEEAHDKVASQLKAKNIMCRICKGEHFTSKCPYKDTLQPLDEIES SLEAVKLEGEMEAGEGGLNKYTPPHLRNKGPGGAGESMRDAKRDDSATLRVTNLSEDV TDNDIYDLFNRFGNIARVYLARDRETSLCKGFAFVSFSDKDDAERAQQAINGYGYDNL ILRVEFARST PHYBLDRAFT_182490 MPCKSKKTTESSKRRLSQNSTLEKSLAINNTSEDYVNHESSNTS PTLPLAKRQCIDLRDSNQASLKYEGSPETDPDDTEKSVSNISQDIQKDFVKNDTKNER ISSKINTSDESERVLLIQKSRISTGITKLLRRNDGLDENDTVKLLVPSKSKSTPIKLE GSLQDSISMRSLSFGRGFNHARSSLTDPKSFTKTENAVQMKKPDTILPQLNTQQNHPL NPTKANIEANTSTELMPPPKSTIPLKFQFADIPSENQATLVVESIERIENKRERTTNE SISTTTTQEFDDEFLDILDVTPDWVKSPMFEQLLEKQTHMDPDKIFGRIPPIDLKNIF TKPRKTKH PHYBLDRAFT_135279 MDHFPSAWGKPRNEAVDQYNTVPLTRTLPDAAVQAALGPTTRTQ APLVTGTSVIAFKYKDGIMMAADMLGSYGSLSRFRDIERLHPVGDHTIVGASGDLSDY QYIEHLLDSLMIKEHCADDGHVLGTPHIYEYLWRVLYNRRSKFNPLWNSLVVGGLHKG EKFLGYVDLRGTTYQSTTIATGFGAHLAQPILRKRVEGREDEITEQEAVEIVNDCMRV LFYRDARSMNKFQRAKITEAGTEVTEPYSVETEWSFAESIRGYGA PHYBLDRAFT_126515 MPNYTQVSQTEFKPSEISFGAGALSGSYDKIEAHWPVEACREAL QSGINTFDTSPYYGHSEFLLGDALNELKEEFPRSSYYIATKVGRYGYFAKDFDYSSER VKKSVEESMRRMHTDYLDIVFCHDVEFVDFDKVVGPGGALEALFELKKQNKINYVGCT GYPLPVLLKIAKHQHAKGQPLDIILSYCHYSLNDTTLSDYADAFRAAGVRYLLNASPL SMGLFRESGPPEWHPAHPELREAVHKCALLAKENGLNISEIASRFSFSGRQQFNLDTT VIGIGKREEAKAAVAAIKRMKDIEQGKEKLSETEQKVLDQIHAILKPYKNFTWQSPSN KELGL PHYBLDRAFT_28362 MASTHLIKDPSSLSNLLEVQTTHLHLDWTIDFENKRIYGSVFLD LIALADNVTKVVLDTSYLDLKSVSLEGQELEYNVAERNASLGSALTIQLPKALSEGDK IKIKVEYSTTEKCTAVQYLSPEQTFGKKHPYLFSQCQAIHARAFAPCQDSPSVKLTYS AAVTSPLQVVMSALHTTKEEAGENTVYHFEQNTTIPTYLIAIAVGNLAGREIGPRSTV WCEPEMVDQAAWEFDDTERFIATGEALLTPYEWGRYDLLVLPPSFPYGGMENPCLTFV TPTLLAGDKSAVDVVAHEISHSWMGNLVTTQNWEHFWLNEGWTVFVERKIAQRLHGEA ERQFSAIIGWKALKESVELFGEDSPATILKPDLSSGIDPDDYFSSIPYEKGFNLLYHL EKVLGGPAVFEPYMKAHVVRFASKSITTEDWKAHLYEYMGEHHGQEAIDKLDAVDLDK WINSTGMPPVDPQFDTTLADACYDLAKRWDEARDSHDLTQFKSQDIAEFTATQKIVFL ERLTDCEPLPHHLIEKMDSLYDMTPIRNADVRFRWQQLCVMTSYEPIYPELVKFISEQ GRMKFVRPLYRLLHRAKNGAQLAVDTYLAHKNFYHPIAAQLIEKDIGLRQ PHYBLDRAFT_60040 MYKHHIYTVFAKKYRIDPPFPISLESLVKYLKFKRKTNKQKSLK WHLSGLANHPLHDEVWKKNVLQHPTIENMLAEYKKTDEKLVKKKSSRNIITSTSTEQI EVEVKKEEEEEEEIVDDEKEEDKDKVDREGGGGYRAVYDNESADRVVKVPRAKGFMVI DSDSNSDKPENDVLKLSKRSEPIIPLKTLRQHKFVVLIKK PHYBLDRAFT_60041 MSPQKRSRNPNPKKFPCSGYGDCTMVFTRAEHLVRHVRKHTNEK PFECVVETCDKTFSRFDNMLQHAQTHRREMREEEENQSIGGAGGVRGARSTRAQSRRK RSLQTSPEPCEPPKTSVQNRTQSDACIDHEHLTTGLPSPMSQTSRQEPSPTGRRLSLI DICNPVNVDVYSPHTPSATTMDGHYLFPDVNAFEW PHYBLDRAFT_159602 MGRNFFVGGNWKMNGSLAQVKSLVAQLNNTVIPSGTEVVISPPA IYIDRVRQELKPEIGVAAQNSYTKASGAFTGEISPEQLKDLGIDWVILGHSERREYFN ESDEFVGEKTAHALAAGVSVIACIGEKLEERETDKTTEVVTRQLKGIADKINDWTNVV VAYEPVWAIGTGKVATPDQAQEVHATLRKWLADNVSESVAESTRIIYGGSVNGSNAAE LAKKEDIDGFLVGGACLKPEFSNIIAARL PHYBLDRAFT_182496 MKISFIALTLFALAQQTFASKGDASENGISKVITPEALKTIKAN SEEKPWFVKYYAPWCGHCQKLEPIWDKVAVGLKDSNIGVGEVNCEDNKELCSENGVSG LPSLKFYAHDTVYDYVGDRTHEKIEEYAKKMAGPPVESVNEAGLIKRLGESPVSVVTF CGEEDHAIKELTNEMATPLMGKVPFYVTSDVYAFQRFNLGLEDVPVMLIVKDDTEVLY TPLAEINLFDKSSRLELLSWINKERFPLVNMLNRANSVDLLKGDHLVVMALMENSNEE SVQAFRDLATKWKNTATENDKNTLFVQLDSSVWANYANRIYKLTASKVPKAIILDPSR KQYFSVDLDKNTLSIDKPNELFKTIRAVSAGKLEGTSTTPPRGVSVVDKMFSFVGTHW IATSLGLFSVFGLFMKYVFGGSTPVVVDEAKKQD PHYBLDRAFT_36112 MSQAVADIGLIGLAVMGQNLILNMNDHGFTVCAYNRTTSKVDLF LENEAKGTNVVGAHSIEELCSKLKRPRKVMLLVKAGSAVDNFIDQLLPFLEKGDIIID GGNSHFPDSIRRTKELEAKGILFVGSGVSGGEEGARFGPSLMPGGNPAAWEHIKPIFQ AIAAKAPDGASCCDWVGENGSGHYVKMVHNGIEYGDMQLICEVYQIMLEGLGMSHDEM ATVFEEWNKGELDSFLIEITRDILKFKDTDGKPLVEKIRDTAGQKGTGKWTGIDSLDR GIPVTLIGEAVYARCLSSLKDERTRASKLLEGPKNAKFTGDKKVFIDQLGQALYASKI VSYAQGFMLMRQAALDFDWNLNYAGIALMWRGGCIIRSAFLGKIREAYDNNGKLENLL FDSFFQEATAKAQDAWRNVIAQAVILGIPTPALSTALNFYDGLRHEMLPANLLQAQRD YFGAHTYELLSAPGTHHHTNWTGRGGNVSASTYDA PHYBLDRAFT_171532 MTKGVFVNMERSRNSLLTRKYCVFGMFKQRNKKYDGIRRDPFKI HVMKLQIKWTAEDALMLWVKVRHASERITSGNLILQIKRRLRMEDFIELDIVILVDSN FITITISKNSDNKQARAQIFQKIITISRLSLYRISLYRALTVTLQYTG PHYBLDRAFT_126526 MEKEEAQSTRTIDLDPDHEFRFEVDFNVKVQIKLLKGTAEIFGT ELAMNVPYTFSGRKAAVYTWHGCKIEVKGQFLVEYTANETPMTSYFNAHMAIQQMREK AKRDGERGPRVLVIGPHDVGKTSLCKTLVSYALRQNEKPIYVSLDTSEGSITMPGTVT ATCISHIIDIEEGFGSSATTAANMGAPTIPLAYYYGYENPSENVKLYKLIVSRLANAV KSRMSTDDETKTSGYIIDTIGLIDHLGYELIQHIIGELEVNVVIVLGHERLYSDMTRI LKDKSHIAIAKLTKSGGVVERDRQFKSQLQRSKIQEYFYGTPKYELSPYSMLVNFSDI SIWRVGEAMAPSSALPIGMDSTENETRMVKVENLDMCLHSIMAVLSAPDDIPDNKLLD CNVAGFIYITDVDEEKQKVTILSPSPGRLPRRHVLMGSFKWMEE PHYBLDRAFT_60048 MCKRLDAPWDDMTASHIRAALYFDQGAYLEAFDAQKEVVQSFQR SMPSLTRWCLPILYVINNDLRNIALKADEDSTLTGQRKKLEEAANIINLQKSQLKQRN LCKNILRALKAAEMPPLQSFPKSDRVTFRFYLGKLYFLEEDYPKARLASRDAENELSL AFRECTKASQRNKELILEILLPIRLMHGVVPKPEALARFPRLEQLYGPLTAAMKRGNV REFDECLVTSEQELIRQGTYLAVEKAQSIAMRQLFRKVFIILDSNTRIPIALFKKALD FVGMDVGVEECEWMLATMIYKGYIKGYLSHEKSFLVLSKADPFPAINSSSV PHYBLDRAFT_135294 MKIFGILVLKKDGDKAQIIASHYDLSSFGYFQRGSVQEFMNFSS VTIGERTPPGTRQSVEGENNVAHVYSHPQGIVGVVMSDKDYPSRVAFSLLNRVTDEFL VKYPNATRPVNYTELEGYVVRYQDPKEADPLMKVQNELDETTEIMRQTIDSVLKRGEK LDSLVDRSEALSSQSKMFYKTAKKTNACCVVM PHYBLDRAFT_91105 YQLLEELGRGSYGCLFLGQSLDTNQYVAVKVLSKAGLDTNQRTL QQLEIDIQSSLHHPYLLSIHQTTQDEDHIFMVMELCDQGDLFDFVIRDPLKLCDQSLV KTLFGQILQAIEHMHSNHVYHRDIKLENILLKCDDDDQYTCKVADFGLATRERLSLEF GCGSTTYLGPEHFDDEDNGDDEKEAEEFVPYDAAASDCWSLGILLIALMFGRNPWEEA TTMDPSYAEYIRDPLMLAHLFPTLSNGCLDFLISVLDVRPQDRPSVSAMRA PHYBLDRAFT_115460 MTTTHLNWRFVPHPTVDIDPRVMSDRKKNINLVCISLLATSAGF ASLIYFPGVPGITADLNAPPIAITLTAALFALFTGIAPVFWASASDHYHIRRLPNLLS MVIFSAASLGMAFVKNIWALVVLRCLQAVGASCGQAVGAGMIADLYELEERGSAFGKY FFGMFIGPLLGPIVGGFLSMSAVSWRATFWFCFALGVVIFILCFLFLNETYRDNSKFD HVNEVSDAKSATLVEDQPRKKALNPIDPFLMLRHPFVFIASFTGGVMFGGMFAIETII PKIYEDNYGFSAWQTGILRLPNTGLGNAIGSVVGGYLSDRLLLRSRARRGGRAVVEDR LTANCWPGGFILVPLGQLLFGWMAQTKGSVWACIVAFGIQTFGMNQVMAATSAYLVDA MPGQGASASAAATLFRQAMACILTLAANPMVAAIGPGWTTVFLAGLTVFSMALLAILA IYGERLRRWSGYEDKLSQ PHYBLDRAFT_148707 MSDQDIEALLNGSIDDTEIADSDLDDPELLNQLQALSSLSGGPK QVKNTPKRTVDMHVDIDAYAALADEKEIEVEFDENDLHDPHLLSELSRLSGGDQEDIP MGNSDPTPEDHASDIKDSVMSADEVQQQISHYHKLALAAKKQGDKQLAITYLRQSKAL AQKYPDISKPTTISTASQPPKSTSPPPPPPPPKDIQESQKPQEVHELPIAPRKESTPV TSNSLPTQSPKQENESQDNIQAKTRQLLALVVKRQKEYKEAGLHYKKIGNMSVAKEMI RQSKDLLRIGVQLNQGKVGDLDALEKSIVPEPNMDLGDGKIRTLNPVTNSLVNMEQLE AQLNYQIDVCHNLASQHATPTTSSKLLCESNVFHQLEKALAADQVILSSATSTPPFHF EQVQYTYKNSLDHVPASQMEFKIGKATGLQTLGVASVLEPFVTYDFGGWPPENSAQAA LGKGETAVQRGTEPDFEFSVQIPISRTNRAFLRYLQRKKLAIEVWHNKYSYGLFRRPV SLGKVLLPMERLLTKTSIAGNFDILDGGRKKTGAQIHIEVNVKEPLAGSDIVKRSEQW LVIDGFRHPTLQLLASAGLISGDASATVSSVTASATAAMPTTTPTSIAAAAILTTPTP SSPLATPTATPTPAPAPAPAPVSLPVNETADAALEEAIEELNNPESLVSNMVLDFEIK KVDAALAKQSTEALLDRKQQLEIKMNMLIIQVQTGMLDMDTYLSAVQTRMDRDRQLAV LFKQHKRLDLAKEALMRKKLMQDELTEAREAMAAQQNED PHYBLDRAFT_148709 MQIQKKTKSSSRSSSKTKSNTNPNQPIKIPRPMNCFLAYRLAKQ SEIVARCPGANHRDISKIIAKWWKEATEEEKAPFREQAVQAKVEHAKMYPNYKYTPQK RPNRVTRKYTMRPKDQFTSKIAKNNRIMERLFEDRTNLENFDTTVDNIPLEEIRDVKQ GNRGYNPVFIVQQVSPPVVYSNTSLWQSSQLSHNYNAPCNSSCSPRFYGMPYSPYSDG ISSIVLSDSTTSYVSSVSTPQAHESTGYYSPSMDYFSEGSVINSHVNYTPSQTCIDPR LLCSSVLRSERSGYNAQSTLSHW PHYBLDRAFT_15654 MPKSKLLFVLIDGVGDVAVPELNHKTPLQTAHIPWMDKLASSGL NGLMDSVEPGLACGSDTAHMSLLGYNPRQYYRGRGAFETMGAGLDMIPGDIAFKSNFA YIDKATGIVLSRRADRHFEGLGPTLCAALDGIILPSFPNHTVAVKYATEHRCGVRVRG PNLTDEITGTDPLKDNLPLVLCEPTTNSPDAKMTSKLINELSNEFYNVLSKHPINSDR KKAGKNPANCVLLRGCGSCINIPSIYALHGLKSFLIAPTCIIAGLGMTAGMDILSVHG ATGDYHTDFDAKADAAFKALTGPVYDFGFLHIKAVDDAGHDRNVSLKVSFLEKIDEMI GSLVTRLSEVEMANDEKYTIIVTSDHSTPVLYGDHSCEPVPFCICSVEDCVRGQQSDL TIEFNETEAAKGSLGRFCGDQVMKIAKSYMAINK PHYBLDRAFT_148711 MGKTVQESKANPMGDRSNELHALTRAEEEACNKAMKKAAIEYCQ DSMKEFVQCSKEHNVTVMWTCRDKLKTMNNCLKGRTSREELDKLKLEKLMAKRAELAK PHYBLDRAFT_56685 MLLPPINFKKWVEEHSDYLQPPVNNFLLFKGKDTIIMVVGGPNK RTDYHINETEEWFYQVKGSMTIKVVDDGVFKDICLNEGDMFLLPPKVPHNPVRYQDTI GLVIERVRLPHHTDSLRWYCEGCKDILYEESFHCVDLGSQLKPIIERFATSKKLRTCK KCGVLNSTK PHYBLDRAFT_182502 MSDLATIVDVPSQKTGSSQAIIDCASGTVGGIVQVLVGQPFDTV KVRLQTQSTTNPVYSGMMDCVRQIRQREGLGGFYKGTTTPLVGIGACVSIQFVVLESM KRTFAGKNNDPLTNTQFYMAGAASGVANSIVSGPVEHIRTRLQVQTGPNGYKGPVDCI KQIYSAHGLAGIYKGQAITVLREFQGYGAYFLAYEWLVKRSMEKTQRKRTELPAWEVC MYGAAAGYAMWMTVYPVDIIKSKLQTDGFDKATRKYSSALDCARKIMAVEGFGGFFRG IGVCLLRAAPSNAATFMGFELAMRMFSH PHYBLDRAFT_148715 MSRRNSNTTVDNNVSTKVASSGLKAFILSRILFAPPVENDPRLL RARKKSTILLCLAILVSTGGLSSTIYFPALPQIETDFNSTPILATLTAAMFILFMGIA PIFWAAFSDHYKIRRLPNMLSMVVFTFSSLGAVFVTNIGGLIALRCIQSIGSSCVQAV GAGVIADIYPLEQRGAAFGKFFLALFIGPLLGPILGGFLVMSDASWKATFWFTFAYGI AIIIFCFIFLDETYRDNAKFDLQLPMQNTRESDTLSTTGTVLSESPSPKSAEVLENVP VKPKRINPIAPLFLLRHPFIFMPSFCGAVAFGGMFAVETMIPIVYTENYGFPAWKTGL SYLGAGVGNVLGTVMSSYISDRAVLRAREKRGGREVVEDRLTPNLWIAGFLFMPLGLL LFGWMAERNMSVWACIIGFGCQSFGMNQIMSATAAYIVDALPGQGASASAAANMMRMV MACILTIIVSPMMDSIGTGWTTVFLAGLSWFSMIILVIVVIYGERLRKWSGF PHYBLDRAFT_102741 GPQTLQPVMQFKCKLFLQNETSSWSPFGSVSMRISQQIPSKRMH IYIENEKNKLVSSVVRSGNVEKVGNKKVTFLLKNEQERTSMVYMIQLKDEKTAVKTYD YL PHYBLDRAFT_60060 MGFLYSRSAFGGLSSAIKPPSSETKKAKAKPKPKPKSTTITEST ISKLARGISSNPDQIPNKPRAKKETLPKKEPKKRIPKTAVTSDSNLESDPSAVVKKPR GRPKKVPEANSTESSATAVKRAHEDDHLVPRKKISPLRPKENTSSEPMDNITSSKITP ILAKYSEETNLNPPEIVTKIAKVPRAPKTAKAPKKVGDEKKPAKPRTLASKSKITKSE VPIETVEPDDPFLNIHVDTSLKSVLDSDYQLEYEIHGHSNVEKPYTASNKEGHSANIW ACEFEPVLYGGTPSNVVALCGANYVLFLDTDQERYVKKFTHPEPTEEFYCLAWTTLRG PSEMMDPRVPEDTSCNILAVAGRLGSIKLILPLQNECYKYLSGHTKDVTRLSFSMKNR RWLFSSSYDMTVRLWDIGPPKSGVDSYMCLSKFHIPFDYDIPTSLSIDYDFSELVVGC AAGDMPIFRIEEKQLKAWKSTVDKWAKNGKQPKKTTIPLIKHKTVFPSGNEWHEGYVD DIHIIGNTGECILSELDGLIVSRGSDDREIIAWNPVTSTQEDANIIKALEWPKAGDKI NLRFKVIERYDRKILIGGDYDGNIHMFDLSDGKRSQTLENGVKEQLKPAKILSDETPT GIIRDVSLSHDGKTIVAVGAANKAFVWKYISYQFTAYPQANCVSVSHRGLVQLLHRLN IDYNH PHYBLDRAFT_171547 MSHSINHSANEANIKKRTSENDSSKIHLTSKRNLIKENISTNKG NFMIKDAKNKSTITHNSTPKMLASKSNALNSKENMKDEIKNKPYDLRNRKPIGEDVQK TELKKPIKITGSKESLLESKQNAQHKKEKTFDPKTSPKRSMSALSSLLQPSKRRTASL QQSSEIKTSGPTKHNKRKEEELPFAPDGLDFEPITSFDKLSSELTFKTSDEKFLNTTT FEYGSPPTDPDTQHNSARSKAEDDFDFPLDVETGHHEEKELPFELDDSLKVHLSSFSP TISAEAYYAKEEPEFEFDPQQLEETGLEIRDTTNEAFDYDGIPGASYGEDFSSGSDDE VSLLTFRDFVLTASKLEI PHYBLDRAFT_148719 MVLADLGRKINTALVDLTKAPVVDEKVLDALLRDICAALLASDV NVMLVQRLRNNVKKSVNLNELGGGANRKRVIQKAVMDQLYELVDPGVQPYKPIKHKAN VFMMVGLQGAGKTTTCTKLASFYQRKGWKTALVCADTFRAGAFDQLKQNATKAKIPYY GSYTETDPVAIAQEGVDKFKADKFEIIIVDTSGRHKQEAELFEEMKQISAAVEPDSTI FVMDGTIGQAADGQARAFKEAANFGSIIMTKMDGHAKGGGAISAVAATDSPIVFIGTG EHLHDLDRFEPKSFVRKMLGMGDMSDLVEKVQDMKLDENKNLMKNIQSGQYSLRDMYD QFQQISKMGPLSKLMGSIPGMPTEMLAGSEEEGGKRIKRMMCIMDSMTDQELDGDSKV FEKDHSRVIRVARGSGTSVHEVEEILSQYKRFAEMVKTMGGKGGLLNNMPTDPRKVNP NQMASMAKMQQQLANMVPPQMMQQMGGMEGLQKMAKQMGGMFGGGGGGGGFPGMGRGG GMPDFNQMMKAMKNLK PHYBLDRAFT_171549 MFSSLRKPATGFPSRLTITWKRYQATTAITKPLEGVRVLELGQI IAGPFCGSILGYYGAEVIKIEPPKNGDPLRSWRHLDKDGQSPWFRSMARNKKSVCIDI RTEEGRGLVKELAGKVDVLIENFKPGTMEKWGLGPDELYAINQSLIYTRISGYGQTGP YSKRPGFASVCEGMGGFRSVNGYPGEPPVRPNISLGDSLAGLHAAFGVVIGLLARDKL KQSSKGNGRTGQVVDVSIYESVLNMMESIIPEFDRFNEIRQPSGTTLTGIVPTNTYPC KDGKYVIIGGNGDSIYNRLMTAIGRSDLTGEKYAGNAERVKEQKVIDGAIAEWTATLL SEDILTILEKASVPSGKIYDAKDIVEDEHINARGMIETVTVGTVADGRGWDLKIPGMS PVLELTPGSTEWAGPDLGAHTHEVLQSVLGLSKADIEALDTKKITKA PHYBLDRAFT_74407 MANLEDASLWKEQEGEDAVDQEILRLAPEEVNNRTRLLENDIKV MRSEITRISHEQANMKERIKDNAEKIKMNKQLPYLVGNVVELLDVDPDEEEEEGANVD LDSTRKGKCAVIKTSTRQTIFLPLIGLVDPETLKPGDIIGVNKDSYLILDTLPAEYDS RVKAMEVDEKPTEDYNDIGGLDKQIEELVEAVVLPMTHADRFKNIGIRPPKGVLMYGP PGTGKTLLARACAAQTNSTYLKLAGPQLVQMFIGDGAKLVRDAFELAKEKAPTIIFID ELDAIGTKRFDSEKSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRIDILDPALLR SGRLDRKIEFPLPNEEGRARIIQIHSRKMNVSKDVNFQELSRCCDEFNGAQCKAVCVE SGMLALRRGAVEITHEDFMDAIQEVQAKKKMTLQYYA PHYBLDRAFT_5566 PFTCTVSPVVLFAVLDQYLRRDEQQSKAIGALLGVRSDDGSEVE IRNSFGVIYTEESSEIVVDKEHYRNMYELHQRVNPEEVILGWYTSGTGLSPAISPIHS TFAPDTAPFHPIHVTFDTESLIKSDGLGVHAYTSAPVGFSSTSGDSIFLPVQCEIKYL DAERSGLDMLASAKSTETRSASLLSDMDHLEVAVNKLQEMLERISRYVDSVVEGKEKP NNAIGRYIMDTVSVVPKVDTTAFEKMFNSHLQDLLMVVYLANMTRTQLSITEKLNLL PHYBLDRAFT_148722 MQPENDGHKSSVQSEVSNDSTVNHNIVTAPNNEQEKTPAQPETP IDPLEEARLQKAKRTKRILTFLGLQISLFLAALDSTIVATALPRIGSDFNKMDIVSWV ATAYILTFDAFQPLFSKFSDIFGRKLVLIFGICLFLFGSILCGAAKSIVMLIIFRAVS GIGGAGIFSMVFVIISDIVPLEKRGSYQGLINAVFAMSSIFGPLIGGSFTEYVSWRWN FYINLPIGGAALAVLFFFLDLPVPKGKLIDKLKRVDYVGTVIVLSFSTLFLLALNFGG QAFPWKSAAVIAPLVISIALVGLLCLVESKFAKEPLMPPRLFKNRSVLGALLTNWFFG MSFLGMLYYLPVYFQVVRHDTAMWSGIRLIPMQMIVCTVSTSTGFLISKTGRYRPLLS IGLALLSLCLGLLSLFDKNTSWSRVYGFTIIGGLGMGCMFASSVIALQAAVEKKDIAV VTGLGSFSRILGGALGVAIASTIVNSTLTQDLPLRIPSEQAQQVLQSSAYVHNGLPEE YLDTVLEVYTEGLQLVWHVLTGMAGAGFISSLFIKHHEMRKRGPPIKEEEKTPTDEIV IPPQENIEGAVIVDVADTKKEEPAKSRL PHYBLDRAFT_115569 MVVYTSSHTSVTLPEIDIYSYLFLPNEYNTTRPLDRAILIDAES QRSLSFTQVRDISGRLATGWNKSAGLSKGDVVAVFAPNQYDHALLYFSLLAAKCTISP GNPAYTKAEFYHQIHTSGAKALVTVPSLLPVLTKVCEEVGIPKERIFLFGDQAIGSIK PFYSLLENNSSIELPLKNVDSVNDVAFICFSSGTTGIAKGVMLTHRNFIAQMIQVTKF ENGDTNQINDRILAFLPFFHIFGLTTLVIRAFYSLTPVVVMGKYDVEVFCRLVQKHKI TIANIVPPVAVHLAKHPAVKNYDLSSLRIIGCGAAPLSREHIDALHKRIPVHVRQGYG MTETTAGCIYQIIGSTGVLLSNMEAKLIDEDCNGINKLGPDEAGELVIRGPQIMKGYL NNTIANSETFLEDGWMRTGDIAKYDQKTGEFYIIDRLKELIKYKGHQVAPAELEAVLM SHASIADCCVVGLYDSTQATEIPRAYVVLQGATEMSETLKGELHAFVNSSVAGHKKLR GGIYRVDKIPKSVSGKILRKEVREWIRLEQEQEYSSTKARL PHYBLDRAFT_135313 MSFDKKADEKLTFETSENVKVVPTFDSIGLKEDLLRGIYAYNFE KPSAIQQRAIVPIIRGRDVIAQAQSGTGKTATFSISALQAIDTSVRETQALILSPTRE LATQIQSVVLALGDYMNVQCHACIGGTSVGEDMRRLENGVHVVSGTPGRVYDMIRRRS MRTRNIKMLILDEADELLNRGFKEQIYDVYRHLPPATQVVVLSATLPHDVLEMTQNFM TDPIRILVKRDELTLEGIKQFFVSVEREEWKFDTLCDLYDTLTVTQAVIFCNTRRKVD WLTEKMREANFTVSAMHGEMPQKERDAIMQEFRQGASRVLITTDVWARGIDVQQVSLV INYDLPFNRENYIHRIGRSGRFGRKGVAINFVKDEDLKILRDIEQFYSTQIDEMPMNV TDMV PHYBLDRAFT_135316 MPKVSISGTKYRMTLGLPVGAVMNCADNSGAKNLYVIAVRNIKG RLNRLPAAGAGDMVVASVKKGKPELRKKVMPAVVIRQRKAWRRRDGVFLYFEDNAGVI VNPKGEMKGSAITGPVAKECADLWPRIASASGTVV PHYBLDRAFT_89330 MQIKAIAVALAITQAATFTNAAASTVADTYWKSFKSPVDPNNVV LPSIPQTTLHDAVKECTYYETPASFNFDPAEWPTTWETATSNGMSKSKEFLDLYNSLD WKSMPDIPVRKLGSNGAPDMSSYDSANDPDCWWSATTCTKPKHENINADIYNCPEPQT WGLTYDDGPNCSHNAFYDFLQEKKLKASMFYSGSNVLNWPLGALRGIKDGHHIADHTW SHQLMTTLTNEEVLAELYFTQKAIKLTTGVTPRIWRPAFGDVDDRVRWIATQLGLTTA LWDLDTDDWTADSPETQAVVEATYDKYIKMGTDGTYAKSGNIVLTHEIDGKTMELAMK YLPKIQTAYKHVVDIATCASITNPYFEDTVVFPTFASYVATGANGGQPSSGNSSVTPA KGSSAAIS PHYBLDRAFT_60072 MHIDRFFLYDTQTCCDRCTWPRIIKKRETKVCNDGYEEAISAND NIFFGEQLFEEPGFTNLVTISDQLMTYFGWRILISGLMTLYKPRYLALEVARLSYEIT VISI PHYBLDRAFT_171558 MFVDVHKSYLMNFPEPLVTEKPAIGTICGKLSSINIRKNVQQKL LNITFIILIEISEFLLVVLIREKTKCLLYIMEILKTVVSSGFFSSRNKYGFIKEINHR NCKYLYICSVFWLYLETSSKYIEVQ PHYBLDRAFT_171559 MTLLGTRRNPEQWPRNLKVDQYEVRLPSTTQHNFPSLNSFSKKI LVVHVSIAHCFGNKSVNCALRQNVKTAPSDINLRGLRLYIYMCACGKTSVEQASIAIA VISSLYLPFLLCKFSRIWKVNVDKVGMPYICKSFATYCLHEVYGLLGPSRNWFKLGNR LPDFGEFWRWVPVFKAITHIHFSNEFHIKADQKSQKSNKIRRIKRITVLEI PHYBLDRAFT_171560 MQAFMSQSLQEEVPSTLDPSSISYGSEIRLDTYSMWPPGDVADY DNEYLEALMPVNISSDLHTERGVFEENSIWPLQNDNYLTQDVPVNAMDTCATVYSLWR PDLAYPGDNKINEPTVNNVLYPRVMSQNSISPSVTVDPLAFNTTITGQQFGGETYEEI HSYDSDQVGYNTQDSDSQYDYTSAVGSFSPPAFHMDQPHTDSAQVSPVLSPLSNLGSS PIRSSTPQNLPSPIYADGSSISRTQARRRSTNNGSTRKQNTRRRTSANTSVASRVSLA TNEPVDSIDFGVPYITFVYSANRVERHYKIRVDIDNVDITHLTPQYRKTNAVYPRALC SQQDYTGNRWNYETECNEQGWKLTVLNCEILSGSRGLIQRSVDCFRNSQPGMRSRRVS RQEKLTGGTLRRRKVKGSTRA PHYBLDRAFT_188027 MGSNVSKNKVTAQDKAILDLKIQRDKLKQYQKKVNVVLEREVSE ARKALGQGNKKKALLALKKKKYQEQLLEKTEQQLMNLEELTNTIEFALVEKQVMEGLK GGNAILKEIHKEMSLEDVERLMDDTEDAIAYQNELDEMLSGRMTAEDEEDIMRELEGL RQEELEAALPEVPNHPLPETERADVSFPEVPTQIPTATPSKNTEKQAMLAT PHYBLDRAFT_148730 MSKEAENIPDTKEVIQVSTESTYDPNEEHDPKIGVGMRHVAEGI PIAAWFIILNEFCERFAYYGGSVPFQNYVQNPPGVPNSPGMLDRGQSVATSLQNFFTF FCYFTPVLGALVADQYLGRYRTILLFSCIYMIGWLILTATSTPAGIAHGSGFPGYIVS LIVIGFGTGGIKGIVSTLCADQYRHTENYVKETESGELVLVDYDLSIQHLYNWFYWAI NVGSLLGGVICPILELNVGFWAAYLLPTCIFALAIIVFICGGRFYYKPPPTNSVISST YKIFRFAFKQAGRPENKEAKKESKYILDFAKRDSGLKNVAEWSSDNCNAATGWDDKFV DELKETMMACKIFIPLSIYWVCYNNLSNNLISQSGQMYRPDGLPNDIMNNFDPIALII FIPITDMFFYPLLRKYKLNFRTQQRITVGFFLGALSMVYAAVVQHYIYVDPVYQETGV SAMSVFIQIPCYFLIAFSEIFASITSMEYAYTHAPKSMKSLVSALSLWPNCVAALISL AISPSAEDPNMVWVYTGVACGAFVCGILYYIVFRHYDAMDEETRLRKIANFQNSTENS NTGSNKQMSINEKS PHYBLDRAFT_60078 MNIRGLSTKTFKQLEGLVFFHCQLDREEYNAFDERDDFDSTHTS LVVDMSLSTVKYIVKRRNKKRSPKPRKGYGISRKIYDWTERHLMRVVRKGNAVSYYWM GKSLNKIEVFVCRKTIISYLKRLSFESYIAAHEPDLTEPKEERIGLDL PHYBLDRAFT_148731 MACLDSITKSGVYVAYFLPILQINNIVGAGLFITHAPLESLLRA LDGGEIQVLSWSEVRFSNLMPISTISNKSHFLPRLELWAAIYEPKLERIRKRNTMSSN LLVNISRSFESFSWLMESCFVYILNSAFDSGQTRVNSGNTGDS PHYBLDRAFT_115596 LVLVDVQYDFLETGTLPVPKSSEIIPVITKLMKLIKDNGGLIVA TQDWHPDNHVSFASNHKDKLPFQSTIIYHQGIPVNQVLWPVHCVQNTHGAHIVDAIPS ELIDYTVQKGLNHNVDSYSGFADNQYFEITKLAKILYQNHIETVIIVGLAADYCVKMT CLDAIKFGFKTILIKEATRSVNPDEFDSTMELLNSKGVIIAHAKDLAIA PHYBLDRAFT_148733 MSLKEIARSASQSIKSATADSDGQATLDVIEKYLDEQTSSDTDN STQNSGDNAIDKLSTELIALFGQTGIPLKDAAAVSSSPEAVLTHNQHFLILCFVHHLL PILKSPRIFQDWWEPVLKPILTTAPYTDQIKKEAREIVSDCLIAEQKQCRKNPAAEPK YCRFIVDQYLAWAESYHQREEINLEELENSTGKDETDRMKMQHQALLDLEQDEWSKNL KIILLTLGADQPKPFFMLLNEYFLSSKHRLQVVYLLSEFMIRKRTHLHEILETALFNS MLQSLMYDNSTTLIAISVTNMIMLLPRICTSLPPFLPKLFYIFARALCWDQLRDMRKN KSPKGYTPEDKGNSQQEHDNWDCVDYTFSKLSAPPSNPQTGPFFTSLYGLYPCNFLRF LHKPYGYFKTNGFNLPEEFDEETFRTRTMLQVTRHMLHPNLVSMDPETELTDRSRWMK MEPPDVIAQIMSLDLTNAASRVAFSAGNEREHYQPQDLLDETLWVQTGNITRLDKDVL APEKSILKEKDNEEDNEGTKGHEDENNETCVETLEETCEDVSKEANAENEVIDENNQF KPSISECEANEVTKPTQSTSTSTKSKSKPKPKPKPMISNIIQVHRALKSGVEVLVGDD IWDAGLERLSSPPPSGVNTPQLEDHGVASHTQNSEVPKDNCPNLPETRHLVAALKREV LLLRNELNFELFLKQQHLQHIGRLHREHVLDSSVEAERQQLYNTTRMLKAQLKQTTDT LEKLKLESAMTKQKHIKWEDEQSSKLRGYREMRKDWKHQADAAQLQLAEYERLLAEQK TQLEEARQKAFNLENELSTIQPELERMSEYEHRVEQLTQQMLLWEEDTADLEKQKQYI DHLLSQSWSMEELVTSLQTENRALEDKKSQWEDEINSLGQKIKEYQMKLKTNVSIDDK SEKLSHKREIDELYTAMRALQERVQTLEMERMEWEAQKEVLNHTDKSEHKVDPASETE DPQSPVLIEPTSTLTHEMIDITPISDSDDE PHYBLDRAFT_171565 MFRSRTRTLDEPQSSLTSGMASIISGKPCQLSPPLTPQDVPEDY FEHHNPIIHAPVSPIQSPVDLKPRQINAEPLTLEAVSAIIASAVHMSTSGGAPSSLSS GMASIVPKNTSPTHNSPEDLKPSRRRFFSIGWKSKNQDKQVQRELSDEASQTSGSSKE SNNGSPRSSSASATSPYNSPRLNDTLSTPPVESEFHANQNLPDDGRDELIYRGIQIKE IKTTLKTMVIPDHVRNPVTHVKLERPGFARINY PHYBLDRAFT_76183 MRLNHIISSLVLLVSPILGDVLQVPIGSKPTGLIKPYTDAWVHV LEQFYNTLPHTNRTDRDGSCLHQVPAFKCPPFYWDDSIENRDAKHLRPQDIKSVIALG DSITAGFGMISGRPPFASVWEHRGKAFSVGADVGEHTLTNFMSHFTNTHGAPEGVTLP MARGKRLNNAVTGAKVQDLDIEVTRLVRLLKSSSYDSIRDEWKLITIFVGANNICVLC NDERTGLPSQADADVFEDSMRQVMERLRTEVGKSFVNLVALFNVSSVYEASRGDPYCE FILDPSHLSVCSCIQGDEKQRLAADLVVMEYNTRLEKIAFEYNELNDRQFGVGYQPGF MFFPVGQYKQHYLSGFDCFHPNKCANQVMAIVLWNNMFSTPEEKTKKYTLENITIQCP GPDRPYLQ PHYBLDRAFT_188029 MSTARHDMYKRTAISGTAQDLRQRRVDISTAIRNRHRDELITSK RFRFLSINEPEIVDLESAKIHDKYTDLFNLAEPQVKSLVNDLNSTHKKVRMDAVVYLG DYACNPSEALVKYIKSGECIKSLVNMVICEVDPVIIQQIVQVISNIAAGPYDLCVQCL PAIPYLVTMLNSNNTEIRELASYALGNMASEDYDRTSEQDEEIRRDIILSGAIPLLIQ MLDSNEPNLIRSSCLTMSHLARGPENQWRELRSKAMTLALLRHLTRHGATTGTEVCWL MGSMTANSEQFRKQIIEEGLLPLLINVFQLLKSEDCAVLPALRTLGHLSSDSGTGRYL VLEPQFLPCLIQLTHSEDWVITKEALWVLSNVTTIKMDDIVQIIRDTGEVKHMAELIM HGKFEVREEASKCLFNMVMHDPPSIAALPHQFLLPAFLDFIQSQEEHLIILGLSYVEL LLKYVPELNDIKRRPSIHHLCATNIVPFGNWLLTFNSQRTANTISSCIGGRIHSGCCD PHYBLDRAFT_60085 MWQSLDQLQTLSSYYARATQHSFQTSYLGHTSEFVIEKSPNQLT GSSKRFETRGSTSCKSVDGAKRACYPRPLQGVSTLLELRKETLEYFDDIGEQRLLKEK RKDEDTLLKRREFIVCHANIIKGGQ PHYBLDRAFT_76185 MSQQARLCREIIEEEFGPFVAKVAHQILLKGRLTLPDIVRFTKL TPKQIRESLVVLIQHGLAFFTEAVEGQKEQTHYQVSAEQTLMRLRMPAIMQVAEERWE EIGVDICRLLFIHGRMKIGGVRDWVKGDSKRKDKMDQYIKAFTKMANEHYIIAVLPEH SRSAVDRYLEAEQKESEKYTITTAKEIQAIKKSALESVEAEYGMLENAGLKRKAIDAI SSAHKRMAVEIEDMEVDEKIYFHINYERFNILFRNNSVMDYAIERINRTAGQIVKAFF EHGKNKIRSLHENDSPSATPMHIANIIPQDVIDQGDIVLQPDLINPGKKPSVQDIITG YITLLKIDSAGFVRSRDELGSSQYSINFLKLRENMKRKLLEGLVREKMGVATCRILRI LIEKGKLDESQVQKLAMLPPKDTREKLALLNLRGFVEIQANRLDVNEVPRTADRAPGR SFHLWYVPLEKIYEELLVDVYRTVANLQQRRRQELKVRSRLLEKLNRQDVKENIDLLG EGDKAEITQMDKVLERIEISKKRLDQMIMILRDF PHYBLDRAFT_148738 MANKRKSTGHYSPYFKKQKNEEVDLPELEDNIAKDLRVLFVGIN PGIMSSTRGHHFAGPTNHFWPCLSESGLVDKVLSYKDDQTLPEIYQLGITSLTARPTR SASDLTKAEQKAGIPVLNQKIRKYRPKVVCFVGKGIYEIYMGEKCKSLGLQPNTIKWD NGKGASKIFVMPSTSGIVSSYQKSDKLRFFKELAGLVEQIS PHYBLDRAFT_135321 MDEESILFGIRNLFTLGNYQAVINEVSTHKGLYSPESKLEAQVY LYRSYVAQTKYNLVISDIASDADAPLRAIRLLAIYLQAKQKNTPTDAVKDAQTLLEEG ANRVNALVQVAVATLYVNDGQLEEALKVLHSRNKKLECAQLAVQIYLQMDRVDLARNE VNQCKTWAEDALQLQMMEAWVDLRVGGEKYQEGFYIFEEFGQSTTAQTVKVLNGQAAT NLALGRYPEAESVLLEAIDKNNDDADTLVNMIVCATLTSKTPDVVRRYVSQLREVAPL HPFLQDLDLKSSLFDRSAARFAIVDSA PHYBLDRAFT_148740 MRSSIYLTLAAIVASVATAAPFHKRSPAPVYRECSSPGTFALTF DDGPYQFSWDLAKSLHSQGIPATFFVNGKNWINVETDSVSTSDGTKTYMEVLKNFDDL GHQVASHTYEHKQLGGLSSAEVEYQMNTNADIIERAIGKRPTFMRPPAGEYDDSTLEV LGALNYHVIMWDLDSLDWATHSLPDEQQHYETLMGGESGSSGTGHISLQHEVYQQTVD EFVPWIIEYVKSKNYRFVTVAECIGVSSGYA PHYBLDRAFT_36139 MPYQSVARCYADANGKMPTSYWDYDNLQVEWGVQDNYEIIRKVG RGKYSEVFEGINITNNEKCVIKVLKPVKKKKIKREIKILQNLAGGPNVVGLLDVVRDP QSKTPALVFEYVNNMDFKVLYPKFTDYDIRFYMLELLKALDYCHSKGIMHRDVKPHNV MIDHEKRQLRLIDWGLAEFYHPGTEYNVRVASRYFKGPELLVDFQEYDYSLDLWSYGC MFASMIFRKEPFFHGHDNYDQLVKIARVLGTDELFAYLDKYDIELDQQYHDILGRYPR KPWSKFITNDNRRFVSDEATDFLDKLLRYDHQERLTAQEAMSHPYFDLVKEKSS PHYBLDRAFT_60091 MTISILPPPKDVFKCPAAKLFKPKIIRIVRLAGFAIIYYMEQNS NHLVRLFSILTVDLKVDNIESFFLLILFPNRRYSNIVIQDTAYLKSDRLGHKPGFSAS PKVSLVYSRLCFTRHR PHYBLDRAFT_76188 MTDLEVPVQRTLRRLFPGTRLENAFQWPIESLDALESSFNVQEY LQQLIRADRSQVDKLVELPKGVDDEVWQYEHLRQVCLELGLLVVALAPECTAENCPEM KAEGWLYLCAAHPATQSCPAIDYIIHTLDGATTLLNNAKYFPSRISVPEPSLKHFQSI ARRLYRIFAHAYCHHREIYEIFEASNDTSLYARFRLLSRKYELVPPNLITIPDSGEED EEEEEEEGEDGYSRSPRASHSTLKETE PHYBLDRAFT_148743 MPTDLPPYSVPGSTPSHTTHSNTNARQQHSLFTEAEQKQLQLFL DGLDERDDLNTDGIPKDFKPSSPNHVLLSSPYIRNPSYGAQQIQTLPLENSNSRKRNN GDKAKSEKRKVRALDRPSPAESSLSPSLSGSDGGSHSRSTDTRPEENSSPPTARSGSG SSSRGGGGGRGRKPPHELLTEDQKKANHIASEQKRRANIRVGFDQLVDIVPTLSHCHR SESLILQKSADYIRQLIEVKNGLRDRARELQTALGEAPDEDSSEGEVDYAF PHYBLDRAFT_148744 MLTDINNVPIQEALKRDGYVIVDGLIDPELMIRLKEACDRVVAK ARAGDWKYRRLVGTQFPPWTEGTDVWGVQHLIHPELNEPVFLEWYGSTKLLQSVCELL GAKKEDLQLELFNLLINPQDSDFDLTWHRDAVPAETSAEEEIEKLKVPHYGTQWNTAL YEDACLYVVPNSHRRVRTPEERDITINDPKSHNMPGQLQVRLLPGQTVFYDNNILHRA AYIAANKRATLHASMGTIEGGHHRASTIFQHGLDWMNQPSFQQSLPASLEKPYANVKA MAAKTGLGKMETKPIH PHYBLDRAFT_171578 MAVQKLGTGTSVRKQGKNTTHKTLCGIFLGSNSKYMKYSLVTKI EYNVNRHFNYFNGVEFHKFLSSEEDQTHELIYNSHHVLAEMSLSEHRALKINFLFFSF SLTSDVDSRILLANTHDRKFYVRDAGGYSSVSSITRAVSALQLVQLYIYVFNQTAIV PHYBLDRAFT_16332 QGRKRALLIGINYFGSKNELKGCINDVQNLKEFLISLYGFKEED MVILTDDKTDERFIPTKQNIISGMQWLVRDARPNDSFFFHYSGHGGSVEDTDGDEDDG CDETIYPVDHQMYPGETGQIIDDEMHELLVRPLPSGCRLTAIFDSCHSGTALDLPYVY STQGVIKEQNLFKTAGSGLLNAGMAYASGNVSGALSSFMSLGSKIMKSKSANENVKQA KSSTADVIMFSGCKDEQTSADAFEAGRSTGAMSYAFTTALRQNRQQSFLQLLNSVRDI LREKYEQRPQLSASHPIDVNLLFIL PHYBLDRAFT_99648 WWIDIFNPTDDEMRSLSKIFRIHPLTTEDIQAQESREKCEIFQN YMFISFRSFNHDYLSQSYLEAVNFYIIVFKDGVLTFHFKPLPHPHNVRRRIHQLKEVV RVTPEWINYALIDNITDSFAPLIQHAELEVDSIDDLVLVLTNSEQSDMLRRIGSCRKT VMQLLRLLGPKADVLRSLIKRYEDHMHELAHIHEVILYLGDIQVDHILTMLQNVTHFD LTLGRAHRNYLGQVSIELSQAGNTTNEVINRLTFLATLVIPLNLVAGIFGMNVEVPGQ NNTDLVWFFWIVCGMLVFVI PHYBLDRAFT_95551 VGNIPFELTEEQLIDIFKEVGPVKSFRLLFDRDTGRPKGFGFCE FYDAETAASAVRNLNDYDVVGRQLRVDYA PHYBLDRAFT_115453 MSYLNVILVGPTSSGKTAFMRTLCERMKHNIIQGTFRESKPMVL KEPIRPTEELYSVSMHIEEHGQRTALTLVDTPGFTTGFSVDHQLRYIAKYIDHQFELT LAEETKIRRDSKALDTHIHACIYFIDTKVSGLSDVDRYVIKLLGSRVNVLPVIGKADT LTQVQRENIKSGFRKEVFDVLHAPVYGYIEVDDEDEDIEEDGDEDNSANSEKMVFREK AQSVLGRRYPWAVVECGNPDHCDFEKLKMFLLGSHRDMLRVDTFERFYEKYRSAQLLN RRADQILNLKTRTPHVST PHYBLDRAFT_7133 MIKSVLIFNNHGKARLIKFYQQIASDIATQQALVQEIFSLVSKR PDTVCNFLEGSKLLGGKDTRVIYRHYATLYFVFVVDESESELGILDLIQVFVESLDRC FQNVCELDLIFHFDEVHHILSEIIQGGMVLETNTTEIVAAIEEMNKAKKKSGGVS PHYBLDRAFT_159612 MLIKEFVSLTKRRFKTPISGVLSVPSVVMGRQMPFFALQMENWA YLPEAKNAPIEVIFKLYHKVLGLKRLYDQYGPQQKKSLFKVESWFLQHVKRWLETTNE STPGWVESAVKHDKFTTVNETVPHSSSVVDLFTMFHEAVDFVQKLQWPNELQRCRFLT ALSKVIGHALDKYTAILEEMIIEDIYPTLGHEENSSATVAFFSKARLQLTGNHHSRKN DGVPPDFTPQLCVKINDIEAARSKLDKLYQIIDVDDISYYMRENDIPAADIPEQTGFM YSIKIVRAENLQPLDNNGLSDPYAIMEINGKQIARTRTVYETLNPRWDQVFDIWLNEK TVDVVAIVYDEDMIGADEECGGVWFKLSPEYYDDYQQHDIVLNLLPQGRLVLRVSMEG EKNDIQFWFSKAFRTLRRVENDSASLIVDRMSRFMRACLSRKTLDKLLQRDRSFFRFS RSVKSIDPTLQDCEDAIAPLLDYLERNLKILNDNLSEGNMRHVILKIWKEILLSLEGV LLPPLSEHPSEMKPLDDYEFHCVYKWLELLKVLFNGGEDEDGVQLETLENAQYYSLLA INVAYNLETEELIGIYNTALNNQMELKLQGGAKADRSKSVYHSRHTAKQQKADKKAAS IDMPSSETVLRILRMRSGKRVRDFLRSEFDKRNKPSTSSGPAANITATKDEALQPTTL VPPIIPDRMITPSDEKMMPLP PHYBLDRAFT_171586 MSIASTAHSAQLLNHVLTAFLSEELSVDRNLPFVLEIIINHQLL AFSPAEDNVDESAVFRKWTVRLNALLNSKHASVRCAAIALIKITCEQSSTMLVTNIQT WSMQLLNLVLKPEPEVVHKEAINALSYIYQYTVNKPELQREITSPNMSRFNQALLTLS HNREFLPTTLAALTINAKLFPSASRHVADHCQKLCLSHMDGSYYLDTETTTAVARCMA TLYQTGVKVAVVDQWKQTLLRLIGSVHVSLDRLFDTVDEEYDVNDALESFPYPPLSID FREAFPVLARRIHILEECIIEFTSTQTSVAVPMPVSHILDLVCRIYNVYEGSLMREYK EKAEFNSLMSFLPSLHLGANKLLASLIYCSGQEMSRYSKLFSRILLRLLTEHKGRRVL KISVYTLMSLCFQKCGYAFANAVAKPLCPILLEDIKLVEEQKISVMNPSHGGKKSGKK RRHEVTNSDALATTETVSAADTEVQLAALSVLESLMTCYGSSMENIMRTSIDSTLLST ILQGTQMTETPRESFVLAKQKLYQCLLTSVMHPIETQASILPHAMRIFSAGVNDQTQQ LRLTCLHGLAVCDLIIHPRLPPIQRAAIEPSSVHLPDTEAFKSSVENQTPTTQQPTTQ DSDAFGSHSVADNLTEHDTKKQKITTTESSEIYQHSVSSIVPTFGQPKQTSSTQYTPT HTSPSLNIQKDETETFIATTTTTLVPVKSVDDAPKSPITKPTETTHVSSMIASITSEQ ETSQSIAPTPVPQNISYGTEDMDDDDMMEIPEIDLAGPDSDEED PHYBLDRAFT_148753 MPLNVIILVGLPGSGKSTFSQALINQRSDDMGSRKACENSMRTC LKSNYNVIVDRCNFDVSQRETWLKIAKQYDASVYCVVLTASSDECSNRISYRVNHPTG VEGKNGIAILRRFMKNYQPPTSASPEGFQRLIYLSPSPATVCSQERVDRVLRDLGILE PVNPVVTPEEVSNNVAQIGIKRDQGEESKETDSSQDFKETNVNEKEPTETSGNQGEQV V PHYBLDRAFT_60106 MDRQEYFIDCEPSEHKEDFMSNPSQSNEQDSRRGYTSKSPRIKQ YHAEEQMVFREGQRLSREEQERAYYRELHQQRYQDMHQQRCNMQGHEDDCRPVKFSDI QKIHQELMQITNTLNNFAHRSTSSNFYNHNNHNKTSSLRSQYYEEEQATFDYHNGERY SGNSNSFRAELYSPSYHRSQNYSYQPNYVAPDCPAAAEQYLPWAGARNIDLFTGLEHN HPFVTLPYRGYPEDERVAFCNQRQRIPVTDCKISYVDRWQPSKIRD PHYBLDRAFT_126567 MAPTTLFRSEEMSLIQLYIPTEIVQPCVAELGELGMVQFRDLNP DVNAFQRTYVSEIRRFDEMERQCRFFKSQVDKAGIPLRHLGLAGQFSRARSTQEIDDL EEKLRDHEGRLSEMNNSYEALQRRYLQLTELRHVLRETATFFEQADPGQDPRVSLDET DATTPLLEHDVGTGSEDPSHANLGYVTGVISRHRMQTFERVLWRSLRGNLLVKSAEID EMIVDPDSDETLEKNVFAIFTHGKEMISKARKISESLGGTLYTVDESADKRRDTLLNI TSSIEDLNNVLFTTTSARTSELSKIADNLTAWTTIVRKEKAIYNTMNRFIYDGARKTL IAEGWCPTNDFAIIKRTLKEASEATGTNLSCIVHNLNTKKTPPTYHRTNKFTQGFQAI IDAYGIARYREVNPGLFTLVSFPFLFAVMFGDIGHGFLLFLFALYLVLNEKKLALDNG EIFKMFFGGRYMMLMMGLFSIFTGIIYNDVFSLSLNLATPGFDWPHHNGTGPVEAVTN GHVYPFGFDPSWHGSENFLLFSNSYKMKQAIILGVLHMSFAICLNVFNHVYYGKKMFV WLEFLPQILFMESIFGYLIFCIMYKWSVNWWELDSEGHHIRNAPPNLLNMLIYMFLSP GTVDPKEQLFAGQGPIQGALIAIALICVPWMWFAKPYYLKVQNSKHQYETVAHEDTEA LVEEEEEVEEFEFSEVMIHQTIHTIEFCLNCISNTASYLRLWALSLAHAQLSSVLWDM TLKIWFNFTGTLAVVGLVIGFSMWFVLTVGILLGMEGLSAFLHALRLHWVEFDGKFYM GDGVQFEPFAFSDIIEDNQE PHYBLDRAFT_188040 MIKNLGKFKQWTGERLGAAKATLQTEEFQRLEIETERRRAGFDR VVEAIDVSHAQLSKKKPSPEDAKIKISPMESLGACWSHYGDSFDTDSSAGASFVNYGQ ATTLVAHLQEEFALSMKEVYIATLQRGQIEYKEYSALRKKLESRRLDYDAKLGRLQKS KKEKPEWEQEMQASKMKYEETEYDLIQKMVALQEFEEEHSEALSMLLDAQIEFFNNAI DRLSSVRSNWPQSTREGPRVPMTRTASSSSGLTRSPDGGPGDYFTPPPDRTGLTPRSP NGSPCIRGPTSRQLSADPITPRRVPSHSSLRGGEDPVTTMTSSPGRLAPQMPRRQSQS TVSNKKRRKAIYDFDGDSVDELSFRTGDVITVVEEVDEGWWLGEVENIGPKRRGIFPV NYTEEISGAPPMPARPLVSSPSPQIPEAEIEVDEYEQEVPHEASPFHDKPASNYGYTR PAQPVRAYSSNTYTNPSPPPIARSTTFVPQATKPTGASRAPPPPPAARASPVMSRSYT TNTNTQPTTRAPPPPPQSRPSQDPVGSGEPSCQECGCVDFSANLFKRGHCNNCFHKH PHYBLDRAFT_76196 MTSPKSILKQLNPSNPIGLQSSSWLSRIQSKIYSTPLDDPNAAI TLPRQELKRVTFSVSKLTSERIFYSGDDVPEEDSTQTDLKEATLADEDIKLDPSQELP KYYEKACRMREEPVLDRFLDVLRLNCFTRLTAVDLSNKVIDRHQ PHYBLDRAFT_159615 MAGVLALSVSIRMNDTLTFLDINIPPNDLDLAQLQNDIVAVCTR NMQRTFENNKPQTAKEDVESPEISCEPKVTCSSSTISSASSASSGLSHSIEEHPDVAS FDYSKQHPSLPINYPLEDISLVDDLQL PHYBLDRAFT_155987 MFSSLTRRTQLNSQRFSRLFSTQHDERLTRLRQRIKQLKINLSV VPSEDAHQSEYTADCDNRRSWISGFTGSAGCAVITSSEAALFTDGRYFLQASQELGPK WKLMKQGIQNVPTWQEYLGSLPTNSNIGIDPSLITAADAKQLKQNLATRGSHLVSISN LVDMVRDDRPSRPSNPVKIHHEKYAGQDHQSKINQLRIALDVRKCQGTVISSLDEIAW LLNLRGSDIHCCPVFFAYCIVTQQETMLYIMNKGRDNLSKNVVEHLNKAGVQLCDYDD VFEDLKETTGQFMIDSNTTSYSILQALGSAVKNEAELAGIREAHKRDAAAVCRHFAWL TNTLTQGTTIREAEAAIHLEEMRKQDPFYVGLAFDTISGTGPNGAIIHYQPSTINSAI IDPKQVYLCDSGAQYLDGTTDITRTFLFDGQPTDFQKRAFTRVLQAHISIDQAVFPKE TTGYQIDSWARQPLWQDGLDYRHGTGHGVGAYLNVHEGPHGIGSRPIYKTKPLKPGMV VTNEPGYYEDGQFGIRIENVLIVQPKAVPYPSETPFYGFEHVTFVPMGRRLLDQTLLT RENREWFNKYHKECRDVLEPLLKGDSATLQWVEQQTETI PHYBLDRAFT_60110 MPSEVDDTLKRLSNRKGVKGVVILNGDGQAIRSTLDPELTVKYG RLISSLVQQTRTTISTLDDQNDLTFLRVRTKKHEIMIAPDREYLLIVVQNPAETMQA PHYBLDRAFT_182524 MAEDFNFLHRDSMLGQTFETSSDTMPNVLIVLNVTQLPVELQDG VLKAMKHAPRNNIVNGAVDCLDNDYQWHTSFVYDQSLRPGELVVNSNRSNYGDTSLSE DFQICVRYNRRIEAFRALGRLLGAARDPRVGTGEHGMFLNFTEQAQFETQGVLIDCSR NGVLRLHSVYFILCNMAYMGLNMLQLYTEDTYEVEGEPLFGYLRGRYTHEELSAIDDY AFDLLSTLRQFIEWSTGIEVIPCIQTLGHFGQVLQWPQYTHLRDNQEVLLAESEATYE FIEKIVKAAASPFRSKRIHLGMDEAYGLGEGRYAQLFGFKEPTKIFVDHLKRVHEICS RLGIQPMLWSDTAKNNTLQGYYDESSNPATPELVESMPQNIDLIFWDYYHTNPDVYEQ KLKQHRDLGCRQPWLATGAWTWSRFWTALPFTFASVRASTVTAKNKNHGVRNTFITIW GDEGNECDIYSALPAMCYYAHHGYSSQDEIDISLLKLSFEGICGASFDDWIDDTPSGL PITQRTHYAPNTSKWMLWEDPFVSFLSPQYSDEDFETHYRSIAEHLFDALETKRNLGP LNLRLEFPARIATVLSLKCHLRQRLEDAYRKRQYEQLYDLAQGRLSRLREEVDHLWRY HRQMWMKMYKPFGWEVLELRYGGLRTRLETMYDQIMAHVEYAMTTKDSLSESDPLENE HARIEEFEVDLECLFFGSRTNLLLDYSRAATSIRHG PHYBLDRAFT_148759 MPFRCKCGRTFEKTDSFGSHTSACPQFHSRRSSDTSPGAYQKQP QDSTTEPSQVQRTSFPFIDSKILQSLASNFLSPTLPESADSFGTGSLNAKQDHTIEKG QQETAMPQFMPTSLYINNAFEGVRGRSASYNSSWKE PHYBLDRAFT_148760 MNKGVEIIDINELIELFFTGNEDISVLRSATPHLNDGNADLNRI SKLLPRIIKHACDAGQKSDTQIKDYTEILVDLLVFLISKSTDHAIIFIGDILKALEDV TNECQTTMYYEVAVTLFQNMSILASATSFIIKFDPSINFHASFKASTVLPAMFDLSVN APREVVNCLLEENL PHYBLDRAFT_159616 MKAIIFILYTDSIHKYTLADEMGKCLNLLNEQIQFCELLDTHES GQIVDKLYYSLGIQQVPSIQEVLLDSAFALMLNGTFPTWVSELLEKTKDKTDFRITSM MCKGLIDNMSSSCMSSYMKTD PHYBLDRAFT_148761 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_60115 MSWIKLVQWTKSLFLGKKELWKKIIKCTVAYEIGIILVLIPEVS PHLGAVPYLLPLGTLFFNPSGTTGNQCIEMILNIGMMIIPVIWSAIVAYLCTLYNRAL LNGHKGLYSNGAGVIAGIGFGISMMGIAYYRLKYPRLYIPALQGFTIPMFALTKGIYN TSFNIISIVDIFYPIIMGGALALLTNIFLWPATASKSFETSLEDVLCSISQILDFVHT DMLKNDDAGIMAGDLELARKLSTMSAKLQADMTKMNKAWSEAKYEVVVSHFYPRWYKA TVHSVEALCQSMLGFSLAIEKEARIMLDIRISRQLEESYRGISNEKVTEHDTLRQRQP GVNNLDVYSTHTVNLISASNPIKYLHIIHLQNLIQPELKQFLRTCRKIMEDIRVKMAD RKAIPRKRTQSKNSLSTHTSPEFLQGAESSCSLDKALGDIKATYTLLHHEFKDRSMTP MEEHYLVYTIVFTLIEFGKEMENLEMFVDELLRRREPRSWARLFFPRVPLKKWLSKGG NDNKGEHDPSEQTLFSKEVERVETRPGLRSQASGVSKPRETSSSINRSRSYSSMETDY GNFTDEEYPLQNAPGRHAWNRWLRSVFDFLQSGPTRYAIKFGLVTEILAFMAWLPIPG VNGLYNENHGQWALLSAMVVFNYTVGSTMQQCFYRIVATILGAVCGYIALLAGNRNEN PYVVAVLVLVFQIPLWYFLLGSTYPRIGFISLLTMSVIVSTGYSNNTNESLFDPVWKR TATSIVAVLVAMIIDNLFWPVWAREVMRKQLASLLNDTGIQYSKVASLVCQENTSSYR YQSTLADTTIQSKLLWTQLQSAREMLQLSCTEPRISKAPFPIESYQKIIEHEQKILYW ISHMIRAQKLIGPEVRKGIMNPMNPHRKILASIVHLYLFTLSGSLGTKSALPASLPSA EEARRKLQQRQAELWKEQYDTLYSMHTPEAHNECDMMVYWHTYAAGSVEVVMEQEAIG SVVAKLMGQHIFRAATKDWIET PHYBLDRAFT_155988 MKEKLSGARFRWLNEKLYTTKGDEAYGLFQEKPELFDEYHEGFR HQVESWPVNPVDVIIDQLRGLPAGTVVADLGCGDAKIGHVLTEQKVLSFDLIAKNDKV IACDISKLPLPANFVDVVVFSLSLMGTNYLDFLKEAHRVLKLGGELKIAEVVSRFSDL DSFIDLLENLGFEFIGKEDDNKMFVMMYFNKRNFKPTADDAEDDDMLEGLSKTQKRSL QKGAGVRGMSASKLQKQSQQLLKPCLYKKR PHYBLDRAFT_60117 MVRSQILSQVRCLPRQWSNRAFSATSSSLDRWSDVVSRTQKTLQ VTEIAVSDLENELSGDSPSSSLDSPVVIDVREATEWKLGKIPHAICLSRGVLELKIEK VVPVDSQRPIVLYCAGGYRSIMAAEALVRMGYNKDLLRSLKGGFGAWCKKGYAVEGN PHYBLDRAFT_159617 MDRGSQEFYVSRSRWRKSREDVKRREEERDDEDRRAEQHELDEA RRQAEEEERARQETNVLNREPDEITKIEIKPRKLNFNLPIKRVPNMGGNEEEEDEEEG GKRKRVLVPLDYGDDMTIDGTSHLDPEERAQRVKELIGSIPSSEQELWNWPVKWNELD EDLISTKLQPFVSKKIVEIVGVQEDDLVDFILEFIRNKKPPTELVSELEMTLDEEAMM FVMKLWRALIFETERKFQRL PHYBLDRAFT_86650 VIAGIVLGPSVMGRIPGFKDAIFPTASLPFINLIATIGLVFFLF QVGLEVDLRVVRRDWRKSIAISFAGMALPFGLGIAVSVGLYKLQDDPSVPFSSFLLFL GVAMSITAFPVLARILAELKLLRTKVGAITMASGLINDCTAWVLLALVVALLNSSGGI EALWVFLTAVGYSLFLIFCVRPLYRMLCVKTGSFQNGPSPFLMTVTLLIVLVSAFVTD IIGVHAIFGGFLAGVIVPHEGDLAIKITEKIEDIINIVFLPLYFTLSGLKTQIGLLDT GVVWGYVILVIFLACFGKIVGCTAAAKLCGMTTRESFTIGFLMNCKGLVELIVLNIGH DAGVLNDQVFVIMVVMALVTTFMTTPVVLWLYPDERFTNSKASSVIPTMVYEDTGNEH YCLVTMLNRVDAVPSMIALLRLLTNPVQDEKAKYQMNPKIDIHVLRLLELTQRASDVM KIRDLRETERQDTILSVLRAFGSLIGVDDLHTRLDFCPLTGYIKAVSDYSVRSGADMI VLPWPPTRQIPLIEGTQTQSQMETFGVTADRQKYPMADVEYASSAFSISHTALGLFID RGFGDYQDTRSLFEAFQIVVPFVGGPDDRAAVLFALRLQSVYPVNVTVVRLETEP PHYBLDRAFT_148767 MAADFIPPIRLQISVWLASFATVQRIFWTLLLQAHILLYMSLGG HLSPPLSPPLPRSDNNYNIIVHSSDFNQQLLPHFS PHYBLDRAFT_177961 MAPQHHKVVIIGSGPAGHTAAIYLARANLNPFMFEGMMANGFAP GGQLTTTTDVENFPGFPEGVMGGELMEKMREQSVRFGTEIETETITKLDTSARPFKLW REGSESEKEPTDTADAVIIATGASAKRMNLPGEDIYWQNGISACAVCDGAVPIFRNKP LVVIGGGDSAAEEAIYLTKYASHVHVLVRRDKLRASKVMASRLLKHPKVTVHFNTVPT ETLGDGKLLGSVATKDTVTGATGSIPANGLFYAIGHVPATELVKGQVNLDNDGYISTI PGTAETNIVGLFAAGDVQDKRYRQAVTSAGSGCMAALDAERYLSELEAEE PHYBLDRAFT_95547 LYVGNLSWNTTDDTLREAFSAYGTVESSIVMRDRDTGRSRGFGF VTYSSDSEAQAAIDALNEQELDGRRIKVNMASAR PHYBLDRAFT_148770 MLEPSISQSLQESPVPSHTIVHGIQEVSRSPTPPQLYSNTSSST ISNSNNNDQLLDHSHLKPGTQASLLSYAKTIDMYRENAKKTTNPDTQSDFAIFMVEAA RPLPETDTCRWDYLAEAEKLLRQLAGRGHAESQYYLGNLYGSGLLSKKNKVDFDKAFP LFVQASKHHHADASYRTAKCYEDGLGSRKDSSKALQFYRKAASLSHPGAMYRLGLAEN NGELGISKNPRDGVKWLKRSVESATSEYPHAIHELALLHERGIENVVFVDHDYCVSLY TQAADLGYGPSAHRLGECYEYGKLGCKIDPALSIHYYTVAAQRGDRDACFALTAWYLI GLPDVLPQSDEEAYVWAHRAAEKQLAKAEYAVGYFTETGIGIPKNPEEATKWYTLAAD HGDKRAIQRLQGSLGSQPTTRKKKGSQDDCSIM PHYBLDRAFT_76205 MTDIYGYCYSTLIVLGGFMGYMKAGSQMSLLAGIIFGAAAGVGA YKASQEPGSVIIGLGVSLVLLLVMGLRFSKSGKFMPAGLVTLLSVLMVIRYGSRLIK PHYBLDRAFT_171607 MIRSNINNTPSTPPYDYSISMHPHSPTSNFSDIDHHKSAFRRVS PISLSMPSPPELLHDSEEDDEDTIPTPRLFRPISSPSRPIKYDQSGRLSIYRPKAIPH RSPSIIDDALQQTAIDQSVVFQLNIQRYQDTPNQHPSTHAHAHAHSYEHGAIDLHNRG MTCTPTIICGESLLTALRKRVAAARHSKLKANINNRNRMAPGRPRNTPATRIRIPKSR NFDATSPTFSQPAKQEIITFSSSQSLLLSTPTPTSSLSFFTSSPQLSTTTSSLLSSAS ASASASLLLPLSSSVLMFPSNSDLTNSEISGLSLSATQGSGNQQSLESEPHHTPQVRR RPWLDDDCNNDNDIENENDIYCDNDNDNDETYEESGSVVSTNNSNSNSNSNLNRNRNR NRNRNRNRSRSNSSHSSHSSHSSHSSHHSYETSAIAANSSSLSSAAPTMSQDIQKPRN RKKPVSPRQSHPTSGRPSRVKGPCQACQETSDGCMRKAFDWPFPATSVFNDKGRPFVY LCNKCGLRHYRYNKSGGSVCRSCRWVFCKEEKRKAVQHIDQMRKSRPDGRVDPEEEIE HFVCTPKYWTCGRPWKVGWVLNNMPEDDVDEGSLSPRHSLP PHYBLDRAFT_182531 MTIPEASHRHAEKNRAAVEQFKALASTLEGWELSSEKDGVKLYS KKVEGSPMPLVRGEIVLKGTDYSVRDVAAVALVPGCRKIWDERYDVSETKEWFSPFES LFWVKLKAPWPISPRDFAACCLRDMSDDECNLVIASVEDPLIPLVSGCVRGNLIVSGW KVHKVADGVALTYITQVDLAGSIPSSFLKTIQIQVPLCAGKVVEYIKSYGFPPIVLEC TSGFQGEHFDHAKRSLVAHVEGQGEARFVASHKMYPNGVKVAISGAGTPEIIPGNKDD KIIVVRGIDGPATITITKA PHYBLDRAFT_171609 MLIIQDEHSDDPNLVTKPNPNHQDSSNCISQCLGMSLYFRAHRN KCHKNAKAMRWIPTDLPIDKKNNSIWRDYVVKDFCPNDNLWIDCLAREKEGGIPELWR GLVWQAILCMPASLPALYDQWIILNQPLQPQPHSHSQPQPQLQPQTSLERLRDALTDQ LDHLSTETQVLVDFLSTVVSEKEAFCVFVRWMETENLHLVTLNSKPLKERLGLLDSVL AQTCPILHQHFAHHDVRPEMYAASWYQTLMCNVLPRDCVLRTLDLILLEGSVSGVTRI AIALLKANQCLLLAIHSQLDIIRFLTSEQLVVCSGYNDSNPGALLKDAMALSAILTPA AIDQLLISVSNEIDQTQHQRQKPLPLPLEVSTATETLNQIVPIDNDNIMTPIYQSNAS IPSTVIVDPLTKHCHVGTLDSPISGPLSNRSSLLTLSQKSCGTHQTAETLVDWSPSNT TNTNTNNNKNLNESDMFKKQQKEINQDYLETGWDAAMLGRVTSELVDIKLGNFEMSQK YETMCHAYQHVLHQLGLLDEVDGSLVQKTQDLESTIKLIKEQNAKTLEENDALARQGK DLEKGVVVARTMVTELSLERDTIAGKVERLACQVAKLEAEKARYYVPLNLNLGLGLDM IRPTTDNATTATTAISTGSQLGDTGICQGTSNAITESPAQTTTTVTATDTMPSQTFVS SGAYTEQLKQNQQQKENGHKHKQARRCTLSQMSTRIDTNNRQMIDEEARYVQSELRCR ELEKMLAETKVQLAAQEAEVKTYTLHSKPKIEAEIENTGGAGSLSFLSKRMSLTFPTR PMSVQYKKPARPFTLPAVKAIPADRSDPCFFERHDIYQGR PHYBLDRAFT_171611 MREKGLVCSYYIKKELVSLLERKGCVPETKFWKFRNMTSFISLI FSFYLLRDSQSIRFKPGLDLTHELKDELMRQVFKFDFKMWVSDDFEKYHWHFSESQSE SSLFLYSDIEVSTIL PHYBLDRAFT_148777 MSTFEKVVVIDGKGHLLGRLASIVAKQALNGQKVVIVRCEELNV SGEFFRNKLKYHAYLNKRCVVNPRRGPFHFRAPSRILYKAMRGMVPHKTARGAAALDR IKVFEGIPPPYDRMKRMVIPDALRVLRLKPGRKFTTLGRISHEVGWKYQDVVAKLEDK RKAKSSAYYERKAALIAIQKKAVESKASSLKTVNASIAALGY PHYBLDRAFT_98645 MVKTSILASAILLLAASAVSAYPTSMDDGLAPLYHSPEAEAIAN GYIVVLKDHLDTNQVRTHCNDIGRYSKRDLMDDRLDPLTAPGIKLTYDLPGLKGYSGQ FDDAMLEHIRRSPDVAYVERDTMMYASELQRGAPWGLSRISHRDALTLRTFSKYTYNE DGGKGIKVYVIDTGINTEHVDFEGRAEWGATLAEGDPDVDGNGHGSHCSGTIAGKKYG VAKKAHPVAVKVLSSNGSGKNSWVIGGVDWAIQRHELDASNAKKEGRRYKGAVANMSL GGGKSRALDEAVNSAVDSGIVFAVAAGNDNRDACNYSPAASELAITVGASDISDNRAY FSNYGTCVDVFAPGLNILSVWTGSKTATNTISGTSMASPHVAGLAAYFLSL PHYBLDRAFT_159624 MESGFASLVSELRQVKELFARSLEDQRANAAAAMEDRRLDRISR EKIAEREILYRKNATRESIDSREEYMRLFGHDVQTGVLVPPATTTPKSSTADDSSETV PPIIDGNTLVD PHYBLDRAFT_188054 MESLLIVGTLVKLLLIPAYRSTDFEVHRNWLAITHSLPISQWYT EHTSEWTLDYPPLFAWFEKLLSMFAILADPAMLVVENLNYASPQTIFFQRATVIVSEL ILYWALKRYVKYFGSKYVHWILAGALFLHPGILIVDHMHFQYNGFLYGILVLSIVEAK RNNLLESGILFAILLNFKHIYLYMAPAYFVYLLQAYCFVPVESNREGPNGQRKFSVGR LITLGSAVVGVFTISMGPFIYMGQLPNLVGRLFPFTRGLCHAYWAPNFWALYAALDRI LIFAAKALGWPLNEAALGSMTRGYVGDTQFAVLPPVQAIHTMLITVIVQLIVLQVLWR KPTFDNFLSSLTLCTFASYLFGWHVHEKAIMLVLVPLGLMAANSKTHLRIFIILSSTG IFSLFPLLFEPTETPIKVSVTLLWLITVVPGLARCLARPLKSILTYAEMYYIIGIIGV QIYTDIGHNLIFGSKTLQFLPLMLTSVYCAVGIIYGWGLFMREFLAGRM PHYBLDRAFT_15866 DFTILKTLGTGSFGRVHLVQSKFNERFYALKVLKKSEIVRLKQV EHTRNERAVLLEISHPFIVNLWGTFQDSTYLYMVMDYVPGGELFSYLRKQKTFPDEVA KFYSAEVLLALVYLHSKNIVYRDLKPENILLDAEGNIKITDFGFAKILKDDRTWTLCG TPDYIAPEVIQSKGYGKSVDYWSLGVLIYEMLAGQPPFYDESQFRLYEKILTKEPTFP STFTEEAKDLLKHLLTTNLTKRYGNLKGGYRDVMDHPWFASIDFEKLGQRKVKPPFVP TVKSNGDSSNFDHYDENHPRYGLDGKDQYPGLFKEF PHYBLDRAFT_115652 KYFADLPLTSKTQNGLKKANFRQLTVIQRKAIPLALAKRDVLGA AKTGSGKTLSFLVPVLEILHREQWNTEDGLGALIISPTRELAVQIFEVLKKIGREHAF SAGLIIGGKDFKIEQERVTRMNILVATPGRLLQHMDQSAGFNCDNLQVLVLDEADRIM DMGFQKTVNAIVENLPRHRQTLLFSATQTRSVKDLARLSLKDPEYVAVHEKAEFSTPQ NLTQHYVVAELPKKLDILYSFVKSHLKSKTIVFVSSCKQARFIYEAFCKLQPGVPILH LHGKQKQTKRVDIFRSFTSSQHAVLICTDIAARGLDFPAVDWVIQLDCPEDPETYIHR VGRTARFDAEGHALLILLPTEAEVMVEELKKKRVPIEEIKIKASKQQSIQKQLQSFCF NDPEIKYLGQRAFVAYMRSVYLQRNKKIFKAAELPADDFAHSLGLAGAPKIKFIKKGE VKASSKADKTNEEINKKVAEAKEGAIDEESEEENEEEEEETSKTKYDRMFQRKNQGIL SEHYNKLVDYAGDKMDVDEDNDDDFMKLERVDHALSDSDEDIKDADQANMSKRQAKLT KKDLAKKLPKGERLVFDEEGKPHQLYEMQDEKSFLAAGDAKTQISQFISETGELMKEA DKDDKKLASQKRREKKMKKKLSEEVSRYNI PHYBLDRAFT_8439 VALPQHKLSLELRSHPERGRGVFATIPIARNTVVDISPILHFNH EEYSQHGKYTVLDHYTYRWKDGYALALGLGSMFNHSNHPNVGFIRDLENGLIRYIATD DISKDEELCISYGSNIWFKNTEAEEKTELEQKHEPEE PHYBLDRAFT_182536 MTTIPAGTTTPSAKAARQLIEQVASDFNSNLTRGLSQSDISSLR SIYGFNELEKGEDESMFSKFIKSFTENPLILLLLGSAVVSLAMGQLDDALSITMAILI VVTVAFVQEYKSEQSLDALNKLVPHYCNLIRDGHITVVLANELLPGDLVQFSTGDRIP ADCRLVTSVELEIDESNLTGENKSRRKNVRAINSNMYAELGISERDNIAFMGTLVRNG HGTGIVVATGKSTEFGHVFELMQEVEVRKTPLQMSMNELGKQLSIFSFGVIAVIVLIG LIQGRGWLEMFTIGVSLAVAAIPEGLPIVVTVTLALGVLRMANRRAIVKHLPSVETLG SVNVVCADKTGTLTLNQMTVTKVFTAENQQIFDYEYKKPSDMTDALRQTLRIGNLCNN AQVGEDGKYIGQPTDIALLDVVLRSGLKDERDVFERIEEIPFNSDQKYMSVTCRNEGE DISAGNCKYFKGATEVILDKCTTYYNSSQSRSPFSANLKDTVSQHVAAMSSHGLRVLC VAFGENERELCFTGFLAVYDPPRPGIAEAIKQLMQGGVKVAMITGDSEGTAVSIARKL GIPTNTSSTSCLTGRDIEAMSERQLQEVIHSVSIFARTTPKHKLAIVKAFQAAGSVVA MTGDGVNDAPALKMADIGISMGKSGTDVSKEAADMILVDDDFSTILHAIEEGKSIFYN IQNFLTFQLSTSVSALSLITVCTLFGLATPLNAMQILWINIIMDGPPAQSLGVEPVDP DIMKKSPRARNANILTKRLVIRVLSAALCVVVGTLFVYVSEMSDGVTTNRDATMTFTT FVFFDMFNALACRSEKQSIFSIGLFSNNMFNLAAGGSILAQLLVIYVPFLQSIFQTEA LSIYDLAKITLISSSVFVIDELKKLWVSKGLSLKRDGRSHVKYRLARNEEIELGIDAV PHYBLDRAFT_60139 MSLNSGRWEYLSAWSRISEALIPNNYVAIHPFTGDPFEITESAI WLSQIRKLTQSNVQIGHCTKRQLGCSKARAGFKKRHLAPEVLIGFLFSFYRRTLKLLV PQAFINSNYFIEL PHYBLDRAFT_148786 MGLELSSTFAGSMQHRRQTVMETKGGHIDGIDFATTFKQHFTGE ESLCVPPI PHYBLDRAFT_188057 MSFKSRQRAKHGILFGDDPLGASMVEADEALFKPRATVNTPSHS PHPSQSASTPVLDPLQPHSSRPSSPHQPIRKFTHTKKSSNGSNETSSIFGDVDVSKLG GSGGGGGGLFSGKRGGVLSSSSSLRGSRIADDEDDGLFGGAVTPRNRTGSTASSVSSA SSYRREERATDNAKPSTPFVTNSTPAQAPRPTQTIQKVQPVQPTQPAQPAYNQPIRPV QSVQPVQNNYGQLETQQKPAYVQQRPASMQAPVHVPTQVHQRPFSVQAPLQVPVQVQQ IPTPEPTPEPVLSPAPAPVEPPPRTSSSLFASASRLMRIRSTSTTKADPPVQSSSETK QSTQTVEAVPNPLAPQPQPQPQPQHQQYQRQLQLQQQLQQQRQRQRQREEEEEEEEEK NKTVIEDEATLAFAEDTFIKSKPIPAFDYSSSPEFSISIDTRHLLVPSSSTPASTLSM VEHAENPWFMPSAAGSSLSVIMDGPSVSTSSPLRSTQNHHLLPQHRPTRHPSIAATQI EPTKRAAFADLIASWNGGERNRVRAPTEDTTKELVEQVAVEQRDIGFAGIASPTKKED KEADDDDDDEEEEEGDDLVKRQGWAIEADNPWC PHYBLDRAFT_171623 MSQQPLKLRNPTQYHLMASQQSQDPSMHPLATAAHPAYSLGVRQ NRDSPSSDHLDFPETFSQNFMSPMSTSPLHDFDDLDYQSGLQSYQKQHTTGPKAMNMP ISGQSHQRPGQDNGGLTMTQPIQMKQNNQDFNYSMFNPNHADYQTGGFPMSAPATMGY EFGGYPGSPSSFGAVQPNLGLHAPLETTTSTGSISANHNGPRSYEEDYAVQMNMQIMM EKRRRRRESHNAVERRRRDNINDRIQELGYMLPDSVENNGPNKPNKGAILRKSVDHIR QLQQDVSTYSQRVKELERTLQHYRQQQP PHYBLDRAFT_148789 MNLSMRAIVLLMAIGVVSSQVISPSHTYNVTAPEPNAPYVAGQV LPVRYTLVDDIKLPNLLSLSIYFTTIDPSMNFTDTVITSNADISQGFSFRRTQNTMVY YEHELSYAIPNTTRAGSYQVIFVDSVSRTNTSVPIVVRPYAPPTLPSSTAAGNANKAG PSSIFAIHANSSSRKQPELFALVALILLLCAFL PHYBLDRAFT_159626 MSQMLPSEIWLTQILPRLQVSSLVTFGSVCKHWHALAGDDLVWR DHAIADFHLVHSYHGNHGWKDFYVRLLDSVVYTWGENSAFRLGHSQGRIGRQSRVFHT AIPQKVNTLSNQAIAVITSGHSSFHALDGYGKIRILTKASIIMEP PHYBLDRAFT_159627 MYVYLASSSRHCGALTHEGVLLTCGSYSQGALGHGYDDDLKDQL VLRPVKELENMFVLDIGFGGMQSSCVAVPLHQKS PHYBLDRAFT_171627 MATLLPLRQIGVVGRDGKVEIPLTVEPEDVIGMQGRIRLQRNDQ MKSSQWMDKQRNTLLAYEYLCHIGEAKEWIEACLGEEIDPIIKLEESMRDGIVLAKLA GWFAPQVVPRIVTDTKHKFLLSENINAFFKALRAVRMPQIFWFELTDLFDKKNIPKVI FCIHALSHLLSRRNLAPGIKDLFDELYFTNEELNAAQRVLDSYNVTMPNFKNVGSSLW KELKENENDNDNTHGGRYRGSRYPNKDETYEDDGDYDGEDGDDVDYLEKSKYQFRQID LPQYVQRNHNSTRYSSKTPELASATGAVESDTDEEEEGEGEVMEFKTEQAHQTPEKVD WTAPENIKKLKSCQAAVRNWLDKKALEHVQEVHQSTFFQSRLQNIQAQARGVVFHRNF EDKRVTFETSEEHVIKLQAASRGFLARRKHQAILLAARSEKHKLLQTQHGYAKNQWSD AELGQLSTDKNPTVETVKKFMHLLDDSNLDFDSELALEDLRQRVIQHIRENKSLESHV TKLDIQISLLVRNAITIDEVIKTTGAFNKQQQQQRRYTQLTAASNNPNPYTLKGVDKD SRQKLELYQQLVYLLQTEPKYLARLMSITSGQDLGDHTNGHKRIESTVLALFGYATNI REEYLLIKLCKYCIAEEMRFVKDTQEFMRGNYTFMKLVVQTNRGAKEREFFRKLLTPL ITLVVGNDTLDLETDPVSIYNKAINEEESRTGVLSQRKPSATSREVLAYPDIRETFIL HLRNLREITENFLTAITSVIDEVPYGIRVVARELRLVLEENFPDEGPERIVKILGNFI YYRYLNPAVVKGLRNAPEQYDVIDDTISPVQRKNLAEISKMLQQISSGKVFDADDMFL APLNDYVFDAGKRFSNWFMKLTDVEDPESYFGMDPLADMARTNKPMIYISPYELYYLH YMIETNLDSLETDQGSGNGMLADIVKQVGQSPYSPGNEHHLPETPICLSLSNRAEDIP QDPASRLKQLLVDTKRLVVYVIKSQSGPNLRAIFEEPVTEEHEENWNEVKEHEFGIQV KGTPTKDHLIRTKRRYLRLNPNDSPVDLGNITFFQLKTIAHRLVMHLEKCRVISDTND FQDMINMIAQAITGKNSQRKQRDREIGKLTNSLEHLQVKKQYIADQRKQYDDYLQVCM NSMASKRGKRHKFMLPFTRQYFHIKRLEKQGLVPRYGSYKYTARQLHDRGIIVELSGI DKKHYDRIPIILSMDQAGIITIGGSYSGWGISSVQVDMRYESLLQTQFEGVQTMKVLD GFAKVNVNLLIYLINKKFYSS PHYBLDRAFT_60146 MVDIVYCVLCIIIIRSNYKDFSDDQNMKCSSIFPVINKKRIGFA LPYLEFNINNVFVILWLYCAYPQVAWKYNSSSELQLLKHKKSKKQKQMCYDYRCLIII TKTCQARASQNIGMLTSGHITYHSGAQTSKFSAPPITQIYVAMTNLSYITKFQYSHAR ILIE PHYBLDRAFT_28419 MVQTIEQISINTVRALAADVVRGANSGHPGAPMGCAPMAHVLFG RHINANPKNPKFINRDRFVLSNGHGCALQYVYLHLMGYDVSIEDLKHFRQLNSKTPGH PEVNDTPGIEVTTGPLGQGISNAVGLAAAEAHYAATFNRPGYEIFNNYTYTIVGDGCL QEGVSAEAVSLAGHWKLGKLIALYDDNKITIDGDTAVSFTEDVIQRFESYGWHTIIVT DGDNDIEAISKAVEEAKLVTDKPTLIKIRTTIGYGSLNQGEEKVHGSPLSAADIKQVK EKFGFNPEEEFAVSDEVYKFWSARAERGANIEAEWNALYAKYSAEFPEEAAELNRRLA NELPAGWEAALPRFTPADPAVATRKLSEGVLTALSEVLPELIGGSADLTGSNLTRWKK AVDFQHPSTGLGDYSGRYMRYGVREHAMFAIMNGLCAYGGIIPFGGTFLNFLTYGWGA ARLSALSHHRVIYVMTHDSIGLGEDGPTHQPIETLALTRATPNMLTFRPADGNEVSGT YLAAIENQHRPSVIALSRQNLPNLVGSSVEIVRKGAYVLSGPKDAKIAFVATGSEVEI AVNAAKALAQEGVAARVISMPCSELFDDQSEEYKKSVFAPGIPVISIEALGTFGWERY SHTSIGMKTFGASAPIKDLYKKFGITPEDAVAKAHKVIAYYEKVGYVPEIGLEF PHYBLDRAFT_148794 MSEIAVTGTQENLKKAMPDLKNIWHHSFQQGFAPIKTKDSQETM YYYELHGTGPRRVVFIMGLNSPCQAWDYQIGYFGPKEEYTVLVFDSRGVGWTDGSWDN YNTSDWANDFLGLLDHLDWKSDIDAVGYSAGGQVLLKALLQNSTRFKSAALLCTTAGG TRPWTGAYTLISNMWTKDPNEQVARLIRINYKEEWLNTRPTDGCNFETNFDKIRNLVK ERNSRSRPQSIGAMVSQAVASMRHWVTAKDLEKIRSTGIPILVATNGWDNFVHSSHSE YLKEHLKPKNFVVFEDSGHVIPTAKHEQVNETLESFWKQ PHYBLDRAFT_148795 MEPTALQKMKMGALMGGTVGLCVGFVFGSVSLIRFGSGTKGPVT LLSQYMLGSAASFGFFMSIGSVIRSEAHPNAIQWQSQTKLPVVINERNKSL PHYBLDRAFT_171631 MGKEKTHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPRYMVTVIDAPGHRDFIKNM ITGTSQADCGVLIIAAGTGEFEAGISKDGQTREHALLAFTLGVRQLIVAINKMDTTKW SEARYNEIVKEVSSFIKKIGFNPKSVPFVPISGWHGDNMLEESVNMPWFKGWTKETKA GVKTGKTLLEAIDNIDPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKAGMIV NFAPAGVVTEVKSVEMHHEQLAEGLPGDNVGFNVKNVSVKDIRRGNVCSDSKNDPAKE AGSFTAQVIVLNHPGQISAGYSPVLDCHTAHIACKFAELIEKIDRRSGKKMEDSPKFI KSGDSAIVKMIPSKPMCVEAYTDYPPLGRFAVRDMRQTVAVGVIKAVEKVDKTSKVTK AAAKAGKK PHYBLDRAFT_159629 MNHLFELLCCPSGSSLTEAEIKKANYQELIEKTMASSNVLMKDQ NLKDQASARLKTVLTSKSHSPTNTIKTVQQMFEAALKGKSGRERHLIEWLQICVSSAI HMAFLIDEPEKQQPSSDRSIPTPQKKPSGTKEKKKAPRATRKKRNSDDDAFVVPDTED DDPPYRGSVSSDDEYLPSDDFPEGTGLIKQKGRPKRGSNTSAKKTAEDLKNDDDQQVP DVKPVPAKKAGRKKRSDINDQVVPTDGVMVPAIESGAGAGEVTRYFTSLTQSAGSNVL SKGYFAKAYFFPSKESFNAFISVINSARDCIDICVFSLTDDDVADALIAAKKRKVNIR IITDNQQAAGKGADAERLQRDHGIPYKTDNTTGYMHNKFAIVDHSTLINGSFNWSKGA RFKNRENIMITNIPYCIQEFQRQFESLWEEF PHYBLDRAFT_148798 MSFIGRRGIIGPAYLSLGTQNSYGYSRSLSTLPDSEPSGTIGKL VAIVAERLGVGEMATNGLQLAVIGGALAGARYFGGFLVDFLKKRVVVTASFDSRDESY SWVLNWLNEHPYSQRTTQFSVSTSITSSGSSSLGEDRDKRFPPVYFLPAPGIHLLMYN NRLLWLNRELSQNSAAAVTKSSLERIHISTFGRSRDVLQQLVLDAQQKFIDRDLSRTV VFAADGYGNWRRTRSRPKRPLSTIVIPPCLKTQLVSDAKEFLVSEKWYSDRGIPYRRG YLLYGTPGSGKTSFVYSLAGELGLNIYVVSLSNKGLTDDTLGELVSDVDAAFVHRNRA DGSGTNSVTFSGLLNAIDGVAAQEGRILCMTTNHKEKLDPALIRPGRIDVRVHFENAT QEQARELFTKFYPGLTETESRELSETFAARIPNLKFSMAHLQGFLMGHKGLPIRAVDE VDSWVESHKDGPPKDTSSENEPLDA PHYBLDRAFT_188062 MTSTADQRALIMGLVTGSALTLLATGCFYYLSPKDAPAAVEEPE YVEGVDGLIGNTPLMKIRSLSEATGCTILGKAEFMNPGGSSKDRVALNIIRSAEEAGI LKPHKGSTVFEGTVGSTGISIAMIARAKGYKAWIVMPDDQAKEKYQLLEKLGATVEKV RPVSIIDKNQFVNRARERAGAFGEGESVGYFADQFENSANFDAHYKTTGPEIFSQTKG KIDALVLGAGTGGTLSGITCYLKPLVKNLKVFLADPLGSGLYNKVKYNTMYAPQEKEG TRRRHQVDTVVEGIGINRLTRNFDAGRQFVDDAFRVTDEEAIKMSRFLVREDGLFLGS SSAVNCVAAVRAARQLGPGHVVVTLLNDSGQRHLTKFWSDEYLAANKLDFPLGAKESV NDFVE PHYBLDRAFT_159630 MESDPFIEITMQLANVALNTHAMDTMDLPVIARLLGNSRDHDCL ESLVHLRIHLTQKINDSSHAMEIVIAWGLLERLRCLLQINEPDIQFECAWIITNLAAG EPKYQKHIIDAGFFLPLLYCLSYAGHSRARIQASWALSNLTAESVILREQWMYHGAHT MINQLKLLCAEDIVHDLSIVEDKRVLGWSLSNMCRGGFNSTNYQEMASNMNVLNNTIL IWCYHDCFFS PHYBLDRAFT_159631 MSYLYHWMTIQTSVSLRTSGIRIARCLVQHQQTVKYKVFETVDF GSILLSLGIPNHVYCEAAVRWVVSSEETTTWSITQEVLLFLFYYFQHSSNGLISTLGS LQIIPKSLVTILHHPDLPLDTSLQSVSVINIFLSRLISLDRQSTFVSVLLQEGILPSL SFLCQKHQSDQFTHESNKLFNLI PHYBLDRAFT_182544 MGCCGSKEDHDQDDLSEPILRNPHTRRNSQPTCPSYDTFDVKME QDFWKDVIERTTSNLIDISSAQADPLQGQDIQERADKYRWLVDRIAVGITTPCQVMDQ DSPVDVLAEAEPNEGMSLEDLDELYQTMDCIQDALHRIQVSPVGDIVVTLTLNESSAM RGY PHYBLDRAFT_148802 MALLTPGTHQAYVRALYKSILAEGSRFFDDRARTFIVNRARKLF KEYITCTDEARIQNKLAEARKASLDKVGRSLNRLHRIERANQGDLKSALKVLEAAYGR TGKTKHKLLHPFVYANFPEDMVRPEPLVPHVPHTAPPPPLSKPVCALVRRLKKPLEPK LPESPYKPLHRGRQANLLWKWRSNLLERIEVPLPFEIGCELETKSGLDPLDPRGAANT LKGGPRWDEMYGDRGQDADIMHLCPTSVLVPLSRLQRRPAPPSPYTAGASPYATLSMV HLLDPSFDVPLETTSVPVDPRTKRRLYRRLLVKVPYLSPLPTASKLWDNTSYTATSSC IVPTSVLNVLQDEDISEESLRAFEKKKRGRK PHYBLDRAFT_171639 MIPLGVSQHPSSNTWFIVSNSSTYVIGATSEGVVLNLHWGGRLH FYNDVSSANLPIPRSSQDPALTAIAEEMPAYGGLRYGAIGLKAEITSTQTRELELLWT KAEFQSNQLKITLCDKAYPLFSVELVYTVDIDNDVISRHTIIKNRTEIKIHLSKAYSA VWHLPTILGKRKLTTLTGAWAAETQVNTENLVNGTLVLESRRGIPSCQTYPYVALQDQ EEVYFGTLGWSGSWAIEVHTGWDGKVTIAGGVHEHDFGWSLFPNMTYETPVFVAGYSV TGLSGARSSLTNHVRNLQRNSMTVNPIIFNSWETTQFEASYENQLHQATKAAAVGVEL FVLDDGWFRGRTSDRSGLGDWFADPVKFPQGLKPLADQVHRLGMKFGLWFEPEMVNRD SDLFRAHPDWVYHYTDRTSSESRNQLVLNITRPEVEDYVYKRISETIRQVGVDYIKWD MNRPLSEVTMSTYRDAREVWVRHVQTWYSLLERLRRDFPLVLVETCSSGGGRADIGAL ELTDMCWPSDNTRPDARLVVQHGISHALPPRVLGCWVTEGLTPLSYRFHTAFMGNLGI GCDLNRTGVRLEDFKDWIDIYKTLRHIIQLGNLDWLIGPGQINVTATSLDDEMVVLAL REHSPYGLLLPPVRIQGLVASYLYRVHVWSNDPKKKTSYTISGACAMQIGLPLDWLAQ ADYGSGVMHLKRILL PHYBLDRAFT_87681 LILGEYMIVITGRARIGSLCGSDIYRATTFQILHVPHTMPALTK QQMETEQMFINLLEDHLKQNSFYFSYKYHLTLTIQQQAALGQNSRSQWEEADTRFFSN RFLMEKLINATQHKTNPQDSYLCAVVVAIVSSVIQRRQVVFGLISRRSLERDGTRYFS RGLDIKGHASNFVETEQILLCDLPQSLSTTEHPLQFSFVQTRGSMPARWGQIPNTRYT PQLWLNNDLSNLDVLDISRTHFDQQIEHYGSQVLVNLVNKKGYELPVGELYRSIVEKL ANPRLFYVHFDFHHECRKMRWNRVQLLLDMLEPELRKQSYCFYDATTPVLKKRQTSVV RTNCMDCLDRTNVVQSALAHWVLDLQLREANVLQSTEVVENDEAFISIFKNVWADNAD VLSIAYSGTGALKTDYTRQVDLMLLTGKRSYAGALSDLSNSILRYIKNNYMDGSRQDA IDLYLGNYRVGKGQLDINTSGKSWQIRVIPPAFFLSFATFVFALFFPSHLAIESSLLY LFLLSFCFAIVLVTWRFIQLHGSEFVNWPKL PHYBLDRAFT_115553 MKKENTLDTTLNFSNYRFVMSSFELYETKTRYYLIGTNQSKQRY RVLQIHRTNPKELVVIEDDVVYTQHEKSKLLKMIEDGNMSVGGLHLVPMRIYGVVGFV RFTQGWYMIFITKRKQVALLGGHYIYHIDETRLVPVGLQVKIDKNSDEARYITTFQNI DLTKNFYFSYTYDLTNTLQVNMTQSPLQSEGKEPREKTSYDYNDMFVWNHYLLKSGFK DLRSRSGWILPLIYGFFDQAKISVFGRSIVVTLIARRSRYFAGARFLKRGVNDKGFVA NDVETEQIVAEMTTTSFHSTDRLYGNPRYTSYVQHRGSIPLIWSQDTTNMSPKPPIEL NVVDPFFSAAALHFENLFTRYGTPCIVLNLIKQKEKTKRESILGKEYAEAVDYLNQFL PKDKRIKYIAWDMSRASRSHDQDVIGYLEKIAEETMEATGFFHSGFEIPPRRAYTRQH GVLRTNCIDCLDRTNAAQFLMGKCALGHQLYALGVIGSPKIDFDSDVVNIFTEMYHDH GDTIALQYGGSHLVNTMETYRKINQWTSHPRDMIESIKRFYANAFSDADKQDAINLFL GNYVTKDGQPMLWELNSDYHLHNQDPRLKTAHHDYRKWFSEKALHSQDYEMDPALFTI PPSFRVPAINPDESDPFHGYWEEYYTHRQLTSLDSLFFFNMNGTLKYRPPKLVLGSKD CEGP PHYBLDRAFT_182546 MPQNEETECLAPQQETPMQNTTKNESSPNLTKIKKKKPKKKTGA PAEPISEYYQKALVDYPVSLRNTKAKGRHAAASGPIAEGTTVCHEQATAFVVRSEFID QQCHVCLDTLATKLMCSDCKKSFYCSQACLDRDTTHPKVCSIISQVDTIGRSTDTDPD LLRLMVLLMAERMEEADIPEENKTEYKPLSQTPYWCVEDLLSHREHADRAFINVLTEA SQRLVSEMPESMAMSVDDMVTLACRINSNAHGLGDNQSRNTDVALGLFPVGAMFFNHA CNPNTAFVGMPNGQLAFRTIRPVKTDEELTVSYIDLYASRDERRQDLLKTKHFWCKCK RCTTPMENSVDRFLQSVVCTSCSKDVYVIPPASIEELNKGEKSLGETWTCAGCGHVAS VSKVNEAIEKANASYTAGMYALRQRRDYRSACRQLEPLAKTVLPSQATKLPAGEIHPQ NSIRLNASIPLLNCLRHQDNIKGAIEVNRTILDVLDEHAKQSLPDKTAEISDFWQNLG ELCDAMAEQCRVSGRQPLQKKWYKEARDAYSQAAKVRAVVFGPEHPKTQFVERCALRV PHYBLDRAFT_60161 MSRTLVITSAPCSNGTNPKEPNKKTSNADSKRLEKKLGSCSLPN TFKMYKITPLPILNKKLPDKSSPNIRRCTECSYKTVSKFNLLRHSQTHSETPTKFDCH QCNKTYSSKYNMQRHYNTTHSN PHYBLDRAFT_148809 MAANDDLILFTLPRINRPGTDLMRANAVDKYFPQPTEWANATKS DVLYASDNTNSFPPILIEVQYNADDNFLHRLVQYCEEVNKQYSTEPVVLVFVINKIRD SVMSKTTKDRKQKFLLKLPSYPWAKKCLFLNDYSIHDHLNNIPLHPLVALGAFLTAQK VSIIDHDQRDDPIIQQLYGISKHVFEHVATREKTTVDDLLGLCDNTQSKFQKCKEILE DLPNNNLKKRAIDCLNDGLNIIKPYKVKYMSDQSSSSTASSPAASSPALIPAAPSLLL PVLSSLTDPLTSTITQTTTRTPKSSSSENWTFVGNYIRSLGSNKMNWKAYYLKGQRQG YFANYTTPASLKNAYQRRKTNNDEMEE PHYBLDRAFT_76222 MLRSLTSQVGLARSVRLAPSLATAARSFATPAATDPNANPINGK TTYGGLSDQDRIFTNLYLKHDYGLQGALKRGDWYKTKELVLKGTDWIIKEMKESGLRG RGGAGFPTGLKWSFMNKPLDGRPRYLVINADEGEPGTCKDREILRGDPHKLIEGCLIA GSAMKANAAYIYIRGEFYQEASHLQEAINQAYAAGLIGKNACGTGYDFDVFIHRGAGA YVCGEETSLIESIEGKQGKPRMKPPFPADVGLFGCPTTVTNVETVAVAPTILRRGGSW FAGFGRARNSGTKLFCISGHVNNPCTVEEEMSIPLKELIDKHCGGVRGGWDNLLGIIP GGCSVPVIPKDICEDVLMDFDALRDVNSGLGTAAVIVMDKSTDIVRAISRFAKFYRHE SCGQCTPCREGTKWLESMMDRFEVGRGQAEEIDQIWELTKQIEGHTICALGDAAAWPV QGLIRHFRPELESRMAEFQKSIEANGSA PHYBLDRAFT_188067 MGRRKAYMDDGGDSSDEERGRIHFDITEEDLEEERQGFSGVRKR KMFDDSSDDEATPKGGLGSGGWKTGGTTLFEPAKKSSTPEPQKVASPKRKNAFASASS SKPEPGFAGFSKHTTGFGQKMLEKMGWAAGKGLGAGGEGIINPVETKLRPKGMGMGFK GFDERTAQAKAEAKKQSTMRGDDSDQDQDQSEGDSGDSDDSGHKKKPGKAVKREAWKA TAKKARKPKVVYKTANDILSEIIEKAPEAQQKVIDMTGPTIREVSLADIRTSDSPTFM ETTTRLPELRHNIRLLVDLARGDLENLSREKQANVFRLQELDKELVDIQKNMERDTSQ KKRVEEIKNIGVQLERISRDSMATGAYASGNITSLFGEYFEILETKYMDEVKSLGLDS MVVAVWAPILKYKCVHWDVLDEPTWGVDDVQRWRKLLRSNDDRDEFGDLIASKGVNLA TPYETMMNTIWLTKVRSAINNRWNVRQPEPLIILLEAWKPLLPRFIFENIINQLLLPK ITTAVSDWDPRNDPEMIHSWIHPWLPILEPWRLAEIFTNIRQKLSVVLRQWHPSDESA LHIIIPWKQVWTASQMEMFLTKCILPKLTQVLRTEFVVDPQDQKIEPLVWCLAWKDMF STTIIGQLLEHEFFPMWLNMLYNWLTQPRDQVNYDEVGEWYLWWKAVFEEFGLCSHKT VSQCFRKGLDMMFMAGNGQPVIPPSF PHYBLDRAFT_148812 MACFAARTFGCLGFEIQKKPAKLPTLKLNSLLARGLSQKFINVG IVLRANDGSSFRIRSLAGVVGLLEHGNPNTTCMAYCPELHRTEKLVTVGRGTTSIVMA LLFLVYLGYLIRQIALDIPLLRISTENLPNIYPAPDIEICAQNTTVRIVRCDITHADW TVKPLENCYDKIRIGTTQLMGSSFCNLFEANGTLNYWISQDVPPNNDVIRRIDIYWRV DSVVNATAASLSVPALSIQLYSPSFNRWTIDPTKLIPQQVQPFADMVLGAYRATSYMN TTSALFFVPGKYKAIMPNDPASIIGFDPKFKDIITLATNQNNWPLHDNPFLANDTYHG FFTVQPSHASMEVRTEQRQHTALAGIALAGGAYGVLTTIYILFFGMTRLTPWGLVHHI PVMMSRGKERIRRKSHPADEEHQLYENDMKSHLPWFMRKHLFKDSDSSSDESQDDIEL KNQSSHIETTHPHHTIKDSDSEQFLLNSNSHNVESRERDIGAFSMAGSVVIPLSDKDN DIDRSNYNHKVEELNEHWQQRCQELDYRVEELEMILSEYFLNTEYLDQIRQKNRANTN NARKRSSVAGWNSGGTRLTGRDHMSGQPELRAFHKPSESTEGIAEWTSDGIAPVRTHT VTSLNQTNKD PHYBLDRAFT_148813 MELSIKTNVVCLEKERKAEESRKKLLEDELNNPEERERLEELEK RDYYKYTHELTRVDHNEHCTGFLETFGITINKS PHYBLDRAFT_182549 MATFQVVLRQGITGGIVGPVTEQVVEIRGDHNTASILHANLQPG SKTDYVTQTGNVSTQELQDALLILRNQLAELPLEEPTGSQDIYGQDVSIGFFSNDFQW QNGGPEGCNQGESSVQATPEQKEKFKALVKLVVGLGEQHALTAQA PHYBLDRAFT_148815 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVCSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_96028 MNPIIYFGLSNELTRENIYALTYQHLARFAFSDFCKTLASASRK QILLRIYKANRGAIWAQFIFSIAGMLVGYLSPYFQQKLLEYIADPQGRPINIAYVYVF GMLAVGITKLVFNGVHLWAGRRWNVRAFAMLDAEIYKKTLKRKDMSGKVEKKNTKDDA KKENNTSNLFSSSGKITNLMSTDADRLADTPVYIFMVYNAPIEIAVAIVYLYQLLGFA ALVGLGVMIITFPFTAYISKIMIKSYKRFSEAKDKRNNLVNELLHGIRMIKYFAWENN WEEKIMAARRFEIKKLIRTVIIQVIFSITYLTIPVLVSCSSFIWYTKVTGNELTASVA FVSITLFEMIRRPLMMIPESITKLGECYVCLKRIASYMDEAEVGDGIINEPIPVPEGV LPETILARVGTEESVFRWHSGEPEAKDAVAAEVDPDEHPDFSLKVPAFEFPTSELSII CGPTGSGKSSFLHAILGEMDIVSGRVYLPSKTKLALDTYSVVDPEYPSLALNKVAYVA QQAFLQHASIRDNILFGQEFDPVRYKKVLSQCALVKDLSILPDGDRTEIGEKGISLSG GQKQRVSLARAVYSHAKTLLLDDCLSAVDAHTAKHIYQRCIKGDLIKGRTVILVTHHV RLCLPAAKFVMKLERGSVTSYCSVESLRENGSLDNILGEGTNEDEAVDEEPIEQVDEE FSLINDNAAVNNMIKEENSEKGRVKFKVYKTYFTACGGWFFWCVLLSSFFIARVFVFG ENWWLRIWVANSTGSTNSNGFIATKLAPAAYGVMSYVNDTTKSQNVFRDWVYEEDQPR SVDYYIIVYLVICLASILFDMLRSIVLYWGSVHGAKILFEKMLRKITHAPLRFFDTTP IGRVLNRFGKDVMTVDMDLARTSSKVLDCMTGLVASVVVVGAITPQFIAVAIVIGAAY VAIGALYLSVSRQFKRLNSVSRSPIYSHFTETLAGVTTIRAYCQEDTFELEFYRKIDT YISPYYYLWMTNRWLYARVEFAGLFISLFAGIFILWNLDTIDAGMAGISLFYARSFLD NIYLGIREYTQVEMDLNSVERIQEYLEIEQEPPASIKGSRPPAAWPTTASVEVKDLVI RYAEDLGPVLHGISFDIRSHEKVGVVGRTGSGKSTLALSFFRFLEPSSGSITIDGIDV THIGVYDLRSKLTIIPQDAVLFSGTIRSNLDPFEEYTDSAVWESLVRAHLAPENHDDE VPEGNATWAVTSLSQIVSDGGNNFSQGQRQLLCMARALLKNSRLIIMDEATASVDFET DKKIQNTIREEFVSSTLICIAHRLRTIIDYDRVLVLDQGNVVEYDTPANLLIHGAGTG LFKSMCENSGELDLLIEMAQ PHYBLDRAFT_171652 MVQNYDTRVTKKQKVESKSTEIVFIQPINEAEVVVCEIERIFES RKSDKFLRRSAHKNARICHFLFTVGGSNHLLLFHFFLYMSTQLGLYVNVMNTNEDNKD PSSPICSAFLIIVTFTYRSDTIRSQFHRYETLNRCWSISSRFLIATSKVSLLLKLQTP PKNTPNFCKNDPHAHTTFLLPGPPSFLQASQEHWRNLLESDISCKPLAIWCHLAYRKI PSRQRQFSYKIKDVNDGNYVPCGDFEDDGHLFWKCALKKPI PHYBLDRAFT_96053 MNPLIYFGISNELTRENIYALTYQHLARFAFAEFCETLVSTNRK KILLRIYKANTGVIWAQFIFSTAAMLVGYLSPYFQQKLLEYIADPQGRPINVTYIYVL GMFGVGLTKATFNGVQLWAGRRWNVRTFAMLDAEIYAKTLKRKDMSGKIAKEKPEGEE EKDEDTKKPFSNTGKITNLMSVDADRLADTPSYIFMLYNAPFEAVLTIIYLYQLLGFA AIIGLSVMVIFFPFTAYISKVMTQIFKDYSTAKDKRNDIVNELLQGIRMIKYFAWENN WKEKVMAARRFEIKKLIRAVTIQVVFFVTYLTVPVLVSCSSFIWYTKVAGNELTASVA FVSITLFEMLRSPLVLIPELITTLTECYVCLKRIASYMDEAEVGDGIINEPIPVPEGV LPETILARVGTEESVFRWHSGEPEAKDAVAAEVDPDEHPDFSLKVPAFEFPTSELSII CGPTGSGKSSFLHAILGEMDIVSGRVYLPSKTKLALDTYSVIDPEYPSLALNKVAYVA QQAFLQHASIRDNILFGQEFDPVRYKKVLSQCALVKDLSILPDGDRTEIGEKGISLSG GQKQRVSLARAVYSHAKTLLLDDCLSAVDAHTAKHIYQRCIKGDLIKGRTVILVTHHV RLCLPAAKFVMKLERGSVTSYGSVESLRENGSLVTILGEGTNENEAADEDEPIEQVDE EFSLIDDNAEVNNMIKEEKSEKGQVKFKVYKTYFTACGGWFFSFALLSSLFIARAFSF GENWWLRIWVANSAGSTNPNAFLTSTAAPVVYNIMSHVNEVTKNQNVFRAWVYEDDQP RSVDYYILVYLIICLAAILFDFLRNIILLWGSIRGAKILFERMVHKIIHAPMRFFDTT PIGRILNRFGKDVMTVDMNVARSASHLLDCITGFIASIIVVGAITPEFIIVAIVIGVV YMVIGVVYLRVSRQFKRLNSVSRSPIYSHFTETLTGVITIRAYCQEEKFQLELYKKID GFISPFYLLWMSNRWLYARIEFAGAFVSFFAGAFIVLNLDTIDAGMAGISLFYARSFL ENIYWIIRQYTQVEMDLNSVERIQEYLEIEQEPPASIKGSRPPAAWPTTASVEVKDLV IRYAEDLDPVLHGISFDIRSHEKVGVVGRTGSGKSTLALSFFRFLEPSSGSIILDGID ITHIGVQDLRSKLTIIPQDAVLFSGNIRSNLDPFEEYTDSAVWESLVRAHLAPENRDE EVPEGNATWAVTSLSQTVSDGGNNFSQGQRQLLCMARALLKNSRLIIMDEATASVDFE TDKKIQNTIREEFVNSTLICIAHRLRTIIDYDRVLVLDQGNVVEYDTPANLLINGAGT GLFKSMCEKSGEYDVLIEMAHQA PHYBLDRAFT_60172 MYTYFPRRYLGIPIFDFCNRYCAFLSLFKYVADMVLIDSKLLRL VFKSFSVHYILEYNCVAILVTAISYADQCFTGDKRYGRRVMVRKVFTILTKKSDKVGK FCQISKNIVAFMGC PHYBLDRAFT_96064 IFSNAALFISYLSPYFQQKLLEYISDPQGRSINVAYVYVLGMFA VGLIKPCFNGINLWLSRRWNVRTYSMLNSEIYTKTLKRKDTSGKTALKTGKDDEDDED EDPENDKKPFSSIGKITNLMSMDSDYLADLPCYIYMLYNSPVEVAVAMIYLYQLLGFA ALIGLSVIILSSPFTAYISKLMDKVYREHATSKDKRNNLVNELLQGIRMVKYFAWENN WKEKVMKARGVEVKKLIRAISVSVMFSVSYLLVPVLVSCSSFIWYTKVAGNELTASVA FVSITLFEMLRRPLSVIPDTMGTLTEAWVSLKRIASYMDEPEIGDGIINAPITVPMGV SPDAILARIGVEESVFRWHSGEPEAEDAVAAEVDPDEHPVFSLTVPAFEFPTSKLSII CGPTGSGKSSFLYALLGEMDIVSGRVYLPSRNKLDLDTYSIIDSKYPSLVLNKVAYVA QQAFLQHASIRDNILFGQEFDPVRYKKVLSQCALVKDLSILPDGDRTEIGEKGISLSG GQKQRVSLARAVYSHAKTLLLDDCLSAVDAHTAKHIYQRCIKGDLLKGRTVILVTHHV RLCLPAAKFVMRFERGYVTGYGSVESLREDGSLIRILGDEIIEDNTEAEEELIEQADE EFSLVDDKAVVNNMIKEEKSEKGQVKFKVYMTYFTACGGWFFWTVLLLSYVVSRIFVF GENWWLRLWVANSTRSTDSDSFLVNTAVPVVLNVLSQVNDTTKSQNVFRAWVHEEDQP RSVDYYILIYLFICLAAIFIEMLQNVILYWGSIRGAKTLFEEMLDRIVHAPMHFYDTT PVGRILNRFGKDIGTVDMNIAPTASKFIDCITGFIASVIVIGAITPQFIIVAIVIGAT YVVMGVLYLRVSRQFKRLNSVSRSPIYSHFTETLTGIITIRAYCQEEKFLLDFYKKVD GYISPFYLLWMSNRWLYARVELAGAFVSLFASIFIVLNLDTIDAGMAGISLFYARSFL DNIYWIIRQYTQIEINLNSVERVQEYLEIDQEPPYIIEGSRPPAAWPTTASVEVKDLV IRYAEDLDPVLHGISFDIRPHEKVGIVGRTGSGKSTLALSFFRFIEPSSGSIILDGID ITHIGVQDLRSKLTIIPQDAVLFSGTIRSNLDPFEEYTDSAVWESLVRAHLAPEDRDE EVPEGNATWAVTSLSQTVSDGGNNFSQGQRQLLCMARALLKNSRLIIMDEATASVDFE TDKKIQSTIREEFVNSTLICIAHRLRTIIDYDRVLVLDQGNVVEYDTPANLLINGAGT GLFKSMCENSGELDLLIEMAQ PHYBLDRAFT_148820 MQGVVLRQKNGTAFQDKYKAYWADRADADDTVTEVVKKHIFKMV AVTKIVEVNKSVHEINREISDEELLDIDNGGVLDISKGVIEEEKLAHLRGIGVIHPYQ LETSCEPLVNAIEKIPPTTHADFNFVEVFGTHFPVNPHTSKKLERDVARHTSIIVLNN LFLADNDIIDLCWLERSTDHTDSKIFFEPLSLLDHHPYSKRTHCICYLLHHAKGATEV YLLDKHHVRLEVSRREFCI PHYBLDRAFT_60176 MSNLKKNKDNTLHHESPVMQRIINYPKNPRVVVSAPKGPGQHNF AFDDIGKTCSLYGKDFDRVWNLRRHLTKYHKLATHIANDISPQYADRNLASQRQTTNT AEPTAPDHNDDSVNEDLHVESDLEDDDSSFLTQILKS PHYBLDRAFT_177969 METDDDENEFIDIDKEAPPGYCVECKDQRADVYCQQCNEPFCEV CNGMIHRTGKRAKHTSKSYKAYVESGQIQDKADTSMDAEPQTVKPEPTRADFNVGEFM EDRAKYIPVRLTMEERKFLRLLEAALNVTEYTDKIDDISHTSKAKRTVAQIKELCAVL TGLVLAGDYRRGQELFSDRSYDENQEFFQRIFEIGRRHKIMNPEKMRSAYGKLMYLLA DSMIPEVQEMLGFTCVTPIKSVYSVLKECHCIEVLHEDIILVATHEIIPEGKTRPQIQ MDIKRKERAIEQLSRKYQSKHISADDIRQCLYSIGDNQSYLRANRDPCERVILYLEKY FHPTKEDPRCSLSIAAGAEGHRLSHDHETQYIYVNQTLKLWREILNDMFKLWTFADQD MLSTSNPYRLIHTGQGLHRVQACPQVSREMHRTLYRAQKKAGTWIGSSVIHLGDKNVP NSLMFIDKYNQVARILSPICLALDKLDELKSNDGLKKYIDSKFGGVTECRKVILADFF RGAFDGSGADNFYDAGSCIDGRLTSAWNWCSQIEQKPYFPVFLLTGFVGFDGGGWS PHYBLDRAFT_182553 MAKTEAISLSGQPLPHWITFLQAHQITSWHDRSCPDSLRYLGFP LVSSIAQRDAFCSSLLTTIQSSCAIHLTRSLFIRGRATVLNTLILSRLWHVLRVISVP VSFLDKVKSVMGQFLQHRMFPPIKLSTLCLPLRSGGLGVLDPSIQQGALQLRWLRPLC LSPHSTSGLVPPWLSFLLRYHTSGTDPQLTLLFHDLRPPDLTGLAGCFRNIFSAIDRL PHDFSSLAPNIATCLALPLRSVCLPATSTTSFPPSWQHLRVEDAFLVDPSFDVLCRRA PADFPRNPLILRKFFKRVDSRDILLQPFLVRAFLPSHILQLNYPSIPSRSGSSINASP FVCGLLPGIPWSKLKPRMYRSLCSSSVSPPLSSTLSSSQWRIFWNLPIHHHVRNIWYR GLHHKLSSRSLLHRILPGPFPTDSCPICEASTDTPDHFLFSCPLKIDVWSTFWQDVFG SHPTLPILHDAFYNLSFPYTRSSDIHAASLFSCALLAIWRHHWSTVFDNTLFVSSTVL STASRLVAIFKAEKSLDDLACSLAT PHYBLDRAFT_148824 MSTEQPFIVCAIQYTRLIALILSGWVVIASLSQYSHVSLSFFAI FAEDTQTLSTHPLTLLQEAVQDRRLISTLVAVQASIVCPFFLLYSQSEDSERPSAYNT AINLVFQFFLPLGLSLSWLFCVMFDKKITVALINSVIYQESYPSGPPVCEIAQWMSIH CSRDCSIVSAATQGSKYALIAVFLLEAAFVWIAIFQHGATMTLGAIRLPVQGEEGGDD SLLPASKE PHYBLDRAFT_171662 MSPTMTRSWTGPDQRIHSMQPIKKDPTPSRPLRSWCITALSNFQ VPLIRGRVGPAKDVHQAEETKPNTQITDTRLPRSYTVAAQISNISQPIAITGSIDFRS PPSHLQATEHPLPCLTETSQQTNISISDLIRRLTRTSTLSRNNTTTTVIHDNKYQAGR PHWLHTKQGTEIYLTSPYVVAGGQLTGTVIIKLNPSQPQMDYLEGLVSLQLNFYGVEA ITDTAHFSPASHTCQFLRQNVLSAVHSQIYPRHLSNNKQSLVIPFTAQLPVDLSGSYK DKKGMIRYYLERKPSQNPSTYATLRAERPIELYSNSSLGTLGDAALYVPVVETRQVWR TPSCQESCVNISLALSRTLWVSGSPIYAVLKTNNESKQKITNVKIELLRRQNTFSQTG LTGSFEFMPVTSTCEVIAQTSLASLDWWRATDESTSNQVTLVVEAPPNQCSVKNQTLI DVSFALRLSLACPTSTDAVLETPVTLVHPISMDPPPNIYEHKSHDIQSVQANIFLRHA NERQLLHKTLFIAAGNNSSVSTLDSISSLSSDPYLGLTIDTPTIGVKNILNRSRKSIS SLEAHSLPMNHQHSSRTLSFAPSPNIEKIRYGQVSVHRVVEKEESVRENTTADLRHQL GLTPVGEAMQGYMSEFCASAGEELAYLGLKKDISSSHNTDISYNSSNDPFIAEWQGKD IGARGLKRMVASSSRYMRALRSKSMQPARKASFNSKRPNQPCQ PHYBLDRAFT_115419 MKIGAFTPTCSEQHVPEFLAKYDELKKHGIDKVICVSGNDGFVM NAFAKVSGSNGKVLMVSASDSGFFEALDLTIGEPKLGSLLRPKRFALLVDDLVVKYVG VENGPGIEASGPASILSRL PHYBLDRAFT_115778 MSPTVGRRQLDTTTESKVQGVLTPFFSQTAEEEKEEQARKSYPR KTAKDVSSATSPPTKTKMLVRDFIDDSLYNPNYGYFSKQAVIFSPETDFDFNGMQDHL EFMNELAKLYKEIEGDVDEVDEVARQVWHTPTELFKPWYGFAIAKYLVSEYKLNHFPH KDLIIYEMGAGNGTLMLNILDYIQQNEPMVYKRTKYNIIEISGKLAERQSERQDVRDA KDHHRCVTVINKSIFDWDVHVPEHCFFLGMEVIDNFAHDLIRYDINTLEPRQALVSTD ANGEYTEIYEPVGQDSLISRYLATRKEVGYRSPVLSRKLWNALLKNMPLAPNLTAPEF IPTKLFMLLETLKTHFPQHQLVLSDFSSLPDSVDGVDAPVVQTRYKGTMVPCSTYMVQ PGWFDIFFPTNFELLRDMYLRVCRGTGAGNDKGVRVLTHREFCERYGDIERTTTRSGE NPMLMYYENMKMILT PHYBLDRAFT_36182 MTFISTLNRFAPVASVAKRAVAVSSKPAVASLLQKRFQSSGTVE MTVRDALNQALEEEMLRDEKVYLLGEEVAQYNGAYKVTKGLLDKFGPKRVIDTPITEM GLTGLAVGSAMAGLKPVCEFMTFNFAMQAIDQIVNSAAKTHYMSGGIVTCPSVFRGPN GAAAGVGAQHSQCFAAWYGCIPGLKVVSPWNSEDAKGLLKAAIRDPNPVVVLENELQY GLSFPMSQEALSADFVLPIGKAKVEREGKDITLVAHSRSVGFCMQAAEELAKSGVSAE VINLRSIRPLDIDTVIASVKKTHRIITVEGGWASFGVGSEIAAQIMESDAFNVLDSPV IRVAGADVPTPYAGNLEALAFPDEKIIVKTVRDVLDKKL PHYBLDRAFT_171666 MNDEEANAELERLEQNITLLLQDTDQNFSRCNQIASSILPMIDK FSEATHNILKTHQTWGYFFDLLQSSSSSSGHGTNRLPLTRPFGAFATGIDAISETTSI TESFRSISERIIEPVKQGHQHLLEPHLIPSRRQIAQSLPDFTQPVPPTAETARTPQRD LARMKTDSILKSSGLYDLSPSSETSGDEESATNNSKTSFRRPSVSYSASDDPANLGVG TDADRERALRFFKEREQRFGNMPMDEELPSLGRKDISPLRAQDRNAKPLADTPTTRRV FETLAQDLKTGRKPRIDIFDESYEPHNINKRSLPSGSTNRPTFEDYTHNLRKDKKPRL SELGRSHEFEYNNLPGNFRPIGTPEDPDPLNFPDMAISRPLNGGGSSGVNSGSGVDGS SNHGYRQGPESVFSETSGLTRATFGSSSTGRGPAPFSVHRFGETFREPPGSTQLTRVY KVFSDKPAQMLTLEDVLNEVKDDENRYTADSVMLFINMLCGKKYLRTVGQGWTIRR PHYBLDRAFT_188075 MGGVYVSLSEQKQQDATLTIKSMAIQLGINLAVALVVLAGFSWV RPRHSLVYAPKAKFSSAEKRPPVLGSGWFAWLKPVFSTSDSFLLERIGCDAVLYLRFL RLLRRMTGFMAFVGLCVLLPINIVATKNTGDWPPAPGLDFLSISGINYLNGKLSANPD TRWYWSPAAATWLFSLVIGWAMYRASCDYIDMRQKFFRNTDTSHKSLMIGNVPEAMRS DEKLKKWLETFNLHHPIQQAMMGHHNAKLTKLTKEHEDAVYKLENALASYLTDGKAVA KKRPTVRVGGIACLGKKVDAIDYFTKQVKDLEDQVLKMRKSNTKIANYGWISFKKIQW AHSTDRALEKISKTMSKPAFNVRLSPSPNDLIWPNLPMDASTRKTKRWIGRSFYIAFI FVWMIPVGALSATSNVINLIRLLPNAETFITEHEILMGIVQAWFTPIITAIFFFVLPM IFRYISKIQGYRTQTTLDRKVLIKLYFFFIINNLLVFTLTSIIIGLYGQIKVLVSSGS LPTNESMKEYIVQLAKNITDVSTFWINYVCVKGLGMTMELAQLLPLCTITLSKMFTRP SPRELRDIAKPPEFDYPQNYNLLLFFFTIALIYSAMAPLVLPFAFVYFAIATMIYKYM LMYIYVTKIESGGKMWPVLFQTVMCSVLLFQLIMILVLNLKGGHIQSYILIPLPFITV AYQYFYSRRIHVLGSFLIGTDDTLDYVEESTPMVQPEKHTKDSSKDDLSKQYQDPFLH NKLTTPIVHENVKHLLPQVYNSSTEQKPSKSHRIPEVIEMTQQFGKKIRHEVDLDERN GFSNRHNKRKTVLAMDNGRKLEFGTLDEEEIQQGIDEESEHEDEADNLNSIAPLPFND LPMRRVPTLQSDIDDESRGLVNGNYANSYWHQQRDPSSAHEDLIKYNNTVQQARTGQP SFDIPRSIPQNDVHKNITPAAAEYTPPGSHRHAERNVTSELIDVYTSWTPDEKTHYEP EIPSERESKILMEEFDIRPVSLHEFGLPPPSIPPHAPSHPATSSRQSRRSSAPMLSTV PFVTQPNVKEPMVAKRRHSFQDDPSNMVVLPHVNLFRQTSSPACLVHRRGQRSSQRRQ IGTNLRVAALQRSQTLPYRRTAPQDDDQHEHGDFRSIGNRQINAHVQEQTISSATVDD WGSRQPYRRNRTLEQQEARQQEIEQEYQQTSSRNYQLTNSEPPPPPPHHESSLAERDS MGPTLNLLNLAHPQGMASSTMSLELIRQQYMDSVSEDKDFF PHYBLDRAFT_16040 MSTSKHSLRVTVGPSVDTLRQIHINQDSNPIRLQTELFDGYIVV RLKDYPDPSAYFDTHEDKFCIQVVGRFLQDCTADDILFGNEFEAPLTLPFGSSIAFKF ATWFDPGLQLDLYSDHPQAFSPLIVTMNRLAVHKKPLPVWPYSQGQGVEEDTSELGLN IDNTSEARKAYFIDPAHRKAIKVDKDQVWSMDFCNPYLDFKKCTMSLPGFEVNVLRYW DGQPLRYVAKSKNNSAVFFIIQFELTVDGAESTSDEVD PHYBLDRAFT_60188 MSYFLRSLGGPQKRRFISTIKMPIPTLIIEQTNTINLNQPPVYT TQIDTTTFNEFIERYPRGAYTGMRTVEHGSIVELTSHFKRMVNSLSLMNFTQHSTEEN EHVKTSMAPFRDITRLEGLLVPFLRAGLKEYYAAINDSIKEAKISVMISYSFELHKPC LAAHFCLLPDPPQQRCKVIAEQELRVSPEIKDSQWVRDRAEMERNKPKDVNEILLTDS EGNIYEGMSSNFFSVEVRNDKPVVVCAPLEHILLGTVMKIVMAVCERNKIEILWTFPK LQDAKQGLWQGCFITSTSRLLLPIRSIGFRDQRYSKKRVNVDDVIIF PHYBLDRAFT_156009 MVNYVLFETASGYALFERLQSEEIGSKLAEVQQTVQDLSKFGKM IKLKSFSPFKSAADALENANDVSEGIVNPSLKAFLEMNLPKASKKSSFSLGVGEKNLA GAIKGECGYDCVADETVMELVRGIRLWADKLLGQLKEGDLEKAQLGLGHSYSRAKVKF NVNRADNMIIQAIALLDQLDKDVNTFAMRMREWYSWHFPELVKIVNDNYKYAKLVKVI KNKSELSEEHLEAMAEVLDDDETIAKQILDAARASMGTDISPIDMINIQNFADRVVNL AEYRKKLHNYLVTKMNYVAPNLAALIGDVVGARLISQAGSLTNLSKYPASTLQILGAE KALFRALKTKGNTPKYGLIYHSSFIGRAGAKNKGRISRYLANKCTIASRIDCFSDKPT DKFGQALKAQVEERLAFYDSGALPAKNLDVMKKVIDGMDNDEDEIKLEEPVSAVSAGK KRKAEPEAEDESPKKAKKEKKEKKEKKEKKEKKEKKEKKSKD PHYBLDRAFT_188077 MAFANTKQGKKQDPNHHKPSQNRGSHKKWGGNQNNGRNGSYAFT NNNTNSNGPVESNFKQPEPYVESPDIAKLMHERMLFLLVHLTGSVVHVTVRDGTKFEG VFHGASSEGDLGVSLKMARKIYDPAAPPSENGKSNPVKKTLLIVAKDLVEISAPDVDL TVGEGPVQDRDSFKTDTDISSKMEFKERELHRWNPEVQGSALESLEDMDASANNAGSW DQFAANEKLFGLKTDFDEEIYTTRLDRSAPGYKDREKKAIEMANEIQKSTTTNVHLME ERGMEVEDNGMDEEDRYGAVVREVNPNKYMPPALRKLQQQQQQQQQQPAVKKEPLQEK PVSNLPPNSPLHKLTTGTPSKAVAPTGAPLSSSHPNMRQESSAALSKIGGSVKKLGDS PSSNKHIEAEIATTFRQFALVEKDKLHAKKQALQKKEKDGRLADLRNFHKTFKLNVPV PPDLVPLLSKGKKKTGSPESDKTVSLPSPEVLNKEAITKETIKDVAISTEEKYSEKFE KESASTASPPMVAPVTPPPTAAATPVAVTVAAAAANPEESTKSTVVSAAPKASLVGSG FKFNVKASEFKPNPVAPAFVPGGSRVASNESSPFFAGRLLKKGGSTEHLSVTEMFSAP FSHGKNVSPSSVGPIWPFGNKPYRHQFTQFIHYDEDVYTGYPPPNYPYGYPQYRYPQQ YMPGMAPIAVQQPPGAPYMSSQFVPSGPINGAPMQHGGSPMQHGGAPPNVTYSPQMPS GSPHGSPFPQGYPSPQRSPMIPQGIPPHQVYQYQGNPPHGAPMMMRYPPDMMPPNGSG PSVMMQRPMMVESNMMHCPPQEHTSPEIQTD PHYBLDRAFT_177972 MCGILFSISDTRPENSPLWNSLEALNTKRGPDAQQTHVITIQDQ QAQLYLRFFSSVLHLRGDHVVPQPMTPATGDVLSWNGEIFGGLEITLGENDTEVLVRR LAGISPTDTSEKAVLDILTQIEGPFAFVFWQAHTNRLWFGRDCLGRRSLLWARSKSLT NKSFMLSSVGPSSASSDSGSDNVVWEEVPADGLYCIQMEDIETETTDESVFGHPIRHF SWVQQENSGPNTLPLPFPLINRYVPKDLSVDTREGEPVVDGEMETAIEEFTLVLSESV RRRVADVPSLGPIEACARVAILFSGGLDCITLAALAHQHLPHCEPIDLLNVAFENPRR EQALQKPKKKIAPERIWNFVEINVPYPEAMSHKQRIIELMYPLDTVMDLSIAMAFWFA SRGEGTIDDNGNTKPYHSRSRVLLSGLGADEQLGGYSRHKDAFRRGGWDKVIEETQMD VDRISTRNLGRDDRIMSDHGKEVRFPFLSTDVVNWLCRCPIYIKMDLRYERGIGEKLL LRNVARRLGLSEASKNWKRAIQFGAKTAKMTDSSRSEKGQHKLS PHYBLDRAFT_171673 MALPAKVPDPPIGSTTTLGQANITTPQPSTTTSTTTNTRSYLDV ATATPAPGQVPVVLFSNLPTSTDRVWRESTSRHSVFFTPPTDSTLTYGDDDQDSQNIL TDDEMADGDHS PHYBLDRAFT_171674 MLGDFNYSSYANASRAGLAPRLWLHFVANHFVDCVTLSDAQPMP TFHRDLSSSTIDYIYASKDIASCHSSSTVTFVQPLWTDHCLVRTYLSFPMLSHIGRGL WRANPRLVNIPSFRSSLSDCLSSFIPLLSPSISPQSQWDLIKVEVARFTRSYSRTTRP SLATLEIESTCNIHHSRSLSIRGRATVLNSLILSKLWHVLRVVTVPLSFFRRLRSIMT ALQLRWLRPLVRSPLSPSGLVPPWFSYVLRLDSSSADPLVPLIFPSLRSSHQRDFDSP LATLLAAIDLLPHNFSDVVVNLPTCLSLPLSYLTTAQPDHPPFPSAWRDLRVSDAYEV DPSFGVLAQRPLHRILRRPIVLHRFFERLYTRSLVLHPVLYRATIPPAICAIQFPSLD MPSGTAVYVRPFLTALVPGIPWHRLSTQSFRLLCNFHSKSARPISPTLVPRQLRRFWS FPLPHGARNVWFRALHKNIPCRSRLNSRTPTAFPDPSCALCSHPLDNQTHFLFQCPVK LSVWSSIWTLYFAQTATPTVLLSGLQSFTFPPCTDSSLSAASIFGCTLLAIWRHHWLF IFDHVPFVSSAAFSTASSLLDRLKSELALDFPHL PHYBLDRAFT_115666 MGKPKQRNLTDANRSDLATLQTFTNDALVSCLKARFEQNRQYTK LGSSRLVFLNSYKVLAQHDDQTSLEYVAAYKDTSSGASTNKIALDPHLFDLVNKAYFH LRRTGTDQNLIVCGESGGGKTEIRKLIVRHLVRLSSHKKESKVQTQILQSLRVLEAFG SARTLNNTSASRFGVYHEIQFNERGRMVGQKTLHYLLERSRITGTPSTTGSFESNFHV FYQLVAGATPEERSALGLVDDTSQYSYLSKAYRQTTLTKEDEVNYEELKAALRSSGFR KDHISRIMQLLASLLHLGNLIFIDSVGVDQEAAYVKNTETLERVSDMLGLDPRALENV LAFKTTMIRKDVTTLILNAEQASLQRDELVKALYSILFSWLVERINTKLCNENFSSVI GILDLPGPQMNARTASGFESFCVNLATERIQHFMTTQIFESETAMAYQSEGITMSSAI SMGHNADCLALLNRPSKGICSLINSMTETSEKGKRGLTDSNLVESLIKYNSNHLCFSA KTADTNARQFAIKHFTGQVIYNPLGFLSQNAGQLSVDFVALFRGSADMAPSWNPFMVD LFADQSLSTELHPRNDQTIISAQQSVRPTRQPSMRRNQSKAAPTEDSASKTSRMVLGQ LQSAMDELVAAFEETKVWSVYCLRPNENANPTQFDNKRVEAQSEAYGLASVAEKVQID YSEVYTHQAFLDRYAVPLNGFGLDFTRLPRARCESVGDFMGWSAAEMAVGTTKVFLNY SAWRTLEDQLRVLEKEDQRNAKESQNAVNYVGTDDQSVSSAAVNNANASSNNLSTSHL QSVAMAAGLPPPAIRQQDDQRSYYSDDDYYHQDNNSSRYQDESYYSDSNAAHSHSYGN EGKGLYGNERPQVEEIDMTGEDVHKMSRSRKQWLFFVWAVTWWIPSFALSSCGKMKRK DVRLAWREKVALCMIIVLLCGFVVWFLVFFGEIVCPKQHVFSQSELQSHSSSKNAYVA IRGEVFDLANFAPHHFPTDIVPTASVLTYGGTDATALFPVQVSDLCQGVDGEVSPYVS LDYQLNFTDPNAKYHNFLYSSGRYTKDWYYNQISYLRKYYKVGHMGVEPKAISQQAVN PTLINGIQSTRTWAIIDNHIYDITSYTKNGRYLSAPPGEEVPSGASTDFMSSAVVELF RQQSGSDITKSFNSLPIDSAVRERQLVCLRNLYFVGMVDSRNSAKCLFSTYLLLIITC LLASVVIFKFVAALRFGSNRMPEEYDKFVICQITCYTEDEESLRKTIDSIASLKYDDK RKLLFIICDGMIIGSGNDRPTPRIVLDILNVDNNVDPEPLSFISVGEGQKQHNMGKVY SGLYECAGHVVPYIVVAKCGKPSERQKPGNRGKRDSQLVLMQFLNRVYHDAPMVPLQL EIFHQLKNVIGVNPTFYEYVLMVDADTEVFPDGLNSLVSSMVHDSKILGICGETKLAN EKDTWVTMIQVYEYFISHYLIKSFESLFSTVSCLPGCFTMYRIRTIDGKRPLFISNDI INDYNINHVDTLHQKNLLHLGEDRYLTTLLLKHFPNFKTKFTAEAKCMTNAPDLWSVL ISQRRRWINSTVHNLGELVFLPRLCGFCCFSMRFIVMLDLISTLVMPAILGYLGYLIY QLATVEGDLPIITIATIAGTYGLQAILFIINRKWEYIVWMLVSILALPVFSFYIPLYS YWHFDDFSWGNTRVVLGEKGKAVVMADEGEFDPKTIPTMTWSEYESTLMAEE PHYBLDRAFT_148839 MGLLNFVKRNKKDSLPDPIVVEHEPEPEPLSLPDLEAFRSPIAD TFMSPVATAPADTSYSLLDDIWKELTPSQAAVLPTPPVPLAFTSIPAVQTPNNYTAVH DLRSPRVSSPARPTPVSAPISPPVTPLSKVGPQPPFMRNTEADPEPKEARIVRSPSRP VLVKKSPESPKPVKQLQHSPSVPQLSSIHPIQLGQPPVISKALMSRMKERHRQEYRLT SQPTFQERQVSSPSMPNLYRMANEQQQQQQQQQAYMPRHKLPQSKSYGRLNIPVNNMI QSPSLAMDIYRQPALQIQTDILPIHSMYPGQPAPFMMADRPMHRPDLVNGQSKLQRSK SAYPAMQQDSPILPTTGARRPLLTFDPSEQLPPPPPPNTTPITGDIVYEKAESPTKPE KAKAEKTKTEKVKGDKLKVEAATEPTTAENKSDAKDGDIKTDSPRPALSHVKPLLIHK KTRSMSEHSMKRMPITPPEWIPPVPMPSISLQTDGSSDMQSRKSAVSIMSQDRIICRL SGLPNKLKKELGWMRMRKAHYSVPNLPSYADTEDRRDCESLRSANDNDHHNEQNVYRQ QYSNPYPQQQQMMLCSARQHQTHPWPPMHYYHQQYPFYSPPVCHKHNGPISHTSSSRM HLEEGRKMRSSSSGGSRGCKKHQHHHHHHHHHYPPTPAYFHSQGQPKCTSILTHHAPE QPENEEKEVKVDKTETVPVAAPAAPASVAVGVPVGVSVAEVEKVEAVAV PHYBLDRAFT_135377 MSAINVRRDVKDSFYRYKMPRLVAKVEGKGNGIKTVIPNMTDIA RALSRPSSYPTKFFGCVLGAQTTCDDKNERYIVTGEHDAERLQTILDSFISKFVLCSS CQNPETDIIIKGNDIWMDCKACGARNMADMRHKLATYILKNPPAQAVKKQRRQHQELQ DDDDMNGSDDDLITQRINKEAAGLKLESKLAQEGWSEDTSEAAVAQRMKELNVKSGSF GNGDDDDEEGENKYEQFGEWLEKEEAPSDEDIIAKAEELGVFGKYKSVQVLMQCIFDA SVVAQIPKRTKLLRKFVKDDEKHQKAVLGGIERLVGNEYKDTLLKKMPNILMGLYEAD IIGDDVFIKWGEKPSKRYVDKEVSKEIKKAAKPFLEWLENASEEEESD PHYBLDRAFT_60196 MGVQVSRDLFLDNHRQTLRYSSSEVRQRVFHSLDPSTHLVQVYL QKLRLSDLLPTDPPLPDLLCSRPRPQNTFHSIQSTGLSSPSCSLSIPSVSSSLSSGHS MTTTNTTTTAATSNISSSLQGNITRLTPRVSQLRAPSEFERLFLLAHAGHIEAFYPLG LCYLHGWTPDHHADFIEALAWFEQAADKQDDSSVRAMAQYQVGILLAIGNGIRPNIPK ALEILHMAAIINPQAQYALGLYCERDLLTLVPSVDRRMRARTWYERAAKQGLSDAQTG LAMLLLQYVDTWVDESLLLSAEDQRQVLVKSAIHWLTLASEQDNIRALLQLGSLYEQG DHVPQNHRKALCCYEQAASIKQASSNATALAHYLVGINYRFGQLGTTVDLSRALDHLG QSANKGYAPAQRALGMMYAEGIGVSCDKQQAHALYTKAACQGDLRALGLLCQQRGQGR DCLIGLEDALALYGVAAQAGSITFQMVLADIYQQQEKWSDAFFWYKRASKESDITVNM IYGDIALATENVLRSKKLLNTTVYEERQRQKARLMVGRYRILGLCTPKDPGAGFNQLL TLANEGYDEAYYWLGACYEEGVRNDHRRHKDFVVQPCLTRAFDYYKKSALMGHVDGQF QTALMLANGLVQNNKIILKKNGVEAFLWYTKAAESGHCTALYSLGLYYLYGMAPLTQI DHRRAQSIFEQAAKQNNPDAMVQLAKILLDPISNYPSRHPEAIAWLKKAADEHHNPEG LCAMAQVYETGRGLSPLNTPEEQTERHRAGFCLLEEAASRGFPKAWCEIARYHEHGWS VPRSTVTALECLEKAEKLGYAKAGLLWADLLESTGKSTGLAHYDRLITQHPLLSQVGW LARLAKAKLILKGRGSTEDEREVRSYLRDMTEQNAKKEALVEPFEMLGALCETQNLDL EAMTWYDAALDTLHPATHWIQIRARFHLAKLCVRHQLNSKALGLARQLEPFLTDMNQH NSETRRQARETRYLLGCLLETSDPVSAKRWFCQAADEGEGAAAYMLAKMAIDNGKEEE AKERYEQGVSAGHASCMRAYALLLAQENFQNGGWDGRETIEWLERAAHLGDVESLVEL GNIYQQGIWVDKVEADRALAFFLSAAQHGNSLAMVRAAELYHCREDYREAAAWFSKAD TRLAQVMLASYRLQGHALQQDDLLGFLDLCHATRSPPVLKDGDDIKAYGVGCYLLGQC YEFGRGTGSLSNVSEARKWYQKAANTARHTDAMWRLGVICSDEKVSLEWYRKAAEQGH CESQYQMGLYHGQGCAGLDQNTVAGRKYLVKAARQGHAKAKYELARVLFSQGDYYTAV QLYRDATLQKVPEALRELGHLYHQGLYSKTNTILPQSYTTAFELYYDSALLGDGMAAL MVGSYFENGYAESIEKDSTKALEWYETASEFNCGPLADLAIGNIKHTMAEKIEDSTEA DTWRDEAYKRFLAASQQDGSQREDASLMVGLYHLHGWGHSQPKDPDRGFKWLFSMAQR GDIGAFVQVAKCYEKGFGVEQDMRKALAYWEMAAELEDKEALLRLGDYHQQGLVGPVD LVKARQYSAFAEALDTTTRSETSYSPPPSSLSISSY PHYBLDRAFT_159639 MSKKLHDYYYTYTSPSSSAVPSAPPEEAGSSSLPSYDDAIKPYD LNSQEPPAKRPLAGFVRDATIISFDPPSSDDPGEGNSSTDPLLANEEENPYRGRPPPP NYSIYHAPFYRTTEEVISRDDHLNRDGEALLQFLNEHNTVPGMAVHFYGYHEETHWRR RTTRDSDGNITEESEPVTQRVDDFTFDVDCSQDIIPICQGIYVLPDKKTGLVKAVRAL CDEYVHEKNKLKELQLTKVVNWNYPELTKAFTAAIRAHGYTSTVEISYRMKSHKVIVK TSSWISRTSDNRVVQVLLFISCLWIIVWPIIWFCKKKFGHTTLKSEWIMSVSERDWYQ RHIHEVLGQVRKENAYNVPFIL PHYBLDRAFT_159640 MNHHADYAGNAVSPPLGQQQQQQQAYYYPQDGGYVHQEDYGRQD GYGHQDGYARQDGYAHQDGGYYDDSNYYYDGSNVAGGNAGYDMHGQQMPMQNMPVQTS LPTGQQAGIIPPVQPYTGDYYKPDTVDGKPHQV PHYBLDRAFT_60199 MAAVMKEKIINNPVVDPQDLFKQFSPTLLFDSPRVAVPFSPTEN WYTVAEQTVPADRNAFWHTIKKWTEEPNYAIPPVERAEINQPSNDNNEQTHHINILGQ PFETIQRTLIPKRKSKDAPFAEKIQYYEQDNEECYVARVVYQPATINPRTLDVDQFKA CLPFYYPKVRAYSFVYTCHPLSAEYEDLADQAEGTLRLEIVPCVEPDVAITEAKMQYA LKTLLHKLFKWCIQSRLGYKKHAHHDVLVPKEEYLAMYQHMKSTYASSLVSNWTEKTD PKKFVFEDIAIASYLLCLWKVDEARLARKPTFVDLGCGNGLLTHLLVSEGYKGYGVDM AERKIWSSLCQGNSDVLRAKTLIPTQECYPEADWLLGNHADELVPWIPVIAAKSGAQC NFLVIPCCFYGLDGTRALSLGKMAEEEGGKYKAYCKYIKTLASRCGFECEEDDLRIPS TRNVAIVGRHRRTLVTLSELEAITASAQAVFVPRKSDREKEELRRELKRTKIES PHYBLDRAFT_171682 MNYPCSPKRMKLYELLVRSGRNIVKNVGEQNLQMPEHIWCGQAL EKKRFSGASPLATTVSISLLGNYKYSNSLKKYGILLKSCLKSFIFMFSKLNISGLKRL GARLSTIKQLNVLLAI PHYBLDRAFT_115658 LLALCLGDIIFAGTDTTALTTTWLLAILSNYPDVQRKIQAEIDD FVAKNGRLPLYSDRESFPYLAATQKEGLRLRSITEFGVPHEASEDINLRGYHVPKNAI LIGSLDAMHTDPLRYANPEKFIPERFLGYPESMSTLASGSIKKRDQYNFGWGR PHYBLDRAFT_115499 MDNLTNHARPSTDAIITVRCIKSFEYRTCKNAVLKHIDLENTTV GELKAHILETIRTTSGWKPYLSVEFDTLKLYTVAHGHKTQNLIINMEDDKSLILSDDS ATLAWLGIENEAELSFFNREAYEAFKKNPDMKW PHYBLDRAFT_171686 MYFLPFGFLIFFRFRILRNRLVLIVPVPVLALLLVHYILQNTSP QTNRIKGVKSKTNGDINDNIHINGLRIYLLAFYYYYYWNMSNEEPWSVLRVEQVDKEP GLNLYTHIVMNSSIFIFSVLRFYTCIDIENGYMELRFLSLTVSIDRSYICY PHYBLDRAFT_135383 MNPLGKPGIRGWLFIRGLAGTCGLACLFYSVTKLPLADATVVFF LGPTFTAILASIALGESFTFFDGVCASMCMFGVVLVSKPPFLFGPQEGALVDEASDLG RLFAIFCALLGAIMSAISYVTVRKIGKGAHFMVHVVYFGAIASILSPVGLLLLQEYTP LESLKDYGIMFVIGLSAFVGQCLLNQGLQLAPAGPATLMRMNDVAFAFLIDIFIFHQF PDIFSVLGASIIVFMTTALGLHKWYMHTTAHRPSA PHYBLDRAFT_171688 MNIYPNRLRSFRVNSKWPRSSKERPPIEDFASAGFYYPQKPKTV DSVRCFLCKIDLNQWDQNSSPMERHAHVSPLCSWVLLGFPQSTKLLQVNPRDINSWPK SETMKKARLRTFVKDNYWPPPSARTSDLSHRRRQLPTPSKLAAAGFCYIPNSERDTKV VCVYCRCTITDKDMSTDLAEKHRELKPDCPFFQTYTAHTEQEEDKNKDDDGGDGDEGD SRDSWTSAVSELDKNAADKDKGTMRRVTRQTIQTPTSDTHKLRPSLEKKRASPSREDV PGLAGKRKRITEERTPEKDKKIKFDLKETTKDAKVANVAKVTKVTKVTETSQSPRDNF KEDSVWNISKAFENKDGLGRRQLPVPKTILTYSKRQRKKLAPILPDELTDPIAERLAE SNTKIIKTNGLLTNTCVETGTTTGLDVSENSPGLDTLPREEEPSVSKTQPRIPLQDKG KGKELPLMAVGRKTLSLSKKRSKIVDLPTTTVDSHSRQTGESEEQTIQNKKSLIATEE DEEGSGGNSGGEVAQRTRETTPPVSSGYVGFQRNFTTSTPIRHGMILPDLEDDDNWLA SPIRPQGSSGAFRSPGFGLFQCTPHTDVERVRVNDESRLRSRRPNFPMSPVYNHRTVP SEDNIRSDTRHSLTQEQLKMTVEDYLRSLMEDKIAAVKRRGDEQINEIEKQVDQVLSV LELQDSR PHYBLDRAFT_148850 MSPVKDTRRFSTFDSPTHGQSKRNTTSAEDENFRLQKRLAQIRS RSRSMGAAPNGLQDKLQSSSIGGTAESAAISTADSSKKKSSGLPRSVTALTASAKAKQ GITPESPSRRPKSIANPKTNPTPRSRLPTSANIATSTKNQSTRLATPSCYPPAKDISR STCTTEHKKPKEDQVKLNNNLSTNTNTTDTDEDFTYFPPNPREDIIFDRSGEQERAFL RRRMSMPPLFDADTQLKIQAEEEALKNDHKDDTANDNDNDNDNDENDNGNGNPLCQEL NEIKSRLQRLEKFDIPSPMKSPKHVLRPMHSADGPLSPVVSPRSTNGPSKAQTRPRSP ASSIPIPSRTIKPRTNYLQKLLCAALETYEQTASKQRSYQQISDASVKLPSERLAEKM ASIVGEAVFVNQLLWAIIPPNSDVDPSLTAALEKSSDDQVKLLTEALHIMTETTYPSS SPPALRQSTMTRVRTFSFSDHEDRLSRPNSYSSYDASLPDSIPSPVSRHQRPKQYYSD DTADTYITKPTLIDPIIDRPSQNRYSNSNSNNTNTHSHNNNNNNNRPHPVAGIMDIIQ QSRPRMQYTFDQQTPFDTIEKCSYSQENDAAALFPEVLGRRPQPERQLPAYVLNHRLS AQISSSNASNYYQQRPEGASTIPLTSSYLTSTNYGSGHTSPRVAKILSRFGVLENSPK QSSTRYI PHYBLDRAFT_36194 MLYAVCCILYVLKRLDESLASREKALEDVQVNLKDERQKLKSLE TKLLDEEKMRRKLHNSIQELKGNIRVFCRVRPPVASEMANHGKVASMRFYGDDNDKME LSEEVSSTLGKVTTKSYPFSFDKVFPPESTQEECFEEISQLVQSALDGYNVCIFAYGQ TGSGKTYTMEGPPGAGKGMIPRAVQQIYQVAQQLNESNWHYTMEGQFMEIYNETVHDL LGDCSNYGKIKHDIRHEKSGKTVITDMTSVLLDSPARVNTMLRKASQNRATGATNMNE RSSRSHSVFMLRLNGDNPVTGERTTGVLNLIDLAGSERLAMSGSTGNRLKETQAINKS LSCLGDVISALLNNKEGGHIPYRNSKLTYLLQNSLGGNSKTLMFVNISPLSEHFNETL CSLRFATKVNSCRIGTARRLTR PHYBLDRAFT_177975 MTSLAEENARLRKRIAELEAQLGPAPQSAKGSFKKVDALSKEEI LRFEQLKLRNTTILVVGAGGLGAPAILYLGANGVGRLGIADPDTVAVNNLHRQVIHTE ASTDTSKAESAMRAVHAIDSTCEVVTYPFALTSENALEIIREYDIVIDATDNIGARYL LSDACVLANKPLVSGSALRMDGQLTVYHHNNGPCYRCLHPVPPPIDTVSKCVESGVLG VIPGVIGTLQALEAIKVAVGLHNDDPSFLIFSGLTTPMFRTMKLRGRKKDCIACGDNP SLTDLIDYAEFCGTADSDKSQDLFLLAPHERIKACEYDAHVRQQKPSLLLDVRPPVQY DICRLPESHSVPIDEFENKIMDIKKSMSELDVAGDQVFVVCRLGNDSQLAVRLLEKHG IKGARDLVGGLYSWSMNVDPSFPIY PHYBLDRAFT_135389 MVSNTPASTTIAELLDDQSRTVMQAKSNSELVLALFVYKLCSFT WLVEAAPQMIEFAEKVGLETPVYYVIRNTFFRHFCGGENPEECLEPMSRLSRSGIDCI FDLSVEADLADGSPSQEQQDAMAEKITRMTEHSIRTASQGNSVAGAFAAIKITAFGAP ALLLRLNQAIASLQLSFQDHQKLGKVDADGVEAIINKLLPTDSEEHKIMRTQVVQRLR QEKLSLDRIEFTKLFDIQGPYRDIWWKAQPGEGLLDAEELEAYDRMIARLERVCSLGQ ELKTGIMVDAEQSYFQEAIDYIAMNLQEKYNKRTLEDNSGPVVFNTYQMYTKSAQGKL ELDVERAGRNNFTFAAKLVRGAYMVSERKRAQQLNYPSPIHDSLEDTHASYNGGITFL LSKLQVFQETTGEPVQASNSPVVFMVASHNRDSVILTIQEMEKNNVSRHAGVVHFGQL FGMQDPISYTLGRHGYSIYKYLPYGAIHEVIPYLLRRAQENSAVLGGVTKERALMAAE LKDRLVGNNISRKPTVPRASTA PHYBLDRAFT_60210 MLRFSLDGDAPDATRYHADYCEPLCPPSMWCTESPFLLPPLPRQ PFSVIDQALDRTCDQNQSQSKPPPSDTTDEQNQSDLSEDDIWTTELPPLPSYALSWER LSQPTTYTRESLTNQGIGTAFLTEAPLSVNEPAMTSLIKDTSTSLIVKEYHLVKCLVE ALTGSPSACFRWDSSTNTFQSRVPHLRLLGVSPGELEGVISVILIFGGRLRRLGDVSN MCRANPRQYFLYTGLHIKVYISKYGLVGMSFGCCLAELHLHIHQAILSLPPTISLLQV HQFTDSFSLVIERLSCLCCLEKLRDDTPFVVQQEIRNNGFYIPFGPDLLSLLANEVKT FDFSTQGNSALYKDICLALLCETSRPYLDILSRWLGLMEETGDSWAVGQEVFLWDPYN EFFVVENMTVTENGEVRRYKLRKEQNFPWCIDPQQADQILRAGNSLRLLQEFQPENSL CSIGDTHPVVLEWQVIDQSCVENPQLPTSRTDVPLDTETRYSLEYALLHDTKMEEPRE LRIPVLSDTFPQGKFITTLERLMGYRSNQDSPSSFVPTLETIVNISLYKPLHYWCPLL NTSAMSLLLHKFCLKDHLDILHRFFLFGDGTFVSGITRVLFAQDEPTSTTQTGLNLGR DKTWPPRASELHSALRLVLIDSIAQLPNRLRLEESITQCGRLETLQDLVSFSIRPLAD HNWVRPSKVEALDFLSMTYRAYPPLSLFITSGTLEKYGRLFSFLLPLLRLQASTQRSF YLLHPRCTLVSPYPEELLHTLGCVRFQMNHFMNALCGFVFDMAIGATWESWMTRLERL HTGEPTSDPLDEALGTMDPVTFGEYHEHILDRILFQCFLKQNQKPIMNLLRKVFKSIL VFVDLLESNRRDDEWVNQCKSSCRVFRRQAWHFVQMLRRLEDKGIGRLGNMMNSLQDQ GKLGVFGDWHEQVEAKRGLEGFVKDLLHRLSQGGWYEIETTV PHYBLDRAFT_126623 MGQHGSKQHAPLTLGYLDRTTNATITNPPPIEQPAPDFVLENPH LYKLEVACCCDTPERDRNINISQTGLAEVASQECTVCQKNKRFSLVRKDLAKDLEYID ETYYPPEENTAESDPIQLDTEHHQDDDNDESDPLDSSVSQGLTKLTIGEATPDNKSTA LSKPSLSVDLSGRALVRLSPTVGYLQNLTKLNISHNRITSLPRTIGHLKNLRTLNASH NLLESLPDTIAFVTMLKAINISSNKITSLPSSLGSLQKLVIIVAHDNKLTTLPRELVR LRKLLSLNVSNNPITAIPAEIATLKSLRKLVAEGCAFEQEFVTNLPHDPPSLFEYCAR SI PHYBLDRAFT_60213 MKKDTKNKKIPIAVLVHGTTEANEVTSPRHKTINKNNSKESEYY TILHYTILQNTLIFNRFCSTIYKLILTKPNGQLPFGGSKGRLGRGTKLFCIKSTFLVR YTEGRNGIFHSFAPK PHYBLDRAFT_2379 MPYYPGLERYEILEKVGDGAFSNVYKALDTATDKKVAIKVVRKH ELTASENGKNHLHPNMKKKTKATERANILKEVQIMRNIKHENIVQLTQFSESDDYYFL VLELCEGGELFHQIVKLTYFSEDLARHVIVQVAQGIRYLHEECGVVHRDIKPENILFD PIPIVPSTSPVNTFDDEDKEDEGLFVPGVGGGGIGRVKIADFGLSKVIWDNSTLTPCG TVGYTAPEIVRDQKYSKSVDMWAIGCVLYTILCGFPPFYDESIRALTEKVARGQFTFL SPWWDPISDSAKDLIRNLLCVDPNERYTIEEFFEHPWVTNSPFPPKKPLSAHPLPTKA RTEKVVDARTQAIKDLFSPGVASIKEILDITYAVQRMGEEKSRKVPGNRKQVDDEAGF IGGYDSISEEEDGEGEGE PHYBLDRAFT_115639 MKTVTCTAPVNIAVIKYWGKRDTQLILPTNDSLSVTLSQDILHS KTTISAAKEYSGDRLWLNGKEEDITKNKRMHNCFRETRAIRKAVEDKAAAAGQPIELL STYPVHVCSENNFPTAAGLASSASGLAALVFTLAKLFDLPSDMSELSRIARQGSGSAC RSLFGGFVAWEMGKEADGSDSKAVQVAPETHWPELEALVCVVSDAKKGTSSTEGMQNT IQTSPLMAERLKVVPGRMEAMKKAILAKDFESFGELTMRDSNQFHAVCLDTFPPIFYL NDTSRGIMRLIHEYNRSSPDGKIKAAYTYDAGPNAVIYAPKQNMREIIQLIAHYFPGT DSDYYFKDTYGVLGGAALGENYLPAAHTSFEEVIPVHPVGSVSRLLHTKTHDGPRVLS EKDSLLNEQGLPKRTAQV PHYBLDRAFT_156019 MHTPARVRFAPSPTGYLHLGGLRTALFNYLLAKKTGGQFILRIE DTDRTRFVPGATEKLMDALSWAGIHPDEGPHHGGPHEPYYQSKRTKIYQDYAEELVKQ GHAYRCFCTQERLLRVRESSQKTGRLVAYDKHCSYYTEDEIKENMEKELPFTIRLNTL DDTILIKSDGFPTYHLANVVDDHLMGITHVLRGEEWMPSTPKHVILYKALGWTPPQFV HLPLLMNADKTKLSKRSGDVHVEQYIEKGYLPEALNNFVALLGWNPNSEEEVFSPEEL IEKFDLKQLNKSNAVVDIGKLDWMNKQHLLRRAQTPEGLESLVDLLQPMIKTSFETKI RNIRDIPQLCGYYFVEPDYDSDDAKALYKKLNKQAVDLALASTTQETLGSLESFDAVS IKQWIHELAELNNIKQNHLMMAMRYAVTGTRVGAGVAETMEVLGRPVCLNRLAHAVKQ PHYBLDRAFT_159645 MPPKFDPSEVKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKGTKEWKGLRVTVQLTIQNRQAQVSVVPSASSLVIKALNEPPRDRKKEKNIKHSG NVSLEAIIDVARTMRFKSLARELKGTVKEILGTANSVGCTVDGQSPKDLCDAIDAGEV EIPEK PHYBLDRAFT_177979 MVLHKHVKSKILPKNRQHTDASKENQSLHSLAYSSRYATTDVPK TEIPQKPAGSTTAYQLIHDELDLDGTPAMNCASFVHTWMEPEADKLMMENISKNMSDQ DEYPATMRIHGRCISMIGNMWNAENAIGTATTGSSEAIMLGGLALKKRWQARQKKAGK DTYHPNIIMGNNAQVALEKFARFFDVEARLIPVSDESHYALDIKKAVEACDENTIGIF VILGSTYTGHFEDVKGLSDLLDAYQEKTGIDIPIHVDAASGGFVAPFAFPNLVWDFKI PRVSSINTSGHKYGLCYAGIGWILWKSEEYLPKELIFELHYLGGTEYSYTINFSRPAC FMIGQYYNFLRLGVEGFTNVANNDLINAREFAKGLEDSGYFDVVSDLHRPKGVFGWKE KSGISKAKEVVSKAGVIDYNPHLPVVSFKLTDEYKKNCPHVKQGAISTLIRTKGWIVP NYPLPPDADSIEILRVVVRESLSKDMLTLLLEDIVEATKRLANVENAVESFYLTSNIH VGIGKDAEIPSNNNTYSRPC PHYBLDRAFT_60220 MPATKSPTVCGITIYPIKSCGGISLKTCKVGPLGLENDRRFMFV EDSIKRFITQRVYPSLAFIHPLIDEARNLLTLTTEGQDSLELPLHPDTKNLKLYSVQL WKDDLMAYDVGEAASEWITTFLRRHADHDQVDKDLSERNVPGIMRMVVLDPSNGQYER PAHPQLPGVQSPFTDQSPVSMGFESSREDMNRNLLRRGVSNGQTIPMSRFRDNISIKD TISWEEDEWLVAKVGDVTYYIIEPLARCPLTCIDQETAKKDKWKDSSVLEHLKKTRQF ENTPGNGYFCVHTAPLTAGTVSIGDGVKVLERIPVEYRKKILQSE PHYBLDRAFT_126634 MAEERPVVFFDISIGDVPVGRMKMELFSDIVPKTAENFRQLCTG EFKRNGVPQGFKNCLFHRVIKDFMVQGGDFLKGDGTGSMSIYGERFHDENFTVKHDQS GLLSMANSGPNTNGCQFFITCEKCEFLDGKHVVFGRLVDGLLTLRKIENVSTGPNNRP KLPVKITECGQM PHYBLDRAFT_171703 MIRDTPFCPEGLEKDISPQTGSHNSLVRLDRNVVPRFSKQAVIF SPETDFDFNRMQDHLEFMIELAKLYKEIEGDVDEVDEVARQVWHTPTELFKVCSDNKY TCSKPWYGFAIAKYLVSEYKLNHFPHKDLIIYEMRAERQDVRDAKDHNRCVTVINKSI FDWDVHVPEHCFFLGMEVIDNFAHDLIRYDINTLEPRQALVSTDANGEYTEIYEPVGQ DSLISRYLATRKEVGYRSPVLSRKLWNALLKNMPLAPNLTAPEFIPIKLFMLLETLKT HFPQHQLVLSDFSSLPDSIDGVDAPVAQTHYKATMVPCFTYMVQPGWFDIFFPTNFEL LRDMYLRVCRGTGAGNDKGVRVLIHREFCERYGDIERTTTRGGENPILMYYENMKMIL T PHYBLDRAFT_148864 METTSDPSNDEVYVCKSMDMNDILSIKIVWSEEVEVNGSQHKKK RDKFDQNGHEIVGYIRKSTGEKDDITRIRLLNQISVNLRERSLVTKVFASFSCESNQP LLARDLKKNTGVLSGIIADGDMQVIDFTGLTTNPEDLEQFLKNSPNIVKIIIDKLPYT NTIHIYNSDELLNSPDKLQEFKCRSTVPEIVNLPGSLARRNQCLVVEYVLHSIVECRD LLYSISLCLFPQLHSVIPWSFARCMADENEEESGKKGGKKSEQDSFFVFFGQDSGQDN SSLLVEAVGRLLIHTMRGNRDSG PHYBLDRAFT_171705 MPEEFKLLSSQHVAIVGAPFSGGQPRKGVETAPAAMMNFGLANQ LKELGWTVEFQDNEQEIVELEPAQDPTVLNLKQPKFVSAVTEMISNQVRERALQGKFV LTLGGDHSIALGTVSGVFSAYPDACLVWVDAHADINTPGTTDSGNIHGCPLSFLAGIA EEHPDFSWVKPCLKANRLVYIGLRDVDDGEKKILKELGIKAFSMHHVDQLGIGKIVEQ ALDYVNPDRNLPIHLSFDVDALDPSVAPSTGTPVRGGLSFREGHYICEALVNTGLLVS ADIVEVNPVLLDEESAFQTIQVGCSLARCCLEAYYTNPSFPILGSAAAMKLEICSFSG HKIYPSKGKTYVRIDSRSFRFINGKSESYFLQRLNPRKIKWTVIYRRLNKKGITEEIA KKRSRRTVKHERAVAGVSWDAIRAKRNQKPDARAAARQAAIAKSKEAKKAAAATKKTT TGSAAQGAKVSKQGAKGFAPKAAATSR PHYBLDRAFT_182574 MSEQTEKAFQKQAGIFQNSKISAKRNQRWYKDVGLGFKTPKEAK SGSYIDKKCPFTGEVNVQGRILIGVVVSTKMKRTIVVRRDYLHYVPKYNRYEKRHKNI SAHLSPAFDHVESGDIVTIGQCRPLSKTVRFNVLKVQKAKKTGKAFQKF PHYBLDRAFT_156025 MSDAYPHLKDIISKVIDKMHGEADSKAFRTLRSYPGNERQPAFD EQVSAIYGIEHPGSTGVIYVMDRAMRTGYTCDDPAWANFGQGAPEVGHIDGCMEKPTH IELPVGSREYAPADGTKQLRIAVANLYNDLYRKGQSSQYTYENICIVPGGRAGLTRVA AAIGDVNVGYFLPEYTAYEQMLSVFKKFVPIPTTLEEDKNYHIHPDTIRKEIVGRGLG VIVASNPRNPTGQVLEEETLETLLNVCREKHTTLVLDEFYSAYIYSHDQSKNGRTVSA TRFVKDVNKDSLIVIDGLTKNFRLPGWRVCWIVGPKPVIESMESCGSFLEGGANHPLQ LAAIPLLDPTVYRNETKHLQRHFRAKRDYVLKRLREMGFEIRVPPEATFYVWLDLCKL PEPINIGLHFFEECLLEKVIVVPGIFFDINPSHRRELFESSCHHFVRLSFGPPLDQLK KGLDNIEKVLAKFKSKK PHYBLDRAFT_177981 MITQFKRIFRHRKGHTDNSYGDTHGTTHDNMGANTAIHNQERDH GHIGTTTLRNVIRSRSTKERQKASERATQIIEQEKKRKQQTPQYPGLEKYEIIVKRGD GAFSNVYEAKEKRSGRSVAIKIAQKVGPKSSEKHGNTHLHPSMKRKPRATEHTNVLKE VQIMRSLRHTNIIQLIEFSESSEHYYLVLELCSGGELFNQIVYLTYFSEDLARHVIEQ VAHAVRYMHEECGVVHRDIKPENILFDPIPFIPSDQSQLKHRPFDDPNKKDEGVFIKG VGGGGIGKVKLADFGLSKVIWDSSTMTPCGTVGYTAPEIVKDKKYSKSVDMWAIGCVL YTILCGFPPFYDESIRALTEKVSQGQFTFLSPWWDPISKSSKDLISHLLCVNPDDRYT IDQFLAHPWITGKRAQPPLPPKHKDPISTTNDPRKLALHNATRKAAVMETISDPPVVD DEKITFQKQDDPHQYESNDTTNYIPGVESFDSDGRKEVFTPGAAALREILDITYAVQR MGEEKVNKNAAKKVWTDHNNDPTHPRHYSESDSGDEWCIESDEASPSESSSNTTIQTE IQVEPPKPRRKQFELNMSNATLLKNRNNHAKVAPI PHYBLDRAFT_171709 MDSVSRRLLKELRAYEKESRAHPEILELSPETDDDLLVWRAKLA GLPETPYEGGVFDLSITIPRNYPIQPPTIRFITTICHPNVHFKTGEICLDILKTEWSP AWTLQSTILAISLLLSNPEPSSPLNCDAANLLRCDDRLAYDSLVRMYTQLYAVPFEGE KDD PHYBLDRAFT_171710 MSCKSCGGCFTGSGCITTKKSSDKIQQTNERFSALLQLASTSNT TTSDHDHIIPTITAELARNNYSSQVSLLEAHSQLSLEDFLELAQLYWFYDIRGFLIAW TWEYHKGNAIQCLEAMRDQGNQKLLLWDYLDGQAEIHETFNGLELGMAGRVKRDPTNK WFGKIEMPTSLGLAFWI PHYBLDRAFT_86166 IAEAFEELLSYVLRDFVDFWFVHLSGSIEEKSFPSAVDHIIRTA LLNLKGRLEDKDLMSIVLNRLMPIITLHISEFREAEVSLRGRSLERSVTQSDELDLLL ASQFRGGKLHPALTTAAVTTKPTEIAYLRQVIDRIMPYIFDKKDIQSNAVALIIREMA TCAVLQPTFDMLADPDFWNQTIDIYFSAAFLGTGLEEAWDEQEEDADTKRIFGFGSTK MGRQTFQDFLKMIESEKNLLDLKRVRNDIITQIRKKKALTFEDVNAYVNRLSVAKKRV DKRIALLSGENYEKRSPTSQLFGSRKQSTTFTSQTTGYTLYDILTNTAGLSYFMEFMD RRGDMVKLQFWLIVEGFKNYDTSDNSPQKRDEKTFFQDIKMVHEMYFADASPHRLPIS ENLSRDLMDAIQRAQIDLIEENESVFSETVQEMGRRLYRIQQSIFWQIEKEHFLYFKR SDLYFKYLATSPNSAPDTLPERHEYTQNKSISTLPRREGSIKITKPQSFQRPSPLILY GTRGIKSSMAMPVQNDYTLPSWKSSGGTNTISEVEHDQIGPLTPRGSIKSQEQQEIHS SASSTKAPSGDNVHLAPPGDLLLASKVKQISEDVKKLMQEEALVDALIRKSEARNEVE SLRLLRKIKSACRQDIQQMKYQKSQYELQESENVLERTKVSITNSTIGSDIHGEFALY VIEIHQLGTEGNYTSGWIVTRRYSEFFTLHQKLKDHYAAVKMLDFPVKWPLLKLQRSF VETRRISLERYLRRLLEDKLICQSDELRVFLSQQNVYVPGPKDNSDVDYEFAGFMKHI YKTVAAGFDDMFIGPSMLDLITQRLGEQVMEFSYESDMNDPNSTFPHSHSLSNSFGNV ELSNEALKPVENEGITRFTEPLCDLFIEMFELKEKNNWLRRQAVVIILQQIFGGTIER KLRESMRFLESEPMILFYFRRLTDTLWPEGASLSFKPPRRPEEKQHTREEANRKLSTW LPDLLGSMVGRQNSRRGARRLFSVLQNKRLNQDLVYTLFDEILYELF PHYBLDRAFT_135415 MSQTPNEPSQPTGAQAAVFVQSVAMPESAVLIKGPDFNQNLSLS DLMSSYKTMGFQASGLGEAIDIINCMRTWRLSDEPVAADETEDYRDPETRCKTKCKIF LGYTSNLVSSGMREIIKFLVQHKMVDVVVATGGGIEEDFIKCLAPTYLGAFDLKGSDL RKQGLNRIGNLLVPNNNYCKFEDWVVPILDKMLDEQKTKGTIWTPSSMIKKLGEEIND ESSIYYWCAKNDIPVYCPAITDGSLGDMIYFHSYKNPGLIVDIAADIRSMNNEAVFAK KTGMIILGGGLIKHHICNANLMRNGADYAVYINTAQEYDGSDAGARPDEAVSWGKIRL DARSVKIYAEATLVFPLIVAETFAKGHHEVKKN PHYBLDRAFT_148874 MNNAPPITEIVCEWDDCNIPFTSQSALSKHLSEDHFGWRKSEYF CKWTSCPNKGIKIPNRFGLSLHLKTHTGEKMPKSMECSSVDCNQVFSRADALARHRHL DHEDEYMQNTDINIKKHGQFVEGKKRRKTEDIYEGSDESQEEVLTHRTSVESTTIPLA KYKLAKAKLQCILRENELLGDEWTAVKRKLRRLRTERRVLLDALMAGEPEDDQSFIIQ DDTSIV PHYBLDRAFT_182579 MQAVRKKRNFKNLALDNSPLVAVPPSDLSVKSGKQEEYDELYKD LSDLQIGLELRLDLRTEDLETLEELGAGNGGTVTKVMHIPTKTIMAKKTIYPQSLLDS PAFARISLNAPDPATVDQGFPSRPEKSVSMPASKGASAPPKQPERVATQRPARQRNQQ LDQRLMVRRQIMREMQFLHDCNSEHIVSFYGAFMNGGDISMCMEYMDVGSLDKIYKES GPIPMNVLKKIAYAIVDGLIYLYDSHRIIHRDLKPCNVLVNSAGQIKICDFGVSGRLI DSVANTFVGTSSYMSPERILGSPYSVKSDVWSIGITLMELALGRFPFAPENKPLAIFE LLQHIVNEPEPTLPKQGNYPEDLHNILALCLVKDVNKRATPADLMKTPYLLSAMSLKV DLEHWAKSHLK PHYBLDRAFT_103652 VRWASKKSGGSSRNGRDSAGRRLGVKKFGGQEVIPGNIIVRQRG TKFHAGDHVGMGKDHTLFALEPGYVRFYKDPAQPKRRCVGIAFSREDTLP PHYBLDRAFT_76254 MSSKIVGFAQCAVSKLMAIQKPVVYNAKVAAEVAKQVYTKEGMA FPNGQQWAEAQSALKKNLNTAAFKSVTVGDVVKGGVMAAELYTFFLIGEIIGRRNLIG YNVESSAPAHH PHYBLDRAFT_76256 MEEFKGYAGYEPFVLSDKKTHLKPFSYKPRILEDDEVEILVSAC GICGSDVHQLTNGWKRATYPLMPGHEFVGKVTAVGNLVKDLSIGNRVGVSPVCRSCGE CSECKASYGQLCPNKVTTYNGVFKGAQSYGGYGNKVRIQSKWAIKIPDNIEDTECAPL LCAGITTYLPFKHQNINKDTSVGIVGIGGLGHLAIQWARAKECKRVLVVSSSKSKAEE AKTLGATDFATLEDIKTTPYLQSVDVLLVCGSGKSTDWKDLLGLIKNHGKLLLLDIPD EPIPFPAGAFVYRHISIEGSFVGSHEDIREMLDFASKKNVRPWVQKIGNSLEEVNQGL QDLMNGKAHYRIVISGEGRQ PHYBLDRAFT_171718 MVNMGRPWGTKSLIAIFMAVLLLSTVLFQFGTQIQSLVTSEAQD NIPWRDDAAIEESRLEDERKARDEAEKKAREEDERLKWISDHLSVREPVLTEADANIA THDYAVPIPVSSWTKDDTKQYTSVFGQLFLADLFTKPEKLPDFKLLTVQQRVFKALFQ YFDPIITAGQDFRSDPAWELYERLENSLYPWLKPNWSNAFHINNNTQGRGIVMCIGND QFKYAVPTLKGIRHGLNSTLPIQVYYIRDDDLSETRRRYLLLEFTDLTIHKAVDSFND RYTRFGGWSVKSYAMLASSFSEVILMDADVYFFKNPELLFNDPGYKTTGSLFYYDRTL FPGWDDGRKWLESFLPTVSSHALNTRWWTARSAHEQESGVVIMDKRKCLLGLLSTCKM NDKRERDEVTYKHVHGDKETFWIGYEMVQTPYAFVKSYGAVIGGLGDGGNSANVCGNQ LHLDIDGDPLWWNGGLLRDKNKWDNRYMMVTHFAEGEDWEFDTSCIKETDKIRTFSNK DKDLGKLYQKYDAQRKKEEKSIEEDTWIPTKSK PHYBLDRAFT_182583 MNAHMNTFAADAPIRFNHKINLIAGRDRLLVESLIHRHPSYAGH GQSGAAWDEILKKVNDAGGKGNEIGVSTLKQRHKNLVKQFKARQAQEKKMTWSNESYS DLDQLLFQLVELEAEGDRGKAMKKQLTSEEEVQKQAAADKVVERATLLKSKNPLRVVE QKKWTDKVEGSSSGHLVGQSTVDFSDAREMLKSFRDELSSMVAGISDGEVLTSMRRLE ENVERGFLAIETRQRTLEQRQTLLEERQARFEYNMQRYLL PHYBLDRAFT_171720 MSRFDPIIELTDRYINHSSRRIAEEQKRGWVSVPEQQEEHADVY EDQEPTNEEIQEALAQYARDREQEGERIAVSLHCQGCLDPSTLSDEDSKLLFRFTQPE IRSLATLLEMGDIVYFREGTPSEFSLPMTLALMIVLRRMVFPARLVDLSLLFGKGKST LSVIFNEMIEKIYIKFYPALKFDYCQFRESNLMRFSRAIRERSPAMYCVGFIDGTFNK IARPIADQEGAYNGHYRGHGLKYQAVVTPDGITSSIMGPDSGRNHDVRMYRESQLDAM MCVAFDFTSINGPCYYLYGDPAYTASDHMMIPFRRQTADEQELAINKSMSAVRISVEH EFTHVGSLWAFLKYSQTQRSGQSPVGLYYIVGTFLKNLNVCYNGGN PHYBLDRAFT_171721 MLNDHYNRVFLLFYIFSPLFRIDKQQDMCNDGLVVLKIKKRKAG VFSLLIRQQPSIACLTKPYKRDRRMIEPPPIIQIKLKEQITNDKPYFLESPYLFIHVS LVADESNSQETIDYKNILQGQTSSSMYQLKDIDNKYGGFCIFSNLFVKETGSFRLKFS LFWITSTEAVHMCHTTSDIFTVYSVSTYPGSLESTFLSRAFSSQGIRIATRKQSHAQI NSTCKRRAPEKYCAIEISDRDNVTKATCASGLPILITPEHCMYTSREEIAAHKKHNGK VCRPNTIPSCDISWSEYSFDDKPREAKKAKIQKALPVSSLPPIRDLEAFQHNVSEPPI AYGSEICNEKKKFFLHQSKHQETDFDRHITPSITHVPYRSYIYNTSREESRAYKSADC TKHQNIHLPPLWSIMRHISLSQNMSDSAFEDSRYIPATMQTKNHLA PHYBLDRAFT_182584 MQPWKTFHSFANNARSPLCRPLKNKACCPLGSQPSFRSLNTKPT SALTLNKPTAPKPKHPKPAGTVKTDENELSNRQKFNRVEPSARVYEKLEKLGFGTLRQ TKRFSIVRGKQKPVSEKDGPPDPEYSFPLLSFFAGAKTPASFPPESLVEIGFVGRSNV GKSSLINSLAESTVVRTSDKPGLTQQINFFSAGSLFHMVDMPGYGFAFVDDAERQQWR DLMENYAEKRTILKRLFVVIDARHGLKVADHDFLQMLDSKKVRFQIVLTKCDLQVLPI LARRVMVVQESIRTYRHSVKDVLVVSSKTGSGINQMRKEILFLTGKLQPREFYKPKKE EVPQKRY PHYBLDRAFT_16450 MTRSYHLSPVPNLRVLGDHHKLFRTRGTHNNQIYYRLFSGNVTE STIYALSTSPGKAGVAVLRISGSRAKDAIRKMASIGEKQSDLKPRQAYFRRIRDPRTG EVLDRALVLWFPGPRSFTGEDTVELQIHGGNAVVKSVLDALGSLDGFRMAEQGEFARR AFDNDKLDLTELEGLADLLNAETEIQRKVALRQAEGGLRIPYDSWREDIIRCMATTEA VIDFGEDEQIEEGVLDQVIQDIKKLRNSISRHLDDSRVGEIVRSGINVAIVGPPNAGK STLLNRLAKREAAIVSDIPGTTRDIVEVTLNLGGYPVIVSDTAGLRESEDLVEIEGIK RAKARQVYICLLSFAHLKTDKKDIHIDDVIRDVIDSTTYVLLNKQDAAPHLNPFDFAK KVQHETGACKVWSVSCKTGEGVDVFLNDIVSILKMRYDDSIASPALITQARHRHHLEE CVQGLDAYLAMPAQDIVLSAEELRQAANALGRITGRVDVEDVLDVLFGQFCIGK PHYBLDRAFT_115435 MKIIPIGVLENNYSYILIDEKTKEAAVIDPVEPIKILNVISQTG AKLSSVFVTHHHWDHSGGNIELVAKKPGLAVYGADARIPEINYVCKDHEEFKLGSLDI TPLHTPCHTKGHVCYYVVDTATNERAVFTGDTLFIAGIGNFFEGDARDMYRILFHVIT TLPDDTWVYCGHEYTKENLKFALTLEPHNESLLAKWAWCQDKQITVPSTIGQEKLYNP FLRVNEQTLQLVAGKTDPVEVLHTLKEMKNAFR PHYBLDRAFT_135421 MVAQVQKPAPAFTAPAVVNGEFKDISLSDYKGKYVVFFWYPMDF TFVCPTEILAFSERVKEFEALNTVVIGASTDSEFSHLAWINTPRKQGGLGEMKIPLLA DKTKSIARDYGVLIEEAGIALRGLFIIDPKGTVRQITINDLPVGRNVDEILRLVEAFQ FTDVHGEVCPANWTKGSKTIKPDVKQSKEYFESSN PHYBLDRAFT_60246 MRSIGSVIYVGVQRSCLSSVLTFKLLIRVNKKSLRSQGFDAEEF SSVVAVREAAKAYDVNHNIALTTAYSSSSRIKLICKHSGGNLAVYSCEAQHNLAAEEN SRAYAMHCKLSPEVMDIVSKYPEENDDVVTVFNILKRSEYTNIIFQDIANIKKHFGKS GEGREIFEFITTLQDLDFHERYIVDNTEDNKVNKVFFVHKDAIEEAIGIPETAIIGAT YKTNSHSMIFVNIVGTSVFITDNEQALRNALTHAFPKSKQFLCYKHIKDNFKKQLFPV MKEDENEEKKSFLEKLAN PHYBLDRAFT_171726 MGKHLRLKIPSFRKPHPNRAESAHASKHSLGTSSGKLKTVILKV KKWCEELVADSKHRLMVECIGENTTILFNKRNAARLNDIRHKIGCNCPNQLSQRRKTK SADVSLHTINCSHVIISLLNLISFQFPVFQDSREENAWKAKPLTLPMVFCISTKVSTT HRANNYKLIFNYQSKKIAAQIVQQKLEDLNSPKIVEAIKGLHKNTKRKIIALEHCIEN EKEQKTTKLVRAVPLFKLQEAVVEYIKEAKEILLEESVYGLMSIQYILLMKPRQYNNQ MLDIFGGKLLTEGIPATT PHYBLDRAFT_115766 MPLIILTGYPSSGKTQRAAEINKYLLDRLEAENKSLKIHWINDE SLNVHRDAYKDAREEKKARGAMMSAVERLLSKDAIVIADGLNYIKGFRYQLYCVARAI STPHCVVHTGAPVQLAREWNATRTDGYEPIVFDELVTRYEEPEARNRWDSPLFTVIYD DKEIPGDKIWDAVILRKPPPPNLSTVTKPVSDTNYVYELDKATLEIINAVIEGQKEFG PGGMPMTVPRSSEKVVNPSRTVTLSELRRLRKQFVSLNRVRTSLDVNRIGDMFADYLN TNLA PHYBLDRAFT_148891 MHIGLLSQDRLHFDPLKPVILRGPPTDDSSTVFMGNVVLSLSKA RKLSKIIVQFKSVANTNWPEGIGSRATRLYHKKTLNEETINVLGSVMDDKDTILLPVG THRFPFTFVVPNTMAETIEDIYGQVTHTIDAHASGPGLQLLNNLHTSKPVLVLRTYMS NSILTNNSLQDPSRTFEKHLDIADVQAVVENAAFSSGELLYMRLTIQPHQKNVRLEHI DVSIEETRRYTVIQMDALRTGTDNFGLDFLHATRLLDGESVAVDTDELRSVFVKHGRP LEVNDTLVYRITLASPSCSRQIRHTTHYKDILFRHRINIKLTLSHSQGTVSAPVSRTP SSSNLPTLSNQHIALHQPLPTLPINHNHSLQISHSTPINTPPPNWHNMLSKLRKPRLE RETEDAPRQNYPIIFESLIGVFDCRLKEDYGQLPSYADLGISTNNIPLPSDSKSANNN TDRLAKASRHHKIKMDQTKPGVPAPHLCTCYFRFQEQLRLASEAPVLHAPTIVNPITE LDSTPSKPPPEYVENVLDGLNATAQIFTRHDSLCSPQVVNYEKMDGTMNIIWWIHKSS MWTS PHYBLDRAFT_95362 HQPRKRTRASPEQLGILEKTFNINPSPNNRVREQLSQQLSMSER SIQIWFQNRRAKVKNIAKRSS PHYBLDRAFT_148893 MSRAENREELERMRIAMEGENSWDRMKRKAREEPLVPAGVAVTC FALVAATVGVKTGNKAYANNMFRLREIKDKKKDTTKTR PHYBLDRAFT_126660 MSNPKVFFDVAVDGKPLGRIVMELRADVAPRTAENFRALCTGEK GFGYEGSIFHRVIPDFMLQGGDFTKHNGTGGRSIYGEKFADENFILKHNTPGLLSMAN AGPNTNGSQFFITTVVTPWLDGKHVVFGSVVEGMNIVKDIEKLGTSSGRTKAKVSIEK SGQL PHYBLDRAFT_115623 MIKGLLIDISGTIHIESKPIVGAVAAINHLRASKVPFRFATNTT QVSSRRLVDKLNKLGFNTTREEIFTSLSACRDVIESQALRPLLLLENEALEEFDGIDT SNPNAVVIGLAPSQMNYDQLNKAFRLVHQGAPFYAIHKGRYMAVADGELSLGPGGFVQ AIEYATGVSATVIGKPSRDFFLAALRQIGMEDRPQEVAMVGDDVQSDLGGGAVQLGMQ RCLVSTGKYRPGDEDGHKVMVFGSIVDVVNTVLSDAQ PHYBLDRAFT_95687 LRVGTRVQVQGKAGTVRFAGTTSFQTGKWIGIELDESQGKNSGV VQGKRYFECRTNHGVFVRPSQV PHYBLDRAFT_159658 MRVASASPKVVVLSADRRGGKWQSMKKTPDYQYQTQQSVIHTLK QRSEQLRSKMHQLQKDQDDSSIYVPPSGTKTLHQSLSQGHALLSQSLAKIQIPRLSSI GKARHCIQLQSAAEFERIHSIFIR PHYBLDRAFT_188106 MSILKSIQLIRPTMKTTWVAHSGLTRAFTSTPFTKKSASAIPAA LKLKSGQIFQGTSFGAETSAFGEAVFTTSLVGYPESMTDPSYTGQLLVFTQPLIGNYG VPGETKDEFGLYKYFESEGIQVRGIIVNDYAAQYSHWTAIESLGKWCKRYNVPAISGV DTRAITHRLRDQGSTLAQLAIGDDALKNDISKLHFPNPNDENLVARVSTKVPLHYNPK GDVKIAVVDCGVKQNILRCLVNKGASVTVLPFDFDFNHISDQFDGLFISNGPGSPTTC TETVKNLRTALDSFDKPIFGICMGNLLLGMAAGMDVYKLPFGNRGHNQPALHVDTKKC YITSQNHGYALDDKVMPKGWRRMFVNANDGSNEGIRHETKPIFSVQFHPEAKGGPRDT EYLFEDFLGHVRSDKLKRSGFQAILEDQPATVSAFS PHYBLDRAFT_60255 MMKKLELLEDLTKSIREKIISSRELSERRMVWSFGAVSGPVVLS MWSYIKVSNLTQVFLLWFQNLSQEQNRYFVFQKGNASCNTGSYLRLLKDGQEHLWWDL MKYMSDKRDSINNVRNLRVALAIVPDEQLYVEDISIFRVNFQYSDVKPFLTMAVLGIR P PHYBLDRAFT_76262 MNDLVNLDWDEPQSSKTTTPRPKQDAFASLLQLNSRPAPEVVLS LAEQQRQQAQKQTLRSEHQSTSSPWLTPTQSAIASPTQQPLSPKQLVPSNSPTPSSSF DSLLDPFARSSKQKQDNTNTPLNTLRATSLKAATTEQTGQQWNFDLFDKDVLQKNISP STSYVASDPFDMDFLASGSANGTIHLESSVELEDDENPLGILAKPAVQKRSVTPEQSL SLEPVSADNEAVSQYQDDQDEQDTQDELLAQLIDMGFGAGVSLEALRASGGNDLQGAI ALLVTDAEVNRNQQRSTSRTNTRSSTPSYSDAEQARQKIFSDDNDVQKSPARRATREV KRQSQREANTQQQNQQQHNDAFQQHTERFVAQASEIGGFLFKNASMFVKTGREKITKA VDNWQEQQKVHSSTDTGGPVRPRWMTDVPEDQIERGFDEKPLEKFVDEADSDEETHPD PQSHAERERVEREKNWTRQQEIQRREDIERRKRAQQQQQKQQQQQKQKQKQTLVDDQN TYLSPSRRRPPPRAMSRPQEDSRPTTPKPAPTQPVKKVRTRPVVQATPQAVAKANQAR QKGNELFKLGQFGDAAHAYSQAIDALPLGHDHLALLSNNRAAARLKIGEHKGCVEDCS LVIEMAQQMGDDPITESEGVAIRWKEQTIKALNRKAEAFENLEKYQDALKTYEDLTKL EGNGSLQTNQGMARCRKALAPVPQRQNLEKKRQPSPAPSRSVDVRESKAVADMRARAA QQEADDAERLEKTDQVNEKLLAWKSGKEQNLRALLATLDALLWPGAQWKGAQMSELIN PKKCKMIYLRAISKVHPDKLPSTVTIEQRMLASAIFSTLNEAWDAFRQTNPV PHYBLDRAFT_182590 MTDHNVINRLRKRYYTAPAHQITLTTLLIKEAIQETDRSPNMHV FSCAPDLQEKMSFSKAHPETFFSAPSEDIAGWSSMASFFASPANPPLVASTEPDNGSG LLSPFDMHLCPPTDLFLRDDGALVASSPPEFGYFLSEMPMLSPSFLNPNHAFLPFTPT SDHIPLLSYPRGLSTEASQDKHIMQSQAYPSPLELPSSTLTSADLRIDGLFSSNVELF PSSLMDDDNEPLGTHKQTHSTTQAQNMTVWNEEEVVDRTPELDASWPYIMHHFSGSTS TLYSNNSVVFYTMPNSPESTTIYSPISTHTVAPEYYYETDSQTEILMNATTDYINTST NTNTTTTTTTNNNNNNNNNVEFNNEAEQSSPVAALLVDLENAISLAQQGVECDFETLQ LRAYSLIHQSTLHNDCGIQEKRKVHDTLTILLRTISPHTNEPTFEQAEECKQELPHSY ECPQHRNDSPDSPISTLSDFKEDLTFLESGSPHACQELAQPEKQSTDNLDLADSETDD DGDFCLSTASSDDEYEESSGRPLRKVSKRADRRSNPTGNLRKRARKLSLASHESSCGS LRPQAETRSRINQTSRKTRKNYSKDTTRVLMDWYLLHDGETPDSNNKERLASLTNKTP AQISTWFQNARRRHSDKLQQFKSLVASHPTVVYDYASFVAYEP PHYBLDRAFT_94450 DKRMAQQIISKLMLHDASPLFNEPVDTTLIPDYRKIVRTPMDLR TINEKLESGKYTSVYQMDVDIRLVFSNCFAYNSSGTYAYEQ PHYBLDRAFT_104251 FLRPVDPVKQGVPHYFNIIKTPMDLSTIKTKLQKNQYSHPQQLD DDVRLMLRNCFTFNPPNTYVYNEAKQLE PHYBLDRAFT_115437 MCVCHLELQDDVTIAEKAPTAPTAVPAPSFAPLVIRVDYILDHP KAGVVFVEPDDERHSHIYTVNQPLPGATRAWLPCIDKISERCTWEMEFVVPRRMSGVT GEYDTEGVFEEEEDATVVVCSGDVVEQVVHPLDPSKKIVHYSLSVPTAAPFIGFAIGP FEMIKLSPSQLQEEVLNAADLDENQQQSLMAEINMMSNIYAFALPGKEEELSVSCSFL MHAMHFYAQEYGSYPFSDFKMVFVEDAWNETASCASLAICSSHLLHPADIIDQTYHTR QALSLALARQWFGIHITQKSWPDTWLVCGLANLISSLFIKRHLGNNEYRLRLKRDMEL CCALDVNRPPLYNPALPSPLDPEDLDFLELKAPLVLYMLDKRMCKGGGTLGLSRVLPK ILVSAMSGELVQNAISTHYFMKLCRKVSGFDTKTFADQWVYKSGCPKFGFNFHFNRKK MVVEIFMRQENTNTVIGTGENSTSLTADGGAINFQELVTPLFTGNLTVRIHEADGTPY EHILDIQSSKHKFEVQFNTKYKRIRRNTKQENENEDGDGTTNVLGIIPSLGLGMPIFE DPQQRQDWKIIEWGQDEEDTSGAASAMFDWIRLDAEFEWLSVFEFQQPDYMWAAQLTK DRDVVAQHDAIGALRHMPSLPTSTSLLRALLDPKCFYKIRMEAAYALATCAIPSLDYT GLHQLNKMFQKRYCFPTGNSLMEIDDEIPLSLAIPKPNNFSTLPDYFIQKAVVIAFSQ VRDQHGLAPIKIRQFLLDLLKYNDNISNEFSDCYYVATLISAVGDSVIPSPDVPDALQ FDDIEGEQLLTAVKAEIERFRTLDYVIPTYHNVVTVACIKVSFISFFVEHK PHYBLDRAFT_115749 RISKELAEISLDPPSNCSAGPKGDNLYDWVSTIAGPAGSPYASG IFFLDVNFPQEYPFKPPKVVFRTRIYHCNINSQGAICLDILKDNWSPALTISKVLLSI CSLLTDPNPHDPLVGSIAQEYLTDREEHDRVAREWTKR PHYBLDRAFT_126671 MGVLDIVPAGVVTGKNLYKLFDYAREHKFAIPAINCTSTSTVNA ALEAARDIKSPIIIQFSNGGAAYVAGKGLSNKNQEAAIIGAVAGAQYVRTVATAYGIP VVIHSDHCAKKLLPWFDGMLAADEEYFKAHGEPLFSSHMLDLSEESKEENIEVCLKYL ERMAKINCWLEMEIGITGGEEDGVNNEDVDNASLYTQPEDIYEIYSKFSEITENFSIA AAFGNVHGVYAPGNVKLHPELLAKHQAFVAEQIGSSNPKPVFFVFHGGSGSSKDEINT AVNAGGAVKMNVDTDTQWAYWTGLRDFYKKNQDYLQTQVGNPEGEGKPNKKYYDPRVF IRSAELTMKQRVEEACQDLGNVNVL PHYBLDRAFT_148903 MGNPAPIITAQQELSSQLPPSQSRLPSTPSAAQFNLPEPTVPQS PTNKTLPARRLSVPDLHKLKEEWSRREALQVNTLPPGKLQANVKVRRPLMRLRMGSQH YFSSRSKDENGNWNEGFIFTVSYHNQLFDTIELDLYDKRKGWRAKTKHIGKAKLKLGK IKGHEDVFLTFLPMYEYNIRRYLPAHIQLPHNFLKSHMPTLPNMPKFNLPRPQQSQPQ PQAQAQAQQQSQHEHGQEAQESQDTEEFAEENIDEFPPFEIRQHPSHSRHSMTPYIGS IQIRIRYAFQNPPDLHSDELTPVYLSYNPSRDFDTLSFASSHIDRSTITSTRSQASNS SSSISSSTLYSTDPFSSLSNVNHHSNNNSHSNNSSQEDFRDAIEPNLVFVNDARVTLE KPTAALTGVLSRSPSGPVRSESTINIEFEKRLEGELSRGLPFIPQHQEKSEKNTFGFS QCDVDNSNTGLKEKDGVSMDSASVKSYKFGDKNFAISWMNETFEEVALSHPSLDRMIG LVVSPQTRTLLRAVVKMCNAFGQGFKITGLQLLSSLSLLQNFYSEIARPPPAEKVTDL RFLDDASYYLGHAIIAYGWRGISYLGDYAKYLKDVMKTKSNKEAIVRFLKIPSEDLLG YQYGLRKGAVFQPSYFVSIDRGREAIVLGIRGTWSIYDCITDLVCEYRPWKGGLVHSG MLASAQWFFTCIIPSIFHYVATQSMSETRPVSSFIITGHSLGAGTAALLTMMVADHIE ELRELSQNPEFNVHCYSYAPVASVSLDISEKYKEYIDSFVCHDDLVARLSYGTATCAK ELIMDALTAVDGLGGTSKVNSDPEIKKACFDIIHTRREEIYRANEPRYPLLYVPGRVF QFRRTPNKPLPKVKPVATPMSRPRASSSVNDTNAKGPHQIPISHSEPALIHPPSSSLY GDVTFTLHQSSPLISEEVLISKTCLEDHMVVTYLNAFQMVKQDCMRQSAIKKTSVPPR TSSRAASLPSIRVEDPEGKVV PHYBLDRAFT_60262 MPTNNTTQDTQKSGWEESCLKLCKQTKEDSDHGQAPDCVMICLR KKGVEETKNESNEPIDENVYAVNLSRFTNMLKGYSVRVARGREECTETKEQTSSHTSK SNKRGSTIYELDLDEKLSTASKTAERLVKETVDPAYKMSVETLQALKDNGPPAVATIS AQILESLKDGSPSSLLEKLPKNFDDIGKSIKDQFGFPFDTNNDKDNDKKSK PHYBLDRAFT_87822 KFSGCSPITDYDLKGKLGEGTFGEVHKARYKVTGQLVALKRILM HNEKDGVPITAMREIKILKQLRHKNIVPLSDIAVETGDSARKEQGSIYMVFPYMDHDL AGLLDNPSVRLSRPQIKTYMKQLLEGTAYLHFNKVLHRDMKAANLLINNDGILQIADF GLARGVEDDGREYTQCVVTRWYRPPELLLGERRYTSAIDMWGVGCVFGELIKLRPILQ GQDDMEQLKRIFHLCGSPTQETMPGWNKLPDAGKVRFDVTKRRVVEEFSRPDPLAADL IDKLLILDPAKRLTALEALNHDYFYSEPLPADPALLPKYEASHEFDRRKIKQEK PHYBLDRAFT_60264 MPQNEHIEEHIKRHGRRLDYEERKRKREARKVHKDSQYAQKVHG LKAKLHNQKRRAEKIQMKKTIKKHEERNAKTKTADAVPEGAVPAYLLDREGQDRAKIL SNMVKQKRKEKAGKWSVPLPAVRGMAEDEMFKVVKSGKSKTKSWKRMVTKATFVGDGF TRKPPKYERFIRPMGLRFKKAHVTHPELKATFQLPIIGVKKNPQSPLFTHLGVITKGT VLEVNVSELGLVTTGGKVVWGKYAQVTNNPENDGCINAVLLV PHYBLDRAFT_92242 IAVKIVPRANLQLLSTTNKSPRQIAKERAREDNREMRTIREAHI MMLLRHPHIVGLRDLVINGPYFYILMDHVNGGQLLHYIVKRQRLSESRARLFTRQIVG ALDYLHRNSIVHRDLKIENILIDKAGRNVKLIDFGLSNLFSPTSQLSTYCGSLYFAAP ELLCATPYNGPEIDIWSLGVVLYVMVTGSVPFDDKSMPGLHEKIKRGEVTYPAHLSPE CR PHYBLDRAFT_171744 MNTDEKQQSPRPQPCAGMSLSQMVKLTSWHVVNSNTLTFEYRNA SIIVMVLMIDTCVQAFDLDVTEYFPIYRYCIIPNLSAAYLLNPIIEIIENYYNLSLFN LKV PHYBLDRAFT_56724 MSPSAPQKAFGHSLRKDFFLESKYVPVNHGSYGTYPRSVRAVMR DYQDKGEEQPDRWFRLEFAAVVKQNLERIASLLHCDSNDIVYVQNASTAASTVLRSLP FEKGDKILCYSTAYNAIDRANEYVKDSRDAKLIKITLNYPMSDEEIIQLTRETIEKEQ AKGDGRFRICVMDALISIPGVLFPYKDVTKLVKSYNMLAMVDGAHAIGQIPLDLTALD ADFFFTNGHKWMFMPRGCAVLYVAKRNQGHVHPLSINAKYMHHKDAQDNSSFQNEFGG LSTTIDCANYLCANAALDYRQSLGGEDAIMKYCNDLAVKGGALVAKILGTEVMENKAK TLTVAMVNVRVPVGKTTKSDAELTAYFINELVYKHNTMISVFKHNGLWWARFCAQVYV ELEDFEKAAKALLEVCKTIA PHYBLDRAFT_92922 LVLILGVLAVVKAVKFDLVAVTPDRAKENKRCLSQYVPKDTMIL ATVRIGQGYNQRIDLEIADDSATPTVYVSKKDVSNELRNAFNTHSDGVVSICFTNSLS EGFHESPEYLRTVDLDFNVGAEAMDFEKIAKAEKLNPLELELRKLETVVKEIVDEMNY LKRREARMRDTNESTNNRVKWFSILSLFTLIGLGTWQILYLRRFFKRKRLID PHYBLDRAFT_148909 MLILVLGLLLSLFSSNVFAQAPITIQLNNSQIISLSIDSGDLQH FYFPVETATILALSTIHDSFTKRDISPIYLTLTSCSQPTPPDNYQGQVPSLDVFLSLS NDNTLPGPSNGIPVNGSFGGRTSWEGDSTFPKVWIGVVAPTLNDHWTGSWTFEIGIST QQWMHPPLLTGSLGEPAMVLDDTDTTHALFLTSPYESSTAPNVSLLLAGHVPTELMYS LCAIKPYQITNFSLNTTLTSRGPSVAARQQLYVSGLTGDAVYIAYLLETQNGQMTFGP PIEIQTKSPGKHTHVYPENKDRLTSPRVPVGATIGLDTSEIASNYDTQAKATFDPFGT ALSQFNCEKTQYSLVRNCNDCYMDYKRWLCSVTIPRCTSALSSVPGTQASGQFPAVSV RSIPTNRSRNPWIDQNMTPGPWVELLPCIDLCYRVVQSCPPFLQFNCPIGDLAMYQYG YWQNGELSANDTTFTYDVNHPTCNRNGLDTTLLVISEAINIKVSGSLAFIGLFWMIWW NI PHYBLDRAFT_99801 PKKIELIGTDGKRYCFLCKTSDDLRKDARVMEFCYMINNFLRKD PEARDRSLYIRTYAVIPLGHEWGLLQWIDNLETLKLITAREYEKHGIDYQKINRFIKE ILPRQEYKDIFISKSNLFCLTFFLCYSFPCHLNNWFLDCFPEPSLWLAARTRYTNTLA VMSIVGYVLGLGDRHAENILFDRLSGDCIHVDLNMLFDKGSDLPVPEVVPFRLTQSLV DALGVLGYKGKFEKSCRVTLRVLQENKESLLSVFETFVHDPV PHYBLDRAFT_182595 MTTSSLFPDRYNSTNNNTLPANAASQQGTTYIRNRFHNGQPTRY VTTDTSNNNHNEDSDTEIDVVNNEDYTNNVNGETNGNGNNNAYENNYNSRKIVSNNNI SIRHLTSPITHPENSLILPALRNQSGPRNMLASTPLPHNNNNNNVKHMHQKRRHQSGF TASSLIESYYSRLGNDLQNLSVKSRKVSTNDMSLPVEQPESTLISISDPVDNNYPEDS NCMSEYEDTYGEERRPEQSNHSRHSAYFSNPIHTTQSRRSTQPDFYNQTNHALDTQRD QSVENVVSGPIRRPEVYGIRYPLQRQEFEAQNGINRHRAMQEAHEEWVERQKYRRTYN LGGFGMPRMPQYSPNPRIVPGMAVTSSHRFHTSRLLTRPQPIQVPVKRPQQSSQPSST WVGLDGYRMLNPEGAWNNDKSKDDRSQRHGLGLTENGNEHEHEYESQHGHNRTPSTIL GKRGPETRYGENQNEFGYPGDRNTLAESGEREENVGSTSSDASNNCDGTVADGRWPSN VRNWLQKWKFNDPEVCCVCFMETCNEDNPFVYCDEPECDVIIHQKCYGIDKCPGSEDT WRCDRCESLKVNRSKMVICALCPTSHGAFRKLEGPFFGLGWVHVACALWIPGVYIADP DQIKGINLRSKCAVCPDPVQAEYGACVSCQEPGCDTMFHVSCGQKYGLVRITVSGKRS ICCYNHDKRERFKRARPEQRMNLWDRWVETRDEYLESADGETGAKKEFLSAWHKAYKD ISHDNIESSSEAFSKFCDNINTICNTRYKEAKAIITEGKARLAKLYENIQRHSSSRDQ TALQIELKAVMTRIQRASESNRRNKRYYDDILEQATRLSFQLVLKNNDKSKPVSVQPL VQNPVNSPSSVQLNFVAEVFSPDLSANKAQKAVPRNPKKKDSSRLNKALVASTLVGSD SIFNWVDASPDLESKKKSGTQTKPPKPTVTGNKKLTGKKALNVITADPQVKRRSKTKS TKGPKPPKTPKQAISKQTVNANQKKKAEKPSKAAERSFKTPEELDNCICGECNQHDLP QEALDRLKLPEDYMKRLEETVHLRLNGYTGKGLNWDPRVFIECSACKGEFHCGCPNPP IKNYPAPHEIFVCVHCDTTAEDLEESEAQRDLRDSANRTRVKARINYKE PHYBLDRAFT_188114 MMIINTLSITTLLLCLATGSNASQGGNFATFEEEHLHDTHQIQS ADEMSFFKIHDLNGDGFWDAHELRAMYGLERDIDPDAKHIRTIIDRVFEDLDKDLDGY VSMAEYMTTKLPNWTEEEKVADKMWKEEHPYQSTNENEQNDASQHVFKAEIEDDLPET HTPQWEDAVEEGHIPDKYRD PHYBLDRAFT_95920 PSEDSIVNLLQTRSRRNLPYTRAGHTNLIVINPYQPLELLNDAT LQSYADAGYRDVSEHKLFMQPHVYDLAARLYFHMRRTGEDQSVILSGITGSGKSTTRS HLLDQLLLLSTHSKKENKLQQQIKNSLVILEQFGHCRTVQNISATKFGMFQEIQFSER GRILGAKTLTYAFDKTRVTTVPKEERSYHVFYSLIAGTTVDEKNALHINFNPDYFNYL GQSKCIAVPDMNDEIAFGNLKSALKICGFKAKTVTQIFQLLAAILHLGNLQFIDTQEA GTAQEACNVRNRDILDTVSVMLGVSPTKLETSLTYKLRMIRNELCTVFLNPQGACEQR DGLARAIYQLLFVWIVETINTKICYNDSEPANFVGILDQFGFQNFKTNAFEEFAINFA NERVHQFLIRQRFNDSEGLNGAMVRDGVPLPKVITMDNSACLELLVGREHENQDKNAS KSAALGLGGIVGALDRDCAKYQSGATDATDANFLAGIQRTQANHSSFSKTSYAFAFGI NHFSGTVQYSVEFFLEKNLDSLSPDFVNLLRDNSSNAFLSSLFQGVGMATESHPKDDR TIVKAQLSSKPTRAPSMKRPNKRRQNTENAFANVPIPESGELDTEDLRKQKIIKEAEE AYENMQVTTVMDQLYMTLRDLFLTMADTRVYNIIHIRPNDTQSPDLFDAKRVKMQVRA FLLSDLTLRCSQEYANYYTFSEFLARYDRLVASLQIDSSKTERGQVEVVSAIMNWTEF QAYVGQEMLWLSFDTWKELEDGLRAAEKEERARAKGGDGLAKSGMMMDMGDDGMGGGI GGGPGGPGGGAYYHDSEDRLLPPGVYGDPSHMGPGGHGGMVRSSAYGDNASYMESEYG MKPEMEGSQWGDESEWGMKGLAEGKMVEDFHVPQNEQVEEMPITAVRIWWVRFVWFCT WWIPSPLLRILGKMKREDVQMAWREKVTLCMCIGFFSCVVIFFIVGLGEVICPGTKKM YSFANVAAHTETNDIFMSVRGSAYDVTNFAITGHGTTAISASQDAMSELAGMDVSYSI PPPLTVACEGLVTSSTIKVTPNATIVLSTFVHNSGDQLTIPTLTSMASSTWYWNTFLP EMSLYKKGPIVVPIKELLADFQSWGRTAAAINGRVYDITDYIATAKTYDSGSTASDYH YLHSTIEEIFTKFSGTDATDKWNQYKGSMTPEQQAMNMNCLNNFFYIGDVDERETPRC QFTNYLLLSFAILMCVVIGVKFLAALQFGGAPTPEDHDKFVICQVPCYTEDEDSLKKT IDSLTVLNYDDKRKLLFIIADGMVMGSGNDRPTPRIVLDVLGYDTKNDPEPLMFKSIG EGSKQLNYGKVYSGLYECEGHVVPYIVIAKVGKASERAKPGNRGKRDSQMICMNFLNK VHFDGEMTPLELEIFHQLKNVIGVNPSFYEYVLMVDSDTEVLPDALNHMISCMLHDGR IIGLCGETKLTNEDDSWTTMIQVYEYYISHHLAKAFESLFGSVTCLPGCFCMYRIRTP VKNEPLIISPKIILEYSDTHVDTLHKKNLLHLGEDRYLTTLMMKHFPQYKMKFTPHAQ CKTVAPDRWKILLSQRRRWINSTIHNLFEVVLLPDLCGFCCLSMRFIVLIDLIGTLTL PVSVCYMVYLIYVIASGSGPLPVLALAMLAASYGIQVVLFVLKRQWQHIGWMVFYIIA IPVFSFFLPVYSFWHFDDFSWGNTRVVVGDNNQKKIIVADDEKFDDKMIPVKKWSVYE QELWEMGSQGSRETGVTGNSYRSHQTRGSKIGGGSQYGGGSQYGGGGGGSQAGDYDYY RDSTPGIKGSRAQTPMGYPGSVISPGSEYGGGGQNYGGNPPMNRGSRTMSVNSFGNPE FFAGGNPGMIPGVDQGSAMYDQRSVGGNRIMSQQPPPQGEYEMPAMSAHMSQYSIPMS QGGLQPMTPPGFPSDDEILSEIKNILATANLMSITKKQVREQLSAFFGFDMTPKKEFI NTSIEYVL PHYBLDRAFT_159663 MAFEFFNYFRIAQYQDFWPNLRLGSVFPKNPINCFPRSSYLFVQ YLFEFAMRSHLCIVSG PHYBLDRAFT_171753 MSKPVHSKNEILRSNTSLIINPSKLNKYTIFFKNVPSEDCSRLV FSQARLTINVPIFIQDQRHSSLFEHVLTTNSTGMGHMCISFYVPLFITSSLTIGDKYS SLMLIPEVCSSLYLEYNSRISDPSLLEHLRKVLKLLTSEPLLYLMSRVIGYILITIGT IDKITCGNIIQFKPRYLQAQQKSLKACIVATFHKHKYYNSRIHTIILILFAKSEVDRY QDQAK PHYBLDRAFT_148915 MFTHFGLHKKPAITYKRKARPSSNNDLESVFDAPSNPVLPVIDP AINPKSADDLDIFDFPEDEDDTLEVELMVATERPHATNESQQTPSKARPRKPKSKSNI VKRTSQTTFCPKPNGVKPVGPKPIVIKPKPATTLLETYISPSKQVSVQSQPFQTTRTY QLSAPIPPTTSCMSFGRPTQPYTPIGSYNSSIITSHDTCVGRSNSMSTYTSTPTHLHT PTPTTSTNTSFFTNDNQFPLQTWPIPFVYTTYPHPFNFAPSNPSQPQLQPYTQPQQQT SSLYYNHQPTYSSHHVPPLPPVQSIRSNRPKKQKMNLVSRLKGASGNSVDTETKPAHH FEFSDDDEETEEIYLSKPPKQTVEPPPVLSPEPKKLPHKEQMEKELEFLMKAEFGTDT MISKTINRPRYMPENPMKVRVTYKRSNGEARKQNEQEELERLEKMLLELREE PHYBLDRAFT_171755 MIICKPDWITHTENTKSVRSKRQAIYSIHAHPDGKRLATGGMDM KIRIWNTEPILNEKAEVDPQCHKLLSTLTLHSGAVLCVRWSNSGKYLASGSDTDNTII IWELDEQPTTLTPFGTHDVNRETWRAAHYLRNHKSDVQDLAWSKDDKYLASCGVDGLV IVWDGKTLGQVHVIDQHNGIVKGVAWDPAGQYLASQADDKSTKIWRTKDWGLEKEIVN PFINAPEITLFRRISWSPVGSHLVTANAVNGPGCVASIVDRGDWEPKMSLFGHETPVV VSKFNPNIFYSQSSTSQNSLVALCATGSHDGSLSVWSTEHSVPICVVEEFFSMSVYDL TWSPDGQTLYACSEDGTVGFVRLVGYMPPTAPESEIKNALVKLGYGQPSMILAESPLQ LDMEENNAIATKIASSKRIAGIMGDVTPRKSVEEPQKPFSTSLEPTLSSGTIKSSSSS VTTPFHQIEVVKDGKRRIQPFQVSSNASHNPGFNLLAEKTNNQNKKARMEIHPPNTPP PTRQGISVDYTDPSVYIPIHGLGTLLTDNRKSSAADSSGDIPSVVRTRPQWSDVASIP HAVLESRVKLATPTVRSRILQNFGTEGHVRVMEVQNNLHQGKHKELSRITVSADGVAI WEDCLPSPVLLMTGNHKYSAVGCENRTIHIYSPSGIRDVPKRKRHIDSVSIAPILGEC QSPSDKTLAPRIKNVRIRKNGVVLLITDQHQAFTYDIVGRDWVCISDAWYTDSDFWNP TSRSSTSPEKYPLGWLTASIAAQQSSDSTLDIDAAVINEDLRDTMTLSHIEIQLEVAV LLDSPEEYNQWLIYYAKRLTKTNAKKKIEELLGRLAGPPFIPENEAWEPNVLDTLSKK DLLKQILPIFGNYNELYKNIGNVLKLGESFL PHYBLDRAFT_148917 MNKLIALLALQTFVSITAASSFVYEDVLAYPRYRVSLSENKIPE SVVFGNSISDTTGEISTSSETHDILQDDNNVVMISAYGQPFLCKIPNVDAEGDKEKRQ RQIKEHMKQENTRGSIERGLQLLEPLSKGCLYFTLHGYWTYEYCHGQHVRQFHEERIA QGENGQPDTRREGASFYLGLYPGTTESSDLIQRNSAKQSTTVQQISKNIPKTDLHHVG DKRYLVQKWGGGTQCDITKEPRSIEVQYHCDMQVHDRITMFQEIKTCQYQIVISTPRL CEELVLSSQAQSETYPIKCDPIVPDHTLTDEPTEQEKASGWVEVKVDTKVESDTSIVA ATEAASMVIPEETDTFQVVGSEVSLSEEDEGEDEQELRKAIAELTAQIDQLQRQVRES SSGQIAKDKAIQDPGLAYFYIDRNGKIVPADEQTKEVFQKLGGLESNAVKWVHEDVPE DQKKNKEAYEKIYGY PHYBLDRAFT_135446 MDPRKLTYDLTMWLFNLIIKLFFREVRPRGAHRIPKQGPVIFVV APHANQFVDPILVMRECGRRVSFLIAEKSMHQRGIGFFARMINAIPVVRSQDLAVLGK GRIQLLNCKTEPFRITGIDTQFLSQLKPRDSIVLPKNVGQAEVVEILSDTELVIKKDF KDLKSLELLTSNDGTPYKCMPHIEQDAVYKSVHDELNNNGCITIFPEGGSHDRAEMLP LKAGVTLMALGAMAKYPGLDVKIVPCGLNYFHAHRFRSRAVVEFGNPITIPANLVDKF IAGGVEKREACGKLLDTIYNALKSVTVNAGTYETLMLIQAARRLYKPAHRKLHISHVV DLNRRFLIGYNLFKDDPKVIDLQQRVLAYNQLLKYHGIQDHQVSKTDLGGRHTLAMLI ERLFFLSLLGLWGFPGAVLNLPIMVVAKVISEKKASAALRGSTVKIAGRDVLATWKVL VALVLVPTLYAFYSFIVFMTFYNSDLAPKWKFMIPGTVWVLLCFTSYASLRFGEIGLD ILKSLKPLVMSLLDPDGAEILRQSRSKLSRDITDLINEYGPKAFPDFDGMCPN PHYBLDRAFT_171758 MSIRAILTTKLYLMQTFFCQFFSSYFLLSTLLIRILLILALIAI KCTNLNCLENMDGSEVMHRLWNRDVASVLNFRHILNNLRYDGTIPVRFTRVIRIGRIR RQAEQDLQEGRRLRQRLTRIQRR PHYBLDRAFT_60280 MVNRAIWIRQLTQLLQDEAYFHPSSPLPLHLNLSELLFLQAEHQ LALAAKEKETEAATEKPKRWSLWGLKSKSQGDTSELFDKLSKLPCLSVDRTGPTIDGF DAEEKTERVSLASFGNLSRLVLLQIHPRCMDVPPVTLKHLVVQHGQLDDVPDAVVWSP DTIHLTSLSLANNTLARLQSLELLQSLTHLDVSHNLLDRIPEALSCLFNLQYLNLAHN KISSVAAIHTTVGNIQELNLRGNSIRIIDSLDRLWAIERLDLRENCIESLDEFKLLAP LPNLETLWVQSNPCTEQTEYRIELFRIFEMAGSRVILDNAKLTHQERLWMSPQRRASD TAQIIKEKKPVNAWPRPRSIAACDEGHTQHSCPPLITRSKSLKTKRMIRLGEPVMPAA SSVHSLKDDTHVLRVATLEQAVQETQLSRKSPHKKRSKSSLTRSIRSGSVDSQSPPPL PNTDTEAFRRKIETIRSEAGTEWLRVLQEMDLDKK PHYBLDRAFT_159665 MSGFNTAEGMAEDTPRGRGRPRGRKAEASAKRGRGRGLSVNALS GRDLGRQDNVGLFLPPSTIGRPRLGEQGSRKVTVRDAIFTLERDCQTGRGNGQRTLLK TYNQWLK PHYBLDRAFT_60282 MLSRRVVDVAADGGFGLRCTGPTGGGVGEAINRAPGIIGLWEDA RVGIATGGLMIAAGVADVADVVGAAIVEVVAAGEAIAGAVVDEGIGVVARVVIEAAVD EVIGVVEGGATEAAVDGATEVVADGATVGFAVDGATVGFVVDEATEAVDDVVVDAVAA VGVDAVGVVAAAAVAAAVDAVAAAVDAVAAAVDAVAAVDAAGEAMAVDAAGEVMVVDA AAVGEVMAAGAADVAGEVMAAGSVAAGVIEAVGVAAVVDAIIAVDEAAADGVPVVDGG AVPVLFVVPAAVAAVV PHYBLDRAFT_60283 MPFQSVIKKKGWRPQALSFLASSQVLCKNCLSRQYVSASVQTHR LIDDQQLRKSTKNILSSWSPVQTLSQQLIPSQSVRPRLKLPRHFSSLTNTAQEPPYHD TDLVTQFRLALYPPLETQPQNKNKNNKNRKSSAIKQKTIIRRQRRKHLFERYTDLKKR RLLNHLSYEDFRTLVAILIPNPDNQQAKPIVLQTISVLEDLKQLSADHSHLQFSLTDV ETLVSLYRETGATNDAALLLHNLHRLGTTPTERSYSDMIAMLAKAGDVESALGWLKTI KASGVWPPSNSIKGSIVQAWLELGNDTAAIDFLREHPGDAIISTSDTKPNSNPNHNNK ININDINDDDKDALLDMALDVFFNESLKRWRLNDCRAIYRLKQKRGYSTSSLLSRLTY KTIHTFQTHTSLNLLQDTLDLKDTAGCGIVAGQMIKYCISTKNLAMAVNICKHADHSQ NSISVDHYTHLITKLAHARYSVDLMTIYRQFTQKYPQSLSISLYTTIIQGLTAAKQYH SALQVLGDLRQTIPDHQLDENSFLPLYKLCAQAGYLDLFKQTFALNKSLGRPLTHKAF TSLMACYVTAKDPESAKAVFQAIMAETKGPDTVDFNLLIRATALEKTDGDSLEKIFEI IRHMKTADINPDQTTLRTLLDIYKTGDLADMLLQKLINDPHATHSDNIWLNNLSMTRL LKNTPPSTVASIFLSNKRDKLLKSSTKGVPIASDGMSYQILIDALTKSPTNVHIAESL YQHMHSRGHKASQSLHHQMILAWARKGRMAKARRMVVRMEEEIGEKAGIEAFSIIISG YLGHNQRENAQRIIDEDLAQRGLVPDQRLLNILSEYDKNGNIYSSSPTTAITTTTTTT TTTATATATDTDTATATDTTTDNPDNHGL PHYBLDRAFT_159666 MASNSLVTAIGNVPSLTKTLVSLLLIISSTATYYIHINKDPTQE LANQTDVCPILGLVPGLALYRPWTFLTAAFYESNIFSLSASVVVLLLCGKYLERAWGS RELLKYIVITAILSNVVTWFGLVVTFYISADDKLLYTTQICGMSGVFSAFLVAFKHLI PEHRISIAGLVSIRVKNLLGVATVASIVCLVAFQAIVFYNLVNIGWVIGWIYIRFFKY QDGIRGDHSETFALVTFFPEFIHPIIRIVGIPVYGLFVRLGVCPVHTTQSYDLEGQSG TRPAANGTAEAERRRALALKALDIRLSSKTPATQDVLFDAKDTVALPTTTTSTLTPGL STEEFTPETKRD PHYBLDRAFT_60285 MSAPPPSIVHKLSAVNEQAWLSLGSLAELMADWDRAMASYESAL RHNPYSVSALSHIASLCRGREEFPKAVDYFKRILATQENHGETWSALGHCYLMMDNLQ EAYQAYQQALYHLSNPKDPKLWYGIGILYDRYGSLEHAEEAFSAVMKMDPKFEKSNEI YFRLGIIYKQQQKFDLSLQCFRYILHNPPRPLTEIDIWFQTGHVYEQQKEYEHAKDAY ERVLADNPDHAKVLQQLGWLFHQQNTSFTNQAMAIQFLTRSLKADSNDAQSWYLLGRC YMAEQNYNKAYEAYQQAVYRDARNPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYI SEVWYDLGTLYESCNNQVKDALDAYQRASELDPNNPHIKQRLDWLRKTQTSYPNTPLG ANGGGSGNGVGSGSGSGSGSGASAGTGTGTGAGAGAGPGAGSGIGAGTAGAGTAGTAG TAGTAGAGAGTGTGTGAAAGAGASGNGGAGGPHNLIPQDVNPYQYQNTPQPPHQLSSS LGSQAPGHYSAAHLALDRRMPAMDPPRDLPMPDRSPVNQYHQRHDDVRIPDIGSGGGR APTPSERQQQQQQHYHHPLESPRQSPHRPLDLPPPPPTPMEELKIVPMKRVLEDETKS NQSPLPDRQQDKRVALSS PHYBLDRAFT_188120 MSQDDRTLYFASLCEQLYIPKSPQERENIQKILEYSFPTFADEA GSGIAIGMPPVLDGRQSFVITSPTDTASALRVLLENSPSPYVQTFSLSRLKQLISAQF SIFDGETKLQLRTFLLEYAFMHPDLQPFVITQLASVLALLTRLGWQDVEEYRNVQQDI NQFYQASVDHRIVGMQILAIIIQDINAPSPPRNSAKFRKAAGGFRDTQLFSIFESAFE TLEGLLQRTIPFEKAGQEERMRDATLNVLVRCLSYDFAGTSLDEAGEDIGTVQIPATW RPIYERDNFLPIFFTAYREFSPPHSSKVMECLVQIASTRKALFSVEAERAKFISGMMQ GIRDIIVTSQGMNNTDNYNEFCRFLHRFRASAPLNELTEKHDYIELIELIADFTLKAF QSWKWAPDTASYLLGFWSRNVNSMSYYQKLGEATIQKLENITVELTRTYVSAQVESVA TRIEEGLDDPLENEDALVETLGMLGQIARCKYEESSAALVAVFDPIALQYQELISQAS SGMSGEEFKEALEVIETKFAWLVYVISAFVGGRPAYLSSDDLDAIDGELTVKVLQLME VNQILQNQHGAAVLNQKLDTAFVYFFQQFRKSYIGESNGKSVYKKMTEMFGVSDQVTM LNVIMQKIISNLQFWGDNELVIRRTLELFNDLAGGYSALKNLRKLDTTQLILQNHMSS EFAFFESEKHRQNRMLYYQVLCKILFAEDNCEREFIEFMKPFDLRFKSLASLDSVEAF RQEHVRRALQDLFRDLRGFITPIQSRRNFTLFFEWFYPDYMPILLRGLEAWSPTPVAN TLLKFFAEFVHNKSQRLNFEISSPNGILIFRDTSQILTTYGSRVLEQQITDESRKYPF KYKGISICFTILSRCMGGRYINFGVFWLYQDKAIDEAFSMIFQLMLSIPLQDLMYFMI VLEYRGRRHKGQGVGDKEMCCCVLLCAAGFPKLTKAFFSMLDDFTHEQFMALPSLSPD TFLYMMRACEQGVEYTETWLSTMSPALWQRRLRRQNFFNGHPYNNNDSSSNSNSLLST SPDLMVDRRRSSVAFPSTHWLMGYLVQFPQVLPSLFATLFGLILFDDNTEQWSLSRPL YSIMLLQKEYAIKYTSEVINRQLPERREFVAKALNNLMDGINWSLCVKDRERFSQNVS AFKRELSMNNVVLVPLSPPNYN PHYBLDRAFT_171765 MCIYVSEVNSEIEVKRKVLSVAFEQANVILEAFTNTGYCVHNLG GLIYRHYRPQWFLLVASQGSMVSKISEKYKNTKRSLLGYRGSTEDRPSPCGLLKIGIG IIDTKIPLFHFL PHYBLDRAFT_60288 MLSSTSKVAVHAENQGCTSKNRVKLFEAVFLQFNSTVKVQISIK NLIYSPFLVFTYCQLCFFSLLAEKLGSIFTIEPNTEDSIVHKGLQSKSMFKVQSSKFK ETFLSELHVVSTIKARNPGSTLQHGLKIHKLLGYVCINNDTYPVFSRPKSAINVLLYI IGNRYSKFYELLFTVYSSFLLFKTYNVKSQGSAINPSFRTYKPI PHYBLDRAFT_148927 MAKNDDQIYIHYGRPNSKDQNSNLGYSVFTIRLHNENFVFLPVR QSKPAIMDPISVQCYSVLAVKGNEQEPVPLLSMPMIPNPSPIQSDRATIKDSISIQYD SVFTAQVEEQGFLLHPRLQVYNSLLAFA PHYBLDRAFT_148928 MVKAIRVKRQGPDRPSMVIAIRVKHQEPDPPSMSPVINVKRQGP DRPSMVIVIQDKHHGFDLPAMFKSPAIQDKHQGSDLPAMVIAIQVKCQGPDRPAMGIA IDIRRQGCDLPAKCSVIQVNQQDSDLPSMVIAIDVRRQGSDLPAMCSVIQVNQQDSDL PSMCSVIQVNQQDSDLPSMVIAIHVKRPGFDLPTMFTAIRVKRQGPDRPSMVIAIRVK RQEPDPPSMVTAIQVKHQGSDRPSKIIVIHVKHQGSDHPSMPPAIQIKRQGPDHPSMV IIVQVKHQGSGLPAMSPAIRIKHQDSDLPAMSPAIRIKHQDSDLPAMGIAIQVKHQDS DLPAMGIGITKIDEQSHSALFISSVESTKIMLHGSGFMSTHPRQLSGSDFHELL PHYBLDRAFT_148929 MDPAMILLLEDTQRLSAPPIRTFQAKSSNQKQVSIQGHRYTKTR GAYAHCLLYASSAFRPGQASGIRSESTVISIHSIQVKLQGSGQVFIPSRSSFKVQVKV NGFRSRSTVSVSNSIQVKHQGSDQSPWFSCISNLDEQLLICFCIFELSIPSRSSFKVQ VKVHRFSIHSIQVKRQGPGQGPRFSGISNLDEQLLICFCIFELSIPSRSSFKVQVKVH RFRSSFKVQVKVHGFQVKLQGHVKVHGCQYPIHPRKASMFRSPSYVSAIQVKCQGPDF PAMAIDIRRQGCDLPAKCSVIQVNQQDSDLPSMVIAIDVRRQGSDLPAMVIVFSHPGQ STGF PHYBLDRAFT_182603 MFPIIPRKPFSPKTFRTLCTPSPDNPVPPLHTHQWRTFWSAPIH HSVRSLWFRALHNKLSCRSVLHQTVPTIFPDGSCPICGDIKESTSHFLFTCPPKFSAW TIFWSTHFGNVPSTQDIHSALFSFRLPPSLTPDIPAVSLVSCILLAIWRHHWSFVFDD APFLSTSVLVTAASLVTRFHAELSLPLSD PHYBLDRAFT_171769 MALPATQQETTPQGSPHYGDNNFPPLSPNIHPSTQPNAPTHALP TTTPITFASLVDPEKQRSLTRIERVLGSDDPYAIPTECRFGTSPHSVFYDLPQSDDSF MAAFWTAIHAAFSEEEAFAEVTSVRNNTHIVELYLESDTLCNRACAEPIVVQDSVILA HRAISSSVMMMKLNITGLPRLSRPCLNELIRSSLYSFGIIKEVVIYLENRFFTGSGYV YLERPPNQDRVYSPLVHKIPCEGYGHVFGTWAKMGPHCRYCKAMGHVLADCPTRPIES RTCHACQAVGHLQATCPRINNPHPNNNTSNKRIRKQPRRESQSTPARTQTLPPVLPAL PKRKKKSAPRTPPANQFDILGKSAAEIEAELAQLSTHDPYRRVLRAALKNITDESNRD IPYQEYDPNKDDLAMDTQDSENGAESESSSDSDNADNDQHGSLMTGIVVHQNINPPQ PHYBLDRAFT_171770 MRGRNQFIRGVVEKIFFCFGRVTVKTGFVYSSAWTLLGADQTVL GLLDADQTVLETIGCGPDGFGDYWVRTRRFWRLLGADQTVLETIGCGPDGFGTIGCGP DGFGDYWVRTRRF PHYBLDRAFT_115825 MVSPADFEIVVTMVLAQVKKENDAVVAPVDFESVVTMVLAQVKK ENDAVVAPVDFESVVTMVLAQVKKENDAVVVPVDFESVVTMVLAQVKKENDAVVVPVD FESVVTMVLAQVKKENDAVVAPVDFESVVTMVLAQVKKENDAVVVPVDFESVVTMVLA QVKKENDAVVAPVDFESVVALIGIRRFE PHYBLDRAFT_188122 MTGLSDVTRGVKRFVACVDWKEIGEVERFQWSELHDLVYFNLVE CTVIDPMHNLYLGTAKRIREKWKSSGLITDTHLAEMQLDADNLVLPEDYTPLGTKIGR GFPFMKADEWKSWCLVYSPVLLRGRLPEAHIGNWTTFVNACQYLSMPSISVAHLDEAH QSLEAFCRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNATSTSTA TSIQFDINAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYEHLLEYYRETY DDQTLVHYRQAGHSDNFVSNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRS TKPYADQIQYLFVNTAVNSFAGHASQHVFAHV PHYBLDRAFT_148934 MRVVKSNVPKEDVYQNDSIYSSCPHKPNTRLNVARNSALTGVSI TATEVQVTVSPIEHILTLLIANNATIQSLESKLKTTSEEIAHLKARPEWSITGTFGDE YIKSLALAIVKYFRIYKCCKPVPKSPNAIHVIMKRPECRNILSVDVMSDDESNIDNKV QEFITAVDSFTVKRLKKNAKSLLKRIPDEKETSMPQNLAVTLSEWCFSK PHYBLDRAFT_97093 LTKISTPFEDLCKEKQTEIFLSWKFSNDPQLVAIYKTFSTAILS AAYNMSNSPHQAAIGYPGTDPVRSAPDYIPCIVRERYSIMNEKELLDPTLKFDVIIVG SGAGGGVCAAELSQAGLSVLVIEKGKYYHESEFTSDVPVAYKNMYLQGGSFAARKGEI SVLAGSTFGGATTVNFLASLKPQHFVREEWAKMGLTHFTSSKFSDDLDKVYKRIGATT EGIKHSIPNQILIDGCKKLGCHIENIPQNTSGKSHECGWCLTGCKDGIKNGTSNSWLR TAAQHGARFVDQAKVNRVIIVDGKATGVEFQINNSDQTIRLNSSRVVISAGSLSTPGV LFKSGLKNKNIGQNLRLHPVTVVYGFFDNRQTDPFEGSIMTSISSSLENLDGEYYGSK LVVPHHTTDMFIFGVPWQGKKEHKEAMLKFRQSSSVAVLVRDKDSKGSVGYTKDDKIS ITYEISKIGKMSIVKGNIRAAMILCAAGAREIHTGSFGMKPFVFSPDESIEVNNPRFV KWLDEIEKYGMPVNGMNYFSAHQLGSCRMGVSPDTSATKPTGETWETRNLYVCDASLF PTASGVNPMVTTEAIALHVADSIIK PHYBLDRAFT_116033 MNVVHDGANVPKTSEHPNTFSDYVKFLKNQVHSPSAITPSLPST QAKVRIQCQVRARIPTNEGGEMFLYLYKNNLDTKEHLAIVYGDDIRSKSLDQAWENET IMNRIVRGAFYGRLEEVVTDEFAYLEKQQAEAKKIWSASTEPPLVRIHSECFTGETVH SARCDCGEQLDEAMRLMQVAGRGVIVYLRQEGRGIGLLEKLKAYNLQDLGHDTVAANV LLNHPADGRTYGIANAILEDLQLKKVRLLTNNPDKIQQLESFGSVNVVERRGMVPQSC SPKKELDRYLAVKVKKMGHILDLPDRL PHYBLDRAFT_182606 MAYQITVLYFAAARDITQRPSETLTVDSDWTLARLTEKLVDMYG SPLEKILGTSMYAVNMDYVSSSDVSTTNLKPHDEIAIIPPVSGG PHYBLDRAFT_171776 MTNATVKETTAILDLKKLALQEKSYLSYPPTVYSELEPFEHNDP GHRADPTKASIYNNAEKIFDLTPNIGTEIHGLQLSQLTNQQKDDLALLVAERGVVFFR DQDLNYQQGKELGRYYGPLHIHLTEGHVPNEPEVFPIFYDKSDEANKISSYFRTASDG WHSDVSYELQPPGFTFLKLDTLPTIGGDTLWSSSYAAYDRLSPALQKFLEGLEVVHSA KDQGLGAKARGITLRRQNVEHTHPLIRTHPVTGWKGLFVQPIFVHHIVGLSKRESDTI LNFLYEHIYGGHDFQVRFKWTEDTIAVWDNRVTTHCAIFDYLNIDRRHGWRITAQAER PYYDPKSKSRSEELRKKQSSGQ PHYBLDRAFT_188124 MVIPLYYIRFFKPPPDVVECNQPFSVVWTIETDLGDESYWQSIP VTCNIASCQDPSVRLRVFSDKTKTKKEVLQPWTKEIKLDYNPMRGGVVCTRLMIESTS ANSSLQIVFKLGGKTRRHPVWTYCGLFENNDCLWILPAWTCPIKLMNPTKKSQRVQIS LPEPVSSQQAERILTVPGGTVRICEDAFQSIARHVWDCGLGMCSYIFQQTQKGILDFN NKNLIELGSGTALVGIYAAQCSTPSCVYLTDLPEAIEIMEQNVALMPPQNNVEMVVKS LEWGPIPEKTSWLEMPVDIVLLTDVLYNQGSHDVLLDTLDWLVQKQTKILLAYKERNP DERVFFEKLKQRDYQIIQVEEEDLVCEIYWIQKNSYNDL PHYBLDRAFT_34765 MAGLDLKNIPDREEDIDFSDIYEKHTINVDDDLDTIVVVDGAPV VDEAKEEKLMSVLKKLFTKSAGEIKESGMWMPMSPNDKGKIESKGYLFIDFETPEAAH AAVKNLDGHKMSKTHQLSVNKFTDVEKYTSMNDTFVEPEAEEYIPKEHIRSWLTDEQA RDQFVMYRGDDVSIFWNQKADTPDHVYTRQNWTETYVQWSPLGSYLSTFHQMGIALWG GPSWSKIVRFVHPGVKLIDFSPNERYLVTWSNDPISPSKIPEGSPNPFSEYDEGNQVV IWDVKTGALLRSFPVPQSADAQKTVRWPMFKWSASEKYFARIVPGQQLSIYEAPSMGL VDKKSIKIDGIVDFEWSPAKADQSDSKIIQKEDVLAYWTPEIGNQPARVTLMAVPSKE IIRTKNLFNVTDCKLHWQSKGHFLAVKVDRHTKTKKSTFTNIEIFRVCEKGIPVETLE IKEQVLAFAWEPNGERFAAITTSDPAPGTTAGQGAAPVTAKTAITFYYLDTSKAIAGF KPLKVIDKKTANFMYWSPKGRHIVFATLRSSSVFDLEFWDLDFEPLSTEGGKKDDIGA SVQLLSTQEHYGVTDIEWDPTGRYVVTGSSMWRHTADHGFCLWDFKGQLLLKQNIEKF KQLLWRPRPKSLLSAEQKKKIRKNLRQYSKVFDEQDLAAGDANTAQFIAGRRKAIEEW YGWRKQCEKKLAEERKALGKELRSVDDGKSETIEEWVEEVIEETEEIV PHYBLDRAFT_148941 MRLVIRQDYDEVSAWTAHYIKERINQFQPTEKRPFVLGLPTGSS PIGCYHRLAEFCKSGQLSFRNVVTFNMDECHIDIKPENINMLNGNAEDLDAECHRYEA AMAAHGGIELFLGGIGPDGHIAFNEPGSSLTSRTRVKTLAYETIIANTRFFDGDIDRV PKLALTVGVATFMDAREVCIMITGAHKSIALAKCIEEGVNHMWSVSAIQMHPKGLVVC DEDATLELHVKTVKYFKSIEHVHQSLIGQENLGLQGQLLPSDKVRSLARLSNHGRTLE SEGEPAKKKTRRSLQ PHYBLDRAFT_159673 MVKLEEVSNDHIDESLSDNDQYSDYSDDEDDFDVDEDESLLDRI AALKEIIPLKHRQRMSSSVSTVTSWGKTGATFVGKSAWVLTTSMLLLVLPLALEIEKE QALVAYEKEAMQQQGTQQMLNSGMYQPGQPQAQSQKGLTPPGF PHYBLDRAFT_73381 MVSLKVVHIDRTSDSLVSSSLLPATSKSITAPYPFFSNIDSPSL FNSVLKLFGFKTTNNSPLGFMPRQIPCTTLVPVDVACSPSIPISSSHLHSRLVQIPYS PDDLDTNTDQGSEHCQSSLRTRSPTDSFYSLSSLSLSEILQHHYQSNNSTPDPHSEPI SSSPSLIPLAVASGQSNIISLKTTVTASPKSRQQTRASSKHILDNSGNNSIHIRDTRT NSRHLCTLVIEINMMRAQKIVGPLRPRHCLPKRKDTFVANRPSRLREE PHYBLDRAFT_148944 MANGVSVLNAADYPFSVMITKPVMCGGALISLDPPWILTAAHCI SNFTSGLPDRTVNAVGYGSTNISHLKYASILKAVPHPRYNTQLDPNTLPSQDDRDSHT MHYDIGLVQLTKPILAGPGVDRIALWGSTEPDPDWESSLLTIGMGYIGLDKIQAKTLQ KASCDIVASSISKTYSPSIQENVYLTTSPASLCHGDSGSPLIGTNEKDPGRFFLVGIL NRILNAHDPNPSKATCPVPNGDPAVVYNAFAKGVVHLEWIMNVTQLSVADLTQHKPVG SYSLTLSSGSHHLLFSPVFSIIIVSLLLWVL PHYBLDRAFT_116084 MLLNTSRGLKSNASQTGPRSVGLRREDKSRWERRAALTPATVEQ LICDTGSRIYVQPSTKRIFSDEAYRKAGATITEDISEADIILGIKEVPEEALIANKTY LFFSHSHKGNQKNMSMLKNILEKDIRLIDYELMKDSSGKRLVAFGQFAGNAGMVDSLH GMGHRFLGMGYSTPFMYLAMAHGYKSLQDARQAVGAMGAMIEEQGTPKDFGPLVFGFT GAGNVAHGALDVFRELPHEFVAAEDLPLLVKDKNPNLNKLYATHLAIPDYIQHRDGSP CTDPNDYLSNPSGYQSVFHQKVAPFVNTVVTGGYWDDRYPRLLTNSQLKELQIQQQLG HIPRGKMMTLADIVCDVKGAFESLSHSTNIDNGFFYYDAINNIEHENAEEAGMQIMGV DILPAELPIESSQHFSNVLYPHLKELVSDSVTSNAIKLSELSATLANATIADKGKLTK EYKGLEGKLPLQSKSFQASSANNSGPAQLKTVLLLGSGMVAGPLVEHLLKRPDVRVVV ASNMANEAKALVANHDRAESVGLDISNGSQLSGLVSKADVVVSFVPAFLHPKVAKVCI QERKHMVTASYVSEEMQELDDLAKKAGVLIMNEVGLDPGIDHMSAMKIIDESKRRGSK IRSFISWCGGLPAPEASNVPLGYKFSWSPRGVLTASGNTATYWTGGKRFTIPGESLLR QHFPAVRTSYKGFVFEGLANRDSLSYVDTYGLGDLSDMDTMFRGTLRYQGYSDVLYGF KKLGFLDQTSQLSKCTSWVYILKKGENTQDRTDAMVLKLGLPKDHPMVEKVLDAMQAL SSPQMNQVQFPQNVSPLDAFSVLLAHQLQYLPGERDMVAMHHEFGIEHSSGKKETVTS TLIHYGNDHHTAMAKTVGLPAAMTTELVLDNKIPERGILRPTSSHVYLPVLDQLEHVG VQFVESVQASHPIRLDGTGSGAWD PHYBLDRAFT_159674 MLLANSKEYIPLKQISKSIRYALGSSYAFDPTNESSNPGLTESV ASIVETHMMSLESITPKEPSDLLMFPFLDSPSSVEHLVIASKTLSGNTRLIQCYVFMV NDTVFVTLPHHITYCDGWQQGELQACMAYLIDLAELRPGCNSLIIMLDNHQDSLVDLR AFMYMGFVLLDCSVYKQDPSWTFALYELGTN PHYBLDRAFT_116012 MDTLTDHGKYKTDRVFFISFIDVQYITAINIEGFDCIVMDPPWP NKSVHRSSHYETQDIYDFFKIPLPSLLSEEHPSLVAVWVTNRPKYRRFVIDKLFKAWG VTWVTDWYWLKLTTKGEPVMPLDSPHRKPYEQLIIGRRIPESTGEIPSNINIPPRILA SVPSNRHSRKPPLDSILAPYLPNKPKCLELFARCLTPGWTSWGNECLKFQHQHYFTST PNHNESSETEPSRLASDIK PHYBLDRAFT_73386 MDAVEITGYTFEPPSQLEGLDSIVIANGQRIYDKLPVIAKLSCQ TLRLEREYHSIMQFYNLPEARTMLCEPLEKIVLPNGWVAVIFVRYGKNRLEALQPITT QTPASDSISFASHPPLSLDDFFDFAIQACNCLEIIHKNQIVHGEIKPAAFIWPENDSL KIWNFGSGVRSLETNLTSEGWRKTVHRTSASNLWQILIYMSPEQTGRTTFQPDHRTDL YSLGITFFVILTQSLPFAYNSPMEIVHSVLNRQIPNVHSFREDVPPICSQIIQKLTHK SPDKRYTSAHGLREDLKECQKQLKAHGNPQTVTPFRLGTSDIACVFTLPAGCFGRENE MEIINGIIRRAAYVCNLERRRRLQGSTSSLLVPLGDSIHSTSSSSCSSISSSTPTNTT TTITDYIQPRPSTSARTRTTLTHWKKPTEVVAIYGVSGVGKSTLIRCMQETAHEYGYV AVAKFDVRQPTPYGCLLRCMNIFLRHILAEPPAETEAFSEMLKQHLWPQDNQPAISLP DLLLDNVPELSVILGDYCPVERDSEADISGGEIKARFHSAFIAIFQVMVNFKFITLFL EDLHQADEASIELLESLITARLNVLVIVSYRQGELSESALRLVCNDDSLVTNVELKNL DQDALMEYVRTAMHRHKDLDTQLLGPLVEFIYRKTNGNPFYVGQLLVALEKKGLLYFT WEQRRWEYNLDGIKKAVVHGPSLETDKDHDIDNEFLVQRLNELPPDGRTFLKWASFIG NSFSYEAVHYLMTAPAEKDSQSLLMVSSDDILARRRPCDAINGLQSALQQGFIQPSSN DEFRFCHDRYSQAAMALVRPERHDWMHLRIASYFINRPCADAFWVADHIQAAITLIQR YDAKHKHRAALTRAGNQAYKSGAHNIAFSYFASARDLLAPEPWVDGPDSEYQETLHIY IRLAEISWFMGYSMTLDLLKTILAHAKTATDRAAAYRIQHRYSWVHGEHQGKALILLE CLQELGVEQIKLDLSDEALKELYDNTWMAVTTLGIDKISELPACNSHLVRTRLSILEE LCLWAFQRNEVKTVLIVGSRLILKTIRCGISPTTGVGFVFFGIASMHLFKAYEFGQQM GEVGLGLCKKFGGNSELATANYLYGTFLSSWKNHYRAALPMFRQALKQSLLSGDRLYA IFSHIQIVMGMLLSSHPLEDTLKEAQLCAEQAALAHRPGSTPLYITTVIRAIRAFQGK TMLTEDRLFDDDTFKEEAFVAEMSEYGHTSGLPMYWYFAIKLITLTVYGFDEAAIKIG DKYAQLSVIQPSFRHTHLMLFCHTVSMLRLKRKDKNSSDFDALIQRNRDTLAEWAHQS PINLAMFVSLIDAELASFSDDRKTAQDLYELAIRQAKEGDWGSEINIMYELAGEYYIR AGLGQIGMMLIEKAVAGWQLRGCHGMSQYLVKRHGLLADYDNMVAARDVEVQTEPLHM STPRQSSFDDLSFVATCAEKSVDEHATPEEMLMTLDMVDLVSILKSSQIISSEMNFEL LMEQMLGIILENSGAASGVIIIKENSSFYVMGCGSQSKGNYFRYCCEIFKTPQPLSED NDSLMTRVAHYVLHAQESMLICDIQRDPHFSDFATTPVSIICTPITHKSAVVGCIYIE SAVGSLTSRHEIVMRLLSQQIGISVTNALLFKSIQKVTYANVKMIESQKAALEEARQS KEAALRAMKLKADFLANMSHELRTPFSGFYGMISLLSETSLDAEQTDIVYTAKESCEM LLRIIDDLLNFSKLEAGKVVLDLGPMVVKEVIADTIEILSSLAARKGLELAYIVDADV PDTVICDSSRLRQILTNLLGNAIKFTHQGGVVIKCMIDDAESQDDSIHLKFEVLDTGI GINPEQQRNLFEPFSQVDGSTTRMYGGTGLGLSICLQLVRLMMGTICVESEPGQGSNF WFTVNVSQASETPKNSSDCAAMAALYSKRSLLLATHHDPTSYMLQSLLPEFKVKRTSD VQHAIALALKEHHDILLLDVPPIPNSFIAHQLQSIDDDPECDLHIIVLYTPATEGHKV AAEATNSASERRGRIVKMAKPARRSKLLKLIEQVIDHPRQSPQPTRKSPLSGYKMSDY FQSNELAYFLQRPVLIAEDNMVAQKLLRKQLEKMGFKVESANNGEEAVQLWEQRPPNY FCIGFFDHHMPKCDGVEATKRIRHSETMAEAEGTTRGRLSIIALTADVQTSARDVCFG AGMDGYLTKPLIPKELSIILQQLYPRSELESEVKELS PHYBLDRAFT_104138 ISHMLSEEDKHVFTTATQELLTDNSQTVEVRFHVVTDKATIEME GKGMLMYNRVTGEASHT PHYBLDRAFT_116085 MTRPTPPTIKDFVIIKAISKGAYGSVFLAKKRVTGDYYAIKFLK KSDMIAKNQVTNVKAERMILMTQTDSPFVTKLYYTFQSKDYLYLVLEYLNGGDCSALL KALGSLPEDWGRNYLAEVTLGLAYLNTKNIIHRDLKPDNLLIDQNGHLKLTDFGLSQN ISLGPVDYNTDEKSGKWRTGGFFSNLGPSTPGIVTPGFFERQENERSDARKTAIGTPD YIAPESILGTGEDTMVDWWALGVICYEFLYGIPPFHAETPDKVFENILSRRIDWHEDS VDISPEARDFMERLMTLDPRKRLGANGPEEVKQHPFFKDLNWDTLLKESPSFIPNPEN VEDTNYFD PHYBLDRAFT_148951 MALPDGEIITKPFAYWKPEHQKPIQIIDYVECITFSLQTGVFCL LQCFWNYLSNSVAKKTFMGSNEFKLYIIWAIASMAVFPFLQWWYRNDVLKREAVPQLA YSCEVLILVILGIRSHFRFLRIIKISHKTQNANSPVVIKMTYFKDMNIILTISMLSYG GSLMILCADGLTEKMFLNTSKFASDLIIGNCNVSVIFIWIALISIFHPRSQFQQDRTI HSASEATQQNTKLSNLPVRHDQSTGFAVSSTGSGAYRLNERITSFMATKFQAEQTNGL VDENPGYAYTTQQNVFNSSSQQPLSPLSPPSRNNIVGRNKISVEDPYSNEDITFTMVD PSSRPVQLNSRFEDSAYENIEYQHPTEHSSSNPLFQPSNNSSGFSRIEPNSPLPPVPG QNSAKPSRFDPLSWEQTQASYDPNYPYLETPPIAVPSGGRDKSVGQDWLKQSPHRRTS PHYBLDRAFT_148952 MSTPSNPHAPTSQQLHANGPWLTHSRTLSRDRSPSPTQSTSRQS RSRQSTSTGDFSAGDDLGRTIGKGYQEIQKRTLTKWINVQLGTVGDSITNIETDLRDG RKLLSLLSVVAKEPVPKPEKGKMRIHHLSNVAQVFSFLEKQTGPGALPDIGTEAIVNG DLKNTLVLTFFIMLKYQIQLILTDENGAFIKSMPKIDRSSTDLSIEPSSPLPSLSSAL TGKRSSRSGEMGEKAQSSSSEAKMALLYWVRLQLEDYVAVHVLPEIQDFSRSWRTGIA FCLLIHRHDPSLLPSIFTDHIHRDTSERQICYDLLNLAFDLATTHMNIPRYLEPEDLT DVDYPHEPSVMLYVSAYYSAMSKQQQEDPSKEEARANERKKAIRQVFGNVLPMSLNTQ LPLLTLETSTPIQPPDTLPQNTKESIYLPVDNQNISVENSQNTLTTPAHLAMADQLER LDDLWKNIKPSQETDNLHPLDGSLAQAHSYRQAVNMATEETYRLGEAIQVANPPLELS TAYQSLVELQQDKQRDSTAFERGVSFLHITQAMIDELELVHQMMNDNQQSITDQAIRQ LEERVAMVSATIDAVKEEYSSLLGQDDDEDDEKEYHKRTDDEQRFFDHLQTVEERYET VQDWVDQVRVWFVEAERIRKWIGERIDLIENRNELHPIDPLGQEMALEDEETIQLHEE HEKLKREIERFDGNDMTRLRSHVKTLTVAERDRELSPADTSTIEITLTTLNMLNQLMH LLRKRSLTLDLAMLRVKWEALFGTAVEWIVSTDEDMDVFLDGKARWSEKEDSYESGIE QVIQTLVNLENRIADFDSGTYSHVLEAYQETEDLAATALPEHLECRQSGFEKAFEDLM KRSSFSRKVVEQLLSVIHAVNQFKQLREEGEALRQAMLDSADHCTRSSDDEIFAEKVQ AFKESSAHVITHVGTRVPYPSVPEMSTAMGRRDAYHNQMTNEAIKSTISAHGMSLALI ADGLDQLLKSRHSIISLQQRASLAYDEMGKVTQWMEERARALSKTRLDQNEDCRMEEE EVSRLEKERDGIAVRLNQMEEDDLTRLREIVRSLEDEVDASNAVAIDRSALVNSIERL DRTHAHLQELLAHRASALDILKKRISWETQWSRTNQWIITIARKLWDFTAKKARYDPI KDNVDKPSYANDKENMQAWQSLHDKVVEIGDRHLQLTNDQFQALSDCYAEQDSNETMP SSMNDRQNEQQQRYEELQHLSSHAADLMTQRSTITEFLLRVQDCHHEGEKIRDLITKM MRRIMEQDARPLDTRVTAFKEEIRRVWQECGKSMPFPVFRGQLLLSLQPAEVSNSTHI RQQIRALLERKQQELQQLENTIDDLLKAYQEADSMKSLVSQYDQEAARLRLWIEENSE RLQHQHIDVAAEVITDLTPENLESRWKHQNELCGALEQFEAGEVKTLHDSIATLIEES MKKKKNRTVDVSSAAHSLGEVMNSIAQLKQNLSHQSVTLEAAMRRSVWEKKVEEGLGH LETMNEQLRQFTSKKNQWIAQDNLSEEHVYTLESDLAQLVAQRDVFEDALLPEIQATY EDFIAYFPRLARPMATPDHIEASMEGLNRSSARFQEQLTSRTKELELIQQRSEWEQVV RDALSYLSKGEAAIESFVEDKARWHPNAEMQEEDEERLRAEWVGLRDQFEAYQQSTTL PLKQQFDALRDMATMYNASLVSEALAKRMEEMDGAEKRLDSGLVFSNKVVTQRCLVSA FILRTAQLEQSAELIREEFMASKEQAETNTERLELFKAGIEDVRENLAGSIPYPVRSP DNTMGRLKDETTNSVIKDTVETRHRRLDELSSSLQLLLESKERISRRRMSLHTYQQQV EACEAWIGSRRELLQTHLETDYTKQDALQLKETVSLADSIETAMTAHENVFTVLKNTF EKCKAAFDEQLAEEGDEALVKEFNAIAPLQNHLDNSWKTLLTEAATATKIISAALVPA QLEERLESLIAAIENLQEAMAKTDSTDLTDECLAQWQKSVDDLEIKSFHGIQVDLEEN RSKITETKVDQLSTQLEKCGEGILAIRSTLAGLYDVVNVNRLKRTYNENMVLLQEKMA QLQKLLENALDHCKQLDASSEVRILQQHDLGSLSKEIKRDMNDSKEAYDDVCGYHHLI KSQGYHGDLQESQNLIDESWKEVQNRSLALASHVTRVATWISCLDKLDQFQADLSVTR ANLEQALTAEKGPGLWTGVSKTIDKVNTGLDGMANVGEGEDMQTDKDNYSHWKHRQEE LFALTHQLAADLSTHQLSWERENLAETFHADIKRLCMLCEDELESLRHLNSLQTTLET NAMEEVVQKNSITLARLHDTYDSCKEEVDCLVGQQGKELATSLGQTITEVEETTAPLI DLMDTWNTNMTIQDDCLDLLRETTKHVQQGDVIQSSLEDLKSVVSGKPVQPDRPSLDL AEIDQLYESLDESVASFTSEGDNLQKKLKNYVDGHGGSLADGVSVRQETIINAWSDLK QLVIDTRARMEEAQKRRQVATKLSEALRYVGDMKDRVNALQFTGKSVNTEEEELQKTQ DEIDQRLGRKTADIDELLANLTDVDQTLIVQRAQLTVDIEELRELIQNKQRQAAEEGN VAEFSRLMERLDALLGQLSTAIDMAAPHNASLVNNKFNRPELQALMRNLITAYKKLGP GITSILSESKEEAQKQFLDDNDRVSDRLAKAIARWGKLQAAAAARERELQTCISKLDH EFFTKLAMAKSNPRKQARQAKEAPPASPLKRVAGQPQRQQLSAGTASPLPMLSSRRMS NNGTLGVSDQDNRSVSSIRRSQTPTGISHPPTAKPRASGYVPDPNSTLDVALGQIVNE SPYRLKVKMVPGEVGKYWFGEESPRLVYCRILPSKLVMVRVGGGWVELSKFLSDHGLT DGVVTPRNDGQNTPSTLCDGAPFQEIHLQTVRAVSPSGRVMIRGGGLGISSETSGINT VNGTSSSLAPSRSSSKSSSSQSRSTIPTGFVDGDKYVRVDELGNQMMVKMKRAEEGAK MPTVSKKKPT PHYBLDRAFT_148953 MELTLKDITYLNQDPLFNTPLKLLIRNVIDISPHKEFKDLYQHY DHIVRYAEVCGVIVGIEQNYYGHTYTVDDSTGLLDCHYWKLMDSEPLAFNLSQTVRLR GKLSDYREKRQLIIDDKELVENMEESVHWVEALHLDKYYRKSYMLPSLPESSDIQSEE DPFESALLAYWRKHPDDVYSFAEVCQDPDLVELAHKLLSEVSGWGVTEHDLVELFSST TKALARAGYLAPAGSSESNLYRLMTPKELEKGVLKIINEICELMDLGIRKNYIIERFR KYPAFCFVEDSTIISIIKSLLEQSVLYESDYNVYKIVV PHYBLDRAFT_156047 MVNIPKTRNTFCKGGKCKKHTPHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKIVLRLECTACKYKMQLSIKRCKHFELGGDKKTKGA ALVF PHYBLDRAFT_148955 MARTDGREEVQLSASALASLRLLVAGLIRDFSSAMDHEENNPLH PGSAPSEESSSIISHTHLVNSSHNTHPRPIKMESTRERSSIGRKTINTAKPATITNDR LSSEQLISLSRRIDPSELLPPNCPPTKPDLPPFLYQTCSDLWSRQPLPTHPNIHLLAD LFKIRFTQAKCRLSNLLKDIPDHPLSHSLQTPSMHCIQPLFKHHMPGTLKRTVGNGKN LFHLGGRKNILPSPSLPTIRRRNTKGHKKKAPVLQAKTKRTPGTRRQPQAQLIPPITL EDGSVVFVCEPCNKRYKNRGGLIYHLDRCQYQLDKKEEDPVIMHCVCDKPSEDSRERI QCDDCREWLHLDCITKPTTSEFHCPRCVTSYELPQPVDGLIWNPDLSDPHGQVIAAWR DPHHTLTTEAANEAWNRLSEDTYRTNERHTFSHLSAPDNNSLTRQFSFVDTLSGTGLD DVDYDDTDYMSVQQLNNQLPSSALSCEDDNLWFEFTSFDDDHLSPIH PHYBLDRAFT_126695 MNERKFVFDWDAGEDTSYDFNPLYANPHNAQMFGRGHIAGIDIK EQKKQKSEFYNQMLKERRTDEEIERAAELIEMDKKREAKMMWDDRHWTEKPLEQMAER DWRIFKEDFNISTKGGGIPRPIRSWKESGLPDNVLKIIDQVGYKEPTPIQRQAIPIGI QCRDIIGVAETGSGKTASFVIPLLVYISDLPKLTEENMSDGPYALIMAPTRELAQQIE QETLKFCTPLGFNCVSVIGGHAIEEQSFNLRNGAEIIIATPGRLRDFLDRRILVLNQC TYVVMDEADRMIDMGFETDVNLILDALPVSNVKPEGDEAENIEALMVTNSGRKFRQTT MFSATMPPAVERLAKKYLRRPAVVTIGQAGQAVESVEQRVEMINDENKKKNRLLEILN SGNYAPPIIIFVNQKKGVDVLAKALNRLGHQAVTLHGGKSQEQRESALSQVKTGSADI LVATDVAGRGIDVKNVSLVINYDMANNIEDYTHRIGRTGRAGKTGVAITFLSNTDTEV MYDLKQMMVKSPLSKVPPELANHESAQTKGGVVKSKKKHEETLFQ PHYBLDRAFT_26823 MWTRIRCTAISLFKRYWFLVGLGFAIGLAWAIPDVGKTNGVLQA QYTIRWGAVILIFLLSGLGIEVRELFHTFLQWRLHLVVQIISFIIMPLTMYTVTYIFM SANAPMDNMMYKGWIIALSTSTTVSSNAIMTRNAGGNDGAALFNAAVGNIMGVFISPA LIELFENDTTLFPPGTERGDPNYLSILKTMGLTVLLPLVAGQAIRYFFPKQTTYLAAK LRFPIINSLALIVLVWSVFCDGVASNAFVNMKTVDILAILFVDMFMYLFGCGLCLFVA RLPWPRRYIREPIWVRKWRFSKKDAVAIMYCGSTKAASMGIVLINVLYNKSSYDVVGV LSLPSLLYHISQLFLGNFQVGFLKKWVKEGERELTEADGPHDTSYESRMTLPRFTKGQ VIIDEIKEIKSHPQVAPTA PHYBLDRAFT_126696 MHNHLRTHTGERPFECKISDCNKKFSRLDSLTTHIKTHSDIKPF ECPHHDCGKTYYHTRSLRKHEKNHRTERPSQSSEMCIPTTYTFPSSGQITHTHLIDPS SSATSMVSIQQSPIHCYPDSQPHSFQPTPSPNSHPSHYSNGIKKWQTNWH PHYBLDRAFT_73397 MYDEWFRKPTSRVTTTTVFLRIAYEIDTYKFQPEPSKYNNIALY ILATYLITQNTQSTQSAQSTSKYSNYCLELSVFEHVYVYSSYCQLIGIFRKDILLTIF TIEIQWGFNRTEKKCTLALCSTGLYHDYQTAFFTDKVL PHYBLDRAFT_148959 MSHLPGVLFFWKDLERPIDIILLQSDQSKSFNNIIKEDLFDCVV YTPQLSEEQTPQPNTGSFLLMNLAS PHYBLDRAFT_171795 MVLYMKNIFFFLAHHILCYSVFDLYPFAIQIMKSFYSKGICSTP SYILSFPIWVGLPFTITKSKGQTHWGSRSILVRGRIEDLRLGTKIRENLCWFCLLRKD FVSKFDEENYMTVVSLNEVLTSKVNVFMSYNYAYNNPLMYLIL PHYBLDRAFT_171796 MGGTRDLFRIYWYTFLVSARMEHGNKVHIPIYDIVRGIHQSGLM TWFPGSKSMEAKSLTKLQRLARWSNSAPTNDAERRLTEHSSALTPPNVILQQIDHNDL IQGNLYILKISLIVVGLFQGWDDDMCCFVILRSDVSSMRWSEARYIPGDFAAFDAFDS NGNPSVFLWTRQLRAPIPNNWFTLFEEARRKQRDWSNTLRPQELPVFPAVPTLQNSIG EDKSVEYITLTDNPVPPLSTVNVLQESLTKKKSQQQQQSPPKTKPQTSHFSIDHDLDT QPESVPSQQPISHPTSYSHHDKVKSYTTQLRGFPSLSSSSDGSFTPIQELSRHQLQHN THTALSGPKTGESPDLPSTQYSSSIEGTEQSATSPSTNDSFAGKATSFIKEAPAATSS TSAFYQLAMIGHSSVDLNPMASQSRDTSSIFHYHHNNNAVSSLIDASQKPLFNVSLFD NDITFPHDADQFSIHTTEYQSSIRSHSPSPSLEDTHTTTKHRVNRKSVKRLFRIK PHYBLDRAFT_188133 MTEQVEWPANKVRSAFISYFEKNSHVFYPSSSTVPHDDPTLLFA NAGMNQYKSVFLGTVDPNSPLATIRRAVNSQKCIRAGGKHNDLDDVGKDTYHHTFFEM LGNWSFGDYFKKEAIRLGWEFLTKELGLPKERLYVTYFGGDAKQGLEPDLEAKQMWLD IGVDEERLLPGSAKDNFWEMGETGPCGPCSEIHYDRIGGRNASSLVNEDDPNVLEIWN LVFIQFNRENDGSLRLLPNKHIDTGMGLERLVSIMQNKYSNYDTNIFMPIFAKIQELT GARTYSGKLGAEDVDGIDTAYRVIADHIRTLTFAITDGGVPSNEGRGYVLRRILRRGA RYVRKYFNVPIGTFFSSLVDTLVAEMSSAFPELTTKVTEVKEILDEEEVAFAKTLDRG EKLFENYLAKTKATGSKTLPGADVWRLYDTYGFPVDLTRIMAEENGMTVDEKEFEIAQ ETAKNLSRKVKGGNDGDDVVALDVHDIAALDANNAVPKTDDSYKYSDSIIQARVKAIF YKRAFYPDSSAVPEGRNFGILTDKTNFYAESGGQEYDTGSIISLDGETEFVVEDCQVY GGYVLHVGHLKYGKLSLDTEVEVSYDETRRHTLRNYHTSTHILNFALREVLGGEIDQK GSLVSQEKLRFDFSFKSALTTKQLAEIEKMCSSFITKNTKVYSREVPLPVAKAIHGLR AVFGETYPDPVRVVSIGFDVEDITKDVANPKWKTTSIEFCGGTHVVKTGDIKSFAILE ESGIAKGIRRIVALTGDEALAADRAAKEFVTKLDKLEKLSGADLDAALKVVSKELDAL TISAVTKAEYRERFNKTKKTFDDSEKARKAEQVKEATEAVKAYFVSNPKATYLVTSLN VGNNSKALAGAINYAKASLKDKAVYVLSADKDSGRVAHNCIVGKDLIAKGLKASDWAG VVSEKVGGKKGGKEDSAQGSGDKIDGMADALKAAEEFAKLKIGA PHYBLDRAFT_42578 MFLLSELEDTVKIVPNDFRKSTTEAITGVLNEKFANKVVQEVGL CICVRDIIEVSEAHILYGDGCTYTKVKFRLVVFKPFVGEVLTGKIKSCSPSGVRVTMG FFDDILIPAPTLQVGSEFDAAEQVWVWNYDGEKLFMDVEEPIRFRILHGLFTDTTPTT HHGAVSGGRRQSVADLSASNDLAANSTKIPPYSLTCTIQEDGLGLLSWWGS PHYBLDRAFT_148963 MSSSSLDSPSSVPTVNVVPEASPAQKQAQAETKANVTPLPSLPT TQLQTDLKTTKTSEVFDTIQAAQAKELVTEKQMEPPGSYSSQPKGLPDWYRVGWTAFS NLPNPGDEEAMSKIPDNFGPEALAALFNSPGRPTFSDANQEDLVSQFLSEAYYGEWYH NTAVMLFTVVFTWLITRFGGGLMACLVIGAFLATYHQTSIRRLRRNVRDDINREMMVS RLETDAESADWINHFLARFWLIYEPVLSAQIIGTADAILIESTPSFLDSIRLSTFTLG TKAPRIESIKTYPKTEPNVVCMDWKLSFVPNDVLDLSKREVQSKVNPKIVLSIRVGKG MVGVEMPVLLEDIAFSGTLRLKFKLFNEFPHVKTLEASFLDKPMFDYVLKPVGGETLG FDINSIPGLQSFVQDQVHATLGPMMYAPNAYLLDVAGMMAGGVDLDSANGVLGLTLYS AINLKGSDMFGSLDPYVTFHIGNTINTEVGRSSAHEDTSNPKWDETHFLLLNTLTEPL FLQVMDRNVGRKDTIVGVATFDLKQLEADSQAEGLSLVVTRGGKPVGEIKCDLRHFPI SLPEKLEDGTVTPPAESNSGVLRFMLHECKELGAEKKGGFGLPLVGGGSDTDAYAIFK VNGVEKLRTMVFKRSVNPRWFKWVEVFVADKTQLNLSVEVMNSKEFSDDECIGRFESR MVDMEEQITKNSQDWWQLKDGTGKIHLGAIWKPVAMTGFDGGLGHGSYREPVGVVRIN VFSAEEIKNVEALTGGKSDPYVRVMSGTQVRGQTEYIDDELNPVWNTPLYVPIHSIRE DLVLEVMDFNDIQKDKFLGLAEIFLKDIVKEVTTENKQVVYEGLEPVDRQGTLHYSAS FFPTLELAKIAEVTEAESKEPVEGETPAEEDDTPKEPAPVVDTHPEKDVHGEVIKYTQ DNSQINLLAYESGILKVTVHTVSLKEKVNATIDILIDSNDPQFRTAQAKGTRLAINET GDVFVKEMDFSRVTVRVKPVKEDKDDSNAGYWVSPVRDLVRDVQARRAKADSETDDGS KEYKLLEAEGGGTIRLSFDFIPVVKFTLDPSESLENQGNLTVTALKATNLKGIDRSGT SDPYVVFSMNDVKLHKTEVHKKELNPVFRNEVFTVPVLQRIGAVLIAEVFDWDQIGKN ELIGRAEITFAGDLVESFAAKDIEVSLGSDSKLRVRLLWQPQLLARKRTGTNIFSATT RIFTSAPGAAVGAGLGAGGKVLGGGVKVLGGGVKAIGGGIRGIGKLGSGSSSKKSNTS DNVPPVPSIPSPPQVSSPLSSEPSPSTPEPRRMSVSPQNSSIDPSISNDSYSIQHSQT RRSEDSSMNVGGSISISLLGARNLKAMDRGGTSDPYARVRIGSKMIGKTRHIKKTLTP EWNETFTARVSSSKTVLDFKVKDHNTLNDVDIGDVTIDLWDNLRAGQPFDGWLALKPA GTGEIHVRIEQGR PHYBLDRAFT_135470 MSVQQENPAFATIDKLNVIYEAGLDGQGRPVIVLCACQLPNPDV MDYDTVLAHVLTKLDTLTESEYVLAFFASPAYYRPSWMWLLKVYRALDRKYKKNLKAL YVVHLEGAFRYIFDFANRLMSPKFAEKLFYISHLENLDPILPLRNLNLPQPVIDYELQ LPSVNASSSTATVDKRRGTTGFGSTGKYGTRRNTTEVAMAFGRTLDDLAEIDRITGVN IGEDYIPPVLCLMIEHLRQHGMDQEGIFRKSPSSVEFKQVKHALNKRIPVDLKNYDIH ISSNLVKTFVKELPVPLLTSRDVHCLDKATVRQERVGQMQGVISRLPRYERNVLQYVL CFLGEVADHSDVNSMTINNLAILFAPNLIHHQPASATDQFTTQEEALKRANIYLTELQ NSIDCLQWMILEHRALFSTDKSLNK PHYBLDRAFT_73403 MAIFAIFLYILKPTTHTIFAADYAMACKVQTSSATHIAALKRQI YTNPNSLKLGSIVIRRDCVSEGRFARQRHDKKINNNIKLPTKLSPGFHKMSVWKKEMF LSCFCTV PHYBLDRAFT_148966 MTCSGCSNAVNRALSRLEGVEKVDISLEKQEVVVEASTLTREQV LAAIKKTGKEVNE PHYBLDRAFT_159680 MVVWPSSYGPPNISVVLGNPADTQNADTGLVSRHLSLSLFRIPV LQAHRRLMSSVPQLGTLDAQKDGSVLPCHRSVLVAHSSYFAALLEGDFQESQMSTVYL PHELSDAPSIKTTLEFMYDHKLRLSCSPAESLKLLQTTYLVADYLDIPLLKQALLDEW NKILHNWTCNCPGCSSAVPLVLQFARQYPHDEHLEAIHKKIYLLLTHDPDKALAAFWT EKSLATLLLFDKHFAQSLHMDIISRIGQHNAIESLFACFSAAKQLATKDPLFNWSEPL HITLAAAEARATKIIATHFDFYCSSYPALLSCIDGVTYSFDFLEYLLNQILEDEMDCT NAGVLYQGIVRDLMGRQEVQSHERVSSILQGAKYQILCFVADQFDQVKQTNHLNNLDS DILQQLAKELTVPPRSIVPTWHASNHSKQSFLSFFSASGDLRFALHPRRRPFQPSPQP LSSCPEPFVSRLASYIKRLWAKEPPLKGRATYSRSTSTFSGQSRSTFSSRYNEKLRKR SFEVRHVHEQST PHYBLDRAFT_126706 MELLFGRKKTPAEMLRQHQRSITKAQRELDRERTKLERQEKTLI ADIKKSAKANQMGACKVMAKDLVRTRRNVQKFYQMKTQLQAVGLRIQTLRSNQQMAEA MRGATKAMSSMNRQMNLPKIQNIMMEFERESELMDMKDEMMGDAIDDVVDEEEDEAES DEIVNRVLDEIGISMNQELSETPTGIHVASTPAMAHERVAQAEGGDLSADDAALQARL NNLRRE PHYBLDRAFT_135475 MSQDNVLFQDLFDIKDINPNGKRFDRVSRLLARSENYEMDLTLD YNSEIYPLEVTDKVSLVLASSLSLEAVTESAVTSAGAGAGTAPEKRESWRERAPGERD LSDEYEYVMFGKVYRYDDAASGNGATALSVGQRVSVYASFGGLLMCLEGDYRHLQNIT VGENIYLLLRK PHYBLDRAFT_148970 MDWPQQPMKRPRLDNPLTINNEPYDEVMSEPQTPSLPFSAFLSN SLHRTQRLPILSDEKLIVYDLKVERPVLPNGYEESAWERLKQAIHAIHKNTPIKESLE VLYQLSENLCQYDLAEALYGRLRHECEEYLEAEFDKLSRQVSYSCRHESEGMEFLELV NKLWKNHCDQILQLKCIFLHMDRIYLNSMTKSASIWNMGVELFSASLLKRNNVLEKLI RNLLLQIQEERDQQPINTGLLHSNLRMLIDLSLYHTVFEGRLLEESRRYYKAEGDRLI ETMNMSAYLIHVSTRVHQESAIRVKRYFDKSSKSALTAAVEEELLSTRVNAILDKSFK HFMESNKVDDLSMLYRLLEKVDKIDICVKYFVNYIKRKGSSILRDHSGGKDPIPALAY FKRKTDAIVEHSFEEDDRFVNGMKDGVDYFVNLRQNNATELLARHTDYALRNNKVDEK SLEQSIIFFRVLQSKDIFEALYKRDLAKRLSLDVMNRNAEKLMLAKMKKECGVAYTSK MEGMLNDLKISDELMHDFRTSINYGETQSFEFRANILTSGFWPSYTPVKINLPQEFTH IQKLYQDFYCTKNERRCLTWQNSLSICEVLANYPFGAKEITLTLLQTVVLLLFNDPTT PSLSFSDILLETKLDELELRRTLKSLACGPHKLLVKTPNGLDVEPTDMFTFNTDFQAE QTKFQMNTDTLNEVIEKDSSLDQTAFNREVQIDAVIVRIMKDKRTLRHSLLMNEVTRH VRSRVTASDVKKRVEVLIEKDFIARTEDDGYEYLC PHYBLDRAFT_159683 MIICGKNGKPISRKLVVCGDGACGKTSLLNVFTRGFFPQVYEPT VFENYVQDVVVDGQEVELSLWDTAGQEEFDRLRSLSYVDTHVLMLCFSVDNRDSLENI HTRWLEEVTEHCPHSKIVLVALKCDLRDDSANPRRESPILYDEGLEVARSINAVRYLE CSAKHNRGVRECFEQAARVAISVKKHHQKDSESKSCIIL PHYBLDRAFT_148972 MSKSVHSKNEILRSNTGLIINPSKAYPRSETRDKLTGLTIFQGV YDIHQRHTLDFSGKVKQSRTGFHPGPQCLPSRSSIRDPIRVHGYQVKLQGSGQGQRVQ VEVHGFSIHSIQVKLQSPRQSPRFQYQIPSRSSIKDPIRVHGFQSSFEVQVKVHGFSI HSVQVKLQGSGQGPRFSDQGPRFQYPFHPGKASMFRSPSYGYSFQPSRSSVKVPISRQ WCSAIDVRRQGSDRPSMVIVIHVKRQGPDRPSMVIVIQDKHHGSDLPAMFKSPAIQDK HQGSDLPAMAIVTQVKHQGSNLPATRSVIEVECQGSDLPAMCSVIQVKHLGSDRQSMY PAIQVKHQDSDRPCMCSAIQVKHQGFDRQPMYSAIHVKRQGSDRPSMVIIVQVKHQGY DLPAMYPAIQVKHQVSDLPAMSPAIQVELQGADLPLYGYSVESIKIMLHGSGFMSTHP RQLSGSDFHELL PHYBLDRAFT_159684 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_148974 MNGFILCANFDIFVLVLVCPKKDLNVVVGGVNLDSIVMLLRCEV GVDSSRFVVNLGMGV PHYBLDRAFT_171807 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNDCLDG VIFIEEGVEDSMIGIVDFERSAKLLLVQG PHYBLDRAFT_171808 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDG VIFIEEGVEDSMIGIVDFESGAKLLLSALPFRIASLSVHFELQYNMNDDPQQE PHYBLDRAFT_171809 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDG VIFIEEGVEDSMIGIVDFESGAKLLLSALPFRIASLSVHFELQYNMNDDPQQE PHYBLDRAFT_171810 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDG VIFIEEGVEDSMIGIVDFESGAKLLLSALPFRIASLSVHFELQYNMNDDPQQE PHYBLDRAFT_70560 MSPKIFTHTNVFKTVLSRHTRPNTELKAIMDDALTHIAMHKAQQ QVIINKLDAIMATTNALQAQNSSLIEELRVANEYIGLLHNQLQLQAKVPGDTAFPTIV LQSMAIDLVEYVSAVGAAHSPATIPTPSPTTFLAAAKKTMDKILNHPKLTTAQTSRAL QPESRPSAYAFVYLRCHHHLKYCQVPKLLRTLKIQQLRVLDIVFPERGSPLILCAPRL QEQNHAALDRHISVCEDRL PHYBLDRAFT_148978 MASSSAPSAGGGGGGSPSTEQGYFAYIINLLLIHKPREDRAKVN PRQQLMPARQLRRDRSRRIRGAINFLLFLIFVINLWCSSKQQHPDSLKLCT PHYBLDRAFT_171812 MLPLDISRNPTEITVAASYQQIMEALAKRHLSEESSIEDLIELR YSVLSVVCPDKLRGRVWKLLLLLPNVSASCYINIVHRGPSSVDTKIRNDTFRTMTTDT SFLEHVSEDMLIRVLNAFVWISRKGEHTDDTSEEIQLRQYFQSLSSSSKELTYVQGMN ILAAPFLMVMPEMEAFYSFSTFLWRWCPLYVHPTLKGVHCGVRLVDLCLAALDPTLYG YLLGKGLTASIYAFPSVLSFSACTPPLSELLQLWDVMFAFGAHLNILYIIAQIGMVRH ELLHSSSPMKILRKLPPLQAKTIITIAMIFCKKLPMDLYEKLVRHAYDESVADELGVK VVADSDQPQNDVSGLPDYMTEAMGISTKSFYEPRN PHYBLDRAFT_79850 MTSSMHVTSPRLVLIKDMTPQMKNFECEVIVIQKDPEPHFTRLG EGIYKALVADRTASISLNVFGTKGSLLKHGDILHIRGAQNRLYQGQLSLSVMKEGDIK RIGQDTFPFVEKPNLSEAEITPHRAPNQFRQDQASFPDQSQRAVNPQRLANRPQRGHG NFSKGRKPRSGNQRDLDQPTDNRIPNNNNNNNNIIVIVIVTINNNNNNNNIIKAPSRD PRLKRPADTQPLEIQQKTRRKNPHHIWFVLVMTIWIVLEVWGSLLDE PHYBLDRAFT_9242 FCRALAVVRTLPDNDTVQLTASERLNLYGLYKQATQGDCTQPKP SSRDVAECAKWKAWDRLQGLCPTDAQTFYVEALVELI PHYBLDRAFT_182626 MPETNLERTLSSTSGEKFSMRENVSEEQCDEGANASANTNPYGH GDGNFLTAYFNVVCVVAGTGTLGLPKAFAIGGWLGILILGLAYFMAVYSGIILIRCLY AKPGQRLHDYKAIGTAAFGWPGYIIASSLHLLNLFGCPALYLVLAGGNMHELLQNTAG ALTDVYWKIIIGVFLLIPSLLLKTLREITVLAAVGAICTMMAVFIVLIQGPMDHNAHP NPVAYATDGVIWTGFPSALATIAFSFGGNNTYPHVEHALAKPRQWNWAVAAGLSTCIA LYFLTAVPGYHSYGRSTQSPIYNSLPHGPGRTIAMIVMTIHVILAIPIYTTSFSLEFE KFVKCDEERIGKVGAWFGRAIIRSVTMAILVVLAIFVPYFDDFMGLIGALATCGLVFL LPVLCYLRLTGIRNKPWYELAFCALTIFLGIIGCVFGTIDSIKSLIRDFQNDA PHYBLDRAFT_70555 MVDSTRHNIGSIIPLLVRLISVSVIRVKRNIIVYFEDRSGTRLI ECMGQLNQVHVCLCVDVHLHLHLHLHVHVHVPVPVPVPVLVYLWTCGLEIVSRKNG PHYBLDRAFT_171816 MHNINPCLAEMSNAVFDAESNTEMGSCIELLNFIVLDDPVFIAK KTDCRYLSHFCGLELLQKSESCYQSYLFDKCMMATAKYLRNVNHDITFFPGEIKLNPM TTQLKQKGMDDGRYKYNADGTLIDNDFSAIEIFLTEVSSGYGSNETGKIRFDHYKAVL GMLSMIRTVTQSYDKASFNTFTKLEIHFLHAHGNSIRHWSMSTQAPGIYIMAKEQRVK VPTSFPEKDITILPFICFFKTLAIACEETLSVLKELKQEHKTILRSKNQRPQNFLVS PHYBLDRAFT_70553 MICSCFWTGGFGPLALLEGNVNQEVYVDTLSQKFVPWVKNLSEQ HQKDFKLQEDGASCHTGAYAKWWKETHEIKGFEYWSAQSLDLNPIEHVWWALEVKLSK VRASIQNVNELKIAI PHYBLDRAFT_171817 MFMFEVSYSGKKSMMISVHMNPALRRRNFLALISNCCSICCPSW EWEVIFVLLSALARMRNETSKLVFFLKFYVASELKKDCFQKKKGKENSHRYSAKSGVE RVLRYPDCPADFYRPVYSSNVLFKKTLRLVNLDPFPGLPFAEIAFTGPSSVLIFVPK PHYBLDRAFT_70551 MIELSPFAERIVDRMNMIGMWLAVVICARNFHISLKQYRRTRVM FMRLVIIETERHSKWIKCVGVFFIALRFADWPYELSYLNVQHKNMTQEVPTGVTCLTE WVTGVLILNFVSDSLANLFLSGMFVRRLYKHIRRSRTVMSQQNSLIEYIARKSLVCLI LAFVANFAMNLLKVTEFLGDRTDSFTVYFEIVESTLLVEALRADSVSPANTSMCNNCG MALHISHNSNSINVGRSDKPEPDCGSQPSQHDNTCQRAENSVSISTDPYLPELDKVHQ DSLQYNPFVYPKDNTVNSTVSAPTFALTSRPKQVSSRSPFKSSNVKASVEITSFSSID GHRRLADNREWNNNDYRMF PHYBLDRAFT_70550 MIFSVKASCLLLYAAFFMASAASTNPPSTLGVYKVIEPLSTDAN IRMAVQIEGDRQVYSIPPSTIDSILHVGSAPTQKKYRYLKIHNNGTVVDRESFQRAPN AVSNNDFYGRQQTFSSQQSMIPSVFPDGKTYYRLDTSIAHPINEIPTIHVQAPHGDLE SLHDNYLDPIAINVNMSHIRQFSNVKFQLGGQTSRLFDKFSYNFHFSKHDDSSLGGYR RFKLRSCTTDPSFIREKLYYDILDSSSLPASKASYVRLFVNKKPMGLYLFIDNYKSPF VDNLLNGGNNEKHGILYQGSMPENPMAPGILGRGANLEYAGSNIEDYFYKDTSAYKLS EDGSKKQKGFEPLVKLIKFIHDPQPDEDEKEGVLNQGETPLERAWNKRIDIDVFLKNM AFEVLMGHVDGYLGQAHNYFLYREPGTKKFLWMTADLDQTMGSSMIPVRDARSISLND QRGHIDRFDLMNKHHNRPILKQVLSVPAFNQRFDTILKTVHQNLFTNPALFKHIDFLT ALIKEDVAWDSNIRHTHAQAVSHNATSAKKKKDQIQEKILQLPLGHDFLSRIGNINFM SAIEGPIRNHPSLMPLKEWLNETKEALHNYVNSV PHYBLDRAFT_171820 MYPQEACQVGQEMMKVWDILIVDKQVISIPDVRAHISQPLYKAT AVDSVENISSYEAFSCVDAEKWTILCYTRKSVSKEDVDSCVLYILTSREESHIACDRA KSPSPRKWHFFIAVGRTAW PHYBLDRAFT_79848 MQIKMKFISTAVSLLFSAILVAAQQSTTTTTTATTDTAADTTSN TTPLTTKYGETFKNAGGKMLIDKVNVYLIFYGDWSSPESQTDQSVFMNFVDRVSSTSW FNTLKQYPNADGKTISGPISLAAAVTDSGSHGLNLTDTNTHKQIVTDAVHSGYLDATN QLDNDGFYIILAAKNVQDSEFCNTHCGYNGYSDEFQYMYIGYPGSCTDSCVPSLNQAD SPNNSTSIDAAITIFSHEVQDILTDPRDDAWIIKDGETKVEVGDFCSGQGVTESQWFG SINQLEGKNASYNLAIDDKKYLVQTIYNPKSKTCVLSSE PHYBLDRAFT_135478 MVIDSTPLVLNSKPIKESETPGKQARSKFMSPVHTNAKLLWDSK PSVTTGEEYLKDAWNTHEALIKSILSGKTISSGMNDAYIKCEILCRDGRSAVIYSRVK NVLSRHIDGLAKNLGEMASSYDNFLQYTNKCWNQLSFDLHQLKKLFMPMDRSYIVQST EYDSIIDLGYSLFKDNLVKNDAIKERLVANILYYVKVERDGGTVDQPLIKSLLQMLMT LSLYSTIFEPRFIEETARYYEQEGRSLIQKIDIPNYLVHVTRRVKEEGEDRKQAYLDK STEIRLVQTVKNELVYRMTNDILSKGFDSMADEMKLESLKTLYDLLSPEKDRTELRQV FGSYIKKRGIEFIKDPSNDNAMIVTLLDRKQRADTIMEKCFDNNILFANTVKESFETF INSRNNKPAELIAKYIDSKLRMSEKKKAEVDMEKVLDDALAIFRFTQSKDIFEAFYRR FFARRLLSTFDVSEEAEMSMITKLKSECGPDFTKDLEIMFKDMSISSELQAKFREATI NTDVYDKTMNVIILTSSAWPNTPKHEAILPPKLAESQEVFKQFYVSKFKGKKLTWHNA LGQCILRVRFPRGVKELSTSLFQSIVLFLFNDETKETISFPEIATATGIDEKELRRVL QSLACGQSQVLTKTPPGTEVKDTDVFAYNADFSAARPRVKISPIISDTSAEENKDTEN SVLFNRQCQIDAAIVRIMKAKNKLSHGALVNELFATLRFPINASDIKKRIESLIEKEF LLRDEEDNSAYIYNT PHYBLDRAFT_148988 MGFHKNSTCRKRKLTENKKQKNSASKYDFVKVRVHLSEQHYYVL SRFLLCRMLMAARIKYGHALRIALDLKKRLVDKAQLNVTQKALQSELFDLFQQHGYGS EHVQWYRTMASFHHQRIPLVILLAGTSSTGKSSIATYLSERLNLSSVLKTDVVYDLMH TIMDGTTPSSLWTQDTEDAMDLLENECTLICRGLNSDVCKSVTDGKSIIIEGDLVNHK LLDQMHQFVDQSQTVIIAPFLLTISESSLHRQLVDETCTLPNECVDNAFKRIEEWQAS LLKTNKERQANLTDHLPSFNVIQVNMEHLQRSIDVIQGIVLERIAATMKNLPSKSTE PHYBLDRAFT_148989 MVAKQSRPRRPSSSRSSAGSGNARPDRSHSKRRSSHHRRLSERQ STLMTMTEEEELEDSQTSPAFSKTHLPTFPARVATRSSDQRRLSAPVGSLSNVPRLSI AERFMSPSADKSTASLPKVIIPTRVNSSQSYERPHTNTYSTKEEGRPAVPMATVLSRH SHDTDTYMSCLSGNTSFRGSLLSSPDPRRLTIASAFMSIKKVDSEGGEHRPGIPLFQE ASQSSSIERDATPLGSIYQHESTVAPVTSRRSSTLSDPRFHLNLNTSPTSTVKLSTID HRHSHRVWGSEVTLDGRAEKYKRQQLSGGDERDVGKENDSRSESHRFYSVTQPTYEKH YSNSELRYDDIDLFAVERGPPPPKRKHIQEPLEADYTHGIWLGCCFFGWRRGYRSNPY DAKESRAAAGRGHCRHRAWVICVFLGLLVAGLVVSFIWPRIPLMRIEGASATLSAKIT QTRQNDRVGNVAFESEWLVNITVDNRHNYLPTRLTRLQVVAKDSLTGLVIGKGVHNND ATPEPIILPERRISMIQVPVHLNYQARDASDTTFVNLVNACFSQSVTSSENDANNTLT PSQTIIPVSIQQHRESLQLHFWMTLSITGLDWTGYRPTVIATPASGGFACPLS PHYBLDRAFT_148990 MGAYNSLLPWQWTLVGIAIALVICLGILIWRFGCKKRFGIESTG SDAYQPGTEVPSPPVCVQLTKPGDHEIDYRNVEYSTGLSAPPPRIQPRHIGSNEYTCP VPSQKTGRICSLSLVSEGVLPASNHMLSKNHGFCSSSSAASSVYSTDISLVRTLTSSQ PPTANTRHNSPFNSSMRGPTPPWTKS PHYBLDRAFT_171826 MGTTCGPRESKCSLSPENVGSQISKYDLQKSVYSIKKSVRKLAR PKAQRLSFKIYLEQLNPGIFMKTVIDCDEDEESYLELLNHIEDTQSLPISVYMVKDDL RVLRSRSITKFGILIKNRFYAWTCIETGRRVAQDKALNDNHKLLLESKVIIINLINSF SLINPTSITIVIFANLWIFDVKLMINENDNRRTLFEKKIGRCQIFLERCGELIVLKRQ EENMGALWSRELTSLYCCDDLAKAKKEISSLTLLLSVEEGGHHNISNMEFLEQLLTDV LYKSDGLIKLFGLKKLELALLETSGHFTNSDKVKIKLDHHKGMYGVLSMLKCVADDFS FASVDKLSPVKVFFYSCRRLHLWSVRYQDGLYDFWREHCLEIRPDFEDRAMFVPRLIE FCWNVKYLLENAVEDIIKVKKDHQINSAKYRYNPQECTQLSAIVNPIILKLTKEDDGE GIGKLGPIFIIARRVVTERTLKIRYTR PHYBLDRAFT_171827 MFRKNVWPSIVSHLWHVFVFFVLLMIFLLLAFMFQDHPMFHPLP QSIFEQVNYTHLAINETLYPVESNILRLFPEIMQSILLRVDSDYVLETDPWITYKSDE HDTDWESWVDQDGLEAQDSQLRYACKYQPLPFPILHHVVSSAVGVENNGSAFDRLPAV DKPFLILPFISNTTLKSDDTICVRVVVSQPAPDPSNPFQYLYRPNDYQNSRLVSPWWD TMMVTMQHNQTNATTTLSMQPWSGHMVLRKDRMARLLGGQAPGWYKDMEETIAERDLI HVYEADAKLKDIGEYHIQGLLEYQAGKWNFELGPVNPYEPQLLPVYPLGAEDIRVVKP GTEEEENEKVKKEERSVEQAQKDILDAHLALPLCHRADNLGRWLPVPLGYNTTLLAGI DHHNKVWAPYNCRYRKLSYDQFNRCLARRYPNGVDLYGDSNTRRSLKAMLSHGKWCDT QPGALSPNHRILNLEGARSWKSAGDDGQRRSCYCEDYTEPSWNNEWLNPHIRLNDLFF ENTREESKALGRNTEWDNRTVVLDKVRLRSYKWDGLTYLNNPGWDTAFVPLFGDPSIR PDIVVFSLGNWDAAFLTLADFNRDLTRLIELIRLAYQQVGHPKIIYRTPQYFCCRTDS SPRERRVSGGRIQSFDHLARTRFASELKAEIWDTLAMGEARTWDEKIESVECPSNHVP SDIVEQENQKENSLILHL PHYBLDRAFT_148993 MGMTSLQNLPSELLFNCLSWLPLRDLATTRTVSITLQHFCDDPF FWRHLWLEPPEKPSKSIALWQLPDLKALIEPHLEHIQSIRIRGVRDNIVRYILNNCPN LEELTICGWTTLSDHAFKFTQPLSSLRRLELVGAANQTNFTSLDATTLGRLLTRCPGL TELLLGCQVHIHAPTFITLLKSTSCLPLRFLTLATRRTWSSQHLADLMILCPRLECVS LLSAAAAGFNIKEKDTLGVHSWIAEKHHLSVAHPDTRLDEDDWTGVLAEDVTLHRSLF C PHYBLDRAFT_24993 MGPRKPADDEYIDVDDSYDANQDEDTGKAGYSWEEEYKRSWDVL QEDSEGRLSSAVAHLQQQRKRRRLLKDTAIIQRGIIRHLFLIIDLSEAMNEKDLRPSR VELTLNYAQQFVIEYFDQNPISQLGIIVTRDGIAEKLTELSGNPTDHIKALKTKKNTE TSGEPSLQNALQLARAGMMGVPTHGSKEILLIFGALTTCDPNDVHDTIDLLQKEMVRV NVVGLAAEVQICRALSKATKGSYGVILNEAHYKDLLFEAVPPPAVAPNKNTSNLVTMG FPRRQTEEHATFCVCHSKLTTGGYICPRCRSKVCDIPSDCDVCGLTLVSSPHLARSYH HLFPVDNFDEIRNSTRSSNCFSCIIPLDKTEGNSQVHFQCPKCKQAFCVDCDIFIHEV LHNCPGCWSTNSQKKTPL PHYBLDRAFT_171830 MTALTLSRSCTRSSRPLQVRFCPQPSVMYTYSQSDYDRSGLFPE NSSEISIIKPILFTVSVNFLAPKSHSTATPRTIRKKKISRPKLTIDTSSLHGPLYFTS MTTNHEKKERLMDIEETDILDKDEDEEWILKTRENTRRNSLLAV PHYBLDRAFT_70538 MNLSSLLFFSFLLLGVSTRSLIYIKKFIYTLEKSPSVVQRPCPH CPYTEHPKIGYKRIVAQEQNFRYVAVTVTVAFQTQSPIKHLHRQAEVENSVAKKKNET KTNVQMLVNILKTDFRSRTKLNIFIIAIIYKQEGI PHYBLDRAFT_159685 MDNLQGIVQQANLATLLGVHLGLSLFGALASNPTYNIPIFFFGI WAFNYHESNTPIKTFTGALGLSIFLDVIWFILHGGNPGGESGFGFAMTMNIISLLVKP VSVFAAVNTIQNRGDTLNAGSKFFLLDQLKPVLDTLFS PHYBLDRAFT_148997 MPRSRENSATSSGINTPLYLTASRSPSSNQPIIQYNTPSRPISY PFPCNPASQSFSQALQPNNSYTMGTQNQGATPYSSLHSPLYLKPDSIHYPHSISNNST LVANRANEHYRSFDIESPNLRASTSAPSQSGNAYLYHPKDVRSTVSTPDADNIHSKIP PPASPLPVLPKNIPTFPLSDADQAFLEQNNNGVAATFKVLYAGEVTYKPDKGILSKTK REYFVLTNNYILRYKSQQKARAEVDFFDTNMSKKTKQTFEKDQILLKLENVYATHPVV SNRNSFRVEHLDPTTRQSLHFTFTADSPKEADQWINTITKTVHIHHHIMETVTPTERF GVIDRVLKHKDMIDNAEKMTVHKVIFKEKHLKLSKDNTANGVKEIFMVVLLAIGKFSM YVLPTGYTDEKYIQSVDRDRHGLLAIQKIHYDGNGDTVKLLLRQTDKSSRQLVFVSTF AETIVQKLRQAIHSILPNERHLTYTIQSSPDIKDVAFVKTKISIQTMNNHQEDENSLR FEIILQAYCAALHLNKARFEFEIDGPLGSKRFLLLPPNEVKESPQIYEKYELLAVFRA LRHCTFFREIDLSHQPLHLLEQWALKKEDGWANIPYDMPDINNMLSSELFSIIATTKT LRKLSLTDCGIGLTQSSTSSLSVIGIAMCSGQIGLNQICIGENKMSPEDVHTLVAGIR SNKKSIRELDVHSTGLSQKDVEILIKTLITTNPSHLQSLDISSNNITLHPVMLESILK CFNRLLILRIRKCNLQLKSNMFDACKLRELDIGGNRLNDANIGMLIQWIHTPSFSSIQ ALHLDDCALHGQHAYNILTAISQSRNQRMHLSIGNNPIMKEVMYLPKLFHTLMHGEGP FSLSLARTKWEDNTLRELMDCMRYNPTLVFLDISDISVVNSTISADTIRMMSLFFERN TVMKELNIKMTEENSGVKTSLALAIMEGLEGLKRNTTMERLDVTGLGWGDAGTIALSN VLEANHTLSHIANDNTKTTISGFRALTNAIQQNPNVIQLQKPCMDLRFQLKSLKETIT GYIHSENETLWFIVHSTGSDARNVKAQLQTQIQARQVAEFNYKRINDVVEGLIGAAEK NMRAYKIKLKRDQATQVQIQLSAQEFSMAQLKLQERGTRVPNGSSISPISPASPTRVT RIPSIASKSDESSSVGSVNSIPYRCRQLSSNSVRFVPLPSSNSIPPTSTPVESPTQGF RISDDIPQPNFSYLNDFESWTQDPPETPISSPTSSSYYYIDKPHVYPHHQPSDPAYSM RSVNSGYDTSWYTNTGQDTYGESACLPQQLQFGGMIDDPGFTDDFGIGGELGEGGIRL YDNESETDRNSFWEEQHAIARICANVYATSNEKDH PHYBLDRAFT_159686 MWVALIEKAAKIKNKDDPTQWEPIVDLLRALREGIYASQWSEGD LEFSVKVFEQSTICCIQANNSDELLKSMRVLVDELYQIPNVVPKNYYRALYCLFLAFH DRTSGLDRINEISAHLPESKFVKAVVYCVIVAEDPIQFFALYNDCPDRYFKLLMDTYM DKIRLLSINILSKAYMRVPISFAAHCLGLSDIKKTVPTIERLVKPTCIDRVDLDTSTI YFIRKKADKK PHYBLDRAFT_33754 MASPSSVLHGGYHHPLTRSWQADRHLKKSMLMYPLFISDDPKAL DPIPSLPEQYRIGVDRLEALIAPLYKKGLRSVIVFGVPLKEGVKDPTGSKADDPKGPT ILAVKLLRRCFPELFVACDVCLCEYTSHGHCGVLREDGTLNNDQSIKRLASVAVAYAE AGAHCVAPSDMMDGRVKAIKEGLIAAGLAHKVMLMSYSAKFAGSFYGPFRDAANSAPA FGDRRCYQLPPNARKLARRAIKRDMEEGADFIMVKPGMPYLDMVREAADLASDHPIAV YQVSGEYSMLYQAAKAGVFDLKAAVMESMTGFLRAGATLITTYYAPQLLDWIDESNDL PHYBLDRAFT_182632 MASVQHYQAHEMSELATSDEFNDDYPSNYPSSYPSSSREGAPLL GDNASQESLLSPTSTLAPTLQHKPSFFNRLRSLFHRDNQARFGPIDSESGPENNSTLK ARKSRGCGVRISGCFVCLLVSGLALFLFLLTSTLYLSPASLPPTRLPDKSTNTTAQFL TLNIFMRPPGVKNNADDYKDERLDYIIRYILPEYDVVAIQEAFAFANRRIDRLIREAR YLGFNYHVASPRHYPWELAADGGLLLLSRFPIKQSDVLEFPRGLHSDWLSCKGALHAL IELNATRRIHLYTSHTQASYDVQGAFNEEDTRMRYSQFGLLHRFIHSTTAQDTDPILL TGDLNVDASAHNQSTPTASSKAYTQMMDILSGKGVWDTSLHETMWNDTWRVDWKDMGY TTFGHHPVTFGDKLIVNHTEVPAETALTHTDQWMTLQSLDRILWASRNTTELAIHNVT IEPFAVRDNTALTKQQKAKMHFSQISDHYGMSCNVQLL PHYBLDRAFT_171837 MTRYTRAFNDIVGFLRIPEKNSLSTASKSRIIFSGIQPTGQPHL GNYLGALDNWVKLQPSNEDSESKTYYSIVDLHAITMPQNPAQLREGKLNMAMTLLACG VDPKRSILFEQSRVRAHSELAWIFNCITPVGWLGRMTQWKTKMDSSKSKGLHAQNLAD ESQTVGLRMGLFDYPVLQAADILLYKATHVPIGEDQIQHLELARDIGTAFNTTFKPTF PLPEAIIPPTKRVMSLREPMAKMSKSDPSDLSRINLTDSPEAIQSKVGRATTDGVRGI SYDPKERPGVSNLLGMYAAMRGLEMDDAVKAFENVTSTKEFKEEVAASIVERLAPIQN ELKHLQQDVGYVHHILGEGARKANEVANHNMEEVYKVVGLR PHYBLDRAFT_156056 MRWNLVTRKIEATYTAHQASVLCMRYDPIRGLITGSSDNSCWIW SPDLVPLKRLSGHSQGVLDVCFVGDLCVSASRDHSIRIWRDGETERILMHAGPVNAVA SFGSTSLASASGDGKLKIWDLETGECLRTMDHDRGLACIKVNGTSIYTGGQDGKVRIW NGLTGECLSVLKGHTDVIRSIDCLGDKILTGSYDCTLRVWDSNTGQCLLSFQSGHSSW IFNVLISRSRILSAGQDKRIMILDFSDGLTIADGPSK PHYBLDRAFT_149003 MKLTTDLITESVSHINAISDRELVLRDLKIPVIENLGATKDLND TIDFTNNDLRSLGNFPRLSRLQHLLLANNRISTIEEGMQNSLPNLTTLVLTNNAIQEL GDLEPLAPCRKLTYLSLLDNPVTKKQYYRLYVIHKIPSLRVLDFVKIKQAERKQAEEL FKDQDGNESSLSKSLADAKTKTFEPGEGLGETKANGHGLSAEEQRTIREALKKATSLD EISRLERLLKAGHVPVEKKPVSAEEEEEEEE PHYBLDRAFT_79842 MELPNIGQHCTLAHCKALDFLPIICPLCQHAFCNDHRLPLDHSC TGWEKVDKQLLQCSSCECLVRVPENTRPEEALKAHKESNCLVHCYVKPSVSIDPCAVK GCKDMDHRIGPVHCDGCDKGYCLRHRHPSTHGCAGLGTNEHKKEERRAAAQAQIGKIF VPSITKPTSNKPAVQKKGSLLVEKMKIKAKAKGDTSVPLNMRIYIFVQPPQESKKEHS PMFFDKTHRVGRLLDMIADAFQIKNANNRLAADDDQRLELVNALDMSILDKTKSLDQV LSDLDTVLLERKSKISA PHYBLDRAFT_182634 MEAQRIRDGVKFMQEGDKASSKGLFRKPDWDVAAGCYERAATSF KIAKSYDQAVQAYAKTSEAFFKAGANHLAGKAMESAALILTQNLGQPQRAAEAYQQAS DLFMTQGSIDRAAEQLEKAGRAMETTDINAAIEMYSAACTLYEQEDRGRFAIDVFKKA ISLLIKSKKYEKAIDMLQRQSVILQKMVSRSHLHKANLSILILILAIGDEVEAGKQFH IMCSDSGFSQSEEAEICQALLQAYEEGDQELMEQTVRRQHVNFLDNEVARLARTLTVP GEVLSSGLSGTSSVTSSKLYGSTNGPPSHSAVRGNPAAANQSQRPTNGASSHSSSGGH IPPQPMSPAQVRAELYSRPPPRQQPQTQPQQPQEVEKDNTRGVEEDFAELRVQPGPKP VAHVPAPAPAPVAQTTEDDEDDEYDGLR PHYBLDRAFT_171843 MCLSDCSQNFNHDNINMEEIFCQKQQSNEHTRSHERWISEAKVL ETVHLRAPERTVKIGLKTKRYGERKTWRWRASVRESFHHRDIAFLFIVLIYHLNAREA ISFLQGICEFWHAYRPKAGNSNLRTCKKKKESTLLCFACSCYDYYATTKDEWSNIIST PCLLMIIHLGLYRIQTLVHWYIAIKIYDDLSLYLIAF PHYBLDRAFT_57847 MFVYKRDGRRENVAFDKITARINKLCYGLDMNYIDPTSIAQKVI SGVYQGVTTVELDNLAAETAAYMTTAHPDYAILAARIAISNLHKETKKVFSQVIEDLH KYINPKTDKHSPMISDYTYNVVAKNAERLNSAIIYDRDFGYNFFGFKTLERSYLLKIN GKVAERPQHLIMRVSVGIHGDDIDSVIETYNLMSEKFFTHASPTLFNAGTPRPQMSSC FLLTMKEDSIEGIYATLKICAQISKTAGGIGMSIHNIRATGSYIAGTNGYSNGILPML RVFNNTARYVDQGGNKRPGAFAMYLEPWHPDIFTFLDLRKNFGKDEIRARDLFYALWI PDMFMRRVEENGEWSLFCPSEAPGLADVWGDEFDALYLQYEREGRARQTIKAQKLWYA ILEAQTETGNPFMLYKDACNRKSNQQNLGTIKSSNLCTEIVQYSSPDEVAVCNLASLA LPTYVVNREYFDFKKLHAVTKVVTKNLNKIIDVNYYPVPEAELSNMRHRPIGLGVQGL ADAFMLMRYPFDSPEAKELNIQIFETIYHAALEASMEIAATLGPYETYAGSPVSKGKL QFDMWGVTPTNLWDWTSLKAKIAKHGVRNSLLVAPMPTASTSQILGFNECFEPYTSNL YTRRVLAGEFQIVNPWLLKDLVEMGLWNDNVKNQIMSDSGSIQNIAIIPPHIKALYKT VWEIAQRTIIDMAADRGAYIDQSQSLNIFIGEANFGKLTSMHFYGWKKGLKTGMYYLR TRPAVDAIKFTVDQLSLKEYRDKTSKENEADMVCSIDNKDACVSCSG PHYBLDRAFT_115988 MVNADGQVTGANLETLVENLTLHKKAPDVLFLRAFFYNFRLFTD PVELLQLFIKRFHLSPPSNPPLNEEELKIWSTSVRLPVQLRVCNVIKIWLETYFSHDF DMCIKSLVFDFIEKDIKKVHPSSANRLREHANRTVSLSSGSHISLSSGSSLFSGLTLF EEHSEVSSFNTQLPPSVITRSLRNILRKTPSESLLQSVHVNDFDPLELARQLTLMENS LFCKIRHNEMIGQEFKKKGAVSTAANVKAMIQKSTQVTSWISDSILRDSDPKKRSHII KFWIKVGDFCLQLSNYNTLMAIRSALSSTSISRLKKTWEYVSGKYKTMYEQIYRATDS QRNFAEYRQRLTASIAPCLPFLGVYLTDMTFIDDGNSNHRTSPNGTQLINFDKYIKTT RVLSAIDQFQIPYRLALVEEIQLYLKHSLENVEIDDQAFYSRSLQIEPREEELDIRSV VSVLAGTDR PHYBLDRAFT_115966 MCHYILLLNLASIVKLTRGNLVLDCPVSSEYIGLVPIRNSKEFT HMRYTAAMCDPSNFIRDGHILRQQIMERETELAIVLTMYNEDEILFTRTMHGVMKNIS HLCSRSRSKTWGSNGWKKVTVCIIADGRKEVNSKVLSILTALGVYQGGVAKNTVNGKR VSAHIYEYTTQLSVDSDMEFKYADDGIVPCQVIFCLKEANQRKINSHRWFFQAFCPVL RPKICVLLDVGTRPGNRSIYHLWKAFELDSRVAGVCGEIRAMTGRFGTSLLNPLVASQ NFEYKVSNILDKPFESVFGYISVLPGAFSAYRYMALLNDVNGGGPLEKYFMCETHRGS DADIFTANMYLAEDRILCYELVSKKNSSWILRYVSNAYGETDVPGKVPEFISQRRRWL NGSFFATVYAIYHWKKIWGSNHTLIRKTMFMFVHFYNTVNLLFSWFALGNFYLIFHEL TKTLSSISLDPRPFPVSYSIYINLALDWIYSILLIFLFVIALGNRPQGFKYAYIISMA IFGLLMMYLMFAMAWIVYTGVKAAIESPDLSLMALLGRYRIQSIVLSVCSTFIIYLLS GILFLDPWHIFTSMLQYTILSPSYVNVLNVYAFCNTHDVSWGTKEDTHALLDTETSKP GDISKRNSLNIVAQFDPTDIDLIYHKARLDIRDKSKTRKPQCSSKTKKEDYYRAFRTY IVLAWTFSNLAMVVLLSSVETNRWFGDHQLRITIYVGCILWFTAAILGLRFIGSCIYL ATNIFHG PHYBLDRAFT_41547 MTDKTPILICLHGLTGGSYEAYIRSVLEVITRDPFNYRGVVINS RGCAGSNITTPQLYNGAYTEDARNAIKYIQQSLAPGTPLIGIGFSLGSNILVKYLGEE GDKTPLVAAMSVANPFDFKSSIEMLHQSILGRRVYSTAMATNLKNSFKRHMDMLVKGG KIDPEKVMSSNTLCEFDEVCTRRLGDYSTVNNYYRDASSCRFIEFVRIPLLCFNALDD PIALKACIPYDEIKINPNVVLATTERGGHIGWFEHTLSPTRWIVKPLAEFFVAIAEAY DTRESSASSLTPTEVDAAVDKFQSKS PHYBLDRAFT_149014 MPLSCLPYEINRSIAEKISRHDQAVCASTSRAWHAPFFHTLWSN VELQNDRQLKYFIDANMSPSIPGCLVRTLSLFEWLDISSTDLRRLQYSCQELNYLSLP NVYDFALDIDTINGWNQWNSLLELEFYRDTLEIEALHSILTCMPALRLLHLPVKTGES TISLSLKDINRIHSKLPDLEDFFFEGQLAALSNEDTSYLTPSLKKTRLTSLKIIAIIP DTRWLLYWIYKHPNLHTLHMNVDKTEVIETIDWRAPVKIFLNEVGCCRKLQSMKLHGS LFKFWQYQTFFESLRRADITLKRLDFSIDISHIQEPIPAAIETFTYSSTNTLTHLGLD ISGNGTCVQEIITLLGDYKNLSDLRISGKKLFLPLNIILDTCMSLKNIELNVAEITLC QQPNIQESKLRSITITNSRVHANVFNHISVRCPDLSEMHLLYASVIDHASLLTKRMHI YMPFTAFDTLRIHYTYFMTTGLSALIPEHKMNILSLTRMYEFPTVTDRVDNSRMRNTH TDFPDEKWYYISDLNDTYHLDRCQRLNFPDISFLKEYYASTSDREVPDFLRLRTPSPS LENISIVQLSESAIQGYIDLCLGSVKRLCINNKNL PHYBLDRAFT_126721 MLHLSSLFPKAVPPVVCFSFGTLGFLMSFQFNQYKRVLSDVMEG KVFLTLRMRLFCSLHEASGKRISIDGKEVGKQVMNEVSLHRGRYPHLTSIGCYVDDNF LTECVVNINGRLIVATPTGSTAYSLSAGGPIVHPSVQSIVLTPICPRSLSFRTVLLPP SANIHMKIGESSRSQIEVSIDGQEIFMLEKGEYVQVRMSKYPIPCVTRAGEGKDWAND INELLKWNQNFGRSLS PHYBLDRAFT_149016 MSALTGLVNYSDDEDASDEEFERQETAKPNVNHSPKKIVPIKPV EKPVAISSDTTNTTSTSETIDPTKPIIDRKKNTSILPELEHSAALYPSQDTNVLDRSR RLKALLAPKPIEGVVNWGIPPEPETEVEEDRAANIAHFLELRASGHKLNDHLQRNKSF RNPRIYAKLVEFVELDEIGSNFPKEYFDPHGFPKDAYIDGILETQRRLAEEKALSHQS RTNIAFTSASTQSHPKQTQDSSGAMAAAMATAAKVASRIAIPPSQEVENEAKKGRKWD STSGREQKRRH PHYBLDRAFT_171853 MYKQTKIIVLNKVARIFKKSAQTSIAPVLGASKILNSLDTVEKD QNYMYQDTKINTQSIHTPVLSPDLRYKADTESDSIITSQSLLGSGLISQKNISPIEAA FSTLESNEKIDSTKSFTSMDRIFLGSEEPKTNEYSAPSDNLNKSQGSEDNQGLHSNIS LKSLSHQTSIFVETTESSTKPSQQTIDEKIALFRKLMSVDPCLPNSVSQRNMLSYEDS LFSICSPPSFDTGKKSREGHSFSNNSLTSVAKMSLKSVPGSIITPIQQCVSIRDRIKT YNSVPNSTPVNAPKALNVKRIKVLNKVALWEAVTAKTRPPIPVLHILQAQVECDRSFF WHSLL PHYBLDRAFT_135489 MIDSIDGGLEGDLGAMFEGLMGITRKTAPDNVYEEGAYIEPKKS ASGSASALASSSPSPLVSHSANTDKKVPPKPFKPLPVKALKERFNYASTDCAATVRSA NKEAKGANSILYESKDQYLLNKCSANKFVIINLCESILVDTIVLANFEFFSSTFKDFR VYVADRYPTKDWKLLGQWQARNTRDLQVFKVQDRAGWSEYMKIEFLTHYGHEYYCPLS LVRVHGMPMMEYFNIVERKGLSGEGDEDVFLDDESLWPSEVRDEIIQPKRDVTNTSEF IPVATEGEEELTVVIPPEPNIQESIYKTIMKRLNVLELNATLSQRYLDEQNKMLNTVF MEMEKRHQDQLILLLGRLNDTASLRLDNMVCGNVK PHYBLDRAFT_171855 MSHMECPTPPGFVENAGFRPGFNFLDMLEFPSQSWHIWGWGVSL VFAAATWIAALVLIWKHYLASLNVKSYEFVFVFSKSDPKTQRHKLRVILYPPVYSTLS WFSYLRYDYSTTIEFFATVFEAFAVYNLYTCLQAYLQPFRKEAERTKEPMTARMFHMF TVHVKSKWGMHYRIITDILVLQFPIWSIIDSFISIFAEYKGVYCQGSYSFRGAYVYLV IINFISLSVILSALFTYLAIYRNEWFEGKIKAHGMFWCVKGPIMFIFYFGQILLTGLT SAKVIRGTDGTNSADSVAWPADAVKHGLYVIIVCTVMVVDIGLMFKYFGPTDNIQHAR ENGTEKMSVWRAITDGYLVYIPEFFYNLLCCGADSYRLMRKRVELRKRKNREKMSLGR SNSSSAGNH PHYBLDRAFT_70513 MVIYQPRFVYYACLAYKYISIPAFTRPRFIFGLDVTQTGFDKSN QIKIALWKTKITCDTSANALGICILLYFITHVNGSYIIPSELIGDIDNRAGAATFSRG NTVYTYGGATYKEEFSNIFSSISLVNDEGKQNMLYEIVYQQTPGPLCARSTTVYLPES DSVLLFIGRYPDNQKNDTLKVFKYKFNEPGRFWDEIILANNSVIPSTRRDYTATLATN GKIYLYGGIEYATNDKLNQLLVFDPLTNQLSDITKSHQSTLNSHTATALPNGLIVFVT GSTSVSTRSILSALPSEEAIVYDTINDAFTIQKIGGISFPGRTSSSAILAPDQKTIVL FGGLQGYDMAKESVCNDLLFLDTSTWTWSASPSRGFPPAPRNQASIGYLNSNNLVIAY GQSITTLRNDINILQFNGQSMTTLTWLREFQAITSSQLTGARPSNSLGAGSISGIVIG SIVVCIILIAFIWKTRRYTRSFLVNAYYNIIWTPRSSESTIQTPDIRICFDGWTNSSE TSANNRPHLICSTDEGYDCMEFITPLNMTLHQPAFSDRLGEVDCFLYAAPKWFGLTDK TGGYGNGTTLIISLYGNNTVTGAIHTTFYPPGMDPNVVYYNITTTDIRQLLTPSQIDS WIVADLDDRYAVNVYSISPKTVVTLGYQIQDHQYLTNSGWNTIGFLPIYNHTPELTTN FRPGVVSSFIQSRGSYHLSNLKVFPNNYATVTLLEERRSTILSVLGSLGGVISLAVAF QMWLFGFRPNSPWGIIQRWSIGPIRRSFEHNLVSRFDSRHTSIPLVDSVYHPKGAFDS DSSQYLNEAKLNFERSSEEEEMIDQRQRLLRVEERMQLTETLLNAYYVNSEIFQKLSE SIKQRKLGDSDDTLAVNESEQNLIHERL PHYBLDRAFT_171857 MTVPIPKRQNLQRSFHVGGSVAVITVGLPARGKTHVSRSLCRYI RWLGVPTRVFSVGNYRRERLGYLPNDWFNESNAEAVAVRDEISQECLQDAIDWLRNRG QMAIYDGNNVTDERRKAIYDELVENGIQPLFIEFICTKPEVVNGNIRSVKISSPDYVG WDPEEAVKDYKERIKRHEALYQTMTDTSLPFVKLMNVGEKIIVNNVSGYLQSRILHYL TNLHITPRTIYFARTGTARDESSYKIDAELSPDGFMYARQLKDFLTSYRQQRYGAENK QRKLTVWTSTRKKARQTVQPFVEAGYTVRQHSALMQLNPGELNGMSPEEIKAKFPEEA ALAAENPYRHRYPRAESYHDLASRLESVIMELEREKNDVLIIAHETVLRCLYAYVFDR PDREIPSLSIPRACLIEITPSAYGCKEARMEIDGAMPTPTKSANWIQPKTKRR PHYBLDRAFT_171858 MVTTSRSNNDDWIKLTHPTSHLNLVPICTMALMTHPISEEQESQ PIQPFVPERYPNENTFLLANTKPHSTREPWFLTLYHSKLVSLVPSLLLGSVIWFGVTP TEELTQTAIRLLAVFTSCIFALITTGVDISLLVLSALIVLSLTQSFQCKDRATGLSIE CRLCGDENTQTGGIYQCKGSKDSFEQALTGFSSSVVWLIFAAFHLGKAVEVTQLGQRV SLLMVKTFGQHIIGLGYAIVLSELLLAPFVPSNTARGGGIVLPVVNSIAKTLGSSPTQ DPKLGGFLTLVGSHANLISASMYLTGMAPNPIVLAKAAQLYPDMEFNFMTWLTGSCVP ALFCAISLPPLIWWFCGLNKPDKTFESSTHSPRNGVVRHARDELARMGPMSSKEWCLC WVLILCLSLWVTGGYTNIDSTLVALIGIAVLLHTSTITWKDVSTNTNAWDSLFWLGGF VTIAQQLSEAGASAFMGHKISIAIVHFNLPPVLALSSAYFLTTFMFSSLSAHTVAFVG TFLDAGHALGASPMVLTALVAYFGSLGGCMNTFTIYNFLLSYTILP PHYBLDRAFT_70510 MSNQNTSLIIGVLVYHLNSLLRNKLPKEYNTISTILDPKSKFTI TKGGYEFKIYVCSFGRKSELYGLIVSETSWVGPVMSKERIQFTSVLVVFSSVVNKSRA IESRVYHGIGISIQAELVTTAIAFRYNCRVLLVKGHLLPITN PHYBLDRAFT_182641 MIPLSIILVAFLQLTSLGLGQPIKTNEPRIHHTLVRLPNNTIVS IGGFSTLDQTFVDTQTHLDTLQTHRDQSIAGHTSHWYPPTQSIVSLFGLHGPGIQTRD ERRLADRHRNSYTSTLPVSRYGHTSTLLGKDLYVHGGFSINADQLVPLSDLWLFRWRS KTWTQPSLHTQNNQRIPIAGHTTVNYQNWLLSCFGITKNNELMSHCTCYDTITSTVHT ILEASLPKPRTMATMTTFPFDPSRAILFGGMGSEGLLLSDAWEIDMSQLPAHISWRKL AQGQPRAGHAAVFTDDSIVLFHGGLDESNQLTEKSLEFDTNTFTWVLSPGVFRQQLIK RADESNAGKIAGIAVGCVAGVIIIGGCLFMVWYRRRQAKSVQDKASHNPRFSYALSGS NQRRSAASEVSTVLQAPAVAIRSSRLTPMNRTSELFPSIAETSGIIPIHFPSVAEYSE RHNNQHQHQQQHHQQQQQQQYQKSRSTPNITKTNSIISDTPSVKSTSSSPSMGPSIAF TPARINNRLSERPTSDALWMQAILNNSLIWKDESETNQAAAQVSVPPSPRLLQPPLTP TSPAFPRRPSSDSHKSVSSIQWVGFNEGMDYRWYPGLQVTNLRKSQLSLSTNSNPGTP TFPPADSSGSSIHHQ PHYBLDRAFT_126725 MDCMGYDARTQDPLYKFCPFYITLGAQSNAAHGIYYNNFSDTTI DLGQEIDAMWGPYSYYQAASGPLDYYRVYGPTVSKVVKSYGGLMGRPRHLPPRYAFGY LASSMGYAEAENAQEQLEAFADLCKEHSIPCDGMHLSSGYTVNANGDRCVFTWNPTRF PDPKRLAAHLKSAGIRIFANVKPWLLKSHPDYTLLKVSHGLVWNSDVNDPATLWQWSA GKHTAGEASYIDFTSLVGYRYWQERLKTRLLDLGYELWLDNNEFTLLDDSNTYACEMH PTVYQPHGLSLPAMSPRDSSARQVGTPYQTLMMMQASYEAVRKHAPIDRPFIITRSAT PFSHQLMSQTWSGDNTTDWSTIEYNIPMGLGAGLSIMPGGYGHDVGGFAGPRPSPEMF VRWVQQAIFWPRFCIHSWNTDGTITEPWMYPEVLPLIRASIELRYRLIPYLYSLHIKY FHHECEPVIRPLFYDHQDDPNTHTQSFEFMVGSNLLIAPVTQPDQISRTVYLPANTSW YHYQTNTYYDVPKEGLTVTVPSLIEDTSSPLFVKAGTILCFGKVMSSVFADVDDERRI QVFPHPTDTTRTEFHLFEDDGKTIYYENGAYADVVIWMEPSATEIRVGIEVLEDGYFP NYDTLWVTCPLQDETRPLVFDGEDDLGRSMGLVDQQDTNVYEGFRISWKRTQEE PHYBLDRAFT_41540 MATPQGKKYTIGTRKSQLAMVQAYIVRDFLQRTYPQHTFAIESM STSGDRIPEKALSKIGEKALFTKELEIALEDSRVDLVVHSLKDLPTVLPEGMYLGAIT ERENPYDAVVLAPRLKGHTLATLPKGSVVGTSSLRRVAQLRRRYPHLVFDDVRGGLNT RLAKLDADDHHYSAIILAVAGLVRIGKDDRISQIIEPSDALYAVSQGALGIECRENDF EARQLIEALNHTPTRIRCLSERSLMRTLEGGCSVPIGVKTTLELGDESKMSLSLRGLV ASLDGQQVVEYEDTISLQGADDAKKFALAETLGTRVATKLIEMGANKILDAIRH PHYBLDRAFT_126729 MGKGQPRGLNAARKLRNHRREQRWADKQYKKRALGTAFRSNPFG GASHAKGIVLEKIGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LIAGFGRKGRAIGDIPGVRFKVVKVAGVSLLALYKEKKEKPRS PHYBLDRAFT_135500 MGKDYYEILGVSKDADEEGIKKAYRKQALKWHPDRNKDQPELAN SKFQEIGEAFEVLSDKNKRAVYDQYGEEGLKGGGGSAPSSHSTHTTTNMGGFPAGFNF PGGTSTFFSSTSSMPGSHKGFSPSNADDIFKHFFSSFGSGTGGMGGMDDDEPMPERFS FGGIPRGTSTFRTSQTFPQKSSAIKRSLLISLEDLYTGTTKRLKVTRKTHDSATGQLV MTDKILSIDVKPGWKAGTKIRFPGEGDELDNGEVQDIEFVVEEKEHPVFKRDGDNLGI KIDLNFVEALAGFSRKIQTLDGRTLNISSQAVIQPGQTSRVPNEGMPNSKTGKKGDMI INYDIKFPTELTPAQKEGLQKVFA PHYBLDRAFT_70504 MEEDVNPYHLGTLINIRRYCESQGPKQESLIKELDTRMEDAGKI VGAVKDLLYGLYTAINFKYSLPICTSSLSKFKKAFQISVAVLISKSSLVNKESGKIRE SLDFHDVRQCD PHYBLDRAFT_135501 MTETTIALVVLQHGLWGNSAHMKYIHNKLDEAYGDSISILNVEV NEAKYTYDGVDICGERLIKNIYSHIEKLAEKNKKVDRITLVGYSLGGLIIRYAAGILA KKGFFNHVKAQWLITFATPHMGVRRPTTSVVGRTFNFLCGNMVSRSGEQLQLSDDYED GRPLLDILADPDREFYKALELFKVKRVYANVANDRTVPYWTAGLETIGYYETPKSLQV PHYBLDRAFT_135502 MVIKPSLRTLGLACLGSLQIASAFYLPGVAPQDYAPGNNVPLYV NSLTPLSNQQVKSVISYDYYYDRFHFCRPENGPQKQSESLGSVLFGDRIFTSPFQLSM AKNETCKLLCHVKSIPKEDAAFINERIIDNYAVNMVVDGLPAAHENLDKNTGEIYYNI GFDLGYVDGKTPSLNNHYDINIYYHERSSTKFRVIGVVVKPSSKTTQLDTKGQPICDK TNHGLYLKADGTDSVVYTYSTIWHPSSTAWATRWDSYLHILDPSIHWFSLVNSIVIVL FLTGMVAMILLRALHKDISRYNAVEAQVNIRYRAVINKRICLEDVQEDYGWKLVHGDV FRPPSHPMLLAVAVGSGAQLIAMTALTLVFAILGFLSPSNRGSLATVTVVFFMVFSCI SGFTSARLYKMNGGEQWKLNIILNATLFPGIIIGFLFGLNFFLIGSHSSGAVPFGTMM AILGLWALISLPLSTAGSYYGLRKPRIEQPVRTNQIPRQIPDQPTYLRSLPSIFMGGI LPFGAIFIELYYIMNSIWFHRIYYGIGFLFLVFGVLILTCSQVTILMCYFHLCNEDYH WSWRAFLTSGAAGFYVFLYSILYYLTKLDINSITSTVLYFGYSTLISVLLTILTGSIG YLACLLFLRKIFGSIKVD PHYBLDRAFT_115953 MLLPPLLKDPLVALVGNTCYTSLIEDFHLTDIECIQYAISKCLG FGIVVGSAIVKLPQILTITSNRSAKGLSLTSFVLETIAYCITLAYNLRQQNPLSTFGE VFFMTLQNILITLLILHYAQRQREMILTLVGFVVLLLGLTSSRLVPSWLMASLYAVTI PLALASKVPQIYTNYTSHSTGQLSLVAVINYFVGSSARVFTTITELDDPLMLGGNVLA SILNGILLIQVVIYWNKDEEKPSKAD PHYBLDRAFT_171869 MFSRLVVQTAAGINRRFPRAVLQARFFTQQHQKQARSRLLSPFF ISTTSAIVATGLTFAVMSTQHHDDLLEQKIALNGTADHEVEEATKYLNNMTIVKEARS NKNMFEIEAYSHLNGSAKLHSLTASTLRGKGKVIVAPVIFYNKSMTEVTIVCHLGTEL CGHDGIIHGGMLATLLDEVLACVAFPALPNNIGFTANLNIDYRKPVKSDQWVVMRGQL DRLEGRKAYVEAWIEDLEGTKMTEAKSLYVAPKSIIPKIGS PHYBLDRAFT_149031 MIHLLNPSEWVAPLVPLFALVILPLGPIFIPRAYLVILFVYFTV FLYTQVNHVCKFWITSRKITNTVRRSNLRRSKGTNTPSQLDIEVTGDRLHYLYAFIIP NYCEPEGLLRSTIEKIASHSSAKTNYCIILGMEESEKDHTDKARRLQDHFQGRFAHFI STHHPTNIPGESRGKGSNVAHAARHGCRELMERGVCRSKVILTVTDSDADIPELYIRE VEDTLNRSDDPYRLILAPPIFFSRNCFKVPAAVRVTDITWSAMVMSNLSNSRGLSFPC STYSLSMALAERVDYWDTDSDAVGEDMHMWLKCFFQTNGEVRTAPIYVPINLTNVQAK GYMSNINARYVQAKRHYNGVADVAYTIKSSFSVLMGKSDGGCLGSIGGNGLLEITSPS SWEDKLRVCCLILEAHMIPATSGWLMFAAVPLMQFLLFPPFHSLAFVDPAQNPLLASE FYAQLWSMVKIITLLLPFPLFATLAIYESLHRFIDRELLCKSETRRLRHLFDYTMLPV AAWLFMTLPSTLACMKRLLKREEHYVVAEKFFDDNEKIIVPVDQLI PHYBLDRAFT_149032 MLDVHRETFVNNIDMAILSSWPSVDNKSHDALVTVMGNTDASIE RIALHFDLDDSTALTTLALSEDLSIEKANILASDLNARKIKRFDTLQNLNDRLKAYND CRTVWKNFMNGLLDLNTSEAASTSTSFEENSSERAYKTLESSLSSTDEQGVSSSATPF CPEGLEKDTGTRTGSHNQLVRLDKNVVPHQGTSSPQDYCLEVIEKEVNVDNVLPDLEP KERTLGFFESLGAYGFKE PHYBLDRAFT_188162 MRQQVSPSTHPPGKIVFAKLKGYPWWPARIANEQDVPQKVLVKK AKTKCPLWTVRFFGTKDYGFVGADNIKPFKNEDVEKDLSEKKFKSKDLEDAVRHALDP SEEDPIIPDQDSPSPSPASPPSPPSKSHQKSTRKKADAPKKTETKRKRAKKEKAGTNE PDEPPKKRQYRKQVKGDDTEEVSSKDIKRFKSSSNGNVNGAGRAGVGYGNGFDGGLGL GSIPAIRPDPPSPVPAPVPAPIAQQQQYQHQEQHEHEHEQEQEQAQAQAQAQAQEHEQ IQVQVQEQRQDEQHRQQHRQQHKHQQPILNEHTKGRDLDIDQADSVEKQVFKKVYHIR HKLQKLVYCKKPGEIPKEDYSKINLVIKEIEDIHMNYHLLKETKMGKVVKAACSYSYE GENEYSIKERCQQLLRTWKTEVLVTNESSNSELLLANTVESVRSRNHIQLEDRTLPLL GHSEDAVLQRV PHYBLDRAFT_90867 PSSSSSFTELELAQSVQLRPAGTRIPKIVHFVYGLRGPDPTLDL IHYLAIKSAHDTIKPDKIFLHYHYRPIGENFERALPLITLHQIDLVTNVFGRPVSHYA HQSDVARLQILAEHGGIYLDLDLISLQSVDHLLDHDFVMGQEGQGGSVGLCNAMIMAR PNAHFLQRWYATYSSFEEKDWNFHSVVLPGKLAPYFKSEITVLDHTSYFWPLWDGPGL RTLYLEKSYDFSQN PHYBLDRAFT_188164 MELPWASDTTGAGTHNFIPNTTTLPPSTRITVEDTSTSAAGLSY PTTTGHRMLRRPRADTMPSQASFPYPPMFGGLAPVQATRHRSGSVNLPSDQSEDLSEA FYGASYTALPLDDDPDADITIASTMASLGLNDEDIDPQEEARQTFVTPPGLSVPHNSF FNGTATGGTNGASVGSGVGAAQAAGGVGGVGVGVSGNNTTSDSPMTRNRAYTVATRAP LDRPDLNRIAPGLNFSPFSPQTLNAVQTRPRATSMGMADGAMMNSFSPFDINSFQQRI PTRFQPPQVVVSAEPEQPEHTLRNTYSSGNLFDMNRDMTFAPVNNSRRPFRRVPSHEQ LDRLPESDNTNDFYSGSDSFTVWSGFDTSSPGTQIPSRALWLGNVNPSLSVPDLIQLF SCYGTVESARILSDKECAFVNFSTVESAVAAKADLETRLGNKVAGTPVRVGFGKADVS IAMALTNEAGPNAQGPTRALWVGNIPANINPALLRALFQTYGPIESVRVLSHKNCGFV NFEHQEDAVKARKSLQNKEVLGPGTGPVRIGFAKVPATPSEDSQGDESAPSTNPGSNS PSTSNTDVNSSTVNASSATTTNSNNGTPDNYQATQWATAMMMTSMMMRASGQPQPLSS SQPTSLYTAIAAERCFIMQQLGCVGSLDEEEERAPVTYSSAIPILPEIGADRHLEPLR LREMRKGLDSGQGLSEVESMVDECMDEIVELCSDYVGNTVIQKLFEYCTEDTKERMLS AIGPYLASIGVHKNGTWAAQKIIDYAHTEGQMQLVCTHVAPYVPLLLLDQFGNYVVQC SLRMGPEKNQYIFDAIVDKCWEIGQGRFGARAVRAILENPVVTKKQQVYCAAAIVQNA VLLTTNTNGALLLLWLLDTSELPGRYRVLCPRLLPYLTKLCTHKLGSMTVFKVISQRQ EPDASQLLLNAIFNDSSLLEEVLRDQVHGVGLVQKIIALPHLEKRAQMVAQVKEILGH LKISPTSQSYRKLLEGLDETPEEDPEEEGEGVPVEDQSTASVGLEWLQNPQAVAMMAN MYAAAMTAAASTMQPEYPQQQQVAVNPPLQQSSPVQAAAEQPRPAADLPDMAQFDQLI KSLLHSGNTNTPTTEPSPKTEEQETEEKQV PHYBLDRAFT_70491 MAVLWQLKTFAVYKHIKGAFASDFVNFFSRLPLGGFSFILCVPF SNIRRLCKILRGTASLLVLDSTTSLPNPKEDAVLIFTRPQVVTFYPASSNFIWSLRIH TCENKIALIQGSTIEC PHYBLDRAFT_182651 MEQQVLTTALPMLILGLGWTGQFLVELLNAINLKYAATTRDGRG NTIKWTMPSTSYWATNPTGLTNLPSAQTVLITFPVINTSEMTEFMDAYESEHGKPQWI LLSSTRPFNGTPSDRHGPMDPSRGTDRSGAEEIVLSRGGTVLHLAGLWGAARQPRQWV SRFPTEAAIRAKLLTRQLHLIHGKDVARAILAVHEQFKSGERWIVTDKGCYDWIRLFL AWGSPEQIAIARSLAKNDEVCRNALGEGTLEDVVARGGVVPRLNSNEFWETFGIEPTE YLTIE PHYBLDRAFT_149037 MSEQHRNGLNVITKTLKASSKRRESQNPSAVVIEVAESLTQNFA DLNASHSTIYNFMTTECNLSIKQAQFQPVERNNEEKIQQRYHWVQKWQQTDLDFTTNC VFLEESAFHINLKRGMAWSKKGTPAVITVPTTKANATSILGAISATGLINATLDEMDK YPDMKGHYLGMDNAPIHSSSDIVLSKALFLILLNALTSVSTKRDYKISNANKKKRATQ RSTQRNSTLN PHYBLDRAFT_79823 MATSSKLITIVNKCFECEGSVSSPQRLREHLNIHGISTPRRSLG FRRKNNQQYTFVKCGNGHGLIEAHAGCPACSAHYADLTKSQSTAQQHQNNGQNQEPSP SRQRQESSRDQEQSSSHQHLNDVHCITSLPKRRSSTRAEDRYLEMELLDPKDLSFPPV DYDDHFVVQQFDVTNAMYEFQCSILRQKWKLSLEDHIHHAMAINSILLLSPNKYPDNL SPHFCEASLRTTMNKIKSIYGLNLPRMQIKTVTNMITIIQELDIEAISRSQAIVKLLQ LDLLPHERKFANGLAELVKKLPRVPIEEDVNESELITRFVDPFLCGLFDDPEEGVFIR WTNDITVEARKNETLWTRRPDLTVTSLKGVKWSTSHGYGEVKPVCHEANNFLLSNDLI RVAIFCKNAFDAQNLEGILGLQIIGRSITFYLLVLPSNGLYVMYELGTLQLPNNLCDL CKLLMDIPLGLLVLDVFHRLCIRSANPFQPSRHRPTVPESNFDGIFSTSQDRKRSCHL KKYN PHYBLDRAFT_159699 MMVPSQGLLINGLGSSGSSDQSVLYSDTPLRDWPIGQQDQNSLW QAPHSRLHFPAATYLPNSIRQQQQQQQQQQQQQQQQQQQSSSNSPHYPSTHSELSESQ TTSAAHATMQQQSTFSTNNIPFPDLAHKSSSSSK PHYBLDRAFT_149041 MLNQVDSAYDLRSVPDKQIDALEIFCAFIFRVKEQLPKRWGQES EFLFLAVIQTHLLVCSFCHGALVNGTVNRM PHYBLDRAFT_126744 MVNANNAPVQNGKPATTTTTTTTNTATTSTTNADSKRTRSGSVT QAKPKVLRPFNTAEVKILLLENINESAVKTFQKQGYQVETYSKALVGDELLEKIRDVH VVGIRSKTKLTKQVLEAAVNLRVVGCFCIGTNQVDLHTAANKGIAVFNSPFSNSRSVA ELVIGEIIALARQLGDRNIEMHDGVWNKVSANCFEIRGKVLGIVGYGHIGAQLSVLAE AMGMTVYFYDVLQIMPLGTAKQTESLEELLSISDFVSLHVPELEETKNLIGEREIMGY MKKGSYLLNNARGTVIQIPGLVKGLQSGHLAGAAIDVFPKEPASNGKHFTDYPELLKC PNLIMTPHIGGSTEEAQRMIGIEVSAALVKYINQGTSIGAVNFPEIDLRAIREEEKNT VRVLYIHRNIPGVLKAINEIFADHNVEKQYSDSKGDIAYVMADIADVAEEQLHKLYEA IIATPANISTRMLY PHYBLDRAFT_171882 MASMCLFCYTYHVQQKSQRLLWSSNEKLLLTVGPQLCWFTGTLV KGKQPMIKDERKDRVKDLIVLDERKANGIVRPEFSSPAIYPLDLSYGPLVALFASLSD LPSIQQQQQQQQQQQQQQFMSYTGSPAPFQTYQGYASPALTPYPISYSPYLPHGVYHS HQPPPPPPPHPMIPYALMPYLAPQTYNGYSHISTPTPQPNSLVSTPTLAPTPSYTRRK PVNPAVLENSIASEFKSSPVQRQNSVPAVAHPLPVRSSSRQATTQPAQPAQPAQPAQP TQSTQSTQPTRSVSLQNSPQAYRVVRKPIDASPVPEPRRHVTFSDKPPQEYHYEQYYS DDFGEDDEEEEEEEYSEEEYMSNYDVYASYAADPYYSNRGDYYEDNGEDDEEDVITRP WSTGIIDTRKPLHYPPERQYSRPLSRPLHGPVRHQSHSRFLPSRPGWSHTQSQHRIPH TRV PHYBLDRAFT_70483 MPSLDTIMRDPNGYGGCDSGNSGRSSGCNIMPIITEYIKADVDK KEKYKHDRRRKRRQTKVQSVSLLPDFDAFRTHRICIGPVADKRPISTSKKSLAEERND VILDTPTLSTLTTTTTTEKMELPMHSQRKSAHRARMIIAADAAYLAQESSSMPHRQLG L PHYBLDRAFT_70482 MEYTNYQKKTKEQTGQCSSSESYPSAKPIPPSGVCYTQPFQRPS VEWAANVRNIHSLLMSSGKSPFRMNPVYALPPKTCIPCDTTATVPDLACESRNVERHE EARIINALHYTTSLNNIPWPTRLETPTQELSLATYKHRDRVYQQNFNTQHKRHVEMAS QKKRLIEHSLQIHHLRSKRRSLDVFNKGYENCANGSTGISCRIIFPQQKIRHRSKTFQ FSINDIMRQADQQDILVPIRLDIEHDGYVLRDTFTWNMNETLITPCDFAQVMCEDMQL PVDLFKKDISRSISKQVNDYQLNGGLYEPLTKVTEILDSKQAVKNDRSFSFDTDIEWR VLIKLEIVVGNLELIDQFEWDLGCHYNSPEMFAEKLTTELSLGGEFRQIQILRKYCVT NNV PHYBLDRAFT_182656 MPALRAASYLIVPAVSAYGMAAYIRSSAQPAQAHSHLMSLNGSA RDAQWRKINNGLGVDVGRSCGEINKAKQRRLFSAGAGAGAA PHYBLDRAFT_171887 MICDTNWCTYCDSAVSPFSDSLYCSEECLKKDALAHHPMLGYDF IDLRSFPRNNNQDGSRDAPFETRRRSTCSMSSQGIPGLISHSACVSANSSLGYSPPTY FNLKPQKNTLDTHRPVQSLFNVA PHYBLDRAFT_79818 MSLFSSFSAPTSGVLTVTVIEARDLHSEDTFGTNDPFIELWLDE EYKQRTSEVQSSNEPTWNETFTFNIEEGSSLHKIYFKVLDKDLVGDDTVGKAKLDVSE AISSGTPIDTWVSLPAHLGLSSHGEVHFFVQFEAL PHYBLDRAFT_41524 MASVCVVWYAFMIGLSILGHYVGYSRYTKKPPPRSPFLENAPGV SILRPLKGVDLELESNLRSSFEQNYPTFELIFSVASPNDPAVPVVERLMTEYKKIPSR LIVGDKQVGINPKVNNMVKSYEVAAHDILWILDSNVYVNQDTLGRSVDMLLEPGIGLV HHLPIAVQPQGYAAEVEQVFLDTNHAKMYLAINWVGIASCVMGKSNLYRRSDLDKAGG LGAFAKYMAEDNLVGEALWHQGLRHRMSADTACQALGKIRPLEYCRRRARWVRLRKYI VTAATLTEPFTESIVCGLLGALGFRVWWDLSMPLFFAVHWLAWFTNDYLLYRTLILAS STPVQQTQPMHFKRFICGWLSREISALPLYLYAMLGNEITWRDQRYRCVTSGTAVPVN DST PHYBLDRAFT_149052 MTYYEEDQDDVPQRRVPSKASRQRSFLRTPMPNQAKSQPAAPTA QASEEFSTAISWPILLAVIPTLGAFIAGSAEVWSDFIMILLILYYVYKWITVPWSYYE SARSRRMIHQNATSYADPLDDRKRGTEFERRRQLAYELRRHELMGLVWVIASPALAGY TLQYSRYFLSNYDKYVSSFNVTVFVFAASLKPLAHVMLLLRERTLYLQSEMQIQETET QRLQRKLEMMEEELIGLRKAFATKKDLGQATEGLVPTLEQLTKAMRRCEKKETALRSW SEEQFTVVDKKVREFDQFICYRIEQDQRKSTQRAVATLLFLPLNITFWVAKRMTRLLP IPRALLGSSSTPTRGAKPSALAPHRPHRYRSRNSHATPSRHLTHPDLTASSPDQFGMF YGDTLPEPEQTEQVYSGEESLNSFDQHN PHYBLDRAFT_178002 MPKLPIESQEGTSKQSSKKRRMRRLRSPYTLFQRRGKGKSGQKN AGLRIGFAVVGCIIGLIAYIVTPLQIQTAYDRLTGRTVPDCFKYAIMIDAGSAGSRIH VYQFHQCIRSDPIRLHNETLFAQTIPGLSAYADVSPQKAAESLDILLDQAVSVVPKSL QAKTPLSVKATAGLRLLGNTKSEEILEAVWRRLETTYPFRILGGSEDVAIMDGRDEGV YAWITVNFLLGNLDNGKERRPTAAVFDLGGGSTQIVFEPDYLPNGSLPVLTAGDHKYS LNYNGRDHMLYQHSYLGYGLMEMRKRIHDSVMNSSPKKDKEEEEEEEEKSHDHPCLPR DLEWSFRDTVRFIGQGSYDSCENTVKSVINKEAECPEMPCSFDGVHQPPLGETFKHGP IYIFSYFFDRTQPLGFPLEFRLPELGRLTKQVCSGAFFENVTDVELREEMQGRPEWCL DLTYMYNLLAHGYEIPDDRVINIAKKIDGVETGWCLGAAIALLDDASLHDETL PHYBLDRAFT_149054 MLINRFLLLSALAGTVLGHTGGHLVKREQPGVPKTPILDLNPLH WGDVNFIHTTDTHGWLEGHLSEESYNGDLGDFYSFAVRMKEKAQKLKKDLFIVDTGDL HDGNGLSDVTPLDGLVTQPMLKNIPYDVLSVGNHELYVNEVTLDVHKNFVPHWKGRYL AANVYIKDASNNKTVQLGDKYAYFKGAHGTRVLSFGFLFNFNGNGNASVVRNVADEIS DPWFEKALLTHKADVIVLIGHISLHTGEFQTVTAAIRKHYPYIPITILGGHTHIRDFA IYDSWAAGIESGRYLETIGFFSVDGISESKKFIEKHGYQSSQLPSNLTFHRRYLDQNR ETYIYHSLDKKSTDKKSNNKKLKFDTPLGMYISKNITNWRDRLTLSTTLGCAPQDYYL SAVPMTSNSSLLSLVVNEVLPQAVTDPSRPYPPYIIINSGSQRYDVYKGAFTLDNMYQ VSPFVDRFMYIPAVPLSIVKQVLPIMNHEKVTKRSVWPHGPQPEYIESQNYLAEHFGA DLTRRDVLTPGYTTKDDLGTDGDDTKHSQIPYYDSTTYVASPLPTGSDDTLIDLVFLD FFVPQMKTILKTLTGKDWAVEPVYGDYDVTSSTMWKVFAAKAWLKDC PHYBLDRAFT_70471 MIRREHFITQGYLGYSTLLSREDEGYTIYKRMLLIKIVRFMLNL RYSSLLISHYGDVIKEVYLPHVFIVYVAIQSGINIIGSFTDQLYFPILFKLRKSIKIS IIYW PHYBLDRAFT_33722 MTASNEIKKPSFDEILLEPYYYLLSQPGKSVRTKLISAFNLWLN VSEDTLKAITKVVEMLHTASLMIDDVQDDSVLRRSVPVAHNIYGIPQSINCANYVYFL ALQDILKLNDSTMVTVYTEELINLHKGQGIELFWRDSLTCPTEQEYIDMVNNKTSGLL RLAVRLMQAASESTVDYTPLVNMIGIHFQVRDDYMNLQSQEYSQNKGFCEDLTEGKFS FPIIHSIRADRSNRQLLNIISQKPTSIEVKKYALEIIRRTGSFEHVKSFLAAKEIEMQ EEIERLGGNPLLEKVVSGLSLSN PHYBLDRAFT_24938 MNEFIVQDDQELYQEALIPPENFNMVCQHVYRSSFPKKKHFCFL KKLQLKSVLTLILEDYPEQNMKFLEEEGIKFLQFGIAGNKEPFVQIPDDKISAALAAI LDKRNHPMLVHCNKGKHRTGCLIGCLRKVQNWSHTSIFDEYRRFSHPKSRSMDQQFIE LYDSNQVWPLVDRRYLPRWPTLGKPS PHYBLDRAFT_171896 MLGKGLVYRLIFESLEHFGFINVFDKNCIYPRNSSGSPSPDIPS LLFLVQLDNTSLDEVCIGFLRRYLVNVPEDIQNVLVQVTLEVLFSLISECQRINLHLI DRLTILSSTSISVWLVVCSLITMVLKMCSVRHFRRDLK PHYBLDRAFT_159708 MGSRYQKLSTLDDPLEVQQALNSHTSSRQSLSVSRPQEEVALTA QSQQRNDLEESFDEHFDDNDHSESQRLIQPSYTPQTTSAQVSSSTPQPRQPRSSINNR PAVLPVSNDGVFSNMAAKPESERTKVDETPPAYDEAAADSTPPYWQTTIIAPAGMGDY VMVEGMPVGNILSFVWNLLVSASFQFVGFMLTYLLHTSHAAKQGSRAGLGISLVQTGF YIRSRGSLNENLNYGDNYGETHTEENEDDENANIIAYFLMMLGWFIIVRSVAEYVRAR KMERIIGAEPNAEAMV PHYBLDRAFT_33712 MESNNSPSETDTFLQQQQEEAGRRLFQVLNDTAGSNAHVNAVSV LGAIRTRPSFLETATAKIMDSKTVADVVNQSQLVAEQLMRLDVFEQVKVLLDRASDSD PLAAPGSINVVYQVKEKSRFFIKTGTEIGNNEGNMNGSVTVRNVFGGAEVLETVASFG TRNSSSFQFSLAKPVNASPDSRLDINAHHVLQNNVLMSSYEELSRGVGLRYKTLSRFG FHELSYNCIWRSIDKVSDTASLSIRNQVGQSLKSSINHTFIRERRDDMLLPTRGHYIR FSQELAGVMGIGNANFAKAEVETQEDEIVGVHPGVVMSLGLRAGWLANLNDDPKAATV SDRFHIGGPLSVRGFKTGGIGPRDYRDALGGDAYWSAGVSLVAPLPKLEEKPLRAQLF VNAGTSVPLKTGTNPQDAARALTESPSLSTGFGLIFRHSIARIELNYCIPLTAARGDQ IRRGLQLGIGLNFL PHYBLDRAFT_115842 MVASSTTKQQQPKGSGGSALKRLKNSLKAAGVVGQQSKASRSKK DRKRGIPSEVGKNEVNQKLGLIRGEFNPFEIKVNRTKFDIVGRTVKGTVGKPTLSKQI GEDNRKKTLLSELRGKHRVGGIVDKRFGETNPHLTPEEKMLERFTKEKQRNARSGGSM FNLDDDEEADLTHYGQSLGDMDDFDDAGLELSDDEESGQLNRNIVNKMHFGGFEGDKE NGEDGERHKSKNEVMKEIIAKSKLYKVNRSLNTYIKKL PHYBLDRAFT_116015 MIERLLQLYASTSALVELFQQTLDIVRILETVSWHSEIESVLGE LSNRLERQIKFCKDKRVKTPLRMQMHRPIPIAQHLPKFEKGYSMDRHYDPDHERAQAN KLAAQHKKEKKGALRELRKDNMFLAREKAKVRKQKDEDYNKMIKGVMTVLEGEQGEQN RLDRENKK PHYBLDRAFT_149060 MGFMYGSHCLSCNYIGEEGGVVKDKCPVRTPDGVNHDGGNRNLE RGGYGGRKPSTSEKPGNVRNGPGRTHLPQYQCPHGKLAGAGEDEMLERVHRREIYVIY VSIYLQMTMTMTMKIATFTPHHTTSHHITSHMALSTNTPEPPGVKNPSTTGSSPPLAT SFTPISPTLTPLYSQVATQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAP PKTSPNFDPFWRALLAAYPREVNMGITLGSRYSPDTCELHLATSADCERACSHPLVVG VSSFPAQPAVPIGTIVRRVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHETYGFF DGSGYVVLANTPTDEVPSDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCT KRPAETRTCFGCNKIGHLQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPL IPTALSLTYGGSEASKHNPHKPALLESAKLTLPATLPAITTISATTTSSGPRPRSRSV DTPVKGWDDEIDDDMITDFTDRVEARTLRLQNASRLSHLRFSRTVRPIGRNTSLSPPR FTPPHSKKALDAEAKINQ PHYBLDRAFT_159709 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ PLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAAS VFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_182665 MQAILNEEHNHALSTPTRFLLECGEWNFTPGISGMTTELNPFDY HFQGQAIQKPPPHSQAHSQAQAQSQSQAQSQSRRSQKSDEISPSSASVSSWPTSPILD NSSQASSPTPSFSRSHRLSSPRILSLSPPQTNHQLPNQSEEQQQSSSFNQESSSDTTQ LVFKQENGLPKKSTRSRTSKRATKISYKQEDSDDDSNSSDTSSTRYMQTIVSSGRKRR IVFEGDDAEEQRKKFLERNRVAAFKCRQKKKKWMQNLETHAEQVSNQNKDLHTMVAQL REESIFLRNQLLAHGNCDCPAVQSYLRQSSAQLESNNRLHYGPIPSLTASNTNTNINN INIGLTITPSSSTSTPTNQNTTPMASSASSSVSASASASASSPATISMGASHSPIKGT ESHNVYSGSPVSLTQQNVSVSSGFDGRYYAPHMSVLPPMTASIGDCHPHQSHHAHAHA HGHPHARTGLTPYLNTVNNRPQITDYFSQKHTVE PHYBLDRAFT_70460 MTVISLPTLRESACGVTRCSTKYSGYLRSKMPLFYYSYSLSGVL VKPRDYSESNSDNEKESFPYVFNFTNTISYFHGSFAVISLLLRNILRRVGQYVVTLYA LTHTLSLRWYRPCYYTSLGNVHTLPSLSVNLYYLSSRFLCTLECVISLGRHGYGMCFH ELSQFLT PHYBLDRAFT_182666 MSFSRIYSAVYSGVPVFEMVVQGVAVMRRRADSYMNATQILKVA GIEKGKRTKILEREVLSGEHEKVQGGYGKYQGTWIPCAKGRELAERYNVMTLLAPLFD FDISTLTNENEEEQLPTKEQAIAAQRRKQYVEPRQSTTQPSTSNATNGSPGKPSTGNR SSNLSSTRHSHAQTQKQQHSTPVVHEETRGRKKAKIAATSVPNITKSPEESTSERHRR ILMAIFLSDNSDHIPELLKDGNASSIFNIDLVIDDQGHTALHWAAALARIKTVELLVS KGADICRQNYAGETPLMRAVMVTNSYDNESFPSILAILEDSVRITDQKNRTVLHHSAL TAGVHTRMNAALFYTRNIIRVLTTQGLIKPAIDVQDNMGDTALTIAARLDCSEMIELL IQAGANSKTENKIGLVLEDYQSKDDRTNITESSSSKTDKSFTATSMYAKRSYGPSQRG REIVSTVQRIVDALDEEYSGQLTERDQELQQTQEEFERVTKQLDETRKTLEERQAQSQ QLSETYQTIRDLEGALQTGWAELEEILQNRGDMPHPDMIDSFDENEDIDALFDVPVTD TEDPNQLQLQVQMLQARIEAYKRNDKDLESDIQSLRTQAADKEMHCKRLIAACCNLSI DKIDELVKPLTQAIESDPPDLDLARVIGFMEKIKRQGTFPDYSSASSSGSGSIPGLSV TSHINNGQSNGDSSKSIEMKFSPAV PHYBLDRAFT_70458 MTSTFPYSSLSRLGTASLRSATTASLSHLLQRPPTFGTEDRIVL DIGSLYIKVGFGGEPRPRHIISFREFLHQDRQTPSDQQLVELYNQDLMRENNAMERVE FMLGGALQDVYFRYLLADPSQHKVIICESPMIPIKVKEIIASILFHRFHIPTISFLPN HLLALLTTGKMTGLVIDCGHLETIVLPISFAIPLSNALKTTPLAGRAVASRLKELLKN HASIIDASSHSSISVYPHRQVLDSSLTSELIQDIQLQCLFVSPTGADGMTEDIGDSDN KNSHANDVYYRLKGKDGILVIPGWVREHAAEILFSPIDEELCSIGECVLDAVLKVPRD LRRELISSILLIGGSSMMAGFQTRLHNNLVGKIKLAKYSKICGLLPSINFFDISSKSG QVFPRNSRAWVGGSLVGALQLSGQAVNREQFDGSVPDWTRPML PHYBLDRAFT_56292 MSIVDKRRVAIAYDGTDDANRLFKWAIENIIRPESDHIVCLTVK PQREAKYRHKSEDGKDSEYLDAHHDPSLQKLEERITKLGVTIEQHVMFGDPKELIPQY TAREKIDLLIVGSRGLTTLQTVFLGSVSEHCLHECPCPVLVVRNTTIDDQ PHYBLDRAFT_159712 MIPLIPLLGQNSGILHSNAPGQVTSVTLPESLNEEQLQTLAETT RESMVQRLRLLEGVQSQIFHSMQILAEALSVVPTNDMRSASQSETSNAFTSTSQPTGQ SSSLSPNTSTTPTSSASTLSDEPLETSSSNKQKKGKMPDYSTRLEDVNTNDSSSDKE PHYBLDRAFT_135526 MRLAVYGGASFMLALGVVLSALHQNSNFYAACVYLSKSSSCVMV LLNLGLLFSIIMGKTLQSIFFGQLRAIEVEHLYERSWYAVTETCLAMTIFREEFDLQF VLVFATLLFLKIFHWLCQDRVEFMEQSPSHRMTFHVRMVNLMALLLLIDILLACHAIN VTITKGPNMMIMFGFEYTILICIMTSIIGKYILNVVDMRSQEPWEGKSMYVFYLDLVT DFFKLITYIVFFIFLCFFYQLPLHIVRDVYVTFRSFIQKCRDLYRYRRATRNMNELYP DASAEDLLRTSDSTCIICREEMHAIVVDNNGHADAPGDIDQNLDQPKKLPCGHIFHFY CLRSWLERQQSCPTWLVTSITLTLIRNQY PHYBLDRAFT_115863 MSDFDDFDDDLDLTPEFLEELDRVEAANNEPPLNAAQDSDSFSE FDDFDPEALIRLADTAEISNSSDTFQQSNTLDVHNHSVKNIPQSQLTSFFGSPIITHR RSSAAVISPIRQTRSPAQQSTNRSSLVYGEISHLEEDQEPPQPPSPEAQTFHPFDRDA LPTWVYPTNYPIRSYQYSIIKKAIFTNTLVALPTGLGKTFIAAVVMYNYYRWFPSAKI IFMAPTRPLVTQQIEACFNVCGLPQKDTVDMTGGMNPPKRKEMWRTKRVFFLTPQVLQ NDLKSRICPADQIVCIVVDEAHKATGNYAYTETVKLILKTHDQFRVLALTATPGTNIE KVQVVLDNLRIANVEIRTEDSMDIREYSFGKSIRTIIVRLDEGEGIVQNAIRTFRNEV FTPLLRRLTKFQAIYDEAPERNTPFQLMMARKEFSMNAKNFSPAVKSMVQTDFSIGDG LSRAYDMLCQHGVGPFLTSIDQTLQDIQTVIDSGKHVPKEKAKLLSDFKLKNLLRNLR NNYDRPDFVGHPKINSLIHSIKHHFENNQTSSIGHTNTKVIVFSSYRSSVEEIVKVLS KHQPLIRCCSFIGQASGKNGTKGLNQKEQQEVVSKFKQGEINVIVATSIGEEGLDIGE VDLIVCYDSQSSPIRMLQRIGRTGRKRQGQCILLMTEQEERKYQKAKDSYNSVQRAIA QKNLLTYFKKNPYILPENYKPVCCKKKLNI PHYBLDRAFT_182669 MPDSGIIPTESEPKSNRASPQTPLSDASSVNVPQITLTTAQAED VIEFIHHSPNQQQPSSHLSTPLPLTRDLLRRKNKIDKLLHHNPHNLSTPNTYVVDERV TAGLGIATPHDNLVLGGTGLRSRRNSNRITSSTDDEKLWYKSQPSSPRVSDYSHFQWT STLDRFKDSPNANSSCKDNKLSGTSSVTSMSDNASMKAYNAEAHRYMEYSYDQSRKLR HINHHHYHHHHHNHPVRKRRSQMQQYLDLIYEAAARVINSRSPENKKKKYTIEPWKET YLSPPSSPPQHQPPSTTAPAPVTVTATAAAREAFDEKNTSDIQRPLDLNDPIDNLPKE SKENQLQGYSLNLFSPTNTIRLWLWKLVKSKNIEVLLLLLLLLHWFLMACVPIKNNDE KSIFGKQWTHYPILCIQSIYSLEAIAKIIVYGFWIPPTREKSRLSMIFTPISNCIRRL RGLSRNLHDNDQKEEEDKIELEHKAYLNKFGNILDLTSIVCYWIDFGFMLHGYRYFTL FKTLGATRPLRLLLIFPGTAMIVRSLEESLGLLLVVLGFLFFFLLLWALIGLISFQGV FSRRCYVLDSAGVSTYVEPPAYCSGFYNGTELIGPYNVIRETYGFPGPQGNICKSGQI CIEDPINNPNFGFVNYDTIFYSFLSIYTVQTLEGWTPMMYQNQDGDSNAVAVYYCICV YVLSFLVTFLVFAVITSTFTQIRANNYGSGFKAKKKSYPLLRLADSHENSTHEDIPWM FEDAHKDSGIGVTRIWFKRNVVNMVKSPKFFYFGGLLVFLDLVFMCMRSFYATEKTLE IIDNAETTFTFIFVIEIVLRFVGAPNWIQFWSSGRNRFDLFLVISTCVIQLPMIQDSE AYKYLTIFQVLRLYRLLICFPRVRRIIYASLGNGESITNIVIFLVLATSLCSPIFMQM LGGDFVDFMDADSTELRFDAFWQSFMTLIMLYTSETWTQLLYNAMESQNGLGSIYAAI FTCIYFAFARFILAGLYIAVILENFELEEEYIKQYQIKRFIRQHTHKDIHKAETILNK LFGSLYKRSENRSVQIPRMPTSLTVPVSKSSLAELLVDHDDPDLKEGEAAVLAEEAKT AEKDEHAKKKSGHGHVYTSTAFADITIEDATDDYELIVAEENRRALQSNIHERKSLFI FSQRSKTRYFCKRLVGNNNDGKSERKNLFNWFIMACVALSIMMVILDEPSTRKLREDS MAQSAFYIIDYILSLIFVIEIIIRVIADGLFLAPRSYLRNAWNTLDFTVVIFNIITIF LGSERSPRALSTVRSLRILRVIRYFKGVRDIFVDLFHAFPLMLDALVLTFLVLVPYSV YGINIFGGRFWLCNDDGMENIAECLGEFANNISGDDVVDANILIPRVWQNPETNSYSF DNFPQAFNHLLGLTSTEGWVDSLFSAMSTPSEEGQQPSFSWDSPMIYHSIYYITFMII SHGTVQLFVGVIIEKFKQRSGITTLTLKQRQYLDLRRQMAEIKPTIKAYRPDSVIRGW CYDIVANKRGIFNRITMGVVITNIAIICTEYQNAPTWLEQLQEYAYTACIIIYALEVL VKFLGMGRKKWAATKWNWYDGFIAISAVLLLILRFAVPDLWTLRVERYFLVFAAFRLG EGIDVLQKLYHTVANSMPSIIHVSVVFLIVMCLFAMLFMELFGLTRYGPNGDEHGNFR TYGNALLLLVRMTTGEGWVVQYPNCVNFADYLETDCGSPGWSFFLFNFFYIVCTHIFL NLFTAVIISNFEHTYETRSRFTGISKNDLRTFKHAWAEIDPTGTGYIQKKDVTKLLHH IRGHLQLGIYDDAYSIKNLKKLSNIQVNEDEQHVLDDKIDKPGTPSYIPGRVTHGYNY NEVNNCLSKINPQELQARRKQYNLYYREIIEAERSKGISFADVLTIITYRFIDVEESL TLEPLIARLEKLERLEKAYAVEKASGVFMTLIQRKRYLHQIWLKRNEEEIKKLGVASA GSLHLDTSVASLSTPGTRDVRQHSPVPRIIVENVHLSSPVNHQSTPISPMSNFSMDTQ QGSPFAGLDSPGTISGAPSPSPYDEESSFFGTPSPGLSPHPNLSPYARHGWLMMDGNN SMSEEQAGRLMESFYNNTWSDMLDAAEE PHYBLDRAFT_70453 MTVSPAVRPVFLITRHTTQPNNRHSLTHEFTLLIIVSQSFRTDN LSKHSCRGPDTLVLEQAYFRQEPPYIVYAISFEKYHVDMSSRIQRIRFSFRGLDSR PHYBLDRAFT_182670 MLAIKNQALRSSFKGTSYRALSTSPVSKTANPIKCVGVIGSGQM GLGIAYVTANVTKLPVVLMDINKEQTEKGLKFIDRLLLKDVAKQKITQEHAEETRARF STTNTLESLSDADFLIEAASENLNIKSAIFRNLDTICKPEAILATNTSSISITKIAAA TKRPEKVIGMHFMNPVPVMKLVEIIPGLATCPEVLETTRTLATSMGKTCTVVKDIPGF VANRLLMPYINEAVMLLECEFASAEDIDITMKLGTNMPMGPLTLADFIGLDTCLAIMK VLHENTGDSKYRPAVLLQKYVDAGWMGKKSGRGIYNYK PHYBLDRAFT_188184 MKEVGGSLRHAIGNTDLSVVLEECESTQNQYLESTFISSASYST PLRRARADTMPSILPEQSHTTHVYNTNNSGRHRSGSLHLPTLFDPPVFGQSWIQPLKH DILGTDSESSIACTLRSIGLEDNEGESTQQQQQQQPQQQRPAEHRSRSYSVNAAGYQE NRVTVPTTTFIDRLVGNQPRQRAASMGPMDYSPVWQWTPLPDNPKDAALSLGDSELLA NMFQDTTDQQEVYAGEQSLQDPPTPQIPSRSLWIGNIDRSITTEYLTHTFIAYGPIES VRLLMEKECAFVNFFETEDAIHAKDEVLGRLNGKIGQCTVRIGYGRADAVSSEANVSR PTRALWLGNLPPDTTSGSLEQLFSTFGFVESVRVLSYKNCAFINFEKIDNAVAAKEAL LSNDILLQRLLGTRIGFAKVPPAKPQQTVDSLAIPSKSSKKSNETTNTTSTATKNSAP NTTSNVTKSTTAWIMGIERMLKECDAESIPTTIKGLKGSTSYFESIPPVPGFSQTRKH DAGRLREVRRRLDSIVKSEEADGIAQNYMDDMAELSSDYIGNTVVQRLFEKCSEKTKT IMLEKVAPHLAAISVHKNGTWAAQKIIETVRSPTHIQLVCQHLKPYIPPLLLDPFGNY AVQCCIRLGPEHNQFVFFAMAQKIVMIAQGRFGARATRGILESSFVTLPQQQLIAAAL LYHVAPLSVHSNGALLLSWLMDSSLMEQRTELMANLFAPHLSLICTHKLGSQMILKII NQTTCLTAQQIIVNRLLEPTVLDTILTDSIRGVSFVQKACKSSHLAPDEQKKLIDRVT IILAEHQGPTYTKLLQEISLG PHYBLDRAFT_70450 MVKSSIVVPPQATGGISRKRLPQDSQGAEIPAEVYFEEKPKRPR LQLNTDGNQRNQRLFGVLLGTLNKFKDDSENKSDAEKKRLAIESKLQEKLANEKKEIG EEIQAEREQKQQAIEARKRQAQRALEEKRESVVLRQHKNQAHFLMTKTSPSLCYLPAT LTEKDIAILEKQSNLAKVAQEEFEGRQQARTQDDIKDSTLVQKDTSEVPSPSVAPSEA IDE PHYBLDRAFT_149075 MRSTKIFIICVIFRLVNAIFTRTYDNPDEYWQSQEWHERIRSYT HPLVFATLYKCIQLLGLEETDALIVSPRLLQGFFSAVADYGTYTLAIRIFGITIEPYI LFMTLCSWYNFFMAGRTLSNCMEMVLTILALNYWPLQNCPDQNWRKHLRFSLGLASLA CLMRPTNGIVWLFLGLHLLANRPRYRWEIAFEAATIVSIAVIINTLLDTHIYTGSWYN VFHEPVIAPLTFFRLNVLASVSLFYGVHPWHWYISQGLPVMTTTLLPLVVYGVWRIQK SFNNNTSIRALPFLKLVLWVVSVYSLLSHKEFRFLFPIFPLLLIFAAFGLEQVPSKKW RRWIVIGLVGTQLPLSIYLSLWHQRGVMDTMLWLRQEARNSPISIHVLMPCHSTPYQS ILHSKSTPIKFLTCEPPLVGQSTAGYMDEADVFYADPVAFVSTFDTWPTHIVMFESVQ AQLKNTLHQYEICKRFFNSHWHDDWRRQGDILVLCRNKETRQIHE PHYBLDRAFT_96261 LLLLACVYYTFKDYQSSLQYNHLILKYDMNYVEAMSNIGTTLRS MGRTGEAERWWYQAVKLRPAYWDAVENLVGVLCSTIPPLHSDSKEEKACPRYQEALAV CEFVEQYFFPSYSEVLSRPRHLPIHQLPRLQNLFYAKGNLKYALGDVPGARKDYERGL ELVFGMNLLNLTNHLALACGSTQVLDAKRYGKLLSPSTLPLVLLQPEQTSRLLQIAFG TTHGLLPGLASLGANQTTSTLLLTLAKLFQDIMSPSTPALAAAASDTSVAPTLGLLLP LYYLSLALHPSPSTANNLGIILSNISSVATAQSVTLMTPAQQQSQSTPITGTMLAMQY YMYGLQLDPRHPHLYTNLGSLLKDMGHLTEAVSMYEKAVEFNPRFDVALANLGNAIKD MGRVQDSVQWYRRAVEVNPNFVDAICGLVNSLGGVCDWRGRGGAGDEGVVDAFGNFFP STQDKNARSGWIGRVVDIVERQLDEGASWGSGILKQQGRHEKTVGEEIVECLVNSVPH DTDVLPQRLADQWRARLTFFDTANKKNKKKNEGGWLIRLIERSIRRMQRSWYIENYGM TLVNNGPHPGPTLINQNLIQKYARPHIPSSLPAPPVPTVLPFHTFTYPLSARQVRLIS HRNALRISHNILSAPWLPEHVYPPPPPPSPRLKIGYVSSDFNNHPLAHLMQSVFGFHD KTHYEIICYATTPSDNSPYRQKIEREAEQFLNVSTWSNQQVVERIVADGIHVLINLNG YTKGARNEIFAARPSPVQCSFMGFAGTLGGGWCDWIIADPIVCPPEMVSGELWRSRPL LDHTNGDFEGDVDPEEDTDEFVYTEKFIYMPASYFVNDHKQGFRENSTTNGTTPEQQW AIEEDKRWSMRREVFPTIPDDMVIFANFNQLYKLEPSTFRMWLRILERVPNSVLWLLR FPPAGEQHLRQRATEWAGAQVARRVLFTDVAPKHIHIHRGRVADIFLDTPECNAHTTA ADILWSGTPIVTFPKHVHKMCSRVGASIALATGFGDEMVVSNEQQYEDRAVELAQGLS YTYTPNSTGEMQRRGHGALMHLRKRLFETREESRLFDTQRWTRNLEQGYLEAWRRWVT AKE PHYBLDRAFT_92954 LTSLTLQNNRLSELPDELWRLIGLRELNLGCNRLKRLPVEIGLL VNLEELYVHSNQLSAIPAQLGSLVRLRILDLTGNYLMYLPVEVKAMSLSQLWADQNPF GPLNPDRLSKVLSLRAV PHYBLDRAFT_171919 MSDLEDKEFVSRRRSSSASLTASPSSSYKMSIDSDDAKSTSSLP KYPTSLYSRQNTAHSAQSFLSSATELASRRPPLSRSVSDQGLPWFDYAGSSSRNDHPM ADPSPSCSARSRKQSSSHTKASLSIDTTEGRRDPERRSVQRRSSLMPRSKALARVLNQ AEEDTHLSDLEMRREQETTNHMKEKDKSPAEGQCIKDTECIAPSLPPASWVRVRDVDQ SPTMAPYQCSKLNPEVEMAHFQLENLPSTPVQQGFRSIKRKASEDRLYEPYSTASMKR RAVSPSVSVSPSPILTGISSPPAAYIQYGSSPTHSGGNAAARAQQKLVQLSTNTFNLQ DASGGLSRMSLSE PHYBLDRAFT_171920 MAHYRNIKTGSPPSGWTPEVKYIENVVWSSTVPKEVRDLYEPKR GAQETRTINFLKEHVNLTGTYPANKEQRRNIKIKKLTDKSHPCCGAYGLFAAQNLQPR QLVLDYLGIIEYKTYDLASDYVLRLGADVSIDAAYYGNEARFCNDFRGVSAGPNVCFQ NYMDSQTKHIRIGIFVLGNRKIKKGEELLVTYGKAFWSNRGIDMSHQNHSNQQ PHYBLDRAFT_171921 MDFNSLFDNHNILSICDFLLDYCKNCLVEQADLTHHQATPFYNF FPTLLSRIFGSPTTSTLSKILTDFHFRGWMHSGITPPQTKAILSLLNVDGYFFQAMLR MSEYPEYEFYLINLNLPADVQNILKEGCIQYLPRVYSQCAISRGPSDIGVLDVRKAAT ERSYITPMKQESNSQIRFSMIQFYFHYVLSVPTWPITPSYTQSNTSAFIGPTVIPNPS QTRDNSILNIIIHKYIQIFITCSSSPSKRRYPLIDMFFLDCCVELWLRNSWINPGGRL APELMEIIKMLIKHIVKQDLRFCTYNFGREDDSSGFTYRMVYDTVRPEITFLISRLIS TWRIDPDFNEVINLWLLWVKPWKYKQLQTNTPVSNHLKVSPVDDGWAAFIVENGACYI SIVESILKITATFRYIETPIIYPTPVNNTSTATHLKSAPTTANTSHSIFGNTFSSAIT KASWLLGTPSPRPRNNPEYRWQLDIFQSVLSLFSETGLVPFLRSVEKAVNMVQLEIIE RSATNGPELKEAISVVARKCVHDSENSYVQTHKAIFQLCGVIRSMDSASYKPKNIYAL STVRNPSLTQSSKSLNDAITTQNSAANTTPGKNNDYLHKLVSTANTFQQIFMLPESEN MIRTSALKQNSKENIMSPNITTAKKRVIAPLSKAKVYYENGPLCPEDLEKVKKGLALC TPSLTRQKGILCKEMARSYESMWVLSWIMPLEQKINTMYQKWGLSGKYHLPKRLSLRF FAAYVNLAWMFGLPVVLYVFYYYIYMYIFI PHYBLDRAFT_149080 MDSDNSFGGEFLQRLRYSADPNSETFFEWSGSVFAFLPNQPPKK IFECVGMNVSKAIHSKEQSPKDVLEVTGRELTYYLDPITRKKLTHWVNPWTNECLPVV HIANNPVQMALPWAIKLEPKHNFLGTSTTFTTEIPLFYPNPLSTKDKKFNPYDSRNMY QAGEFFTFTCPTKELNETTIDDVQVNWTRISLFPPFMKMGTSEGYLIYHCTGSKLPHG STYKDLGPLLVEEIEKKMNAYSHSPAAYDPMIKSVSSWTYFKDNFETYKNDQEIEWPL PN PHYBLDRAFT_188186 MTQKPLNTPQTFAKLSTPTFDQPASPSHRARSSSLMRVVSHHNA PLSNGGRASPTIRRSMSISDGQQRSTFYHHDPSSEISQATSSTPTLAQVSETNWANRI EDFDMKHPIGYGSSAVVYEAVYKPLNKRVAIKILDLDMFERNQIDELRASAQGTSFEE TALMALSKHPNVLRVYGSFVSGSKLYIVTPYLSAGSCLDIMKTSFPDGFEETTIATIL KQALEGLAYIHKNGHIHRDVKCGNLLMDEQGTVMLADFGVSSSLSENGDKRKTFVGTP CWMAPEVMEQSTSGYDYKADIWSFGITCIELATGHAPFAKYPPLKVLMMTINKDPPTL NRDTTRHKYSKGFKEMIDSCLQKDPTKRPSAEKLLQHPFFKQAKKKDHLIKAVLAHVS PLEQRPHKKMPLKQISFQTTEQWDFDTQSDSEGAQSDKSQLSVDAPPPARKHITFGDV VIRDPVPRASHGPVVESPPYSPQTTISSQTPKKSRFVVDDGREDSSSSAASVPTAVGP TPSLITTPILSSTPSGSDAAYPGTGSDVGSPTTIESPSVGLGIMAHQTPQEGEVRKGR FSVNQTPRSMSEDSNDAPHKSTSPPPQEKSTPQVRFETFPISRESSHSSVLSLSRESL NGKVSRFSVEKEQGKDSASLPHPQIETSVPQPIPPECRKKGRFELTGGQSAPLTPIET SRIDREVCGDSSQSSLIGSPSTSPCNSLLRGQSTLLDAAKADLMKARMEELLRYTETQ RVLLHDAIQTLNSTPSHPALPSLPTSYPPSTPTSILVSRSRASSDTRKSANNDPLELS EFQNNKSSSLSHEISSTVEHLQHLLLISNKEKEKLTKENDALRKEIERLRQK PHYBLDRAFT_178005 MGRGPKKHMKRLNAPKHWMLDKLLGSYAPKPSSGPHKTRECLPL IVFIRNRLKYALNGREVQSILMQRLVKVDGKVRTDSTFPAGFMDVISIEKTGENFRLV YDVKGRFAIHRITEEEAKYKLCKIKKVLVGAKGIPYVVTHDGRTIRYPDPSIKANDTV RFNIEENKIADFVKFETGNVVLVTGGRNIGRAGVIVHRERHVGGFEIVHIKDSLDRVF ATRISNVFVIGQGSKPWISLPKGKGIKLTITEERDRRRAAAAAAN PHYBLDRAFT_94869 IIHNTKVAICWGSTNPLIKAGSTGLEKISAQHPEGGLKKLRAEW AFLLTRWQYVLPLALNLSGSMVYYYTLGKSDISLAVPVTNSMTFLFSLLTGLALGEDI DAWLGIVLVIIGVVICVGSK PHYBLDRAFT_94914 AWYWLWLVILWRILIFSITGSSSVVVTRFLVRRGLGLEPPYWFY YAVFFILELLVYTVMIVLIGSCLGQWRFFCTVAFRMWYYVLP PHYBLDRAFT_188189 MGIDLETLEVDASHSQSHNSTSEDSGNRGNYDKNKGYNDNSKNN SGNNKFSIDKGSNENDVNSDDKASYYVNVRHKRDHCGEVMAFFKDSLLLMLKSLMSLE DPHNDNCYIQEFVTMKWQFELMKTIFLPLESCCTISQFKYWNSRAGIRGTTKKTYNQW RQGFWHSWQATVIRFQHVGPIYNKHEKPRCYDNWICKEISHQRNKASRVRLLQIMIDK LYLNGKCEEVFISSCYLAFEQQSFGEASMMHMCLNQHLDVLAEELMMKVVMVCYILHN LYIGFGDLKIYLQRLVRHNSDAFKTEQTPLDWYNRANDVDNGPLAVEDVPRVPERSHS HLLPKSSSWHGQRHDKCLKARLSRDGRVRRDEVSLSLPIPPTNK PHYBLDRAFT_171928 MSWSSTGLMFLRYTQGIDLYGNYVTCNFQNDKKRMYFVDGNTYD ATYVETLSATHNSFSERMFTTTSHLGVSLSLALPRYTQRSAAIASTPAFTVKARYSDN FLENLGPCLLIITIFRYSDSDKI PHYBLDRAFT_182676 MTKAVRPPNEENKTKAKRGRRKASAVKDHLSLKPVPHRPSSSGS AIVENRYGSLLSLIDATYPPLLCTACDFVSPSRRDSSIHFRIHHPSTPRFLCLHPHCD MRFGSRGALRFHLSHAHSVCLNFTLPIPPSSTKSTSLTSASASAPTPTPKSTPKSTPT PTPTPTPTPKSTPKPTPTHTPTYTVASLSPPPPFKPHLVFSTRAPRGSKKIILSQNSE DALNAAYPPLQCPACHQTFNRKTNVIKHLTEDHHGEEPYRCMFQHCIHPRHYATREGL VYHILRAHDDGQTTDMQDAMSTSSGSSDQPLEEDPRRFNRRHDSN PHYBLDRAFT_149086 MFSRTRPKIALNPRPCRRMASVLIQLPPMPLSCQPSLDLSPHIV TPAASPLTTPSNNYFHSSNIPQKSKARLALIELQQVLKSSKDILAVLQKAYVNHEKDT EDVLLLLKELQKKQKALESNLLIVKPNKTE PHYBLDRAFT_135540 MEDEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGVM VGMGQKDSYVGDEAQSKRGILTLRYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPLNPKSNREKMTQIMFETFNAPAFYVAIQAVLSLYASGRTTGIVLDSGDGVS HTVPIYEGYALPHAILRLDMAGRDLTDYLMRILAERGHSFTTSAEREIVRDIKEKLCY VALDFEQELQTAAQSSALEKSYELPDGQVITVGNERFRAPEALFQPSMLGLESVGIQD TTYNSIMKCDVDIRKDLYSNIVMSGGTTMYPGIADRMQKEITALAPSSMKIKIVAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF PHYBLDRAFT_149089 MHEESTVETPRCYRYTSNQQNIRLKKTKFRRILQDLKAQAPNVI AAEHEISQTSERSNNITDFAFHTSVKAANSSILSSFYTNTVSRTTQKPLFRKLRLSSY INKRKANERLAKYIRAKFGQDCVLTLSEMLPAVPSDRQVSPQPEPISPRPNTNCDTPW SPKANSTTTPFLSSFILTSTFRYTNLNCLKKTDGSEDRNRLWNRELTAVLNFWHILNR LRYNSIIPEKFTRVIQIGRIRRSSGGSSFETKTD PHYBLDRAFT_79794 MPQSSVPLFETTETKTWASLTVDSLFTDREPITFEPWPTTPTTP TTPTTSYTSQLLKPSNPIRRSISLFESNQFLNSATITRSNTHRSASHSSYTLPTISDS DCSIPDLDTSSTRSSSPISPRPSISSRLPHLSLVDIYLDRSRSGKQRSLTFKEREGLI IWRRTFESYLLTPLTDKHTPHSSRSAQLIRFITAELLTTEITYLEHLKIIKHAFMDPL INAANDTRPLVNLRDIQPIFAFVPQLIMLSTSLVHSLRDTMESEMERVGSVFSSLEND FEVYIFYAVNFPKLQKCLYKADRNTLYRQLAHDSLRNKETNRMGLSDYMIAPIQRITR YCLLLKDLKKHSIYTQPDFDHLQRALHCMNSLALAMNNIQKKPYLLKEEDLGVWQAID QYTKCGNKKYTGPRTGGHN PHYBLDRAFT_178008 MCICIIGTGTFGRVYLAKEKGGRRFYAIKVLKKAEIVRLKQVEH INSERQVLSEVNFPFVVQLYSTFQDDVHLYMVQEYVIGGELFRHLRRAGRFTNDMTKF YTAEIVLAIEYLHSKGIVYRDLKPENLLLDSQGHIKITDFGFAKKVQGRTWTLCGTPE YLAPEIIQSKGHSRAVDWWSLGILIFEMLAGHPPFYDDNHFGIYERILSGKAQYPSYM DSAAKDLIKRLLIGDRNRRLGNLAGGAEDVKRHKWLRHTDWHGLLNKTVRAPLIPSHA NECDTSNFEKYPAEQPEDLPKGDPFSHLFTDF PHYBLDRAFT_116020 MSKAKPKTHVDKTPNTSLSTAPEIPFLWDKTKETILIITKAREN KLVCYTRQITEWLVTTPRFDKKYPFIVYVDAHLEQSKIFDYKSFKENNSELANRIKFW TPKLCQNNPGLFDLIITASYSLWEAILGGDGTVLFTSWLFQSKVPPIMPFHLGSLSFL TPFYYKSFREELDALFGSNRIDKTVRMRLSCTVYRFQESTSPSPQRRVRQNSITGELW TKNMSPHNSPSLVDKWSLLETAWMRQQFETFEVLNEIVVDRGPSAYMSALELFGDERH LTTVQADGLCIATPTGSTAYSLSANGSLTHPDVRCILVTPICPHTLSFRPMMLPDSMS IRIVVPLSSRETAYCSFDGRNRVELKKGDHVKITASQYALPTICNINTSNDWFMSLQK CLQWNVRQRQKSFVVVESCEKDLANSSSLSEKDNLFACIQSRLKNNSTTAQNQDTEDD DNNENAAWDLLPWSEQELRRDKLKETP PHYBLDRAFT_70427 MRPTLALPTRGGKTSCAFSQQQQKHWLSYFQTVFAPQNTSKRQL GSRKTRYNQHHNLSLPWLISEKYVTCPKGTSPTHHQPCHRFSTNTSPALTAFPLPHPK DNCTEDHFNNEIDSNLPKTIEKHHPRHINSSKDIDEFLSYLNVHAPTLGPEKVFRSLR TALYNAIDYNYDKAWCIYETILEKGVGHLMRINNYNHLLNILKFSSDEHAPARMFLVL NHMQNSPHNHPIVIGPYSYGQILFALERRGDVVSISKVMDTMEQRGIVIPQNFYTLLA FAARRKQTDENIRVAANIMTHAMKKNVMLEQDACAIIISLMSRLPTITETVDFLQGMD LITADTNNTPTSVTANNCDADVPRPLYSIHIYTSLIAGLARKSDATNAMRLFREMRKQ GLKPTIVTYSALIEAHAKAGDFDTATRILRKRVSRKGSASKYSVAFTSIITNAIRHGK LDLAEELATSFLEQSNVKSEHMEARFRTALLWCRTKRCVKDGQAFFDSLWKEHPGFCN EFMINHLINAYGNDENKTKVYDTYSLLQQLNTEEASMFSYHHLTNALFKCSDVPGAMV SFGMLRKQGIPDDISLAMVIRGLVTNNEGEVAWRLFKIQRERGIEPNLHAYTSIIKAF ANKKAHITRPGSLLTPDILEAADIPTKVSTEFNNANIPGSVQSYNIFKQLTGFQKPNV YIYTTLIACFSKTNIKQAVEIYRHMRSNNVEPTVETYTALLQGCAIFRDATMSLIIFK DMREQKIVPNKHTWRYLLKSMIRSHIDKSKSFNRQKIGCLY PHYBLDRAFT_70426 MGIIILTYTTQDILRTQFDQSPFAQELLSNTKRQSPTKLVVFDF DSTLFLSPGLSPSIWNQLFITNLTSENLLGPGWWRDIRSLKVGDEEELKRTAWEGFWN ENIVSNARKAISDPLTMTVVLTGRRFHPFNKVVLPMLESKGLQFDLVGLRPDPIRPDT GAIVDPLRGELVFNCQPSIFTSTMSFKLAFLRNIFSRVPSLCSITMFDDRIGHVKKFS AFVKQLKDERIIKNGNVVYIKGIRPKYNPEWEHNVVQSILDSYNKICREKGLERMKVS LTDVPSGIIIKLTKSTTESLLSSYNDIYQNAISSRRQKHHVWGEQPEYFGNMVILNTR LPASNYTPFGGIGSNVDITVIAYSKPSIEQGMILKVNLKQANEDYYPSHTYILPLWNK PSEQQNLIRAKYNWINLEGPLYLKGKNASIRHNPAYINMRRQEVDIK PHYBLDRAFT_156077 MTLGSSFISRPMYDFNADGALILWDPEKDVVPEELIENEDKEPT PIWGGRPNKSIAEMVGLAQSKAKKTHVVVDPNLVKILRPHQVEGLKFLYRCTTGKVNK DAFGCIMADEMGLGKTLQCIALIWTLLRQSEVLGKPTVQKIIITCPSSLVLNWANEFV KWLGEMAIRPLVLNNTDSKEKTAELKQWSITSTRIKKCIYTKTTWNHLVLIISYESLR AYGKCLSKCPIGLLLCDEGHRLKNGDSLLFQELNRLQVKRRVILSGTPIQNDLNEYYS LFNFVNPGLLGTPTEFRRNYENPIAKGRDADASDAEREKSDKKVAEFWSIVSKFTIRR TNDLLTKYLPVKYEHVVFCQLAPVQKSLYKTFLDSPETKKLLQGTGAQPLKYITMLKK LCNHPGLLDLPREIEGSEKILPSGYISKSNHNSNIDASLSGKFMVLERMLSKIKNETK DKIVLISNYTQTLDLFESYCQQKRYGVLRLDGTLNAKKRQKLVDQFNDPEGEEFIFLL SSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWRDGQKKDCFIYRLIGAGTIEE KIFQRQSHKQSLSNCVVDEATESERHFSVADMRQLFQLNTESECETHDTFKCKRCISG KQYKEAESMNYGDCSTWNHFTKDLNRIPDFILGDERNKGVVSYVFQFVSSMK PHYBLDRAFT_149097 MSLLPAIIDDFKKLEKGVKMFSAEDNEYVLVVAPILWIEADTPC HSELCGLLGPATTFPCRRCYIELRRAKDFVKDLSYFCECHERRTREHYVLANSSPGRD TEIPNAPKIGMNTPANEISFRDRSTGCLLELQSFDPEKDTPVEILHTILLGVAKYMVI DLVKVVLKNDTATIARLSEFLTDYTRSTGLSRKFTRNLRHSSSFLSRDFKVLLQILPV ILITEFSGNHELDLVIPCFVELGRLCSLVFVRQVTSDFDNYIIRVDNAVKRLIRALFD YNKGTKNELHKAYCTKPKVHYLTHLKEDIIRFGPALNYETEKGEQFNKHIREHLFHTN RQNTSRDVCLKFAKQVALQHVIDGGSWINSSGNQEKSGTGIERFIKDNNESLFYYTFF GGSRELKDNNNTGDIEDDAVQNNSFGAFVFKDDPISRPRIGLVSGSVVKFLSIVPRTD NDRNNNYAKAVMTGEHSDVANMNLVCKLDLHIFRNPFYIVNLSKFGSYWFIFNNILFD E PHYBLDRAFT_70423 MATNTVLDEPEQAKLIVENTILDANAFDYSSDEDDSSSIGDEED NIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAASDEVSMTDDDSEIPEEVFETIG AVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIRRTF KLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLLSDHLRFLMANPKKSK LILSMPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIRFL VELFHMANKNIFARGYLVRAISIVCYGVEVAVTDLRVEQISHVDTTPVERDHYYSISS SLTRLSPAHDFLLFGVHPMKKSMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGNRS KQYNPFESWLMRCAALPFKDRNSIANI PHYBLDRAFT_171942 MNFLLWAKFAPYTRILLRNKITQKNLLWCTQCALYLNSVPIDDN YSDGAPSPEQYVNTHLPLLVEESLFETEEYTSEYESEYESSDEFEQEEQNREQEQEST ENPPENIWHRVIAVFTVIFISSFIVDEGAVILITFINTILEHYGEDFRLPTSIPGLRK MTGYNDLTNSVSKYVACSNCHTLYDYSNNTHTSCNFKRVGSKTHCKNDLYKSSMKNAM IPKCTFVYNSLTTTLKKMFTRPSFEMRATIIDPMHNLFLGTAKRMMDIWIANNLLDDK DFVEMQEEANRMVLPVGYTTLKIKIGKKFPFMKADEWKSWCLIYSPVLLKTRLRDDLL GNWIHFVDACRELTKPSITKNGIKKAHESLEEFCVGCEDFYKPDVFTQNMHLHLHLKE TIEDFGPIYGFWLFSFERYNGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQAHLRN VTSPLLLSLFLKLSGHKIYNPALSPHPLIPSFFHLPTFLQSAEKPSKQTFGNKPLPLS ALPLCLKPPTTMRKSEYDCLLDFYKIEYDDDSLCSAKTTIRNCCGDSEERYAGRIKYL FLHDFTPNLTHTNLSPCHNPQHVFVFVEWYKIPRHQPRIKQGIELYEPEFLKYDYDNI LPVHRILSPIAIGSHVSGSGAAKVIVIPLPRKLYA PHYBLDRAFT_171943 MAPRTNINQNARTNGSTSRPLINAVNTGCIESSNPMIAPRPENM SIPVSEFNDVVSLLTTLNDKMTAVSSDVSELKVQCQVGAQSTGMQAVLDPDMDPQDII SSSSHPKISSIIQGRLRDINLKTDDLELIRENDDKPTWDVNVGLSDKFNKNLASDLML YIRRQPVAAMVPPKELCGIIVNSYYNHLAASKLTEEDRQTNTTSNRRGNRKTALNKRR KRMYTKHKDAITEKFNRDYNGVFYRDAMSGDETETDTSVVASRPDWRSDELNTVFDFF DELTRDDLGKRATQLKSRSHVLVHETIPRGLVTKMPTWSKRV PHYBLDRAFT_149101 MIFGDKRNVFDENLELFKSLSSRKDFQSKEQLEDNDDDSTKVVE KITLEKCFEDEWMLCKEKWAGYLTSQLKHFDCVTTQRVESGHNALKRKISALQSLNSS FEQICSYLLQFKGDYQDLELNEATITDARIYHEPRLCELIHHVSRIGLITIRAELLEE VVPGELCNCRVKVVFGLHCRHDLPRDRMLLLSDIPERWILSSSLGERLKQLECDVSLQ KIDVDKPALWVKCITKLEQLFHQCEGNQQVQNLMAIVDELVDNAGEIIDHPNVVFPLA SEVKAPGRPKHVKRKTALPKDFVYHKHRHLLNDIRSILKEGLKEVMKEFLEEEPLKKT KTTNFAKKQEPLEEDDYWYDLPSPKKQNKNVHDFALPAQIDQAAISLTFNPKSDGWCG FCVFAHLKEGGEDQFPLVKKKMLATMATHSKLYEHNFGMDVAEVTEVIAFGSEIDPAL GENIPSCPSSMWFSASDCAQIIADTYNEPVCMYSDDRSVLPVTFLPLHDWKPLKRKPL PMFLHHVHGCHWTTIKVKPHVHRSWPELESLGSVPKEQVLSFILHYYNYYNYYYCH PHYBLDRAFT_149103 MYAMYITNTTKNVEQQDEDGVITQTTQTTRATTTGVEQLGSWTN NVVIVLLQLMIERNFHSKYQTSDNTRKNRLWPTLHNLFCRSPVILQHARAFPCSKFAE IFRTVKYIRDEFQKIKRNFRRVVADTTRTGSGDPPSQERYPLYDDMKNITLCDPSFWL PALHKLDAIVNGALGNLMEYNINENGTSYTVTAIETAEMIPIEAIEYCKRRMDLVKFY FLNFDKI PHYBLDRAFT_171946 MNSTTKTYTVMCTYSSCTKNAIGGILQNAQTFKRHNDADKLLDI GPKNRVNTEVVKEETDVEMSGFTIDEDNYSIVSAETTIQSIPFLREDEIFQFAESDVE TTSLASDNDDPDSSDESENESKVEVASIEDFEDMVASEILAFVVASLKIHEMSQTSQF IAIFGIIFHAFYLVQAGGTAMLKFFRHLLVAFDKDTNLPLTINALKTMTGFNFMTKSI VKYTVCNKCFAIYLPGNCQPNCTFEKYTTKPPTYCGNPLFSDTEADRAVPLMVFPYNS LKNALAQHFAKPGFEHQICVLKEIRLTGIAEDIILSFSLTLRFSSNQYSFTTLPTAVL VHMQRLVDVLNDALSEDDYKNWTLFVKACRKLTSPSVTYSEIYSAHQLLGEFGKECET LYGESSIMPNMHLHMHLHESMLNFGPVYTFWLYSFERYNGKLKNIKTNCCNGLEVTFM RVFLEKAFIEFLEGVAQVKSNSNSSSPLNLDAGHPPTLPFSLAMFQQTATNPWYNVTR SEVLPPTTLPIKLQPLTMMKNDHYQWLFEFYVKAYGSTSVSFCVVGRISIGEDVFVNN WIQKVKKILLLGQEYCSGEKEKRRSFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGG VKRVSTFAFIKWFPIYHSSSHQPLADQGLQLWDKRFMEEDASCIVLVHCLHLCFALTM HKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_149106 MSSNTQQTKKMEKTTTKKSVQQTAGTAASTRQREILSSLTVSAE LDGTKVYHNMGATNGQNNNSNHSPISQALTTEEYIKYHLPTVLRLIRSQTQAVLATMP LTVNEGIFSTSNHPIADVVQSYTRQQAEGKSFAGKDSLTKSDFSMLVQKDKSYKEIHL ANKTNLESKFGETVVDLLDYDMLSDIESDEEKNKTRYTPRNRHPLMDEYFTVLKKQRL ANKGPDVIGNSVYPIILRNTELSNEKKAHVAAWIHTCQQ PHYBLDRAFT_171948 MSCLNQNKLNNFQFAQLILSVSQITDLYLGPLFLIIYQLLFSTD RQIIFYRQYLCIFCLSTLLFSELPVNKQAKKACHSKQSNTVGVDCTRGEPMDIQKE PHYBLDRAFT_73608 MYWIRLFCVSAGVCAEWYPLMYHIHLFTTKTDNFLCIPIRQLVE KFSSSTPNDLHQCLFPCHNCGGSISMKNNIRLYKYNFLKTSILSSTIVVTIWFFEFKT LELQMYFRTLIKVLLLNFLKPPHIVYFFLREDKPFQFEKEYDTETPLSSFDNNYPD PHYBLDRAFT_73609 MACLKQFNLWFYSVLSFECFIWFKQGGSTTLKLFQYFLVTYEKD TDPHVTVDTDILGEADGTFVNHAHSLMLTLNVYWFKLFEGRTYTGGALYLLISNFPKE DQMRPENIIRVDVMPDCYGGIVVKTTKFPNGTTVYTAIMYVASEIPAARNTAGFPEHG IKINYFGCDNENWESRTEEMISIYDNMWFCTESDVERENLEKQNGMQFSESHRLHDC PHYBLDRAFT_73610 MSESLRKSSITPNIHLCESTLDFGPVYTFWIYILERYNDKLKKM NTHYPDGLEMTLMSVLLDMASTDTSLRVYSTNPSLHMIKSPLGVAQCIDNGHPPALPF SLPMFQQVVNNPLYNVIGRQLTTSLNSLVRMSIYLLAIKIGGIKSVNVWFFKMIYDMP FCQK PHYBLDRAFT_171952 MRNFARDRSHIEREYAQKLESLAKKYKQNSRSRSNDLATNDNDW NDSPSTCLATWNTLIAQTEQVSKARFQLVDDINNKVIESIKAIISRKEDARKKASSRL LGSIAKSFPILFAQRRLKSDREKSYTEKDKAKQAYDDSCAEIEIIKAKIDKGTGDQDK YQKQLDIAMSECGNRKNLYILALGVANAERAKYFEEDMPKLADYLEKLNDSRILALQD IFIRYIDCEVQALSTSLDHHNITLSSAKKIDPAVDSSAFIRSAIGTGDPGETAANVRF SYIPWNGGVNAAEAIVDRDSNLTTNESDIIFLNNRLIKDKKQLDTITNELSRYSSEAD ELKYRVDAIQSKGSLEYDKAMELLMESLRNITLQTTQMVKVKSEIEVIVQNIGDEGLK TTGHDFKPCSFTIPTTCDLCSNTIWGLSKQGFTCRGTWASCGFNCHAKCEMKITPNCS LVRGKIDRQQSFAKSQPFLSQGKQQSKEKTRNLSFGSSMGITPANISSNTNPILQVND PASLPTVRALYSYHSQSPDELSIVEGDSLSIVGSKDDTGWIKVAKNGQTGLVPANYID LEAFEQQTLDTIPGHTSNQPSFDVDPIEDQTNQTYVIDVVVALYDFTGVGDDELNLRQ GDRIEVTKKEENGWWEGVLNQKVGIFPANYVRPSE PHYBLDRAFT_171953 MLKMSLKIAEPQLKNAAKLFNYYTALSKGSNEDSVSLNVSKEQE SFLHFPSVTNTKASDVDVGYKSYSKKPLIFFIGYRETGTGSRINGFRKYGTSLRIPGK KEGSYSQQRYLFLYQSSVCNCQKSMCKRFGGYFVALEIKAAAHFL PHYBLDRAFT_73613 MLDDRKKASAEHKLVVQDLNSAKEQQVFLEEEGTLDDDVTERGL NKLKPITKLLAFINYTPVYLEDIKHQSPEASTVKQSVCSLICNTLKKFLPPKKHYHNL DQEKHEEPPSNTNKQEKKGYNRDELIGSQYKARQNKDATFNAVFDMEEIQKACESYGL SFAHRMTCLPGIKTVRLLGSKIKTRGTVKEGTKQLYEARILRNPGIIQEGRKTKDVLF PELQSLTEEVKTLESVRKRELELLKDSNYQNKIKECKSNGGGGNR PHYBLDRAFT_73614 MPSLSLIQTNVIFASLRKNNRMRRKKRRKKLFTKERTHCHHPFY KLLNVKTLPAAIIMNAAQLAKCDRKLMRSHMEHRPGLKNVEEWKKFVSQNFDKIAEAV VGSVVKDTAKVYSSSTPTNKQPYEKMRTCTVQINSLFRSDLPPIVKTFVCTRLQDLMV TSTDYTLCFSALVNMMISELRTSEFFFDNNDINIKKVPGFNLAELLSFVTTNGPKQII QPLDKDLIASKRFDTDFKCLFTSQHLQVVHSYFFGARGAKEENLNSHPVQNSLFCSFK ESGLDKQSLCLEKASSSAMSMALETYLVNFENMWDGKKDS PHYBLDRAFT_171956 MSNSQRLPKTNDQLKMQDKRHNSMSMLENLESSATSMRSITNSL SISTNLLKSSLKGFDRILKNTEFKRTHELITEADIQMSQSSIASDMLPQLKALVDHIE IRLDKTVTNKKNLQRQVNLQDDKIKSISEERSKYNKSQLTQNGVQKSKVEKKRRMLEV TKESKQLQAKKAKLMTSMEQMDIDALEKQRALQNIEQDIKDTKRLSETVKVGLYLKNL GMEQQRLQNELQKLKEKAKQSKLRLIKERQKSNEAQSHDIVASQTKMNNMERYDDEKW IVQEDQCLEHYQRSLENILNLLNKVSDPSFKASATIIDTFKHQKTVYLQSVEKDQDMI NSVIIPNCETHEKHMLEKFIIKCKLLMPESAMAVTVVRVLETMLKRKHEQDRDPRMLS EILLEHLLINVSSFRNAGIIELLPDQNSSSQIEDAFYHCSLSRHLCSRCSHGSLVYEM TIRRTAQRHAKNNRVISITKRCLPSRSYIVNSDASASD PHYBLDRAFT_171957 MFNSRYVVDKDAIILIPFFNIVSEHYEEHFRHPISIPALKNMAG FSDLASELHRLSYFEPVRSTIIDPMHNHFLGTAKRMVDKRILSKILTDADLVEMQNEA NKLTLPRVYTTITSKIGKGFPFMKADGWKSWCLVYPPAALKKHLPDELYVNWIHFVDA CQLLTKPSITKNEIENAHQSLKKFCIGCEDLYDSDVLSPNMHLHLHLKETIEDFGPVY GFWLFSFEQYNGLIKGFNTNRKNGFKKTYMDKFIENASKSDFHRTNLHTIANLHHLTI FNKLSDSITGIKNTSVLSPLSIFRLTSFVESGFNPNKQTLGNESLPQSAYPLNLKEPL TMKIGEYECLLKYYRIYAKRVIKNPNFVNDRIRKIQSINLFGQKYAGSEGSVTRGTHI MARFATKDGNPRGTYAGRINYLFFPRFIFTSHSHRFSQPLEPTRCICFC PHYBLDRAFT_171958 MIIQRLYFIPCILLLAFIPKSYASPIQQDENTFTSKLPVVSILR TVLLGYMTHILTIRPRTGVTDFPTVLRRISALIYPSSGIGTAVESIYKALRADKILGI AQFEPFLKQYKEEEEESKDKKTKDKGSDSLDSLDSTNTTALSSSLVTSESMPEHKKDI EYDAKTLGPSDIYSNASQLRDRLIKDAKAAGIEIKDHDNAPYLAAFLHVLGPDKAKKT KSCILNRSVTVGFNKAKTTVRMVSSCVAEEISVAGPGAVCENQAEILPKVFRYMTDSM IAQLEPAHNMDDTSFIAIFVTVGQLFFTTIECMDIDGDRWAKVIIIIFTTMSILQTFS LLVLHKQTMTFSIKDEDDEKILLKYDSLVLTEKELKDFGDKNEDLEDNEEVVIYSTLT GTIAFLLIGVWADYTIHSTTEWLVISWIISPMLFIFGIIIGVVLDFTNKVVATILFTC IIIGSIGCLTAATIIGYLPK PHYBLDRAFT_171959 MDSSKARLIAVELDTARCKGDWQAIPELAKRYKKCRPNESVLET TVCVESDFIAILRQVRNEYLIQPKETTESIQGNTPQNQSTHTLHESGLTSSTSLPTSK FKRSASSKEPTGQIFSFESNRADIDYVNDSPHLITIQPRLTISQVQSCLKRLETVVQR HAKTRNLESPDDWQAQFSKIIVARIYCESGRYTKALEALKKLALPLDDVNAGYGLVLL VQARVIKGFCFEMEGDVEAALACYNSAWDVASKHLTEKNESLSFWVEECLYRTILLRL RVDAPIEEILSAMRGYVQMVSTHWPVHWRIHKRWIIFRHTARYLTQICQEDNYIPAPT ENSVANDTYVILVCRKLQQALSHIASIDSSIESEHSKEKNNQLAFQEFCRLTKLFRNL LTSLQLYLNPRMLSYRVSELVDIIMEGHRIIGWGSNSDVQRVNQFLCDVKEMTFNNPG VMRHKFFVLIRLGEFEEALYSLRSYAELIGLPDIDKTDYDSSESDQTTAIKISPADKA ALIQTRLDALSAVEGDVGWNSDSHASKENEMNVLSVLLAGAQLYGREYKSGILAATVS ELALTLYQRVSLVRSNDNNILAQCYLVRGVSLCLLAIQSCDENTRSANFTESIALLKA SVKAAPSSWRAYYELSLAQAQTRDIKGASISISKSIELNPEHLPSWHLLALLCSCKQN KSVFESLETIEAGLRECDIDIPTLDIKNIVLSWSGEDKCSRYYFETAESYLTTRMSQI LFLETLEGPRSVLKLYPELFTIYSKLSQSLDLSNNAVPTPTLPIPSKKNSLKKVKTDS PKTTSCPSSPTSPRHPRLGTDEQLGLITAISKTSSRPTSPTLPKSPTSPTSLRQSRIG TEDQLGLITPIPRSTSARTQSVTRKRTQSRKASLDYPRDMSEPPLPTDIPVPMSPLLS ETETLKAFTTKMTQEINETVDQLSELSVGRGSSDGLPNPKLKPKQRSFMDINIMKRIS NSQITLLPANSSVSVAKEAKRESAANHKESRRSGTTVRDLTTVSFASVVTSSYLFGSK NSVSNMHSAYRQVSNTVALDTRSRSASDGSTTFVSHRRERWNMLLMKLWLMVASTYMR AGFIDDAMRVISEVEDMNISSPMIWYQLGVLCIKIDRHNPLRGWDDIGIDSFKKALNL DPEHVETQVALANVYLDKQEPELAEFLLLKTTSGTGWDSAEAWYMLGTIYQKQEKIEQ AKCCLFYALELNETTPLCPFSQLPCFV PHYBLDRAFT_42645 MGLSFSRLFNDLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIAFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRVSE ARDELQRMLNEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHALRNRQWYIQTTCA TSGDGLYEGLEWLSSNMKKRS PHYBLDRAFT_34849 MTITHRQKSSGSTLNASSTADSGGDDKETLKYHCDGCSNDVTNT VRIRCADSSCPDFDLCVTCFCSGVEGLKHKTWHDYRIVKPHSFPIFAEDWDADEELLL IEAAEKNGIGNWQTIAEVVGTKTKEECEQHYLDVYVASPHWPLPRMNLTFEEASEDEW REQKRIRLERSRATIPGGTTTKPAPPPPSNNNKPITSGPAYHEIQGYMPRRFEFETEY ENEAEQSVKDMVFNDEDTQEEIDLKVMVLDIYNSRLDRRVERKKMIFERGWLDFKKQQ ALERKRQKEEREIYQKTRVFCRLQTPEDYEMFVQGLVKEQQLRDRIATLQEWRQAGLT SFRQGEQYEQEKQERLGRLKIMASLSNEKVGASSASTNQRNSYRAQMAALSSPSNGAS YYKHKVANTPNYNYSAASTSTSAAASGGRKPANPLNIGEADGVHLLTEEEQIMCSTLR IMPRPYLVIKDTILKEYAKQGYMKRRQARALIKIDVNKTSRIYDFFVESGWIKAFRDP SAVSDKPAPNMSVETPTSSMQMSGMSSNGIARSAGYSGSLPASGST PHYBLDRAFT_188199 MSDKPSKKGKLLFKGDKDQKKKKRKHSSMDSEERTTSSTLENGW VRADALDDLVGPLFFTHPSDPPICFTVDDNDRLLAYPLPSLAETPHPEPLIVNQVLVG SRLLGTSNSFTFKSFNSKYLSSDKFGVVECNREAIGGTEEWEPTTTEAGFAFKNAMGK FLMIDEIAGGGFKIRADSEEVGFCETFRVYCQARFKRKNKFEKKEKTNSGKLELDNVK KYQSWGGGKLHLTEEDSHQLKRAKQDGRFAEALLDRREKVKADRYCK PHYBLDRAFT_8248 VKQWNILTGDKVAIIAGRDKDTIGEIKSVNRETNTVIVEGKKLA KKHVPHQPGAPDGIMRKEQPIHLSNVMLLHPDT PHYBLDRAFT_149117 MTSYDSSSCSSCLHFILFSSPISHSEEAPPTTTHTVSDLWKTAG RWAPF PHYBLDRAFT_188200 MPCLLGGKRLTGVLPPDKQPPPYPSLRTKDPSKLVDIESCIDDP SLAPLGHHVVSRTGERKQTSEEKGQVKIQKKQTKELHNDGLLMLRRRGLEADELARHL DSLLPPLTPSLPIQSRYRDLMELDISRNKLKTLPVNIGLLHQLKILDVSSNLLSEIPP ELYHLTQLEVLVLSQNNLHSISRDMPLGLRNLITLRISGNKITRITSRIKYWRKMRHL QLGSVYGGNLLSRVPNEIAEMQSLEELDISHNQIKALPSNMQISTLEHLNVSSNQLES LPPSVAKCSKLKTLNVSKNHLTTLPADLVELSHLELLDLSENLLCIIPADILERMPTT LLITGNPMTRPGNCNLAASTDAYARILQRMTQQGVPRIPTPCWKERDRCGPKGMGCES PSSSMSLIQSPHNREQEDEDAIIDRELSYHAQQLNVHGSRPLTPLSRPHTPLSPPHTP LIESSTSHPQPVLPPSPELSTIRPPITPAVNLIHSLRETCCRVILRQQIPFPHNVLPN HIEEELMHKVRLCSACQRSFVNEWITSVQVKSYKGHPAVVHRVRFCSTKCWLSCLDSE KEKSVLVCRPLEGGDEEESALTLSENSHNLWKAVRLMSLIFLFLQKYADPLRAFAVVP S PHYBLDRAFT_73624 MLVVDKLLMCPFLIKQDIYYSTKILIKSQPDFDYADEIDVDMAV NELMTLSCYFLKFGTGSYSRPISSDIHSIACIDALTVAKPNIKKINLGDNKILVIGTK YLTQKNVLKKPSQNLERVIRCEVLRKIVARNILVNRDFMGCERSKSSNPETLYIHTPL WSIFQASLSFFFGKRSSDLQIMNESKSFSFTKN PHYBLDRAFT_126793 MSLRNRSKDILKDDDPTRIATDNGHFSLARNFYIADVITLLNGV CGVTSIFATMRYLISNDVNDLYISMIAMPCGMLFDFLDGRVARLRNRASLLGQELDSL ADLISFGVAPASLAFAIGMRTYLDSVVLTYFVCCGISRLARYNATVAMMPKDATGKVN YFEGTPIPTSLSIVAILAYLVYSGQYLEDLPGQLVNLAGKSWGFHPIVLLYAVSGTLM ISKTLHIPKP PHYBLDRAFT_73626 MMFEFVYEYGRGDIKYDQDAAPWLQKKPDLRCSSRPQTLQLDVP PDHSPKPFPKQLWSYMSRKIFLEYLISKMPSYNSVTMAVQYAAYGTLFPILLPVEGAF SPSTRTRFFSISESVCSIITIYIITLCIWFGGSGLVERQVGLLKYPPTFRTLAVLEKP LTSLFPRVLGRSCIV PHYBLDRAFT_159726 MAVSIKAPKACSANKAKAAEVTEATKVCSARGKSYCLIHRRYYE TSWCPDCDRVNGKKIYRIPICTQIRSLSYAHTSFIHLPF PHYBLDRAFT_171967 MEPFFCIQTLRKYGLDSIRPDAAGLGTPAFLILIVMLPTALHCT ALYCTVLYLLKVYRTYSKGVHAMCFGAKTISCRKLSYFLLLLILFSYEIFIGKIGESK FAFYKQLRPRSDCYIVIFVYSYIRIFVYILEYSIKNAHG PHYBLDRAFT_159727 MLADTFRNALYRPEWSADGDEDEELKRRKLGESLLQRQLAEDGT SVKHTERRPTKIRTVAEIESRAILENIQPQSANI PHYBLDRAFT_171969 MKKNENFVKKSFPYLNLEAYSQSAQKYKANQVDNYTDEPPSYDH AVQASLEPENTVHLTGRFQDTSVDSYARAEVFIQAHQSMINRFPTELAAQAAKDGLIK RMSIDQAPHQNPYFCHPNSTPHTNPALKVDLDQSTVQVWPNQTRQKIIEDWDIIIQGT HPFLSLSDYGRPPQSTGFHYFEITVLDCAPNTVMAIGLATKPYPVFRMPGWNKHSIGY HSDDGRKFCDDASGGQDYGPQWGFGQTVGCRYDPVQGNVMFTLNGNPLGTAFQLLERR YYYPSFGADGPVKFFVNFGSQSFVHTPVDGNLWIGSILSV PHYBLDRAFT_182689 MDPKTHQAHQQILRPLSVKQNKTEVSILVPADIWVSAEQLKEEF PTHTDEFEAAAAAGEEMAPIELSARFMEYAATRASKEQQFLPVARTSFIDFGAQYLKN NDVHAITRDLPLDSRKVVIRAYYASLVVLREHKTLSAQEIKPVQSALFAAAARGDTKL FAIFGGQGNIEEYFDELEDIWTTYNGLVKTFVERMAGVLTEYARSPEASIFHSKGLNI LQWLNNPELRPDLQYFISAPVSIPLIGLTQLLQYYVTLRVLDRTPAEIRDLIKGSTGH SQGIIPSVVISSSSTEEEFFNNSEKALGLLFWIGTRAQQGYPTTTLNPAILEDSVSNN EGSPTPMLSITGLRESQVVKHLQTTNSHLAPDRQIEITLFNGPRSFVCTGPPQSLYGL NLSLRKLKAPTGLDQSRIPFSERKIKFSSRFLSITAAFHSSYIKHTVPTIVKDVERHN LRFNAKDLKMPVFATDTGADMSSSPDLTLDLIKLICCLPVHWEKAIAIKGLKHIIDFG PGGTSGIGGLTYRNKEGTGVQIVLAGALEGNNRDLSYKADLFDADLRAVTYSQDWAEV FQPKLVKIASNGRIHIDTRMSRLLGKPPMMVAGMTPSTANEKFVSAVMNAGYHIELAG GGQTNEKKLRDKVDSIMKLTRAGEGITLNIIFLNVRQWGFQYPLIQVMRRQGLPMEGL CIAAGVPSLDVSNEIIENLQSAGIRHLALKPGSTDTIRQTIAIAAANPTMPIIMEWTG GRGGGHHSFEDVHQPILETYAAIRRQPNIVLVAGSGFGGADDTLPYMTGDWALKFDYP PMPFDGILFGSRMMVCKEGLASEAAKQAMVDAPGVDDADWEKTYKGPAGGIITVRSEL GEPIHKVATRGVRLWKELDETIFALPKDKRLPALLAKKDYIIKRLNADFQKVWFGKKS TGEVVDLQDMTYTEVVNRMVELLYIKHEQRWIDPTLRNLVGDFLRRVEQRFSTTSSIS TLQSFSQLENPYDFIPDFLALFPEAENQLLTTEDVLQFVTMCNRRGQKPVPFIPVMDK DFDVWFKKDSLWQSEDLAAVVDQDVQRTCILHGPVAAKYCTRADQPVAEILGDIYESH IKSLKEVYYKNNESAIPEIEYLGGAPINNIIIKPNSTSTTEQVYQMPNDDASLPESEE FFQAIAGPSYNWLRAVLTSSFIVQGKQFIDNPLQRIFRPRVGQKITITNSGKKIVGIK VQDKRPWSANGKTSEFVTSVEAKFDGKMIDLKLYEKRDSILVPFQLHFEYKPELGYAP IHEVMEGRNDRIKDFYYKLWFGPEAKEDFLNFPVNEKLIGKGEVVKAGDIKDFCQAVD NNAEIYVDRGQKIIYAPMDFAIVVGWKAIIKAIFPKVIDGDLLKLVHLSNGFRLLEGS DLLQVGDVVDTYAQINAIINTDSGKMVEVKGVIVRDNKPVLEVTSQFLYRGQFDDFEH TFERKSETPMELNLKETKDIAVLMAKEWVDWNEEFENYELTPGCSLIFRLNTELKYKN KKVFSSVKTTGTVSMQVSTKEIVEIGMVDYEAGESHGNPVIEYLKRNGKEIEQAHFFE NGGYSVMPSQSSYSSVVHAPASNEPYAKISGDFNPIHVNPYFADLAVLPGTITHGMWT SASTRKFVEMFAADNVPRRVVAYDVKFVGMVLPSDRLETKLYHTGMKNGRKIIKIETV NQNNEHIVQGTAEVEQPVTAYVFTGQGSQEQGMGMALYASSPVAKAIWDRADKHLMEN YGFSILEIVRDNPKEKTVHFGGPRGNKIRQNYMSMTYDQVESDGTINTLPLFPTIHER TSFHTFRAPNGLLFATQFTQPALTLMEKAAFEDMRAKELIQSNCAFAGHSLGEYAALA SVGDVLPLESLVDVVFYRGTTMQSSVKRDKDGRSEYGMAAVNPARVSKSFNDTALRYV VDSIARQSNDVLEIVNFNVENWQYVTAGSNKNLDTLANVLNYIKVSNIDLQKLMETMP LEEVKKHLMDIIEGGMEKTQQKIASNGGRLVLERGHATVPLPGLDVPFHSSFLLSGVT PFRNYLATKFNPTDIDVAMLTAKYIPNLTAEPFSTSKAYIERVYNQTSSPRLAKVLKQ WTDDKYASAAQQQRLGYILLVELLAYQFASAVRWIETQDQLFKHFKIERLIEVGPSPT LVGMATRTLNIKYQAYDNALSNRRENLCITKDSKEIYYDFETSEEEAAPAPASAAAAA PAAAAAPAPVAAAPVAAAPAPTGTASPMTDAPVTAAEIINVVIAQKLKKSIDEVPMSK AIKDLVGGKSTLQNEILGDLQKEFTNGVPEKAEETPLDELAAAVSPTFSGTLGKHTST LVAKLISAKMPGGFTLNSAKTYLSTTYGFGEGRIESTLLTGLTMEPPARLGSEAEAKT WLSTIAQVYANKAGVSLTGPSASAGGAGGAAGGAVAVINSEEFDELKAKQTNLIHQQL NLYARYLQQDLRGGDKKYEQEKTVTLKLQAELDQWLAEMGDTFAEGILPVFSRLKARQ YDSYWNWVRQDALEMWYAMIFGNLAIVDREVTAKCLAIMNRSYPQLIDYMRYTVENCA ADKGETYRIAKEFGQALIENCVEVLAENPVYKDVTFPTGPQVTVTATGDIVYKEVPRE GCRKLADYVKVMATGSKTTEFSNRLKVQQNLGRLYKIIRNQNKMKKTTKLSIKELYSD VLRAMSMSNTIVKEHRGRQRRLSSTGRTAEHKRISAKAAKSETIPFLHLKKRNDNGGD FEFSSRLTSTYLDVLSEVAESGLTFVNKCVLLTGAGRDSIGSEILKGLLGGGAKVIVT TSRFSRAVTEYFQGIYKTFGSRGSELIVVPFNQGSKQDVDSLLDYIYDPKGLNWDLDI VIPFAAIPENGNELDDINSKSELAHRIMLTNLYRMLGAIKKHKQAIGSDTRPAEVILP LSPNHGTFGGDGLYGESKIGLETLFNRWYSESWSSYLLIVGAVIGWTRGTGLMAGNNM VAEGVEKYGVRTFSTVEMSFNILGLLHPSIVELAQNEPIWADLNGGLQFLPNLNEINT LQRKEIRETAEIRKAVALESALDFKIVHGSEADRTYTPYTITPRANMKFDFPKLKAYD NLKHLSYLRDMLDLDKVIVATGFGEVSPWGNSRTRWEMESKGEFSLEGCIEMAWIMGY IKHHHGNLKNGQSYSGWVDAKTNEPVDDCDVKTKYEKKILEHTGIRFVEPEINNEYDP NKKFLMQEIIVDHDMEPFECSQEEAQQFKLEQGSKADVYESSNGSWIVVLLKGAILYV PKALRFDRVVLGQIPTGWDAGRYGVPQDIIEQVDPVTLYNIVSTVEAFISSGITDPYE FYKYVHVSEIGNTTGSSVGGSLAQRKMFRNRMLDKPVQKDILQESFINTMPAWVNLLL LSSSGPIKTPTDACATGAVSVEMAVETILAGKAKIMIAGATESTSEESSYEFANMNAT SDSVAELARGRTPSEMSRPTTTTRNGFMEACGSGNHILMSASTAIEMGAPIYGIIALT NTASDKEGRSVPAPGAGILTTAREGGTKRPSPLLDIKYRARQLKARRAQIKSWLESEY EVLREELEELKASGELKADEENQWLEERTHFIQKEAVRQEKEAKSTWSMNFYKNDPSI APLRGALATYGLTIDDINVASFHGTSTKANDKNESRVLNQQLKHLGRSKGNAVLAIAQ KYLTGHPKAPAASWMANGVMQCLLSGIVPGNRNADNIDEVLKECEFIVYPSRSIQTDG LKAGLLKSFGFGQAGGEILILHPDYVLGALSEGEYAAYQAKNAKRYAKAYRYLHDSIT GVADFIQVKNEPPYSDALEYSVYLNSNARAEFSKAKNSWAFNEKSVKPLALQSDATGT KSVLASLAQQQAGEKGVGVDVELISDIHIDNATFLERNFTEAEIKYCQSKPVPQDSFA GRWSAKEAVFKAISSYAKIPSSGAGASLKDIEIGSDEVGAPKVHLTGKAAEAVKAAGV KNVTVSISHSGSYSVAVALAQ PHYBLDRAFT_80600 MSTSEETPKPTFVQPKPYKVDLEAGKAYYWCACGESKTQPFCDG SHKGTGIKPKKIVVEESKTYFLCGCKYTHNELGFCDGVHRKEEGIRKYNEFLLKANSS LKQENEAALNEQSELKNKLKAAERKQKIANIVAVLSTALIAVGIAAKFAHTYSKH PHYBLDRAFT_115858 YWININSPSSEELKEITRIFGVHSITAQVIMANEVRVKCDIYKN YMFSCYRTLKQEDDSISTNLYCLLYKRFLLTIHYGEAPQLIPVCRRIERLKSYIVITS DWINYAILNEIVDSLAPSVHQTETRVNQIEEDVLHFRGQDSFTILSRIGLCRKEIAKI HRLVEIKEDIVRTLTKRLKDSKRQLLVIPDVPLYLGDVQDHILAMLNGLSHHEAVLAR SHSNYLAHVSNNLTKAANSTNEVVGRLTIIATVFVPINVVVGLWGKYYYQRNKNELFL CTTVFNSNNTNIYIAL PHYBLDRAFT_171974 MAKTKAAAGLRNFVLECALFNKKSQNEVEDNMKAVLKSYAETIM TKHAEDSKALENAISAVELGKASAGDVEKLATSIKEMEDKLKAQQAAKMEELRLQNKE AFQKLNTDYEKTTKEWENLKALEKDSPLLNGISKKVFQTLQEENRSLFQPPVEPIDPA RVQQLIADSAEIKSLKAQLEQVKLSAQTETSKNAMRVQIEQRDEIIRGLTVQLADVKT KQESTQAFINAIRSQDSKHNSVNIDHARVESAIKRVDELSKDMNLVQNKILSMDTMAN VRQREMQRLSEKIEYDGVRPGNENRKRRRVFNEDSSTSDVTDKRTKDLETKLDQLTDY VYQFRSTVLSSSFPTELETSLNDIEQILKNHEYFIAYLVDPVAASKGLEISEVPRKSA INGTDPSNLSPAMLEAINKTVEESVTEATRPLLQTIRDLQERLDNQN PHYBLDRAFT_159729 MCIPRTTINTTIKRWEETGTAKLKTRPRGPKKLSVTDVISFCLS VMNNPFESYAYHQRNMTAAGAASAKGFSSYTPFPKPNLTREQKKSRLRWTKARANFGI EGWSRVVLSDESSFMLKGNGSDPAAIRHYVYIPRRRY PHYBLDRAFT_149129 MLNSNKRIKTTPSRRELSDFERGDIVWLSKADHTPTDIANRMNI PRTTVFDTIKRWETTGTAKTKTRPGKPKKINVTDVTSLCLNLRRNPFESYGYHQANLG TAGVNVCRQTVIRYLRAKGFGSYTPVSKPKLTRQQKKTQRGERYQESHTLTKEKYGKG SVMVWGCFSAGGIGPLSFIEESVYHAAYVNCQSKNFNPCYKQLNEKHDTMFTPQEDGA SCHTGSYTMWWKKHWEIKRFDYWPSQSTNLNPIEHAWHALKVRILQEWGLLDAEVL PHYBLDRAFT_171975 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDG VIFIEEGVEDSMIGIVDFESGAKLLLVQG PHYBLDRAFT_171976 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDG VIFIEEGVEDSMIGIVDFESGAKLLLSALPFRIASLSVHFELQYNMNDDPQQE PHYBLDRAFT_159730 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ PLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAAS VFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_171978 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDG VIFIEEGVEDSMIGIVDFESGAKLLLVQG PHYBLDRAFT_171979 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLDG VIFIEEGVEDSMIGIVDFESGAKLLLSALPFRIASLSVHFELQYNMNDDPQQE PHYBLDRAFT_171980 MPNIIRDITVEAIRKVYPLKEYMDPFIAAIFDIRYMNESLLTGS LVEGYERMYLIQEGDQKGLNWMLSVGTLGKLFCVPATCSYMFYGQLFCINCIFEDCTL VDIVVRKTLQSKTILTIAPFIRAI PHYBLDRAFT_159732 MNGFVLCANFDIFVLVLVCPKKELNIVVGGVNLDSIVLVLLMLV RVKKKEICYGYWC PHYBLDRAFT_159733 MFLLSVLIFLVISQFIPTIRLPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKIFSY LDIVKTND PHYBLDRAFT_159734 MSCLSGGDRYKNLPKNLLTIYLHNVFTAQANDQSFDILLGSQQA FNAQLYIKGRRYTRIFKQFTKN PHYBLDRAFT_171982 MAAKINKHVLYLQEIWSGNGRSEMFNPQPRPTIQQHNKRGCSSL RWANLRMLIGTWFSTGFRTRRLIYSFTFQHQMNITRNKAICTLFCEDYSEENVARLSK KIEELGSFDVCYENDPVRPVLVNLNAIRNDPVNYKLYVTEEAPEELPESPMETEGVME IEEATFELSSDQQAVMFINEVYKAIDPHNEALYIYKEVSIKDVYDSVIPKARHMNKKS EIAFATWCSKRKVSFMDVQFTRKRSREANRRYRKVYVMNNEHRDNLIKNIVTSIPRYQ THINSLKNQECTIIGYARRSFDPSERRKKKRSLSAMVQDLKERSMCDLVFVSWSCSHA DTFASRDVPDRGSLINSMGGVDGDTQSMISHINASGKDICLVAIDFKGLSTNTDDLQN LFNTHKNLAAVIIDTLHLDHTFHEFKREAVLNDPTILSPFAIKLQTS PHYBLDRAFT_182693 MTLRITLVRHGNTDANVEKWLQGHTDTTLNTCGLSQADRVGERL STEKIDKVYCSSLTRCHQTAAAITAHHPGLQTVYLDGLRERGFGKLEGQSIRAAFRGY NRSLQSSDNHVKAAGGETEAEFRQRICTTFQEITEEARAAKETNILVVTHGGPLRTLT HWWVNELGYISKDDTVVPAGNHGNTGVTCVVLHDNKDLGNVIEIHNSMSHIQEDTGPA PESV PHYBLDRAFT_156082 MLKGVKSKFTTPLNQVILLGFICLCCPGMFNALSGLGAGGSMSS NVSLVDSSNGALYGCFAIVGFFAGSVTNTIGVKATLTIGSIGYALYSAAFWVYDAKQI SGFVIAAGAILGCCAGVFWSAQGAIMMSYPEEKNKGKYVAVFWALFNFGGILGSVIAL GLNLKNQAGGVSTGTYTAFVVVMLIGVVVSLGLANPANVVRPDGTKIAIAKSAHWTSE LKGALMIWKEWRMMALIPAFLASNWFYAYQFRINAIYFDPSTRALNDLMYWAFQIVGS ILLGFLLDCQAMSRRGRGLVGLAIIFAIVMAVWAGGFAFQLTFDNDFSTPIHWDHPNF GGPFVLFLLYGLSDSLYQSYMYWLMGAMSNDPNLLARYAGFYKAVQSAGAAIAFGIDA VDIPLRWECLICWMLVFVSFPLILLVANKVTETNKSKINQSVN PHYBLDRAFT_104250 VKRKVQNRVAQRAFRERKENYVNELKQKLREVQDNHVLGTRQIF YENENLRSIIRYLESENHALK PHYBLDRAFT_60295 MAWIVEATTQSLFVFGDGSTSKSHRGQERGESKSLTSRWHSVLP ALQATQLEYLFSTCIQFSVWRVCTIYNVFRNLTAQDVLNKPECLLRNKNTKFKSLTAF QRSAKDKQASKQANKQQLPQQQPHQELQQ PHYBLDRAFT_149138 MIPIPQQPIQTMVNAIRKTNELRIDLTTDEIVLLGEADESAGKL LQGSLLLTLAEPIKVKSICLKFSGKMKVSWSEGVGHHQHYHKQERTILQHKWQFVPVA GVAPKKTYTLAAGHHKWDFELNLPGDLPESLETEGGRVSYGLKAVIERTAFVHNMVKK RSIRIIRCMLPSEFGLSQTLEIHNTWAEKMVYDIALPSKVYANGENIPISFNILPLAS QLRVRFLTAFLKEYCTYTANDYSKTDTRMVGTERINQPFHEQDTQTSASTITPQAAAA AAADAAALLAATGTASPSQYNGYWTKVVDLKVPDASPLVFCDADNAMIRIRHKLKFVI SLVNADGHYSELRCSVPIIIIDSFAQQAEISNLPAYDQSWRSVPYDPSVWDALRSGSG SFSGSTPLPPLQPLQQTQPLSINTQANTSSPLSSSFSASAAFNPHHSRIPSVDQERQP ITISGRTRALSIASNSLLSSTPPSTSLAATDIPIKGRTAGMGSGGMGGMSGSGGGMGG MGGFRRFDPGMDMTSASPVSPVSMWWQGMDLSRVPSYRTAVQFAPANVSSSLPTYDSL ETSPHPSR PHYBLDRAFT_60297 MANLFTRLPSLKVLSRPSNARKEVTVYIKGFLAEGDSPENFGDW MHSHRLLVLSPAHKWAPSALGNSGGSSIDYSWPSGSAVSHIPVPLATLGSVAYVVGRN VQRLRQWKLPTPASLMGALVVDAGLHCGRLAYQFNTATVESKERAEMLAGRLLELRTR HDYLRVVSHSLGCRHLVEACALLYPEERPDEVHLCAPALVASDLERFFAGAEKGLGRE NTVIYYSEKDLTLGVLLRALLMGQQAVGEIGLPGVTLPPSVRIIDCSRSLGGFYVGAH TDYADKFHFFASPRSLAKF PHYBLDRAFT_149140 MNRQIYEFESPSHRHQNSTYPDSEHDPYRKRKAVPVHSTPSDRD PLDGAHSRQGSDSSTSGLATNAANVALRPNYSDYDTNNTNTITKNINKGPNKSTNANT RINININTISTDIDPYCPSRGLKEKKRLLPTQRANSYLPYSRHNRDPCQQPAPIYIED NPPCFPIPDKEGGVVSMLQDDLCILDIRAQQAALNQQQQQDKENEKDGQDPLQKLNHL HPTSSTLENLLLSRKRRKFWKKRRTLAGLVVFLIAVGVTWYFVWPRFPTVALIGADVT NKTDWTTNSTLSMKTSWRLNMTADNSANWIHTRFTNIAVTLTDVNTLEQFGQGGSGPL ILSGRKKQPISIPLNIFYSTNMAGNRTFQDLYNACGVQVRNPVPAEQQETLQVVFHIT YSIVGIAWTKTDTIQPVNGFSCPTD PHYBLDRAFT_188209 MSEASINNLLLSLCRNDQEEELEQLLEENEDCDVNHADGAGNTA AHYAAKAGAIGCLEILVNHDDINLDIQNRLEGDTPLHKAVLYQVQDHEMAVAMVEILL MGGADPRLMNRNKLTPAMLVNQKYKDIKDMLEEATVSYGMDDSDIAQEDDSGSEIPEG EEVSDSD PHYBLDRAFT_28503 MGNAQSNLPRGNDKKQDKKQEKKKWEPPVPTRVGKKKKRGPDTI AKLPPVFPTTRCRLKMLKMERIKDYLLLEEEFVQNQEQLKPQEGRDEEERTRVDDLRG SPMGVGSLEEIIDDDHVIVSSSTGPEYYVSVMSFVDKDLLEPGCSVLLHHKTMSVVGV LADDADPMVSVMKLEKAPTESYADIGGLEQQIQEIKEAVELPLTHPELYEEMGIKPPK GVILYGVPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPKLVRELFRVAEENA PSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLELLNQLDGFDSRGDVKVIMATNKIDS LDPALIRPGRIDRKIEFPLPDVKTKRRIFGIHTSRMTLSEDVDLEEFVMSKDDLSGAD IKAICTEAGLLALRERRMKVVAEDLRKAREKVLYRKSEGTPEGLYL PHYBLDRAFT_60301 MILSKSRSSTVNVEKFVKHIKTRASVGKLLWQYQGNEIQKSKEG IGRSKIQKIYEPTRNKGLIRILKKNWITVYLIDEFKASSKFPNCEEDLETFETIINPC PYNRATFWLIRCKNSKCLAKESKRKSWNRDLAAVLNFRKILINLRNTTKHPDIFFQKT RI PHYBLDRAFT_188210 MKLRTRLIVAVASISFLGLVAIFGLYRESIMTVIQHGAIKLRIT PYSSLLAVLLIVIVSIPPMIGFVSSVTLTGFVYGFPGGIIPATTGAMIGSSLAFWQVN TYVLIIRRYPLARFVHLSPAKQATYKALEEAIEQGGLRIMILLRLCPLPWPLVTLLFS MIPNSRWSDFLIATGVGMIKVTLEVWIGSQLANLSDPNLPPSAHRVALGMMCGGFVLL LAIGFWLYRLTMAKVRQLADREIPENINETQQLLIYKQPDLEALETLETLGSPLYKND TKIQLNS PHYBLDRAFT_126801 MSPTENTPLLTSKSPLVSKAYKQEFKWLINNAMPLVLSYLLQNS LQSVSVISAGHLGATELASASLGSMFVTVTGLSVATGGTLALDTLCSQAFTSADDKKI VGLHVQRCLAFLSVLYIPVCVLWWFAESVFLLLRQDPEVARLAGCYVRWMILGAPAFA IFEALKKMLQAQGIFRAPTLVLLMGAPINILLSYVLVWSSTLGLGFSGAPLASCLTYW LIVIFMALYIYRVDGYQVWPAWSTRQAFEWRAWGPMSRLAIPGILLICTETWAYEIIA FGASWIDTTSLGAQSVVLTSITALYTLAFGVGIASANRVGNLLGAQRPQQARIAAHTA IYAAVLVAGLNSLLLLVFRHKWAYMFTSDPDVVSAVAKLLPWVALFVFADNIAGIADG VLNGQGRQHVGAWCNLVAYYVCALPVGFYLCFRSGWGLTGIWAGLVGALIVSCLVTVA VVWTSNWNTEALKAECRTREEVAVKHPEDEESS PHYBLDRAFT_149145 MVFLVIVWKRTNMVAAYGFTVDPRHRDIEDQKDSRGKQKNGAGG LTLVGAQISRRPGEYRTT PHYBLDRAFT_182697 MGKNKKSFDMYQSYLDSLPASSGKNAGYEIENVPLPDSMRTSTL IEQIGKERSWTEDQIDSDIDILEANRLGFVRDLRDLSDNSWKVIPLLPLVRDLLRAAV SPKYQKKKKNKSYKKKSDSSDSSEDENNSHATVPTKDSTIDPTTNNGGGGPDAAGPTP ETSPGSKKVFSGRPIEPVNGNRIRVKTTDGVYECNRFCPHKGVDLVTWGNVLGNTLVC TKHNWRFNLEGNEMVKGKSLNACKVNDW PHYBLDRAFT_149147 MSTINRENARSPSPGTISHKITLVKDTLDSKSHVSPMNPKDKLS GQDTQHRSPLRDIYKDPLEFPRRSPSENGLGMVAYQENQIAPQTFKAHSPVSEEGERE RERGVRLVVPPKPEQVNALPESKVSDQHMAQLRHKVYLVRQKREKGEECLRAEKKETR RLQEEVELMEEEIEEVENEIKDVQLSFESIPGYLELKEKVDITAQEAAETYQTVEEVK GMVEEMLASDEYHQTLQRQQTIDHLMNCITECMYEIQVLNPSAIA PHYBLDRAFT_171996 MSFVPFSIPSLSESQKEELANRLANVHYPQELDANVGWSYGAPR WAVAPMVDAWRNAFDWEKSRAEMNRWKHYRGDIEDINLHFVHEPSTKSDAIPIILLHG WPSTFYEFHKLIDPLRDGVNGGQLFQTYHVVVPSLPGYGFSSPPTTTGFDCGKMGSIF NTLMVKLGYTKYMVFGTDWGAVIGKWIAVHRSENCKAFLTSMPLSVPPIPTVKNVMSH PLQVVKFLSSIPLGFDAVYGNGAVKIVGRSFMDVENNNDAGYRAIQGTRPYTLAYSLT DSPVGLLAWLLEKYHAWTYHPGDTEGTVLPDTITQDEFLTQITIYWMTKTIGPSTRLY YEVFQGKQVNSLSLEAISIPHGYTIYEGEMMKMPAEWINLTANLVFFTKHNLGGHFAA LEEDALLLDDIQRFTKKVGRKPFD PHYBLDRAFT_171997 MANLKRCGLYSMSMLWYTVGCVSTRCRSVKCVLCLAFFKEEFRS PGEKDLPKDIMTKFFEISSKCRSFNSVLIKAIVSIFCTGSSRFTILTTLCDLKNTEKL IPLSNMAFIIYTYEEVEEEGEGEGEGEGEGEGEGEGEGMYYIRVRTRTRIHFPIYKTS EDCL PHYBLDRAFT_171998 MQSHNDPKIPPSQELSSLISQFSEEVPAASPVFEGHLYLRTEKK QWQWRLFRFDGSSFTCLSTRKVKLPPNTAVDGPSDPNLQLSFLQASPPTSFTSPLLAT PKKQPHIRLTSKSNQLKNQSQDPERVMASYYQLPKFTIDIANISAVSMLKRPSKTNNN SNKNNSQGFYSAITIAPAPSKCFCVRTFDGQCFVMKAQKQKDLERWLFVLTKMWNFVQ ADILQTPHSQPSCQINQTEPSQYDQRYRMPILSFEKTHWIEEWRKSLAELIAYDPNIK VSPPPIESIPDDDRMSVYSDMTSVSNRNQQTTYAYGKPSGLPSRRRTPTISSKRSNRS LQKLPTAVELEMPLQQRTRSSLRKKRSDDVKNWIEPAKRMERPTVAHPNTTPTDPSIL RRNLSVQSASRSVRTSSMRKPMIQRLSTNFNPGRVSSSPEIYHIDFFQDTMTVDTDAQ LDVNNDEQDKRLPTVRYHPSVRGKAVHIVNQDRQSQFLLRGAEEEKEEKDTRNSQSTS RRRSSVPLEVEMQLSLHPHHHHSFGDERLSTISPLQNLANVENGLSFKRRRERTPSGN PATPPPLMSPWTSDLTVDQDEEVCLADIQKSLQQTHLNDGWQHTRSPSASSILDLQKQ QNYMYGTPIMPYPQSCYPPPSPSPAMVNNAATNNNQSYQNFPFVSPIVAPAIPPVQII RPTTITSPTCFSYERPFLQDKYNDKDNLEPIMSRSSRSSTNRNTSSRKGYEPPGVDAQ HGNYSNQNQKRESWAMKTWTTPDFQHPTSAATATIGGTNARPQSMAPEDYYVKKSRPR SWMIPSRSALTEKFPERLEPSDVIVTGRRSMDLITEGRKGKRWG PHYBLDRAFT_60308 MDLAINITSPCRVRVLLVPVSPIKKSTFWEKVELVKKFGVVRLG DVTPDLHKGAGAMFSSQVFQEGQMHFQFVTNYKRDHAHLEDFQPHRRIFGVIGIMDCQ EWKDKDLSEGYRSFVETLDKSLNLKYPTAVATRCYAFDPTETQPDDTKGLIMIPNVGN MSFYISTMICDFASEILTQFATIAGRIERLQVLESPIPLTYTAHRFEPVHQSQTHSPQ PQSSLQQRAPLPSISSPNPQGDQTRPNYRASQPLPAPVPTQSVSSSFLKRASTASANR PPPTKLAPMPAPSPNPSMSRSTSAHGPNSSDIGKTKRRTPGRIKKLLADFYLLAGRLP DAVSHYQQAIEMTRSMTDFLWLASAMEGLACATLLLEYLHADVGHIVSRGPSAAELFP ADGQPTSPTTEKNVSILDGPKSTVSDIVEQYTQVLHNYARVSTTASVPIPGLIYAEAC AKVARFLLTVHVHGGWHEKVLGLLVQGKLWEDGTEIHPGQFSSIINPTQSQLSTIPRF EIAEWAMRIWVVHLEDLSLLDQIHLMTSMATVLSTIGYHRKASWVLYESTTRMLPLLI QSRASMTGSRDPNKKTIGKSDSGVLDVMKQICEVYGLGERNVHDGGALEAMHSQEETE NNTNTVSNNKGVRGAVAKEHFRFGWPALQIDILRQCIAIAEALPDYAAMLYYTTVLLK NMYRHISKEEQIRLATSIQRIVAMGKRTGQVESSVNYWGVNIVSSIEALLPIPRKAVY QHPIQSNIVASAADTSATGDPFIYNPFAQKKDEKFQVTLVQSEMCEFKVMLTNPFGFD LDVQNVVLSTSGVPFIPTPASVIIPANGTLTLRLAGTPEESGVLTIRGCLVKIVGFAE QEFLVDLGQKPKAKDSRERKKDDQSKEEFVKLKQRKCIYRYIYTESSNELKPVEFYEL AVIDEQPLLKIKSTSLLHSAVMLYEGEMTHISIELENIGNIPVDFITLSFTDSTTINP LLVNPELPMEEQYEIELFTKGTHVFSWEGSMNEAAQIIGKKVVLPPGSQTTIIVNVYG KRGCTGGTIQVEYGYLERAIQKQLANNQEGATHSPSIFYTRQLYLPVLVTVYQNLEPL NWDVLYLRHNALVSEEMMDKALEDIRSLDINKGSDIEQPVEDLLLVTRQADNDKQAQS DYCLVTLDVRNTWTVPFNIEFMIDNGTDSVEKSLHSVVTIQPGWTKRVVLPVKRLFLQ PQTCTQPIPSFEPNKQFVVSQAPKMPPEQERARLQMFWYRESLLKRIKATWRCTSTDR RGVLHLRPSLRLTPLQLTILKKEDMEFIVEMEGANVKKAGHRRFSCNCNDFVSMNVSI RNRHMHPVKLILRIQPVQSYNDGAKEYDLSDKLLMQGLQQVVLPEIPGNNNIVTYRLP LCFLSRGQFEFLHHAEDVHTREVYYDHEWAIVDVNDT PHYBLDRAFT_149151 MSVFLPLRELKPETRMGYSKFAVPSKKAKFSSRKGMIVIYSPAL IASAIAVIYAYLKSMNTYIILSASLSSLHYIKRVYEALMVHRYSGSSFVRDVLIISTS YFSFAVFVFYLSSLVPLVYERMEAKSTRQIPNGGLFQYIWCPHYLGEVISLAGVALVS QTFIIVAFQFSSTIYLLVRSYNTRKWYENRFPGAPYRASVIPFIF PHYBLDRAFT_172001 MSAHIALFNDEFLRHANTIEKLSSRLQADLDLFDLSPYEMQDCD SYVKDRVTLFQFLRASRFNPQIAHEQLLDTVRWRIKMSIGKLSYHHFPAYFDTPCIVF DKVDRMGRPVIVLYPRNFPLSGTTTAAQSSIGSLTCLLMEVTRQYMHDLSQLHQESKH ITNLISQCIILVDMSEAPFIPVNAELINYIRDILDKRFPGSIKAVHVLNFALAYQGLW QMVKFLLSDDLKHIIRFNSENELQKIMAEEDLERILGSIGSNPWVEKSEEVWKKYGYH PDIVPPKKKSRISIRDLFPEPPSSLNHIPDSLPDITNRSPRTEDITEKSGEPKENAIV NEPRKDTDLDNDQTLDGLDAENNSSIISLTSNRLLISQNINTNSDSETYTAAPGQEDQ SKSLIRLTGAQNNDLGALQKLELERQPLNTYNEILEKHPYQKLASTCTYAQNTTLSKV NQYKEDSVGDSDRLDLVKVKGQSLDQVLEFTDTSINIETCITDEAIVIQLIEEDISDT ETYIESDLHNTRTSEILLNDSDMLVKNNSSLLYEDSTPSNEIDDVELSMLSEVTYNDE TSFVELLLDSDSVKSVNESITLPSKPYVTDNNIKTKSSSLESPDQNYNSVVCPSPTIT YEAIEGFNSTPALAYQQSNTLRTNEFDEPLKHTVTEHIDSCDSDSDTHKINISPLNIT TTEFPAIAKHDSTQTNSLEVDLGQTENVWNIIPDFSKAREAQKSLQENLVEILARIED LDSPNQIECMGTYVTNEDRLTEHIINICSDEYEEYTTPILPTKKYDTNVEEYDDIFNK SLVLFDEKNHKIHENSIDSSPCVDQRDESNVAEYLDYIPSKDIMATIASVNSETLSIF ADENELSRLVNGAYENVIEEKTLVCNIFPEKPSIIEIMDSFNDTPAVKALTDMIASID DMLTGNDDTKDTPCNISSDTSAIFKDRKLSTLEPIEEEEAEVNKENDETLETEDLSNV GTDIKYHPTMSKSIIKKRPVDKTALPNEIKPFVPEVNNGGMLRIEDSTNCVSDTISSK TSDDSLSLVYKTHKEESLAAGSEICALIESDCKGLEKCSKYVSSYGELAVALSNVSNF LDLFTEDGFDKNDYTTPKKFYNRVDLIQNNEGDLINSSKTKPYDYSKSLDLETYDNEI ETLLNITYDSPYIINKRKDIYGDYMCSSTYADKTAELNNSTYTSPRSEKEEYYTYSNY TDEITLMMKISYEVTRSTKEEKITRSECFDYNTYTKEILAMANISYEAPKVKNEGITC FKDLDSISYAEEAATMMNIKFNVSSSVKNETYGYSISPYSNILADEIKTMMNITYDLP LLVKEQTILPGSPGLDTFIAEVGVMMKIEYDIPYSAKKKCFPYPTFADTNMYEDEVVL LMNISYDNPESSEANRAIPSSRHVSRNCADEIDIKMKIMSYIQSQAAEGINSMDNDSI TTYEDEKDFLLAITSNTILLDKATQTLADSAELNINKEEIYFETTPTHGTEITNKEST PDKTMNFNLNSYTDEVPILQSIQYDSSTFDEHIITVNSKDMEPDFDSESKDICENTNN YTNTSKALVTDILSVSYIKSCSEATPNTVTLKSTVELDNKIVGTSQNHNEKSRIEELF KTNTICEEKYKENDTTKMVTMNDPGVGDVNIGENNACEANVSEENYSERYGLSTRSVL EEKLEASIICVNARLDSGSKETTREPSAPATGIYDQRMSFMKSFLVPENGVLLSPSDT QERLESHSSASSVSKKKSTSQRPDLFNTTHVVTEVDCPSGVRLSGDMLRIKDSRKEPV SVRQDILPINLLTGPLPLPSPQPSPRMTFTRALLNTSGTTSVAIAMPLTHISNRTSDY AAITEVDMSTSSHSDSSNPNICGPLWPIVRTPMPFTTWLGIYTGITVYKSFIHTHYKT KETLKDCKCLQRVSSRNKNIHQVANSDPRFQSRSLPTRLRRASFFQTRLPTMFIWPYG STVLDVTKRIVRATYPRYSLVYWIMLYICIRGPVEFGLSRLISQSVESPKRVATITLS IAATITAITSSSLAYFANP PHYBLDRAFT_96834 PVANDSITNKPASQKASLYYTCRSVLQGLAAVPGFEIYLNPEQP QDSDTITNTDPLNKLWSICRQGDSLCLLYNTLMPDTPIVIPRSDPKIVIKPKAYVYHF IVACRDQLHFSEESLFTLTDLWQNDTNGFVKVVDTLKRILDLLEDQGIISIHTATNRN SDPNAPKNMRDKVVVEFLETERKYVQDLETLQNYMREAQTQDVLPRDTLHHLFGNLNA LVDFQRRFLIQLEDNADRAPQEQRFGNMFIQLEEAFAVYEPFCANFQRAQDLVLQEAN KLQKLADILNPVYELPSMLIKPVQRVCKYPLLMQEIVKSTPEDWAYSDEMKAGHAAIQ RIANNVNETKRCQENELIVEDLKRRIEGWKGPIENFGLLLLQDTFFLMGNDAGREMTT FLFEKTLLICKEEIDAGKKANGMMKKKRKESTLQVKGKIMISKIAQVADTSSQGKLTT EIELNRKLGLRVCVCRNNEQLKRWESLLNKRIQADKRIQAEKGLSLEMRADSRSSPSL PQTPLVQPKTALLSTTFVSPESQSQHFHHTRVRSQSSPNIHPPNGLFDNAPDMPTINS RTLYQSKRSSNGFEDRPGQPTIEEDTQIKVHFMGDVYVVMVSIDIPYNVLLYRIEHTL RQYGRELDATFSIKYQDEDGDLITISSDEDVQMGFETRS PHYBLDRAFT_15584 MKRTFSSTTDARLAVLEHAAKGVFKPLSRTAPVFYTQGSRVMPL FEPSDFYKELKKRILSAKDNIFLATLYIGQSEKELVDTIRTALENSDTLKVHILVDCL RGTRASQSQSSATLLLPLIRDFPAGRVQVSMYHTPDLKGILKKALPQRFNESIGLMHL KVYGFDDAVMLSGANLSTDYFTNRQDRYIVFDQQPELAKYYHNLINLVGSFSYQLGLP QPTQESYSLTMPSSMPDPVCQSTQFKAKVRSRLENFIADAMTETKKNDSEDDTAVLPV IQMGPFGIRQDEKMTLELLEIVHRQGKSKDSWWTIHLTSGYFNFTDRYKAFILRTQAR FQFITASPEANGFFNSRGVSRFLPPAYTHIERQFYRQVTRANKQDVISIEEYKRSGWT YHAKGLWVCLANQVWPSVTMIGSPNFGHRSSNRDLEAQAVVITKSKELQESLHKEVNR LHEYSSAVSSETFKKQDRRVPYGVKLATAMVKTML PHYBLDRAFT_172004 MKNEYTLIYWYNYLYVTVFVSFSGTSARKTLLFYRFNIVNFSLS YHQNLFIFHLTFEEIAENISCPEKDRIISSLSIGTFESTKQVSLAYLSLCGLEDMKNW SVPHNEYHRRIKDAITGCLLRKHGFNVCLFDEFSTSRHCPSCSQSSL PHYBLDRAFT_188214 MFFYMSKRIKSMTPSSLSPHYVAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTD PHYBLDRAFT_60313 MKGLVLIGVEMTLKVDLQKICLCISQSNIKQQKQHHDASLGYTV NSVLEMLPTDSADYNEVGEKLRFSLDDILSIIEISCQLGQELEIIRYIATKNTLPKKY CPSFLCDPDLSTAIEDTKWLRNWITILRLESLPHANTIT PHYBLDRAFT_159740 MSKPDYLFVYGDSYSDLSERTRKSNGPLWSEQLSDAWGTKLRSY AESAALTCPTPGSSSDLSKQFKAAKALATANKNSLHAIFFGITDVAGAQDKTVKSLLN CVKDQVAELEALDPTSRILLFGLPPLEYSPYYSNSTHKSKVKQRVSEFNVGLEDAVND WTSETQLNIAFVDNSGLFSYVLGDPEGYNVENVEEAYWETCQGRCSDPIDSYLWWDQL HMTGAGHRAMAENIISSNPFSLPTTPKSTPPAAPIAPPPITPSPPKSAPKDSTSNNIP STHNSNLDDYVSSPHSYPDTTNDSADFNVDGSVDHSAYYPWLFIVLFILMLVLVFRRN RIINTFSSYWKARRSNAPATKTTEYSPV PHYBLDRAFT_149157 MPSFFPTSSILPTVDQEDNDSNHEAEKRWLTWSNALLKTCRSSI SGSIDEIQHFDFKRYTYPLSKREYDTLYNISRPPKATRIYRRCTVSPSATVPSNKAQQ TLKSSFGLTPQIKNYKELKLCDSVGKRASQPLQSINETNCELGAHQEYRHSLDITLSS GLLRASTVSDAAHSRQEAPSAVLTPPEPIQLPTLIPMLRHHTISSMSSLSIYSAVEQN DLQSRHISAIWVDSFGKFHKNHFQVGQNETKTQEPESVRMPFGLCILPCSTDSLLFLF GFIFFPLWWIGAWRYFKYPSREINPSETKSILPLSSRGIRQLNCWMSVSSILITAILV GLLVWLSKDEDWL PHYBLDRAFT_149158 MATRLLRSISLIPKQSIISRAQVRAGSRLPIQSTHFVNFQKQTF ATARPPSSTNGERTINIPRIPLLLIGIGFACLGVGLYEYFTSDIQKYPPPIRQALRKA LYFSQDDRDTKLALQYFRQALELGIESPEMERDGAPLTGIMIQLGVLLETLGRLPEAR QTLTLALRHLVGFENDRESVKDKRPDSDVFNVDLSSLPTQTQKKIVGIAQKLGDIAAK CRLDDEAEKWYTWSVEHLLRISSRPKSEYNDTEEVIFDKDHMPAWLTKAELGGALEAL GTFYATHGNYVFAIQLYLQALNMAGLKTCHTSVLMNNLAEAYAAMGRFEEAKQWGQKG LDHAQNPNTRKLNDDGEECDATCGTLLYNMGMLFEQTGDKAKASQFYKHAGLHGRKFK LDECVQESDRALRRIEFEQRHPATFD PHYBLDRAFT_172009 MQRLWSRVNAMSIQAKCIFCRTVEDSAFRQPLRTRQSVSIDGMR LNNQTKLHSQTRHYVPALAYSSSFEDIVDNSDRQLPTLVSYLKDEIEQVRNDQPNLSI NARNKPAVFAEFYKVLATQDIDYIWPVYTYIYSNQYTQHVSRRQYRQMFLSTIRARAT QKNLFRLLALIDDMKLRGMNLRLSEYNSLMDWAGGRSVPSNRPHHLTEALALFEEMQS SSTEPGGHCGIKPSLVTFNTLIHIASQLSDIRTAQRLYHDMIARKIKPDAYTYSTLMY SMGKLGDLNGIERMMTDLKDNNLDHLIKNTITWNALMSSYACNGATEKAILMFQDMFS ALRDPQHQHKEAPPADVQSFRIYIELLLWKGDIDDALTCFDQMSTYKIKPIAAVYNAF FAYFMRSVTENQYPDPDLFQPSYDHKYEDGYSYQDDILPDTSNIEQYTKSLPPLSLPP NAQSLDIIQRLYATMRQQKIPPNSDTMYTLVSALLDLGKTTLALETFVQLTDEAAVPL PVQDLRSVSIATLAKQRARLRRSTPTKSFIP PHYBLDRAFT_172010 MEKVEHDGIFVSNNDSTHSQRILFGKDDCSNLLTLFNSELKLKL ETVPLTLVNIWKVVCDTIFLQSLFILLNKELHQALLFDGATTLGVSDCMFTTPKCDMA PMMVCDFAFVLRVSTTGVFLTVVHAILRFILMLKPDPSIKAQLAK PHYBLDRAFT_172011 MHLFSMQSHTQPKCTQCKHSELNKSTKNSKMSSKSMASLRKFFI KSKDRLRSNLSSVPYFTPKSDTHTSCCTSYVSSTSTVTSFITCQSGISEDQVSSRPLS QKSLELESLIFDHPSVTLRISLTPTSFCQYICQCQWTQQSKRYSLSTLKLLLSAPILV SSLLASIV PHYBLDRAFT_149161 MKITGKYALIFAVFLGLLSTETIAHGPHADRGNSTVEQNEPHTH HKKLQDSCRNYHDLMGDPDWKKGEPGRDHMKHKSNDRTDGIDTDPTKTINSTKSAIDS IASPQLLNNKSAGDGYIDLESNTSNKTKSSIHRPQSALSTFVPTVTVITTETVYAIET PAPVQSPINDGDTSNGSVPGIGSMFNGTHDAPADSTTSSNSNTELDNVAEGSSSRIDP TNTVVALVAAGMLICHFF PHYBLDRAFT_60321 MPIYGLTITQRSISSRALIYKALNARSISRLFPNRSYSFASTMS ISKQTEVEKSPTTKDMATSPYYNQGITLSEASRAKLGLRGYLPSAVESLEKQKERALI MLRSKKTSLDKYIFLAHLRNTNTHLFYKLTCDELEEIAPLIYTPTVGEACLNYSNIYP FLAPPGSSDGLYLTLNDLPNIEEIIRNYRPITGQSGGFSPEIAVISDGSRILGLGDLG VNGMGIPIGKLQLYVAGAGIDPRKTLPILLDLGTNNKKYLEDPLYVGLKQTRPDEDTF YSAVDKVLAGLHTVYPDLLIQFEDWSSEHAFGLLNKYKNKILTFNDDIQGTGAVILSG LMNAIRKVESESKVAPKDHRIVFYGAGSAAVGVATQIQEYFQTEYNMTEDEAKKVFWL VDSKGMITQDRGDKLANHKVYYARDDNNGKQFKELTDIVDYVKPTVLIGLSSSTGAFN SKVLSRLAELNKQPIVFPLSNPASQAECTFEQAMESTNNSVVFASGTAFPPYTDPKTG EIHVPGQGNNMYIFPGLGLGAVLAHPKLISNSMIYSAAKALANSLTPEERGQGWLYPS LKRIRAVSADVAAAVCETACQENLARSQIITNLKTHDEIVKHVTANMWSPTQDTAADT RQDVYQEANS PHYBLDRAFT_60322 MVQQDWPFDIVLHIFSYLSLADLCRLLRQHHFNFYCTHTLMRRI KAQQWSLDLYSSPNYFAALCNGDLWMRPMERLFCVGYDSRSGYLRFEPKQFRDRQSAW IVVNANQTLRVRCTQWIRPLSEDDRRGEVPVIWTQGDNIKENSGIRVRYRCLYTSEPK YACRTCELWRCHHRPQLMRVSIDSMSVTFEWLQQGLR PHYBLDRAFT_8077 IMNADKLAKLQAQVRIGGKGTPRRKTKKVVKVSSSGDDRKLSAA LTKLGVQPIAGVEEVNMFRDDGKVIHFGAPKVQAAANCNTFAIHGRAAEKDLAELVPG ILSQMGPDSLASLRKLAEAYQAQQGAPAGEDDDEIPDLVESFDKAEIEE PHYBLDRAFT_159742 MDLSWCIICDCHCTEDNLYCSDNCRNKDTSQRTQNKSSSPAVPL SPPSSPLLEPFLSSFHSHRTKSVARSFGGYITPPGSPESVYF PHYBLDRAFT_97247 QVISSLFSSNPEIKPLPKVFKKINRNQVPSNAPMADSTTFTGIG ILTDLVNLLKNKHSVTTPTNVQRKAIPLLLGPSRTVQDCEIPKEDVDVVVQAETGSGK TLTYLLPIVNRLIGASMYTAPEESSSAFGDRSIGTVAIILTPTRELAQQVEGVLAGLV NLPKSECPNTRRLHWIVPGTVIGGDSKSKEKARLRKGVNVLVSTPGRLLDHLENTKSF NIQNLRWLVLDEADRLLDLGFEETLKKIMNIIDERTKAGPSDKFKKALATKYWPKRRQ TVLCSATLRDDVKNLAGWSLINPAFVSGTESKRDATALLKVGEGMDVDAEEVKFTTPN QLKQTYTVTPAKLRLITLTSLLKSYFVHKRGKPEPSSKIIVFFSCCDSVDFHYDLFAN AGNEEEAEEDEEDEEEDDEEGDEDAKLMKRTLKKIMEVPVFRLHGDLEQQVRSKTFLE FSKASSGVLLCTDVAARGLDLPNVDRIVQYDPPSDMKDYVHRVGRTARLGKAGGATLF LLPSEIEYIDILKAQELTPEPVEMESILRTLADTPQGDYQIPAQDLQNAMERYTITDE ERVTLARKAYWSSVRAYATHSATEKHIFHIKNLHLGHLAKSFALREAPSNLHEQSKGR KKKEAKKE PHYBLDRAFT_93650 MMNIVNYRLRITLSDSRVLTGQMLAFDKHMNLVLADCEEFRKVK PKGKKVEGQTELEQKRTLGLVILRGETIVSMSVDGPPPPSFDDLPSRGPALMSGPGFG RPAGRGLPAAPPPGAPMMGLSGPPPPGFRPPPGMPQNFRPPMPGAPGGPPMGFRPPPP GAPGMPPPGFRP PHYBLDRAFT_116048 MPRVHDLCARIQNGFRARLQTMAIPETKMNLAIANILYKEGFIS SVSRGNHISPDTEYTATTPDNVATRRLWLNLKYKENKPALEKLTVISKPAKKVNFSVN ELKNLANGRRAQFIKPLQPGEIAILSTNRGVLELQEALKKNVGGEVLCRAN PHYBLDRAFT_178018 MSFIRSALRLSAATAIRPASVFRQAAQRNYAALTYSTPTVSENP TSLTAFTEEELMLKETVARFAREVVAPRVSAMDEAEKMDSDIIKAMFDNGLMGLETPG DYGGAECSFTAAILAVEELAKIDPSISALCDIHNTLTNTVIRKWANESLKEKYLTRLA TDTVGSFAISEAGAGSDAFGLQTRAEDKGDHYILNGGKMWISNSAEAGIFVIFANVDP SKGYKGITAFIVEKEWGVEIAKKEKKLGIRSSSTCVLNFDDVRIPKENVLGEVGHGYK YAIDSLNEGRIGIAAQMIGCAQGAFDIALPYMMERKQFGTAIGNFQAMQHQFAQVAVD IEAAKLLTYNAARLKEENKPFVMEAAMAKFMAAKVAEKASSYAVEWMGGNGFVRETGV EKFYRDSKIGSIYEGTNNIQLQTIAKIIATKYQ PHYBLDRAFT_60329 MSSKFICAITNVDSLVGYAVAHRFLQEQQQGGEGHEIRLLCRSS EGLEDLKQKGAKIFEVDYSDENKLREVLKDVRAVLYVPEHSKDFKSQGENVLKIARDQ KVKCLIMTSIVNVDKVSETRSGEKFRRLQELHQLEQKVQEYGREQQWTIVRLTIFTQL FFYLAPMIQNHNRLRLPIEKNCKWSAIDLQDAIDGIHAITEKHRKNQAVGTQQVWSFT ASKTSTGEEVAKSIQRDLELDKEVQYEKVDENEFRKYLQKIRDDNNFQDRPSEDARRR GNADEPYNFPLARYLNEGAIECMIEVLQLANEGRADTVTNDLKNLIGREPQDVHNFMQ NNRDQFRRLK PHYBLDRAFT_135579 MSIAFESPTPRAPANEAYFTPLNMPTIHSTEETTTTNTPYFTAP INPMLHQEFLNAIHTRHAAQPTVLSPTETPTPGPRLTLNAGALASRRRATPSMALSNM PTTPPTTNSSLLVAITPDELLGWITARPDRILLIDVRSFVQFSHAQLRTALNISTPNT ILKRPNFTLEKVCEVIVPGADRTRLSQWNKAEKIVFYDQSSNVIPPASAAIFLSEKFK NAGYKGDLCYLKGGFEGFFARYPHQTNMTAAPGTPALLSRRRPNLNLNALPTPSLGPF TAPMPQFENQAFNPFFSNIRQNMELSHGPIRERFPVRLPADHMDHSTHTFSPPSWLRT VVIKDSPNQKAGAQCLAEMYERLERTEQRRLQNIMLFHSKHTNNPAEYPLSIVAGIEM GALNRYTNIWPFEYTRVKVQSSESGSTDYINASTDYRRYISTQGPLPATFSDFWQVVW EQNSRVLVMLTKEEEMNKIKCHRYWPSNINQPVRYGPLTVTMLSETKSLVFKDKSSNP DDAVILRQFVLGRQGSEKRRTITHLQYTGWMDFGVPDNPVGTLQVIKAADDAQVLYEL QAKEDEEEQPVGPMVVHCSAGCGRSGAFCAIDTVLRRISRANPDDSTHDLLIETISRY REQRLSMVQTLRQFIFCYEAIWWWMLGQGHTPTSALSQ PHYBLDRAFT_116025 MAFRFNWPEFDSDFYDEARSQFEAALNKGNKPKNIVDHIAVKEL YMGTLPPELEILEIGELSTDKFRGIFKLTYNGDAHIVLQTKVQANPMHTKQSDLPRHS RPNILAAHQPLVVPMLLRISDLKLRGIVVLVVSKTKGITLVFKNDPLESILVSSTFDS VTSVRNFLQREIEKQLRNLFQEDLPVMIHNLSLRHIQSEQEKAKKQQQEERLKIQKLR KLERDRQKRANGGIPSPPQSPLFMSLDQNIVVDPVHNGMAAKLAHLASVNHTISPFAH TIDHFTYRSLPHTVKKIDSKPKHKKVPRRRIIRINTSSSMTSSTP PHYBLDRAFT_135582 MGVFLITLIYALYKAKNRFLLTTQTAQKDTRTKRKQKHKVGVNS QFFEQMRKLLPICVPGLFTKEAGLLGILATVLIARTWLDIWFSAFNGSVVKAIVSRNR KEFVAKAIVEFGLMMWPMSIVNNSLKLSISALALCFRERLTMHAHNQYLDGITFYKIS NLDNRLQNADQLLTQDIDKFSENLSHLYSDIAKPVVDIFLFAYKLGEAIGNEAPFFMI SYFIMSGVILRAFSPPFGRYTAIEQKLEGDFRFAHSRIITHSEEIAFYGGGEREKEVV NGTFQKIVNHVRKVYTLRFLNGIFDSVLVKYCATMTAYYLLARPVFNPKYGKLYHFLK KNIYQIFIYLINLSQAVGRLILTGRDLTRFAGYTSRVADLFDVLNDVREGKYKRTMVS DSESGINQNTVVNAKDMKGKVIVKDGIILFDKVPIVTPNNDILLKDLSFKVTTGMNCL ISGPNGCGKSSLFRILGDLWPLFGGTVTKPAPNKLFYVPQKPYLPLGTFRDQVIYPDT VAEAHSRGFNDDDLMALLENVHLGYIVEREGGWDTVQDWADVLSGGEKQRVAMARLFY HKPQFAILDECTSAVSVDIEGIMYEHARKLGITLFTVSHRPSLVRHHEYLLRFDGEGH YEFKEMDPADSTTPFGFGHGKSLAQVKLDSSS PHYBLDRAFT_60333 MILLCDFSHIESLRIIPNIPFMLNSPLVNSHCLQKKSINYPVNF QTQVLYDLSLKINTPISTDCSPANILCMESFSEIHYMEQHFNEPTVLDAQDATEEIPS LRRSRLSSSSDDSSGDSFSENDDSNDERDTIIPTTFANLSNRRQVLFSSESESESDTH VVNRSRVSLPVPSSYMYMPKRQIEEAVLESITHQLHADKLPGILTILSSHTASTTSDE VEIDLSCLPRDQLVRVLIYVEACIAEQNGGPPVNIADYIKEELHQHNVSDDGSDEEEA LHQAYRTPKKSIRRKRPGLSGVSRDNSNTNDHSFGPSDGPISMARLSQQSDLPKRKRT PRKKVTQSCKRENDQKQRKNGDALVSSPCVIQDPNCIASTKPKRRVALHKRRLLEDML LPSDEESINEYEDNGDIVVFSDEKMDFHVTGNQTITHHSLAPSTVPCNIVPPVIEEDA DDVEEIDIMV PHYBLDRAFT_172027 MRLKISVTLVPWQLVEILLAHYTINSNNYYGCVNTPTSLNTYGF VVGGSVNTVDTHVHGSVYLGKGGTVNQVQQLNQACAFVVGEGTGEVNFYDIGIGAVYS NYVLSLTRPTMILHSNGDLTKIISSSGNSTQFNVLTFNVCSNKNCIIWNGLLSYPNSM LQGQGNWNGPQILPWPPSGITVFNVHIASGSTFRLSRNIPTIGLDPCRTLFNFYPVKD DGSYDAVGTFTLVRETGGPFGGLTLAPLDGTSVAITTFPAFTSTPTNTHTPTVTVSVT VTETDRSICFPTPTPTIDGGDGTCIAKKCASHGPCAFDRDSPECAYFKLGCLHSYMNK NTHRSKPRPKAMYTPDKSPKGYRIKQCVARKHGHLQPKQAPKCKQKNKEYF PHYBLDRAFT_178020 MAKDGSLFVRFRQFDAYAKTLDDFRVKTLTGASVTVISAIIIIF LVLSELIAYRTPTWVPELIVDKGRKEKMTINFNITFPKMPCHMLSLDIMDDSGEHITG YSHDVYKVRLDLMGVQIKSEKEQKLGDNTKAAEKALLAPDSCGSCYGAVSSREDKCCN TCEDVREAYVNMGWGLGDTDKIEQCLREGWRERIETQSNEGCNVHGHLSVNKVRGNFH IAPGQAFQQSSMHVHDLNNFIAGAPDGHSFDLSHNIHRLKFGPDTNDENEAIMAITNS LAGTNKVTDKTRVVYQYFLKIVSTELRPLSGNPIYTNQYAYTQNERVLQEGNNGLPGV FFNMDISPMMIIYRETRPSFTSFITGVFAIVGGIFTVAGLLDRVMYRAERTIKKMDSG KGL PHYBLDRAFT_149177 MGTRMTKKKQAAIARASFMYPVLEYDDLSISEFESDSDDTTSNM NHVKKNIQETILPEEIVEGYSDSESVDQLAHKKQPPSGSSVRKREMKDSGIHTSKRPH YNEDIPTETEHVLQELRNVGDEQEVQIEAVSVDLHATSADDISEFSDTNILDDLDDWR PTPSTHQHLSQTRSLMSIQSFDSDVDREEEILEEKERKALVSVRHHIPDLPFDITPTA FRPVYPHPITDRQVRHIKEIKPLTLEASGLANQAREVALDETKDFMKWERSVKATMAH HGSVTWAVFHSKEARLFRMTDTWSENGVIFSWCVPVTDEEQETLKSSTQSQQDDDSVK DIFSQPHVLPSYVPNENDDKYLFGFFFLHSNITSTTEKDFMKETLVGIWPPWTHYTDK LSGSEKKEVFMATRFMANCMH PHYBLDRAFT_126825 MDNERHDIITSLFLDAARSSPGEGMDPDIQICLGILFTLSGEHD KVIDCFKAALASKPEDFLLWNKLGATLAHSQDMEGALEIYFHALELNPNSVRVRYNIA FSCMRLGQHKEAVEHLLIALTLRQRNIAKIHPKIPVTQDSLWNTLKMLMYILNNDELA IHCDTQNLDAFRVKYEF PHYBLDRAFT_115910 MIGKDIDIAQSTSSIEDAPVKPKTKTTSYFNVLFSGFALLSDGY QSGVISFINLVLKKIYGTEIFNSTMSSRLSYSMFVGAVIGQLSFGLIVDRLGRKIGLV STTALVIIGAALSAASSGSTPTNLLWMMVVARGVMGVGVGGEYPCSSVAAGEAADEVA PGRRGALFVFVTNFVIDLGYVISAVIPVILLAIFKDNLEPVWRLSFGLGIIPPLSVLY FRLKMADSKQYTKNAMKVRVPYRLIFKRYWFRLLIASGLWFIYDFISFPNGIFSSVII DTVATGDSMIETMGWNVLLYAFYLPGCIAGAFSVDKIGRRRTLAIGLLAQGVVGMIIG GVYEPLTKNCFPMFVIMYGLFLALGEYGPGDCMGLNAMELFPTPIRGTGYGIAAAVGK VGATVGTLAFVPMQEAMGGIRGPFLVGSGIAIGASIVAWFFVPEIGPRGLIEEDEAFL AYLTENGYDISQLGTAAVPTQERNVNETS PHYBLDRAFT_156094 MPALEVPKITKIQFGDYFIDTWYVAPYPEEYSSHPLLYICEFCM KYMKSSYVAGRHKMKCPIKHPPGDEIYRDGKISIFEVDGRKNKIYCQNLCLLAKMFLD HKTLYYDVEPFLFYIMTEVDQAGCHFVGYFSKEKHSAMDYNVSCILTMPVHQRKGYGQ YLIDFSYLLTKKEHRIGSPERPLSDLGLLSYRSYWKTALYYELRDQKEPISIQGK PHYBLDRAFT_115944 HGECSGLNVDSITVALSYDWQCNDCKSCMVCFCKHDEEEILICA SCDRGCHTFCCDPQVANIPERKAWA PHYBLDRAFT_16155 MGFSFSGLFGRPNKGSYQPENISNPHGNSLEDERVLLLQNDSKT QRFYDGSTPRLAEKDLFWTSESIKEIKAIMVFAWPLLATYLLGIGMRVVDVWFIGRLG PQLMAAVSLGNLFCTVGGLAMGSGMLTAIDTLVAQAFTGANHPHTLGIILQRALCILA LFTIPVAVIWINAEHILVYMGQDPELARLAQSYIIVCLPNIFPIFVSTCLRKFLQGLG QMRATMYVIALLFPLNLISNYIFLEYLQLGLYGAAYHIVWFHLSVMVLYILSLWFRTS FRDYWPGWSKQAFSTWNTFLKLGIPGMLSVSTEWAFEVCAIITGVLGQTSLAAQSIVL SINSLLLMIPSALTSAMIVRLGHHLGADRPDKTRLCVMVSVCMGSSIVTLNALMMYLF RYKIAHHFTKDPMVIEAVVDLMGVASLSHFAAGNSTILSGTLNAFGKQHIVASFNLIS YYAIGLPFGLCMTFYYDWGLIGVWSSVVMAGALKSFGEACVIMFLVDWKMECQIAGRR ISSQETMAFLPAVAENSNDIVG PHYBLDRAFT_115995 MGYRVYVGRLSHDATKEDLEGLFKKYGKLLDVTVKTGFGFVEFS DKIDAEDAVHDINDTRFLGQRIVVELAMNRRRDDRRDDRRKVYRVIVKNIPPKTTWQD LKDYMKKAGHVAFADVLKGRNGEGVVEFSKREDMKYALRKLDDGRLNGQRISLHEPVS LFLILFLSCLTLFYFGIACFNCVYNH PHYBLDRAFT_135598 MSNKKIHHLGAEGRGPWYNADGLITESYIIGVGGGSASGKTSVS DRILKSLNVPWVVILSMDSFYRVLEPEQIAQAHLNNFDYDHPSAFDFEALYDCISKLK AGKSVNVPVYNFSKHAREKHTTTIYGANVVIFEGIFAFHDKRIRDLMDLKIFVDTDAD IRLARRLKRDITERGRDVQGVIDQYTRFVKPSYDDHIRPTVKYADVIIPRGLENTVAI DLLVKHVQLQLQESKLDLRWSLSNIQVGKNLPVNVHVLPVTNQIKGIHTILRDRTTPR DEFVFYAERLTTLIMETGLGLIPFDPIQIKTPTGAVYKGLKVKDKICGVSILRAGGTM EAGLRRVCSDVLIGKILIQADPATGEPQLHYMKLPSNVKDYQVVLMDATIGTGAAGLM AVRVLLDHDVPEENIIFVSFLAAPVGLGVISNAFPRVKIVTSMVDPGLSKDTLFIEPG IGNFGDRYFGTEDD PHYBLDRAFT_126839 MASFIFQRAVAFSLLVSLFFSFFASSDATALTYNVAAQEKACFY VWADTPGKKVGFYFAVQSGGSFDIDYEVRDPRDDIVLSGEKSRQGDYVFTANYVGEYS FCFINDMSTFAEKLVDFEITLEHEVRPNYQKEGSSSEPPVALNAMEESLFRLSGSLTN VARTQKYFRTRENRNSSTVISTENRIFWFAILESGAIILMACVQVFVVRSFFNVKKGG V PHYBLDRAFT_94991 QQTNGRPSVSAVVMTKLIGFSLAMFILPIMTFYTTVDRIFGGNT TYAAGSAAVVANVVLIGYIIVAFMEDPDKDKKE PHYBLDRAFT_76297 MNELITRPSITVDYFSHSWDALDLGCAWSELRQQAATLRNKLLH LNDDIETGFERTKQANPSLLKANRRLLAEEYRLHRLRNALWRRMSSYCTSQLGRHNPR IDPAEINWEKDSDVSWLYGPLFRRDEADVDFEKRVGCPEIYVKRTNQSSLSKVDPISS TTATVIAADTPTPLVKSALKKKSQKERRRSFPLSLESRDTACFEERCKRPRGIRFEAD VLEFVYHAKLPILSQRKPVRTPSQGDSDARRMAKALLLAARSKHSASLPLTPPLSPSV KFPAQPILAENVLGSTFPSSLVSSSSSSSSTSLLLLSPSSPGLPPSSSPSASVPTLCA DLVSLSTEMVALVGTAAIYKGISYGLGRFIPRA PHYBLDRAFT_172040 MASVSATRPNRTHHRLLPLRSWLKANVATPPQPMRHSIVTLASY MTVGTIAIVAISSLFCLFMAVSMVDDVLRITAPDRLWLLLRDHWLRLDWTHLADKLSE QLTSLAEWERQKRAAWYPYDHPSYHDGDRFHDLLFAATHYLNEYVQINTKKTKK PHYBLDRAFT_172041 MERFREKINLIRIEADAAKAKAEEYEAKVKEYEKIKMDQEHEII SLTNQNKKMEDDLEAALENIKRLKSIEDEGGDLKKENDAAQRKITLLEQELENSDKSL RETTKNFREADVKAEHFERKVQQLENLLLEQERKGEELKLKNHELQAQLDEFNAQLDD I PHYBLDRAFT_4152 IDLTYYELLGIPVNADKLQIKKAYRKLAIVYHPDKNREEGAEEK FKAISEAYQVLSDPQLRAHYNKYGKDSELAPDGGFADPREYFQQMFGGDAFRNIIGDL AVGDMFSEGMKQGNESADPAAGSSVASPQSGNSGDVSASGPESKKQMGMSKEQMEKLH KQQQERVRTLSEKLIHKLSLYTESEGDETAAVAFQEQIKVEAEKLKSESYGLELLHSI GGVYSSKAKQYLGMRGGELPGIFQSIKQKKHFVKELWSTVKSAMDMQAVAEMVAEAEE KGMDQADKLKLEEEASNRAYKALWQTSKFEVEATLRQVCETVLQDKSVDSRTRYKRAE ALRMVGYIY PHYBLDRAFT_149190 MLRIQRLTRSSNSVLSTRRLYHDKGVFGYRVPKEYKMPDYTESE LASRKDGGSLLRMVQAYRTHGHRGAHLDPLDIMQREAVLALKPERYGITDTKQVHPLA GILHVTESKQDPSAKQEADTETIINHLNSVYCGNIAYEFMHSPSASERRWWYHALESW NKPQLTEDQKKRIHQLLTKSETFDHFLAKKFPNVKRYGLEGGESMMVALDRLFSLSAK AGVEDVVIGMPHRGRLNLLCDLLEYPQAALFSKMKGNSELPKGTFGSGDVISHLVNNP DLTYDGKPLHVSLLHNPSHLEAINPVAMGKARAKQTDLLSKADDSCNLGDRVMCVQIH GDAAFSGQGIVTESLCLSNLPHYSSGGSIHIVVNNQLGYTTPAQNARSSAYCSDIGKM INVPVVHVNGDHPEDVARAMDVVFEYRNKFRKDIILDLMCYRRWGHNELDEPGFTQPN MYNTIRHRTSVPKLYEQKLLDEKTFGSAAEAESVRSAQIEALESSLKASETYVPDDSA HLQGNWKSMTHPTTGSQAAVDTGVDSALLSAIGRQSVTPPSSVKVHQRLKKYHIDARL KKIDQGKGLDWATAEAMAFGSLLKEGYGVRISGQDVGRGTFSQRHAMFVCQDTESAVI PLNEMEAGQGLLEVANSPLSEFAVMGFEYGMSIESPKNLVIWEAQFGDFFNGAQIILD TFISSGEEKWLRQSGMVLLLPHGQDGAGPEHSSSRIERFLQMSNAPFNVDETYHSPPN WHVVNCTTPGQYFHVLRRQMARKFRKPLVVISPKSLLKSPLAVSSFEDMAPGTSFKPV LEDPTTNNPDMVEKVVFVSGKLYYDLAKEKSERGLDERVALVRIEELCPFPRDQIKQE IEKYEHAGEFVWCQEEPQNAGAYSFMAPRLAQLLPQKMIGYVGRSPLSAPAIANGPLF RKQQTQLIQEAIDI PHYBLDRAFT_149191 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSKTMPHDFSELTELASNNF HFPMLVAWKNLPATVARDASL PHYBLDRAFT_126841 MAKRTKKVGITGKYGTRYGASLRKQVKKMEITQHAKYTCTFCGK DAVKRTAVGIWKCKGCKKTMAGGAYTVSTTAAATVRSTVRRLRELAEI PHYBLDRAFT_126844 MAAVKAHELRNKNKAELLKVLDEKKQELASLRVQKVAGGSASKL QAIGEARKNVARTLTVINQTQRDQLRLFYQKKKLIPIDLRVKKTRALRRALTPYERSL KTVKYQKKQAHFPMRKYAVKA PHYBLDRAFT_172047 MAGNISNQSKQNKQVKQKSQESPVTPPASLGPSKLQDIADLALL TRPSSHAKRTRVRRRNPNHVPRPMNCFLVYRCEKQKEISTYCPGANHRDISKIIAKWW HEAPSSEKEFYRTLADRGKQQHSEQYPQYKYSPRSRRQKQADGTGLVKEEEHCGHMYD EFSYNLTGIILQDSSCPACPTYWQPVSENTDITGHEKSMFPGSVGLNTSTQILYYPAF QISHPLSTMYSLGYDLGLSVEDVPYTTTLGFSPSSSPDWESLQNEADTGINRSLYSGT LPFYVNESISCDSPKDTQYILKQDISDKDYLSCSFLSNIPNCMYSL PHYBLDRAFT_60355 MARCLPDIVGTELYKRIPFLFTEELSSKNVFLRLPDAFTPIERM LLQANGNLQRILSAYYNVPSRVEIIKNEAISPINNDSGEAKTMQFERKIVMYFGEHRA YEADSVLVVRDQNVLDLIEKHKYGLGQIFGHTRRSPDFVLHAVGRHGTKPGASFWRDY SLTIPEVLDCFVREIFVEGLLEPSGGCQNTIAPADSAVDPGAAAAGTIWVSDS PHYBLDRAFT_188232 MTVETETFSFQAEISQLMSLIINTFYSNKEIFLRELISNSSDAI DKIRYQSLTDSSLLDSEKELFVRITPDRENNILSIRDSGIGMTKADLVNNLGTIAKSG TKAFMEALSSGADISMIGQFGVGFYSAYLVADKVQVITKHNDDEQYIWESAAGGSFTI TRDEVNPSIGRGTEMRLFMKEDQLEYLEEKKIKDIVKKHSEFISYPIQLVVEKEVEKE VSDDEEEVKEGEKPKIEEIEDEDDKKDKKKKTIKEKSTETEELNKTKPLWTRNPEDVK ADEYSQFYKALTNDWEDHLAVKHFSVEGQLEFRAILFIPKRAPFDMFETKKKRNNIKL YVRRVFIMDDCEDLIPEWLNFVKGVVDSEDLPLNISREMLQQNKILKVIRKNLVKKCL EMFAEIAEDKENFDKFYESFSKNLKLGIHEDTQNRGKIAELLRYSSTKSGEELTSLKD YVTRMPEKQKNIYYITGESRAAIENSPFLEGFKKKNVEVLLMTDPIDEYSTQQLKEYD GKTLVCITKEGVEIEEDEEEKKAREEEEKKFEGLCKAVKEILGEKVEKVVISNKLTDS PCVLTTGQFGWSANMERIMKAQALRDSSMSSYMASKKTFELNPEHAIIKSLATKIAAD SNDRSVKDLTTLLYETSLLTSGFSLDEPSSFANRIHRMVSLGLSIDEEELPTQSNSEV PALETIETSKMEEVD PHYBLDRAFT_172051 MRAIRRYSVMALPVSRTYYYGAMSSSSLFVRRGSGEDQTILGST VSQCTLASQMKFVRKVYGIALVQLVALGLLSVFLSYLSFFTGWLEASKYGWLLLLLPV CIFQIFGMWWLWGNYFQMSRTSQAVFLVVASILTTFILSVLKCLTGIKKNPSIMAIVS LWLHQLLELDFGEIVWPLLISCVLCTYLILDLYYLVGYLTPKDYILANMCLYIDLMVP FRCVHNLCELTDHITLFSDIFNPSRNEI PHYBLDRAFT_156103 MKFAEQLAREAFPPWRTHYIKYGLLKAELKNRQADHEWNEEDEK YFTRMLEQELDNVYNTVVIKLEDINSRIKYCDATIQTLKNSQSWSSDESWESTETILT ETLFDLNDLAKFIRLNYTGFRKIIKKHDRWATIKLANGFVPQLRDKPLDTQQLDVPIV YISNLKDICNRRGKPHAGNAAAGGDQLNFERATSKYWIHPDNINEVKSIIMMHLPVLI FDSEKKFEPDDSAISSIYFDSPEFDLYTDRLQRDDNGEAIRFRWYGPTSSKSVFVERK THHASWLNGKSIKDRFRLDTDDVQRFVKGELTAETIVRRLEAKGDMDKVTLDNIYFIA EGIQESIKNKNLAPVCRVFYNRTAFQIPGDQRVRISLDTNLTFIREDNLDGMQRRGQD EWRRSDIGINYPFNGIPKSDIAEFPHGVLETKLQTHLNQQPPEWLTKLLESHLVHEVT RFSKYLHGVAYLFPESIPLVPWWLAELEQDIRKPRSTNFGLSRSPSFRPMINGEYGIA WEAEQNRMKATSSIQLGEKIDKKVVIQDENCRLDIPDSNGNTRKRSRFSTFLAKRWGA DTLDTMVVDGSGRVKTKKIKIEPKVYFANERTFISWLQFAALLLAVALSLLNFGDPRT SYAGAVFIGIAVCVCFYALYRFEKRAWMLNNNVKGRYDDMIGPAVLCVFLVGALVVNL YLRFTTPKKT PHYBLDRAFT_172053 MPVQRYTPIPEILTVHVANLKQAVDDYLPKYGAVTMVRKGADME NQQEEHLSKTSSSVKHAKRIFDQVIKAHHVCNRSGEKEIKEKLCQRGDFRFIQRSSKE NQKSLILKHSKIHIVRAEYGMVNNI PHYBLDRAFT_60362 MKEIQPLGLNTCESIDSGTSNLQKLKWNTLQETLDHDNDITEKT FWAIGNQHITAVLLDSLNIDQILLLSTSLLKPIIEIIILHLLKLHSQVVNSISKCYCE IVTIFERVVQILSAFVKAILKQFVAYSVITIEKCVQLLRI PHYBLDRAFT_149202 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_172056 MFSSPSQFDETQPSDDSITNISQTTYSIRSRNTIKAYKQRKQRY LDFCTKISHPSGSLVTEDKMSFPLETYKKPNAGSPGTMAVMDLYGDQRSTMLGVTLQD PRGPRLKAFLREIRRQDARQSRQARQARQARAQQKQQQQQQQFENENEIDVYNLKRVL THLLQKMDGIVESMNINNNTQVAQPQPRLQPHQECYCQSEDIKSIKKSLEQINTTFDH LRRACAMFAYGMNPPPLNSCTTGAAPTPDPAMNNTGAQQNTTFDSLLTHPSYKPTPHT QSIQPMPTERTARNVRPTPLTQLKKSASPVPIHPIPFDVLSHNISHYTMQRWINNVKD LWCEYSTGIKMGGLQYPSIQEIEKKYKSGWRSETERKFFLRRSGIINAIKRISQENC PHYBLDRAFT_15954 MSGSNQPAEKPSIPRPYKCPMCPKSFYRLEHQTRHIRTHTGEKP HQCTHAGCEKRFSRSDELTRHARTHAAANKRK PHYBLDRAFT_135620 MTTTKNFEIFSRIQPNEYIGRFLDQNIRPDGRLLDQFRPTFVTA GTVATANASAMVRLGGTTVVCGIKAEVCEPKVDTPDQGYLVPNVELSPMCSSQFRPGP PSAKAQAVSEFIHQLLKKSNVVPLESLCIESGKAVWVLYADIVCLNYDGNIIDASLLA LVTALKNLSLPKAEVSPLLAVEADPSETVQPFLLTNFPVASTFCIFNQPNVLIADPND TEESLAKETVTVVMDTNGEICRVYKNGGTTVGSDVLRACFGHCKKRTQQIKQVIEDAL NQ PHYBLDRAFT_172060 MQKSNPVYSISSPEFRLDNKDIIFKHKYTISMASYAGQYELSLK CVEILLDILLFIILTRILPFAFFHLLIFPEYDSINWKRCPPKINVQAFKINCKLHKSK STLCGFVNNNIHHKELTVAWFEIYTNYEDAMDGLLRNFKQAYKKCIKMKYKSTKIPDS SPLVIQSE PHYBLDRAFT_149208 MYLSRTLQIRTPLVSDAGTDTHSLSINASASASSSSSSSQIYQV LANNAIILLKKGHVCLELIKHVGTEYLLLNIRAKAIDKGVIVDSKSNYLDINKHLAWL NRKDESTTIFHLDAAIVTIPHKAQTITLGYIEVKLSDFQVNPELEFLDLIRLETFARH FMQYQKAIPLV PHYBLDRAFT_76303 MATMDTLVSPNISSLKDLEKEFATLFKVLENKKESESDWEEREK ALVRMRAIIRSDASTLYYKRLSQGIHDLTDGIVVVLHSLRTSLALKALALITDIGIFA GRHLDSYTFDIIFSNLLRCSSQSKKIIASQTIQVTNTFLSNTPFYSKTIQLLMSAAGE KNNQLRHFASVYLKTVLETHGKNENTKAAMERSGSHDLVEQILKKGLFDATPFVREVC RQTYWVFYSLWPPRAESSWHSFNINSVVYIERIMLSLDPTTRKQLEKHRIDGIPDSPL CNSRVSMPMPPRLRVSGMPPRQSRLSTTINAVTAPSPRTSIQSNSQIIRRRSTLIRQN SMPSPSTSTPTISRKRPLPDQPSQPNLPFRRPRTVPLTKTPLISLMSPLPSKSLPNNS PLPSPSSSPSPSSSSSFSSSSLPLPLTSPSADSFSLLRGLQSDDTGANCRSLRLLTTR LQKAQQQPKCNRLPADVPLQVELLPILINYITQPTKDIQLYETLMSWESLANIFVRVL SVHHYGPTLIKASQVAASYHGSIATHELRVARMYSKGLQRLKALLKQREPQLVPILID ILREAVCTVPPRGRQPIENAKGDLTRGLLEWLDELVRDHVGLGDEDPDILCEGARWLK SSLGNGNCYGGEEDIVLAHQWFEETANVVSSLEVLFPLVERMKDKPFVYEPLIVLVGR LKLANQRAFEQVSRGQGGVVVDLVQNGFYSRPNTPPSSLVTNTSPILDGDRFSPEQHN MQDQLHQMWDETADAEGSTGMTVDISKMMLGFKQSPALTSFQAIIEDDLQLPDLPHSL FGTELDTLVTTPSTRAQLPAQKEPLEELCLLSPSPPIAAPIPMTPDRFVFPKDAIVRI NQSDDLNAAFFVKLQRLSRETYNTTTATTTSNTNTNTDMSMNTDREPDRSRDITDGEI VELVNSVLVFLETNGSTKAKKEAIILIKLILVSRANLLREHDRVEQREESLERSLIAS AVDDTLDTLFCVFNPEIGLSILWGLLGDRIQPNNQDNSVATESQRIGLSSLFLRLGKL TPKVSSEYVEDLLAIGGSDILLRGYNHSDVFVRRSCVEAIVGIHRVLGDGCLKRYFGS LRLDQLRVLQHYITRSTHQNPQALSSSANV PHYBLDRAFT_172063 MFKSFILKKKLSIINKNKSEENVDDPFKVVKSSRAKRSQSSDLK EDKQAVNKRVTVHRDLMNALIIYHQSPRCLQNNLGFPYKDLKRELPFDIAVNNEYKEL PINKIIITSNDLRNIIFRAMMFINSYCLAFSRDSIPNSIYKQAFWYSKIKLRAKGNTK AFYSFIFISIIIGSRIELPQKKVTKSANVPPDLVQSWDTHRASITNSVYNQSLQSESR QFLSEACTELATCYTNYIVENFEKRLLSYLRYTLQNLLVFVCGGDPSWPSRAPSLEEL QKSSIINTCRLLKSHLPDKVTLAALSAHPEKHISVFLQILSEYELEHTSHNPFDARWL PLPRLFGLFSKPSFHFRSDLEPNGKNQIMFGNLIRSNGFSIDCLFYKRSPTPIEVRNR TLQLELSDFEFNEVQNYYKPVFVDLGRKVVFAAAEGLSIKAHSLLRCTIREYYHMTGS TRYQADQLQQKRQNGVEAIESHIPSHKRASQAAYIERVQYILTHLDVLYNFYGSSTAK SQFFLYQRCQKVPEQTVNILIHEDSKYSQKRRKKKAKKKKQEEPTNPKTLKPTSRQNE YNTSKTCNNCQAKNLESADHTRGPSVLVCKACNTLWLRAVNAAKYMMTVALSTWRGTH RPTASQISDKSKQTSSNYHNNPIIVPKLACLFEPFRQIDRLSE PHYBLDRAFT_149211 MTHVMLHGQHGPYGLFRQSQAIKNRFPSRATGTPRLEEHMLIVF CMLLVPSVQVKHQGSDQSPRLSVSIPSRSSFKVQVKVHGYQVKLQGSGQGQRIQVEVH GFSIHSIQVKLQGSSQVSIPSRSSFKVQVKVHGFQYPIHPGKASMFRSPSYVSAIQVK CQGPDFPAMAIDVRRQGSDLPAMCSIIQVNQQYSDLPSMCSANHVKRPGSHLPAMVID CSVIQVKHLGSDRQSMSPAIQVKHQGSTRPPMSPAIQVKHQDSDRPCMFSAIQVKHHG SDRPSMVITIRVKHQGPDRPSMVIVFSRPGLATRCSVIRMKRQGPDRTSMVIGSDLPA KDKHQGSDSPSMCSVVHVKHQGSDHPSMPPAIQIKRQGPDHPSMFIVIQVKHQGFDSQ PMSPAIQVKHQGSDLPAMSPAIQVELQGADLPLYGYSVESTKIMLHGFGFMSTHPRQL SESDFHELL PHYBLDRAFT_159757 MSCLSGGGGGGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILL GSQQAFNDQLYIKGR PHYBLDRAFT_188240 MFRESCSLLSYEETWSSDTCLDSSSTEWSKIVVYLEFIPKTKVL IFSIKHDLIYSKKLVYLRTFMESETLIAGLCRKKYGNIQLKENIGILIVGLYYENTRK IHLIVKTVTVDMSCEDSGHIKQAMYACSPGWKSEA PHYBLDRAFT_102474 RYNPENTLLVGLMPGPKEPKSEEINHYLRPMVDDLMRLFEGLAI PTFECPSGVRVRAALMVVACDMPAARNTSGFTSHNSTCACYKCNRHFSRLENGVNVDF RGFDFPRWVHRDGVENRLYAEEWESASTPSERHRLEVENGVRWSQLHRLGYLDLVRGT IIDPMHNLFLG PHYBLDRAFT_60374 MYNILFDSFQTMANNRQSIAPAPSPEYAELLRRLTAIEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLALIAPTTIPASAFVPFAASSIGSTLDWHT TPSEAFFSIPSAAPSVAPSVGPVVLTGANPGELSKQDRNRVLALIRGELKKHNFKSNK PELVAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQANISDCIYTNFC GTRRRIKESYESRKKTNSRSRKAGRETDHFDRRELTYHTFKAEIDVKVGKSCDGLLQK EAMSEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMHNCMDFNSCQMLKR SFGRDAVLAVTPRLTSLLPHWAFRDEFQ PHYBLDRAFT_172068 MFSLFSLFPTFIIFTDFFDNRVLLPSDASPSQCPSGLAKAISPK LLSTVKHGYEHDKPPSHEHIANQELSFHTSVIDMTISAPPMYSLGLQINPFASGSQRK YIEYYGSHSRRLFFLSLIGEVYGSKQYKKKSLRLDKINSKTTKPCKNWHIRIIDLSFI VLLIGNRAYGKNPYTENANTSYYPAVLTFSYVRKLVFPPMTKPASYTCKNYLIKLVWI ENKNLEFFKIFKLETPYFIRHGFNMKCQIEQYAPWLRIVKIDEKENISLL PHYBLDRAFT_172069 MSQQSAVNSTIVSGEETARVNSGVIPPPSAAGSADHNGDTVMTP LCSEDGAFDSEEGSSGSDAGRSDYEGANSPCIYLGNSGVRVNNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEQILKDLKAFTA PASKPHDRRSTLVPSNLPFLQLRSEGPLVKANRDVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLDHYDTPFRKFLNMGRAWCMK QGKGESARSFGAKFQKFRRQASLDDGVQLVLCFWWNLRPEVREACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGKRSFSRERGSQSPKKAW DFKKAMKDGICFSCKAPWVKGHSCPEREKYLTKVSRMAVRSSAGRPARASTVVEGSLS LSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFPILANNSIRTISLLDC GATFSSVDKNFCLKNGMAISYINHINKDLLNKNNVHKYFIRLADSNTQIKRIGTCVIS VTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLLYDDADSSEERREA NRRFNNKSDLLESIERENERKENNPAVGPKQFEDAMDYIRPFIKDNQDIPKGSFCTIP ESVVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPEGIKDINKANKKLLKD MKVAYSAPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_73939 MLPSTQAHTLDCHSIKYHNNYQKSSYTAKCTETYCNKRARVEAA MRNIDVDTEVISTSCFNSVEEIDGQANSPFLDAASMFDNDRDNNDFDDNVEDEINTAK NIL PHYBLDRAFT_66346 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVNKMHGEVVLQSVTDVFVNHANMEWTRTLARNPAVTNLLSLRSFLPTEVNEAITKTI VAQKPKTNLLEKVDPDSAPIVESIGRGSYQKYNQNQVNKLFSLVFLENQTAAATVRET EINVWTAQNYVRLTREKIQTNFDVATVETDESNGLETMEFFENKPDATLEQARIAVME EFSGLQITKLAIQKHLVKKCALTMKKLKKLPEKRDNVSTIEMRQDRILEWQQLVDFNY LSNCVFIDEAGFNMHIKRTFGCSVSGTPAKTTVLTQRDVSITILGAMFTTKKKRKLDI YTNVEVNGQIGTRTQQYLDFLSHTMDVLDSHGMQGCYLSMDNASIYKTDEVKDFISSR GYKCIYLSVYSPFLIPMEEMWSKIKFGIRREEITESDELILRITESAKTVTLLDCLGW INHVISIFSCCLNRERKL PHYBLDRAFT_172072 MAPIRKPTVRKECRCSICKSKTLGFDCVSVKTFKRHQEKDNHDI THVQTPHEDTCDTISSAVSEPVNQEEDGFEFEQEDVEMNSELINLNDTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYEEEYEDESDVEMDNDEDSSLESILELNLIHRFIVI SVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVKKY VACSKCHAIYDNEAAPLCCTSPNFVAHGVRWSELHRLQYFDIVRCTIIDPMHNLFLGT AKRMLERWVADGLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQ FIEESWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTYLSHSFSIS EYLEASQNLSMIIRGNEPLPPSALPLKTRPLSFMSKHEYDCLVGYYQAAYKNPQISGC KDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEI QYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQNEFYKQDF QSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_149219 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIAPINNN INGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFADFATAYANNQTRMASLGPSLM PSYVPQISLSDAEVSVIISEIFMEKLWDWKFESDDPALVAENESKKKWNLNEKINHRD NVAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHSHRTFHESPEQKAKKNSKGRAN SRTLQMSIRRKSTYMDNWVAIDAAMGYKTGNPVEKAYLKLFQKDAMSDGESDIEIVDN LPRRCLHVARPTWRSEEFNRLLTMVNNIDHTHHVLNAGVGTKPRMNRYPATLLPCSVP ATLSQSLPRWAINDE PHYBLDRAFT_149220 MDKNKKLIIKTYQQQKFIRTANISMYLIEWLVFENRFSLNNSQC AICLVLTKVLPSLTSEIP PHYBLDRAFT_149221 MSSIEQLLHFLLKDGGKNLEKYLGRIINGRTFKATKSGVINQFW DQSSKESHGFDDSVSGKAEFNKRLNTICPEFFEMKIIMKTRKTASPCVSNSNIPLVFS DNDDDDDLDSAQEDESNGGKDAGEGEGGSEDEDCDKMKRVNGIIIRDSVLLVSEADLP SSLRAANESKEERAEKKLKIISGCAKWFQDAKVSLLELQYERDREDKLKIIADKREER GKKNDEERLERLKKNKEDQVVKMLEIKARLFRWSEEKFDKKYTKYLIKQILS PHYBLDRAFT_172075 MSPCKYRSPKQNLSLFINTSSGFIFKLVINICNVTLEHVYQMMS QHLGIKATVSKKATLRTYAKHISPDAIKIRHSLQELVISEKTIKGGNCKILKLPCHTI AGFGFRVVINQVVDVHFCFFVYENCQAPTITSATFHDISRLLSDASHHHWALTAGSVK TSLETYLTYENRDNVINMYAKVSIMAHQMINSVFNNYQKNGQSFSTWGSISPQQNEVL TKNPEDTAAWRHIALNRFQESWVAHYILSQKWRSAIQQPSRVEMDAVNL PHYBLDRAFT_172076 MDINVTYPAYDMMDINDKASVDDSIDFDGFLAAATNNVEADEED ASPMEANSSNVEVKYTSENGPGFTCVRNQGMAQAEPEQTNIEYNQFVPMYTPNSSAEA VSLELFSLFFENSVSREIYNQSVKIVNEYMTERGSSKTDSLLSYYKVDTLLKQEYTVK AQVYDMCASRCFRFPDVEPGNSIVENETYQAVPLSEQLHFKLAHPEEQAKIAYGMEDI LDGDGIHRLLAGGIFGQGDMVVSMFVDQFNPFKDAAMSASIIHVINMNINPAESIAKT MCFLESEGPAPMRDVGSICRAEGSRPGGGRYNAFAELLTLTSSAFFGQDEMHLIGHGT GHQLYQALGGKFCSGTAGLGRKSHGMHLQERLQRLEYPFALDVSLDDIEKAVSTSRAD ILTAFIGTWRSMKESNGKRKAVDWMDFLLFVVPTVVIDHLCFADAKQAFYRAIGRWHS FLRCKISDGKLKSNIFVMNQHMLVHLGFMLQEMGPLQAYSCHPIERTISAYTSAIKSR KEPGKNMENVLFWMAAISHCCGNRPARTGPADRRTSNIEVASDDVAGPQLWSSPTRYS MAELASTIGMEWENLIQQLLPFWAGEGVSSFEEQDSVVCTTKMWKDLVVYRVWSSFDS RHVRANNLVMLKHMWDYGFVCKFFSHTVKGVTRLFATTESLSDVRPFPDMLFPVSSNC SQSEMRIVDVKSFKGMAGFVHDTKDGAIRHIVWPSPTHNQ PHYBLDRAFT_66341 MSSLNIVLLNLRLVMLETAYARLEARFEARFEGGEAATEQPVRG NPPDVNHLQPVVGGNTIPRPSPQEQPNSWVLSAGSVTAALVLHFTRGNTKEARLLYSA IGRLARQLVQLYHRGVVLHLTRETSWLETLRREQPEEILLLIGLRIPECLSLCFLRDR ELLSPVEDSNKTDQK PHYBLDRAFT_149225 MDSKKRKKYTPGRVLVPTSRQPGQYNFSLAEAGKICTHCKKDFK SLWNLKRHLEEYHHIYETLPNVEAYVGAALQNQLNTDQSNKDSISENGIFESDFDEDI TEFNVIDSDVSDTEISDESENENNNRQNGNFADLGTGNYLYEVILSSITMSAESISSL VEEDIDVFANGVLSFENLESFVPKNYPSKDLQTMIMLALIDSDNDMLSRRIIRKILFT MNLVFKLQKEAINTKVPFTLPRLDALLNFQKRKKSIMPVFKSKIVKFDLSDSTQMEVC FNLSSEHLKLLAANPKTSKKIFSLPDQTPDQAHGDIVKLKADQNNVCFLIEFFHTMKN SYMFCHGYNVWSSETGKFGIEITCSDIPIERLDCVLPTPSSSLCYSVSPTTVSSLIPL HSSLLEIPHFMRRRVSEEHWMIHLELRLYNQTHMNVGGIRKKKGVTGASMLPTIVKDI EADMPYHSMLCDILGPTSLYPCRICYVELRRKVENLKDEDYYTKRHKNRTKQHYIAAA SSLDKLIVIPDIPLIDDKHMAEFLRFKNTSTQILLDLQSFDPSQDTPVEILHVILLGI AEYLVNDLVNSVLIKKDELRQLTGYLKDYEQSKGISQKFTRLLNGYNLAIESGLETYI HEVDTAVKGLIKQLLVYYKNCELNGYNPYTSKLKAHLLTHLLDNIRRFGTPLHFETEK NKQFNKHIREHLFHINKLNTSKNIGLKLSRGKDVKTYIDNNASAHKFWNVLFGGSREF ADNNDDSSIVNSELCDDTFVLFMPMHSGSQTVHLIIEKVFSSQVIHLDIDLPTDIAQK NNYLLAREYSGMSTLLEELKMIYILDMYTKVGDAYVINLSKFGSYWYFYPYFSTST PHYBLDRAFT_66339 MTCKKKFCLLLKDLPNKAIDSRGYKKAIVTPKKSLVVYNVTEKL ALHIANKIIAFFLLQHQRIIFLTFNMSNINNINNANKIVIVSKLSKKYDTALTEFNSI FLVRREFSSVVAVREAARAYGAKHNIALTTAYSSSSRIKLICKHSGEYRDIRKAEKAA QVLVNGESPLSG PHYBLDRAFT_172079 MKDKENWVNIYVYKYPHFGNRTSNRAESAHASLKHSLGTSFGKL KTVTLKVKKWYEELVADQYKCLITHNNLLSCYHQLAKFDIIPISCIPRCWRREYLKGE DHTKIKDAVSVPEDINTITTITPELAHDLLQLCEGFNNSQSKQLQIDIQLSIKKMVAQ INQQKLEDLNSPKIVEAIKAKEKKSIQKITNLGSPIDITLLTNLTIAPTAISEIFSPE ADGNCGYRAIAMEIYQDQEEWSKVKDQMLDTFLKHQHTYYQGRMEHGNMPASTNPLIR SLKDKCSPLPQQHWFGTIDHPQIVADTYNRSVTVYWNTPTETGDCLFVPISTMPNTIE PIILILDINHFLLAKRKNIRKFTWPNINPFHKHIVRKHGLDEYSIMY PHYBLDRAFT_149227 MNNSQLRTALLSFLPPTALTPLVQPASRLDLSLVALMSTVLATA THIVRTQTRPSQQTYNDVYKPNPRSTLPTGITINNNTSNSKARCNTDVAFDMSPNPES SIGNGGHK PHYBLDRAFT_178031 MATPIELLQNEVKAELGDSVTIIVLGASGDLAKKKTYPALFGLF RNGFLPKNTHIVGYARTGMSHQDYLERITQYIKPGDNNEHKSKIEEFKKITSYVSGLY DKDEAWQGLDNAVKKSENDRKIPSGNKHRIFYMALPPSIFIPVAKGVKKNVYGHEGVN RLIVEKPFGMDSESSNHLAHELGQLFKEDEIYRIDHYLGKEMVKNVMNMRFANIFFSN VWDRNYIDNVQITFKEPFGTEGRGGYFDDFGIIRDVMQNHLLQVLSLIAMERPISTDA EAIRDEKVKVLKCISPISLDDALLGQYVAANGKPGYLEDETLKNKESRTPTFAALACH INNERWEGVPFILKAGKALNEAKVEVRIQFRHVPGNLYGGSARNELVMRIQPKEAVYM KFNNKQPGLSYQTIQTDLDLSYHKRYTELSIPDAYESLILDVLRNDHSNFVRDDELEA AWKIFTPLLHKIDGKNKKSAEGKDSGDDLKITTYAYGSRGPAELDEFVKSHGYDRTNA HYSWPHQSVAALSNKL PHYBLDRAFT_172083 MKDQKNWLNLYIYEEPHFGNYTSKLAKFNTIPNSCIPRPWRREY LREEHHLTINSPISVPPSIKEVSKERSEFIYDLKRIYEIYNNSKSPEEQAYIRAAIKN VVIQLTRHTLEDLNCPTIAKTVKDKPKKTKRKLNELEHCLEEEEAEEEKTVKKVGTKK PQKKINLLKKKLGLFSRKQLYSTQEQDALKDISLLGSPIDRSTFDNLTILPRHISDIF SPESDGNCGYRAVAMEVYQDQEKWPIVKDEMLKTFLKYRGTYYEGRMDNGNRLTSMDS LIISLQDKSSPLPDRHWFGIVDHPQLVADTFNRSVAIYWKTPRVTGDCLFVPLLTIPD KIEPMIIILADNHFLLAKRKNTRSYPWPPINPFHKSIVQRHKLEDFSVIQNSYYYFEK FNKYQSLVPAYLLYLAAYGTNLSQV PHYBLDRAFT_200797 MSNIDNTDSTDNTNDLVIFSKAFPTKYDYALTEFNSIFLIGREF SSTEAVREAAKAYGTKHNIALTTTFSSTSRIKMSCKHAGEYRDTRKAKKAALNVSVGE DSPLPGWKRQREKDTQKRGCPCFVYASRKKTGIITVNSREAQHNHGIEDDRRAYAMYR KLSPEAMSLVTKHLEDQDDVSTIFEDLKDNGFKNIIRQDIENIKQDFRKSDVGKEMSG FITILQELNFHVRHSVSSSEHNKDNMVFFAHKDAVEKARRMAGTVVIDATYKTDIHKM AFVNIVGTDSTEGKFRMPLKTFPIAGAWVESETEENYLWVIRCLRDAVWPDVDDSTNT NINTTNNATSTLTPILPSMIVTENEGHLRNAASFIFPESKSLVCYKHIKTTFKKQLFP VMKGDEDGEKIILLEKLTGYLDRIFLECTTPKETKIETANLLNFAKENCNDHGKLAAD FLERYI PHYBLDRAFT_182726 MSDDSLSALSQKYQQLKTEGSDSNDNKYKVMKAMADQLGLPGTP AADILSHFGKPDELTPSLQQQGQAHIATMPGPAFDAATASALGGNQSNSSYYLVYYLK SKNEYLYFKVDSAKETVITSDWNLGQ PHYBLDRAFT_126862 MTISNDDLVDVHAPASTYYTTGDNSSTGTRLRTLTGRYSRSHAD NSSRRRRASNDDKSKANRFLIDVKETQRILVEQEDTDGDFQITVNDLGPKSFAVGTAD SGGYRTIEIRGTYMLSNLLQELALADDYGRKHIVLDEARLNENPVDRLSRMIRHNFWD GLTRRIDGQGLEIICNDPKNRQADHNPRIYIPFSEDEVFEYYSQVAATRPHLNLEVER LPENITPEYVKSINDRPGILALAMEKTVLNGQETLQGVPFVVPGGRFNEMYGWDSYFE SLGLLIDERVDLAKGMVDNFVYEIKHYGKILNANRSYYLSRSQPPFLTDMALKVYAKL PSSTADENKLWLSQVLQAAIKEYTTVWTAQPRLDPKTMLSRFRPEGLGIPPETESSHF DHIIQPYATAAEMSLQDYMTGYNDGTLKEPALDEYFLHDRAVRESGHDTTYRYEKRCA NLATIDLNSLLYKYETDIADALQDILDDNLVDFQGVAQHASDWAERARQRRERIDQYL WNEEAGLYYDYDTVIEEQSTYDTVTAYWAMWAKCASHEQAERLTAELHRFEAQGGLVS GTEESRGSISLDRPNRQWDFPFGWAPHQIMTWQAFENYDKVDVARRLAYRWLYTITKS FVDFNGVVPEKYDVVSLTHKVQVEYGNVGTDFKYVPREGFGWMNASYQLGLNLVNTQM RRALGTCTHPDLFFEKALKRQDVDESQVRRRQSSVAAAKAITQNGFSITAMTTFGGES SPFGGSGGFGGGLGGSSAFKTFTSPEADWSPNGSVSGVDSPLEASENED PHYBLDRAFT_172086 MVHSDSSTAVLNCNFVSPLCQSLTISTHIVMVSLKAQQSTVSLM ILLDNPISRVNTAHPNKNKDKSYNVLIFEVLEYRKINENDYLITRQTLELTLSFVINI YYNLQDFIVAAFLNEERIETPY PHYBLDRAFT_182728 MAPARTCLFDLASHPTMDILCMITELLECITHANDHHLSLRESC FHARTASPIDIHSYLARILVYTPCPNECFLSLLVYLDRMACSPSRLRIDSRNIHRLII AGVMVATKFFSDRSFTNARYAKVGGISVTELNSLEIEFLRLNNYSMNVSVEELENYGN QLVLHANRQKQARKNWRAL PHYBLDRAFT_66329 MFFYMSKGIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTG PHYBLDRAFT_66328 MVKRKATNDGKEDEGKSILIRVPLAGKETDAWYFFLLFVHTGEQ MILKYMKKVNRPYSATDIFNNLHGKYSKPSVVRALDSLTDQNELFVKTYGKMQVYANI EGIKNEDLEGLQKQVTVLEGKMSNMVEDNRKLNHTLSDLKKEPTTENAKALLEKRKRE NAQMREHLETLKSGAVLVTPEDRKKNDAEYELYRKEWRTRRKLFRDIFNAVTEHMPGK PAAFMEELGIEEDPVSYEKDTLE PHYBLDRAFT_182729 MTFVKWKTSRRRRIFLSYLKDWLLVFIMIILFFSLDKIHPYHRE FSVKDPRLMFSSKPETVPVWLLIVVAIVAPMVFIALVSLVIKRSGNDFHNGFLGLCLS LSMTIMVTEITVGRPRPDFFARCHLPVDAVDPPFGLSNYTICLEPSDTPDMIDAFKSF PSGHASFSFAGLGFLAFYLAGKMHLFDELGHTYKGFIFAFPWLGALLIAISRTRDYKH HWTDVFVGGILGGALSYFAYRQYFPSLTHPCCDKPYGARIRSNDFRNTFPEADEACRP IDFDSDPDTVSRYASTTRRPDRPRPLSSPVSHPPAIFPASNTHTYRLSGSNSTSITYP PKANSMI PHYBLDRAFT_135630 MSSALSSLRILRQSKPLILARRFHDCPVSDVQPFRLLLLGSPGS GKGTQSERLMKQFTASHISSGDLLRRDIVQQTPTGRKAAEYVTSGKLVPDELILALID AELSNIANPNWLLDGFPRTLGQAQALDLMLQKRTESLNLVINLEVPEEVILERIMDRW VHIPSGRVYNLSYNPPRVPGLDDVTGEALSKRPDDCPDVFRVRMQQHKAMTMPLLEHY SPIVATLRGNTSDEIYPQIEREIVNRLGVLPNRLVTPPVSVSKVVSTLQQQRRTQGGI LNNETEAVSVGAAQ PHYBLDRAFT_149238 MDRPVMDPRGSSLPPPPPPLQPSQPSSDYPPALRQERSRDRSFS PRRPSSRYDDRRYSRDDDRYGHHSSGSRGDDRGYYRRDYYDRGSYRDERDYPRSDRYD RGGSGYYDRYDRYDDRRGYRSSPPPRPRQSRRPVDRGSAEDRKSSSTLFVGNLPYAYQ ERDVAAMFERYGRLAKVTVPMDVLTNKNKGFSFVEFEDRRDAEDAFDKFQGFSVEGRR LKLDWDIGLNKKDNYRAPRYGGAPSGASGDEPPLDDEPPRERIASPSLPPTDSYAHQP PMESRAYRRGSPDAYRRFVDAVLLVDNPHTHTHTQKNERTQQLFEDEL PHYBLDRAFT_159763 MAVTRPAPAARGPRNNLVVSNLHPRVTEKDLYELFGQMGTVKRA FLHIGPTGRSAGIADIVFSQASDAERARITYNSVELDGRPMKISFATVVGSVVAQPTR QPLRNQDRRQNNNNNNNNTSYNNNGNGRRGGRGGLSKRRETRPKASEQDLDAQMDSYM AVPNEDIVMG PHYBLDRAFT_149241 MLCPLDIPGKNEKFQYKDTGKLSSPPMALIKDTAYFHISRLYPL TAKEVSFNSGMPPLGAG PHYBLDRAFT_159764 MVSMLISTLSQLSIHSSTHPQANQQELYTTNSITQMSSQEYRRS WKSRDAPLYIDLLKSTFSTQPYNYNRFLDIMMDYKRQMIDTLDMIERVLIIFQGNPSL ISGFNIFLPLGYSMEPVFDGSQSTAVLVTTPSGHSFSF PHYBLDRAFT_188250 MPYIIEYAKSNRSKCKGPKTLCHREDRTIRQGELRMGVEVESGK YTGTSWKHWTCVTPKVIENMKASLSDPSEIEGWDSLREEDVEKIEKAWEDGEIPEEDR PAKEEKNEAEKDDEEEDEKVAKKPTKKAKATKATKAANEEKEEKEEKPAKKAKATKAT KATKAEKPTAQKRKAEKKEKPAAQKRKTN PHYBLDRAFT_156109 MLKHHTVLEKLEQSCKNKKSGLEREGGLIGFNALIEVMGHQIEP IMINYLGLFLEMYSDKGAVVQEAAQQAAEALVSMIPAEATKILLPVLFEAMGVNVSGG KAPSKKWQTKVGALKLLKSFTGHATEQIGEALPTIIPVISNCLSDTKAEVATAAQATM MTVCSVGGNPDIERHLKDLVLCMGDPSRVGKTIEKLAATTFVAEVNGPTLAITVPLLT RALNERSTLVLRQTVIITDNLCKLVRDPRTAAQFLPQLYPGVDRQAETAAFPEIRALA NHAKQTLIDAGGVKDKSDAEGTEDDVVVVSADEAIKAVRTEATKVQGFVDSYFNSVIT YVGTIAADFVRQENFVEATWIEKLSDYLAAFLIKSDSQKVLEGVYALCQKLFKERAGS VDDSAFDEQEGEELCRVDDFSLAYGTRLLLSHTKLKFHRGQRYGLCGTNGAGKSTLMR AIHQGKVEGFPSQDEVKTAFVDYANQGADTSLTIVKYVAADHQMKDIPHSEIEKSLRM VGFDDDRLAQPVAALSGGWKMKLELARAILSKADILLLDEPTNHLDVDNIKWLEQYLV SQTHVTCLIVSHDSGFLDNVCTQILHYEKKKLRLYHGNLSKFVEVHPPARIYYNLDAS DVKFSFPKPSVLQGVRSNTKAILKMTGCTYTYPGAEKPSLVDASASLSLSSRVAVVGP NGAGKSTMIKLLTGESIPQIGTVWRHPALRIGYVAQHAFHHLEQHLEKTPMDYLQWRY STGEDKEVLEKESRKWTEDEAKQMEKPIEIEGSMRQIECILGRSKLKKTFQYEIKWKN LRHKFNTWFSREKLLELGFQKLVQQFDDKEASREGLLYRELNVPSIRQHYADIGLDAD IAQYSKMGELSGGQKVKVVIAAAMWNNSHMLVLDEPTNFLDREALGGLATAIKTWEGA VVMISHSKEFVSALCPETWKLEGGKLYKDGKSAVEDEKQVDDAAVKAKLSKKKKKTRN EVKDQEIRRRARHLKWLIEGGAKEPDTDSD PHYBLDRAFT_149246 MQPATVRQTNNSIHPPEPQKSDATTSPDQLNAILQSVRQQIEKW GEHAKWKIDLVLLDDPTCKPNNLNAAVPVSSLNPSLLPGGQSMLGASAPSMIQTQEHA QAQAQAHAHAHAHAQAQAQAQAQLQAQMHAARSTLSPAVNQDHAMHSPQQHQQHQQPF ARSHAELFGIPSVSGDHPYSPRTHPRSFLTTDPQTEPISIPSTLQYPCEYATPFPNDT WNRMGSTEELRRELKDSLDRQRYLEAIIQSQAAQLQQQSQAGSVDENEQRLRYLAEVR MSHKDTEALARKLKRLSGTLQRIETMEVSAEDSKLDKETLLKERVVFRRKLHLAHLRL SARDAELDYLHEALESYQNHHYQYQYQHQNQYQHQHQHQNHNHNQSHNHHFSEAFQPP SIVVRSPTFGKQRRKGPPYLFQQQYSPKMRSDIRPHTLSALDSLGIVADQMLSDPDFN KDKPDKPKDAIPRHLTENETAPKSPLSMSSAANDLEDKPCEDQKRSKRSIDLANTLLS IPNLEFPKSDKELKQEVKKSKQKQQTGEPASKKARPALWTKDQDDLLRQAVDAYGTDS WKEVSSKVGRSAYQCRERWKIIEADSGKTADTAAAGAGSGAADARQSPSIAALLDSNE DMQARTHNSPAVSKASPLGAIPPSGLAMRCAPDNTPPTQHHYHPHDNNNLSDLHHPHL PQGPYRPPSPISTPKRWATGTLGPHNAT PHYBLDRAFT_149247 MLAAVGQFCATSSVALNRQICNGLASKAALLGAKMLFLPEASDF ISESKEQTFSLTTNLTDSVFLGDMKQAAKQNNIWVSVGVHEKAKSPNQIYNTHVIIDA LGEIVAEYRKIHLFDVDIKNGPRLMESETTLKGPSLGKVVPSPLGKIGLQTCYDLRFA EQSIAQRQRGAEILTFPSAFTIKTGEAHWEILLRARAIETQTFVIAAAQVGQHNPKRA SYGHAMIVDPWGTVLAQCENSGEPSLAIAPIDLTFQQTLRVQMPVMEHRRHDIYPAL PHYBLDRAFT_159766 MGYAWFISRGAEMCDVSEGVSSLPNSATLHKLIYPTETGGKFVL EQIKKYKHEDYHANSWLVKVTSNGRYLLAPTIYGQIFVFNMFHGQLTAILKDHEDVEV RDVIFHPYRPLIFSSGDDGCVKVYSYKNLVTDDIEADLLVGKDST PHYBLDRAFT_182738 MYITDEEGVVKKFACLTCIKGHRSSGCLHADRELIEIKKKGRPV SQCAECRELRKTKQMHIKCICTTRKSQSIQPPLSSYPSLSGQNQTRDFYMSSSSSDGT VTPPCDRHASPIPLDNPDQFTFRKDAASAGLPQSRRHFAIPIHDPLDDLDSDTPIYKP EDHQLTTVNCTDVLGELSHTSSEDLMNKIYSRFTHHNHDHDHNHNHDHDHDHSHSYNH GNVNGNGNGHNHKIHHLKPCSCGDDCRCATCKPHPGSTALNRPAAVMDQDGVMLCGCG CQKSLSNCSDCFQDMCEEYLLSHPHP PHYBLDRAFT_39395 MAHANVWNAHPRSNGKGSRQCRVCAHRAGLIRKYNINICRQCFR EYASDIGFNKYR PHYBLDRAFT_149251 MSSNYGDSFAITNPMFLVTGIMVIIGWLITFVGACAAGFVGVGW WMIIYNLFFIIGVWTAVGMKRFINNRHMILVFLTISIVYMTSLIQIFLDSDWNRGNRA GAGGAVIMIIMEFLWIFLLASNEDSWIVNKTSHMNNTGIGGKPMIQNRFGNGGNNSNV HPHEYKESALPNHPSGGHDSYNHRNVTSNISSGNAPPTPSREGISQAKALHGYTGSPE DPNELSFEKGESLEIIDRSGNWWRAKKHDGSEGIVPSNYFELDPTQAIQRDDLFL PHYBLDRAFT_126873 MVQSNSIKNEKRIVGIVFKALLIDLLAFTIILPLFPRLLNYYQQ EDLARQGTLLGWALQLLEQYKGLVTVESVSGQRGDKWDTVLLGGLVGSFFSFLQFIVS PWIGRASDKLGRRKVLLWTMVGNIASTLVWLFSRSFSTFLLARLIGGLSEGNVQLSIA IISDITLPERRSRNLALVGIAFAVAFTFGPPLGAWFASIDLAQVYPHAVEWGIYPYSM PALVAMVLLVIETLYLAKYLPETRNQQQQQQQQQQQQQQQQKSTESSETKDNTTTTTS LNSQGSVETRLANLRRLNTLQCLFSLLFSGMEFTLVFLTFDVLDYSHMQQGKLLGTMG ILSSLIQGGYVRRKVQVIGEKALVIQGMVACVAGLVCLAITALRVHQAWLYGGVCFLA FTSGTVVNCLTSLASLQCDDDHAENDRLTKGRALGEFRSFGQLGRALGPVSACGLYWM TGPVSCYTVGAVVMSIIGAMTALWAPMRRIKSD PHYBLDRAFT_188256 MYMQLANYGEIQFSLVVGMSLSTFKGTNGFAYDCTRVYKIYWTP SVVLNELQGLVLKREILNTVHILINVNNADDNRVGVVWQNTHFYICRIRVAQFRVLYF SRFAFYFEIATEFY PHYBLDRAFT_116135 MGKEKTHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKFMVTVIDAPGHRDFIKNM ITGTSQADCGVLIIAAGTGEFEAGISKDGQTREHALLAFTLGVRQLIVAINKMDTTKW SEARYNEIVKEVSSFIKKIGFNPKSVPFVPISGWHGDNMLEESVNMGWFKGWTKETKA GVKSGKTLLEAIDNIDPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKAGMIV NFAPAGVVTEVKSVEMHHEQLVEGLPGDNVGFNVKNVSVKDIRRGNVCSDSKNDPAKE SGSFTAQVIVLNHPGQIGAGYSPVLDCHTAHIACKFAELLEKIDRRSGKKMEDAPKFI KSGDSAIVKMVPSKPMCVEAYTDYPPLGRFAVRDMRQTVAVGVIKAVEKVDKASKVTK AAAKAGKK PHYBLDRAFT_159770 MYTRAAPHSSPRPLINPPTYIEHKGKRFLIVDAPSNNNLPLYIQ EFERWNVTDVVRCCEATYSKEPLTAKGIEVHDWVFGDGEAPPSHVVDVWLMLIDERFG NKESEEQKPCIATHCVAGLGRAPVLVAIALIEDGMPPLDAVAYIRERRRGAINNKQLK YIETYKPRSKKQCIIS PHYBLDRAFT_172109 MRQETVQEQYRAESTTHAPDTLQMHHLDSLTTLTSQTSPDVPAV PAVLEGPEGPEGPDDLEAPGNQSGERRLELGLGEEHVDVPESEDSDDQDSIVDVQPPR RKTKPNFLQKLPIFSVLSLICAGCALAIQSACNATLTRYGTRSFTSVFSFGSGLILCT IFFLVDIFILKRPLPNIRKAKEAPWFSWIGRLGAATVLAIFVGSQVIVACIVDHFGLV GMKKRPFTIPRIIASLGLVGCVVVIAMF PHYBLDRAFT_66308 MNISELCQKSPEEDPCQSPTSNEVDMGYFANSSPISLQNTPGSE ESDSLIQSQPSPNYQPPSSTTFSAVISPRLENLDNPDDREAQTPLEPGDLKEVIDKCF TLCQDMARLKYESNRLSQEGRDAFFDFISKGANDILESLQVIEGRSTSKTNFTDTFMG SERKADETEYDLIRQARNVQDNSHTKYRRRSKRSMVGQRCHSCNTTNTPEWRRGPDGA RTLCNACGLHYSKLLRKGSLTVQSSHCIGPSPYASSYLQSSTRFSEIQMPEQYFGNIN RPVDH PHYBLDRAFT_178038 MSKGKGKLVDTSAQLDNLPWVEKYRPKSLSDLVSHEDITSTIET FIDANRLPHLLFYGPPGTGKTSTILACARKLYGDHYKSMILELNASDDRGIEVVREQI KNFASTRTMYSSGFKLILLDEADAMTTQAQAALRRVIEKYTKNVRFCIVCNYVSKIIP AIQSRCTRFRFAPLETEQIEKRLETIVEAEGINLTEDGKGALLKLSRGDMRRALNILQ ACHAAYERVDETAIYNCTGHPHPNDIHQIVHWMLSEEYTTVFQRIESLKQDSGLALQD ILEEVHLYLRDVELPSNSKVFLLEALSDIEYRLGEGANETIQLGALVGAFKLGTSLAS PQA PHYBLDRAFT_188260 MPLIMTLASIKHSPPPSISSQTANHSRWRRGLAMFTKWSQNSRN KKRWDNSPTALAAATEKVCHRHASSTIKCEDLTAKEFAQLTGIKIRTTDDTSSNASYI NINDDETENEDEEEAYYATAPLTTDLPINAYQFSVQSARSAQSSESRAKQSLLRIWDS DYWHHQSHAITFHPAATNSRIHPTKSKSVPVQPIPIHSSACSDHTALSSVGSTFSAHS SSGSTEATSYTSHDTPFLSHIRRQSTFALDPTAKPQQRATNVIKKGRFQIVLGHDHDG TDEQVIALPEQVVEWKRKRIDG PHYBLDRAFT_66305 MLQMALEIRQATPILFTITRKKKNQLNQSTNQPIDQSTNPNLKD TRKILGLFALNATSSRSKTTSKETKEIANYTFCIAIMHSSQQTQMNGLTTQRGDIPNG E PHYBLDRAFT_172113 MCGCSSDITVSVILGGTYGKDLSRNKSNCYFALGNYLVASKVDP SSDAVKYASMISKEAQEFFVGAGQAFKVIKNFNSPTAVLAEKISMLVPNNLSFLQLRS DIHPMKEFTMVLELHSLSLDSCWGRLLPTCLNKEERSWFEDRLKGKVYRLKHTESILL DHYNTPFRKFLNIGRVWCMKQGKGESARSFGAKFQKFRRQASLDDGVQLVLCFWWNLR PEVREACLIPLSANYGTKMPSKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAA HNGASSLSAGSHKGKKRSFSRERGSQSPKKSWDFKKAMKDSICFSCKAPWVKGHSCPE REKYLTKVSRMAVRSSAGRPARASTVVEGSPSLSWSEYQDNTSALAKMALDYLLSKNN VHKYFIRLADSNTQIIRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSS LGIGIYGLPLSYDDADSSEERREADRRFNNKSDLLESIERENEQKENNPAVGPKQFED AMDYIRPFIKDNQDIPKGSFCTIPESVVCLDTPENATVFRSPYPISYKMQGVVDEQLS GYHSVNR PHYBLDRAFT_66303 MVYTSLGSLPSPSVSGYVPPSPTSTPPSVVNVNTSGQVTIEMLL ASAKEDLSTKKNNFYVAYANYIALSRVNPMLDAARHASSIKNEAQELFEDAQTALEIL EKSNAPAVVLEDKKSMVVPSNLPFLQLCIEPKGKAYKWKKAEGLLLDHYNTPFRRFLN MGRVWKMMQKKGESVRAFGAKFQTARRQASLEDGIQMAFCFWWNLCPEVQEASLIHLS TNYSTKLPSKVEDIILLVSVATSNYTALLNQRAESGTPAKWKSFTDAHGASSSISHKG KKRAFVRNNDPKPAKKSWSFKKAIKDNVCFSCKGVWEKGDTSPKRENYLMKVSTMALH TPTDRSARSSSAADGLPLLLLLVSLFCCWWALFYC PHYBLDRAFT_172115 MTTAMHISDTMLNGLIQQANRELSSSNAYLSLSLWFHDQELPGS AEWCRTHSDEERSHALRIFDHIIKRRAKGALVKTVDAQNKYEFANPAEAWKLAMENEQ ENSRQIHKLVSLARKEEDWTSDNLLQWFVQEQLEEESAVEDIYTNAANLIKTEGLYRQ YDDKIKNKEH PHYBLDRAFT_182745 MPASTATATATATATATANASAPLSKSHYTAIPSFPACLGGEWK NMVKKPYLKATMRFTIGTVLPPHHFPSVSRIEVISGSIQVNDLQNGEAYLIGPGQDCM IPALLVHEVQCIENVEFEFAMNRDDMVIYWDLEER PHYBLDRAFT_188263 MPSEQELLTFAAAVQYYQEDTSQHTLFDAACYAFLAFDQASPPS ARLTVLYILYGLYADLPLEKNPFLAFFIDLIDGNDKPNADDENDDVKRNQNDDRELEQ HFVYCILEGTIQHVKDLVPQSVCDDPSTLPPIHHFAERLTQLKTCVSTLVDDPCGEKR VSKTINKPKDTKQAIQSGYVNQVEYLSQQMEKVLMHAFHTHPEVVDICGLKPEYLPRW IELNLLLASDITPMFLKRPNFEEYLQALLNVPVSGHSLDVIHHLVTCANPCHLPSEFL HMYISNSILSCELLAKGPWQDRQVKLVAKFIQSLLEKKIIRMSEYLVEIQSFCVGYLR FKGVAVLFRMVSSEAQKAGYEIGRARPTEQKQQQQQQQQQQQQLAGPSSSNVSRRSVV PHYBLDRAFT_182748 MDLSWCIICDTRVDDGLTDSLYCSAKCMARDQPAAQPTTASATV ITAVPAPSAAVTAAQRLHSRTFKPFGNSSSNNGNTSSSSSNNNLRFKRPVGQALTSST WIPLYRRRRSVIRRYWPITTGQSSVLVSQDCNSHSPLLSCLEK PHYBLDRAFT_149269 MSTERCHKKVRFSCDVEFISTFANEEYDRTAQEVAKLSYTDMYE LLTLKAEWRRETEQVEKQLTKPAPEQQKPCVISQTACEICI PHYBLDRAFT_96113 KTGSSNSKRIVKNGNASSNATPKSEDSPEKKSLFGDWTGKTPVS LLHEHCQKQGWEKAVFEMVKRKQGFIGIVQLSKRNKKTAQMETVSLSPPDPYLPTSIE ARHLAATYALHRINSHMPMYRVLPPQYRDYWHTFDQLKTASNQWQYSPDPFTAQPPSS LAKSKTGNSSEEFRSNSTSTNSNNNNNKYQQPKPKEMDEKMRKYWESLPAVHMSAENR TLVENVVKRSNTVYEPLKKPLPENVRQDISHGLVRMGFRKAHVEEALEYCGDMGAALD WLCLHVPEDDLPANFMHANYNPTMTTISHTTQSLGRDWLLKSMTAIGYPAALCEDMMR LTNDDDAKALELLQWRLHHGDEPLPTIDDPDLCDPDDLESLRQEEAMSLEAIYASRYQ TKTTAKDGRVYHRIELTVQTGKQRVPLFLDCIVPKDSMYPQSLPIFVIECDALPAYLK LAMIKGLVEEGEKSLGFPLVYMCAEWLSENADRIVSHPPKLREITEQMTGPAKASWNQ KNNGTRHNGGGRSRNGGAQRTPNKALSEELKKALEALHQSPEYSVFQKVRSRLPAHDF KAKVVKTVLDNQVTIVSGETGCGKTTQVPQFILDEEIENGRGSVCSILCTQPRKISAI GVAERVASERCEKIGTTVGYAIRGETKVSSKTQLQFCTTGVLLRRLQGDPSLAGISHV MIDEVHERSVDSDFLLIILRQVLKKRKDLKVVLMSATINQKLFSGKYLENIISMTSFV SKLPMPRQDAKEDRSGEWANWQLALLDEGYDAQTVRTLAKYRNQDKIDYELVANTVRY IVENETIKIDNGAEPAVLIFMPGAMEIKRCVEALQQTLPRSSSSSYEILPLHANLSPQ EQTRVFKQVPADVCKIVVATNVAETSITIEGVVYVIDSGRVKETQFDAANNMMHLVET WASRASCKQRRGRAGRTRPGKCFKLFTRDCEDKKMRPQQVPELLRTPLEQLCLQVKSM GENDVRAFLREAIDPPSVAALDSAIKTLRAVDAIDSSDNGDLTALGKHMAMIPADLRI SKMLLFGSIFRCLDPVLTLAATMSLKSPFISPGDRREEARQARERFLCAKSDWLTDMR AFDAWQDTIQNKGMREARVFCEENFVSFPTMNEIQNLRRQYADALQDIGFYDRSQKEH YNANAENTNLIKSILFGGLNPNLAKIRLPDTKYDKVLAGAVEREKEAREIKFYTKDDG RVFLHPSSILFSNNNYTASFLTYFSRMTTSKTFIRDGTEIPSFAVLFFGGHVDVDHMG RGLKVGDDGWVKFRAWARIGVLVNQLKRLLNVELDEKIQNP PHYBLDRAFT_172120 MWLLFLRSREVSEVYPSQKHMVLLSIFVSKCRAPPIQQEYTISA SGLAIFSILRAVLLVYMIHVVTVRPRTGITDFPTLHRRIIAFIYPTGGIGTSIYSMYK LFYADKILGISQYSHLLKRYEKEEQEEKEQKAKEGNGIMAENTNSPHTPLLPKISTPE GEKNQVDSTRLTESQTLCLKVSKLRERLVKAMKIERIKIDEWDNSPHLAAFLHVIGPE NSRKTRHCILSGSVTIGFDNKTSNMYIGDRCKTKEISVLGPGAICESQGKIHPTSLRY MSIDMINQLETAYNTDNTSYVELFVTIGQIFFTTLECLNLDGDRWIKVVLIIYTATAA LQTVSLLVLHKQTTAFSIKDEEDKQLVDTYEKRLLTEEDREIFRKIIIDLDQNVNLTL YGILFGIIVSLAIGVWADYSIHSVTEWLVISWILSPCLIAIFNQAVASLGHWDNLVLT MAVPIISMLSFGCLIAATITGYMPE PHYBLDRAFT_66296 MPNITASEKFNYTQTINRNTVGLNLEYLKQTKTIICILKPTNFK AVTLILLAFIPKCYAPPIEQDDVKFTSKLPVVSILRTVLLGYMTHIVTIRPRTGVSGF STIFRRLLALLYPSSGIGTAIESMYKSFNGDKILGISQYTFLLKSYDDENSDKATEKK DGDSHYPNNKASSDSLLLIKASASECEKDEEENTESTDAQELHLEISRLRDRLVQDAK NKNIDIKEYDNAPYLAAFLHVIGPEQAKKTKGCILNRSVTIGFNNVKPTIYMLSSRVT NEISVTGPGAACKNQAEILPKVFRYMSDSMIDQLETAHNMDETSYVEILVTIGQLFFT TIECMDIDGDRWAKVIIIIYTSMSVLQTGSLIALHKQTMAFSIKNDGRENMFLNSKVL TLTEEERKLLGESTNKFESNEEVVILSTFTGIVVFLLIGIWADYSSHSITEWLVLAWI LSPILAALGFFTFICNNSDIMLYLILVIASISSIGCLIAATIIGYLPKENIIISPVL PHYBLDRAFT_66295 MFLQSMQNTFFPTSSTITEIKLIKLFKSNGLPFGNKHPLNSGYI LLHIETTDVYKDLENSYKYDRFEGLVTLTCLAYTEFNMPKIYSINNIWAYFERLISYN CFRNLNISKDS PHYBLDRAFT_188264 MVVKTPSRDISAKVHVLMTTGDIPALGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMPSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLGSFSGPFF FALDEMHGICHGIGKQVWGLVCGKRSIPTSFHGAWINIATRSGYFRAVDWADFILFVI PTLVAERVRDQAARKALLDLVQTCNLLMSWELSAEEKTLIKTNLVAWNAYLEASLAKG EVQLKVFTINQHLLQHYPAMIEAYGPPRAYSARSVERAIGEYSRAIKTTVTATTLLQY DDPSAGWPIDREGSNFGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFYESKGEEC SMIKAAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGQSYSPVYKDF FGNVVVFFEHKLNNKRWPLVLVNVYAVRLVNSIPAINNGQMKPMVVHLADVKELVGLV KSDATINTITTTATTYVVWPELNRGPKLSLGSLADL PHYBLDRAFT_149275 MSGDSDELCATIAGNLKKRTIIPLYSSFGENLATERVSLLHKNN VNEDSSISVIRTHTWHIGTTENSVLFDTVSFTGSQLHVMIALSETYPNAVKIIEHKID NRKHLELVLSNNLDVAQACQDGFEFQSKRVIGMQAMSHEAKIIKLNLFNLPCFDMDEL LVVLQTNLSRFGNVLDAGIYQEPKYKTYMGTGFAVLDLQQNDSSRLQPFSPLTRTITF PPDPHLISVTWNTISEDCIYCHKASHTRINCPIQQQKSLFCWNCMKRGHLPKDCCVKP AEDAESMKHDARMKANTTTNRGGFLYL PHYBLDRAFT_66291 MSADVLNGAIRMRKKRTRIKKDSNKNAIELPKSNIKTRTYTKKL KSEFNGSIEEVDKSIQQSTVARKKHKKKKESSQNGHYVTRSLSKTRGDSVLLEPFANP GRIPAAKLQLYLGALNRISSENQKEVNEMTILPGSCAQASILRDDIIASIKKSSSDQE EEDFFDKIVPDIMAENGTSARFFENLAHLDECESKDNIHRIPKSIPVDKSIQTEPIST QKRRSRQYSKADVSTQTQLSSFDSYLSCSDDEFWEDALEQL PHYBLDRAFT_66290 MSMSPSARANDSTSASQFMSRALDTARPSPRNSIHSVAPSEKES SHSYVYTQIRRRLCSSVWIWSQRQKIIYSIMLFRQSAGLKTSAYQDILFFLYQSIFVN ICFFRLKNTLLNTHFFPVVVALHAQISTVEVLLNYLGWFIIETYIL PHYBLDRAFT_188266 MLKMTRLLVRHFCAIASDQHRAPSDSINDESDYESDHSEHTPSP PSTPRKKQTLVAVTPTPIRNITRELALAQVASPHRNGQSSFYLRATPRTLANGNKLCQ QAKALFRRTAIPSRLVGRGNERSTMMDFWNAHVLGNKPGCLYVSGSPGTGKTAMLTEI IRITEDEVGELRTHQVNVVMVNCMSVGDPKAIYTKIVTELKGSGSKPNPDMDAVQEAE MLLNGKSEVLNVVVLDEIDHLITKDQDVLYKVFEWASIPSSRLVLIGIANALDLTDRI LPRLRAKNCEPQLLNFNPYSVSEITSIIKDRLYSLVENEDDPFGAAPTPNENTPLPLI QSAAVELCARKVAASMGDLRTALDVCRQAIEMAENEWKKKTAALIASNPDAPPIVAKV TIGHVMKVLQIVYGSPNVQKLKQLNLQQKVVIGVLMVMNRSTSGSTKSQITMTKIISY LQFREQYGVFCSKSGSSISPVSRTELNDLISMIETTGMITLTNHKDERLRRIQLCVTE DDIMQMIRSVNVLDTWIADILEQDP PHYBLDRAFT_135646 MMRLLGQGTFGKVIECYDRVRREHCAIKIIRAIPKYREASKVEI RVLNTLKAKDPFNSNQCIHLIDWFDYNNHICMVFELLGQSIFDFLKSNEFRPFPPHQI QHFAKQLLNSVAFIHNLKLIHTDLKPENILLVNNSSSLTLCNGHRDPNTKSRILQDTS IRLIDFGSATFEQDYHSSVVSTRHYRAPEIILGMGWSYPCDIWSVGCILVEFFTGDAL FQTHENLEHLAMMEAVLGKVPLRISRNAREPQKYFDNGKLRYPTNETSRQSQKYVRAL RPLREIIVPSTTLTRNFLDLVSKMLAYDPNERITASEALKHPFFQLDFDQYSRELKGG PHYBLDRAFT_135651 MSIDQSTEDNLELMRQPDWYDNAQKYWTAVSPTVNGMLGGFETI DPIDVKGSLSFVDEFVHGVRGVNNVVRKEPVMDSGYACDCGAGIGRVTKNFLLKVPFQ KVDLVEQAPNFVEQAKESYLANEIESGRVGNIFCMGLQDFTPEKGKYDLIWCQWVLGH LTDDHLVAFFKRCIKGLKPNGMIAVKENNASREAIIDEEDSSVTRPNSSLKHLFNEAG LSVIKEEVQNGLPAGLFAVRMYMLKPNRQA PHYBLDRAFT_98581 PIQPGEYVERQKRLAKVLKDENAGALVVEPGPTMTYYTNIAWSL TERPFLVVLRLDDELPSGINMTIVTPMFEATRALEALKRANLSEEIQPSVIEWVEDGS PYKAVKKALGGANEGTVFVDKDIRMFIFDGIDDVSNEIKVKMAPRSIRTLRMVKSSAE IDILRCANHATLAALQLVRTYVQPGMVESEITKMMTDALETAGLDETWVLALVDENAA FPHGEPGIQRTVTENSTVLIDTGGRLLGYQADVTRTFFLSERGHNQTIEDAWYSVRRA QENVLNRSNSGDSCAEVDLYARRVIEKAGFGQFFTHRLGHGIGEEMHEEPYMNQGNHE QHLSPGMTFSVEPGIYITGEFGIRLEDIVVVNQEGKLEVLTSGLAQNPW PHYBLDRAFT_172132 MQMTGQSYIYPSNYESGIIPLDTSFSNYVPNSLQQYTTPRMAVP TDFSMADSMFLPTSISPINTSQVRVSSNDPNIIEKKFISSAMLPGLKTTAEVANVGKQ PSPKSNILPNMTTTSFSNRRSGNQTHQQQLSTHSHQQYPQTSMYSSTTNNTSVGEFSS SVIHGNHMMKDDCSPYSDPRHRLDDINNANSTNNTNTHDISFARVHSGAPIGKEDEER DKRDSFYPTTSTVNTMFLRQTTNMTLPQQQQQQHQHQHQQNHQQQQQSHHQALLPHPS LQNPPFLMYSAGYPPPPTPPPYVSHLDHSVLQKKNEGQPGLCSYRNVDQYQ PHYBLDRAFT_172133 MSEETESYMDTSNDLVMSRENQHQYTGSSVGHSNKFISDSKSNS KSIGIGIGIGIRVNISILDSLYEHLLSDDFESKAAAIKYCRDLCAQYGFTVKQEQSTH RNIYVYCSREGFPDSHRNPKSTPRRKRPSKRCDCRWRVVLFEKEGDRWEFRKSLNPTA SRHNHELMRPEEIEMTWPKEVAALIGELASQRLPTQDIRARVQTQFVGLHWNERRFYN RLSEERLKIKQNETVVRAKKMTGLWTRICMATAGSEDLTFFVEEEMQKLIQNVCSMVQ IDPETLEAPLVLSELSNISPDPTRILTPDGPPSSSLAMDSQSYEAQLFEVSPGPKQQS DDPVKQENNIHHQQRPQVCVCSCKDWNTNPKTAQGIHGGNDSNTFIHREGSHAAKYER LSDFKEPP PHYBLDRAFT_135657 MAKENKDLDVSSVEQIENVQESKTRQILRKIKDSIYQKPEKPTN VWHLLTNLNNTQRITFAAAFFGWTLDAFDFFSVTLTATSIAKDFGVEPSDVTSAITTT LMLRPIGALIFGALADKYGRRWPLMIDIVLYSIINMASGFAPNLQTFIGLRAVFGIAM GGEWGLGASLALESLPVEARGLFSGIYQQGYATGYLLATVANYGVVRNGSSWRVLFWV GAAFALLAVIIRFWVPESEPFEKTKEARKILGRSIFKETWVVIKKHWLRLIYMVILMA FMNFLSHGSQDLYPTFLQTQLGYDNDQKTATSVIYNFGAICGGTIVGYYSNYFGRRFC IAVCAILTGAFIPLWAFGPNIQSLQFGAFALQFFVQGAWGVIPAHINELAPPAFRGLM PGLAYQLGNLISAASSQIQAVIGENNPIKNEDGSYRLDSDGKNIANYGLTQAIFMGCV CACLIITVLVGKEERNRDFGVVELEDDRSGRAVGPAEITELSMEQGRPPTSNEEKEPR SGQSTVQ PHYBLDRAFT_96888 QASLFKALVSAASASNALPIEDMGFYRTLDKEFSKGIDHVGSRL LSISNNLLQKCSDDTGISAKDYEDVDDVVDNFNGVVDVVDGLLERTDLCLDEMVGRVH KPESFLQQTAPEVTQVSQKSQNGKLEYKFLHAKNVVRPQIKFKDRINNSLTAPIERKI VYKPHAKVPLDNTETLEMDSGSIVYSLPHPYEYEIKHLEYPEHMFKEKKPEMYKPFDK TSATWVDTEEGLRKMMDTFEDVEELAVDLEYHNYRSYLGFTCLMQISTRDEDFIIDTL ELRDKMWLLNEYFADPNIVKILHGADSDIIWLQRDFGLYIVNLFDTYFVTKVLEFPHH SLAYLLKKYCDFDADKKYQLADWRIRPVPEEMFDYARSDTHYLPYIYDCLRNELLEKS GANQNLLRQVLQRSAELSLHKDEKEIYDVKNGLGPGGWKNALDKWKYRMNDQQLSVFK QLHYWRDHIAREEDESVRYVLPNHMLFALVEKMPIDSAGVIGCCNPCPLLVRVNAQAL ALLIQRAKADALVGASQTEE PHYBLDRAFT_159777 MLNNEYPTKNEARNACKAYAKEQGFALSTVRSNALVVTMGCVHH GHPRNREEKAKRREKKDELFVESTSMQTGHPTEDSVSLLPKDIMPKRTRNKDSMRMNC PYFIKIRLRNAVWVVTEIYGGDPNKDGCPLHNHPYADDIYYYSQHRRLTEVSRTLALN MMETGATNNTIRDFLRERGEGATNKDIANLRQLAFNNNPARTIMRLINQLQCKEEQS PHYBLDRAFT_172137 MESIIPGCPPATGKVHIHGKNRNLRSARFFKVISCHLHALKYNL YATYNFFNSPVSSSDKLLSDIRSPSVDLNDILFGDQITSDPRLPDHVEEDWEDIYAKM IQQMYNTTSVILPSPIRIIAKHTHTDKKNVPEFSKHAHSIFDSESLSIKDLFSYRQLR SENLLHRMIHCLNVNCEDQSSIIRLSKGVFVHQKWQTVPKCNSPTLSMFEDCFLQSLD NVDAPIDTFDYSSLDFISSQTTPSSISASTWSSTVATFIPYSYTFGVRYTNPYGGASD VLIRGRLPLWCHNLFLAECARQMPIKPNETRYGLFPFAPHVPVTFGSMDNYAATIEGE PTPDLTVVYERIAMELIEPEFAYDEFHDSANVKQEILKHILYCDIPDNVAQWLSSLAD SWATPPIFFS PHYBLDRAFT_188272 MKPSTSAKLMDGLVYLDDYVDTMEALPLELQRNFTLMRELEGYA QELLDSTAKGAIDLIDNVKEMDPNERIDKLKILSGFLSETLKRGEEKVALAKSTFDTA EKNCNRLDADLVKFEDEQVLGMSRVTSQPGLAPSARSLKESADLRDKANKRSDRERKD GKSEKREVLATKKRKTAKDNGTPPPASLRAQTLKDARIKTQIEKEKQSKTAMGTNKNG NGKAKTVVPADLSIDPNEPLYCYCQQVSYGEMVACDNTDCEIEWFHLACVDLKTVPKG KWYCNNCSTKMKGKHRKSINNSKKK PHYBLDRAFT_172139 MDSPTQSQQQQSHDSSQPGTPNTPGGDSASEQSPSSSADPKKKR TGPKRRKVTHACVYCRRSHMTCDDGRPCQRCIKRSIGHMCHDEAKNPSTAQSTQSAKR SSSTSTPVTTAMSTNGVNPANNNYMSLANMSGTNGTNTNLGGLPLQFFGQMGTGQLTF ASEHMGNEISVIRKQFGDSILMGVLLAIFLIHLAQQQHHHHQQHQQHQNHQTQPNTPT SAVNTAEKFFLTAADPSDGKLEDRLTEVINAKYEAGFLKPYNYVNGYARLQKYMDNNM SASSRQRILNVMGTFRPAFRSVAQSLTDIDLILVEEAFERLLLDYDRVFSSMGIPACL WRRTGEIYKGNKEFASLVNVPIETLREGRLCIYELMAEESAVNYWEKYGNIAFDPGQK AVLTSCLLKSPDPENTSVISCCFSFTIRRDKYNIPTVIVGNFLPVQLQ PHYBLDRAFT_172140 MNILSVLSPNISFYTTAFYVGVYSPLAGIIFLVLRYYYRRKNSI LSSAPLIPTKNFWISYFGLVPPPDENETVDELSKFLISVGQDTQQSPLSVSWSIFGTP LVIVNSLKGIKDVLVYGQVKSKVQGRPSNVQRGNLIRLIQNHVFGGENINNSTGEGWR WRRHVLLPPFQPRQLVPNLLPYVVKRAHELLDTFGKASDQGTALELDGVFQDLTMDVI NFYLYGRSDLNYELVGGKENLKHMHHKLGLGFQSLEAWFPFGINKTKWAQRAFGPSRE HLKEFVKDSIVLAVEKQAEYKSDGQEFQSVTAAALSSGKYHEDQFDLINDLLSLTFAG YDTTAHTLAFCFSELARNPALQEELFLQVRAVLGPPPVLPESITADKLAKMPLVSAVY RETLRKYPAVAFIPAHVNYDTNVDGVVVPAESEIWCNLRGIQMNPNIFPNPDKFDPSR WIRPGDTNDTLGGFDSMAADHTRESPIVTPDQQYNFPDLSFTLGPHSCLGKNMVILEL RTTIACTINEFTCSLKEGSVIDTKIVLTTKPRYGVWANFKRRSEIRI PHYBLDRAFT_149292 MPSFSGLCVDLLGIERNIIICFQFCQSFFGAPFGFYKFFDLSTV DQYINDDSLCISIDIKILSISSYYRPPGFVIPKHLTDSNGFYNSNKEPTACASEVEVA LDRYMSFMEFHDVIIKVTENERLGNEDNKDNCFRIFYGHRLTLSAASDFFSALFTSDF GDGTKKEVTIFSVDPYVFGRLLYYIYVDMIEFKCVDDAKELFLAADYLQLPKVVSKAQ LYLQMKVSPLNIWSSWKWAVACGCDKMDEYYKECFCLNAVLLLSQPEWLLADFSIVSR VLSIDNLSESVDEKIFYQSLINWRKHQLEEEEAGRRNKDNDGDQQFGLLLKKVRFPMI KPISFFNVVEEEPLVIKAAGIHELVYQKVKSLFDVKPDP PHYBLDRAFT_172142 MNYHAEKDRNSHRGHELSDYQRGMIVGMSKYNSNMSSIARELGL GEATVRATINRILSRIVLNNQKASLKDIANELQAAVSASVSHRIVHKTIKELGLDNTL ELETTSCSLKLGKDLSPSLSSTHKIMRLNISYIFAFEESLKKSRANCIIKKSCDKEAI ISLFRIDDECDSIIELIKYSKTHLGKSNKALCSYIASKVHYMSAISKCFLFLREKYIK ISLLNKQTKKSSFLTVFLDQPVVGDIYSFNSFQIWKISQWESLPNDHSYSSPKFSVAE YQW PHYBLDRAFT_178043 MAEENNWKFAQCFGDKGESDDVTDADIISAVEFDQTGDYLATGD KGGRVVLFERNESKKGCEYRFHTEFQSHEAEFDYLKSLEIEEKINKIKWCKRQNSAHF LLSTNDKTIKLWKVFEKSLKVVAENNLGDGPQPVSSGLRVPKLAHRDTIVAAVPRKVY ANAHAYHINSISINSDSETYISADDLRINLWNLDISDQSFNIVDIKPANMEELTEVIT AAEFHPYHCNMFMYSSSKGTIKLSDMRASALCDQHAKVFEEPEDPSSRSFFSEIISSV SDVKFSHDGRYILSRDYLTLKIWDLNMDREPVKTISIHEPLRSKLCDLYENDCIFDKF ECTFSGDDRSVLTGSYSNTFHLYDCEGKTDISLQADKSAFKAKRLGSAKNKMSLARGS GKNNGMGNGDAMDFNKKILHASWHPQENTIAVAATNNLFIFTEQQ PHYBLDRAFT_172144 MSLFNGTHLYRQLLKHHRWVLEQHLTSTLVLTPNNGKFGYRSIT NAVLHAPPTKHNPHPIAETHLPGDTKTPLSSGLKKSGTTKKKKTLPTFDISAIENEIW EHLKRKDFSAAERAFNNLLKTGTKPRVVVYNGFIKAHSLDFRHFRHQKAAEDIVNEML KKGVQPNSETYMQILLGYSVYCDNDRKTLKRITHWFERFMAVEATNKYTRTHKKIKKL VEMMAPTNNRALYPMVRTALAAGILFDEDMLITAIKGCIVAERRELAEDLLSIARRRD YACVCSPTLPMYDILIREYLSGKVELESATRIFQWMLEDGKVAGSNVYGYFLMGYSST PLKEDEAAELRIETLQRLWQAMITMSSTESGGFKVDVNVLEKLCNYYVSQNALHEAEQ MYWDLRKHQDVFERSLMPIFYNLIIKFSAKLQVPSAMTLYYDMLSRDHKPGSEVMCAL ISANAERNDIESAKQMLAITQEVYELENKGCVPDMCYAVLMREYVRLGKLSEAEDVFR NFENSADSIPLELARDAMLRVYMRQNQIKKAEEIWRMKTEIITDSLNAMIEGYGRAGQ WDNVASLLHNYGAQASPRTHEIVVQTRLLHGNITEAQSYLSSIMQQHMVASLIAPIQA IIASCAIDGRADDSRRWYKLLVSESDIPLEQKELATQVMTKFSISLNPPFPNNDP PHYBLDRAFT_116311 MFVDVREKLHQFWDTRMHFLELHYTYIALIIFVSSAFYYFEPGT SWAYIDVLFTTTTACTNTGLNVVPMSSMGTYKSLLMFFNSFIGSHIFISIVVVHVRKY YFSKRFEDTLIFNKARRLREEHRRQMALDRQKKHQNENDDTPISIESPQAIYPTQTDT VSQLSEPRHPYDPTPQLSADSHNCSEHSPSPTMPEDDTQHSDHLRRVTSVEDATEITE PQTVSGPQGIAFADNIIRQRENARMRLEKTRRIEQVLKKMADETYTVHDSNSETDNQD ADHYDDIMRQPIDKSQLTREQRYTLGGVEYRALDMLSKLVPIYYIGIIAFFAFLYRIE AAASSYTTAVLQTSNTNGPVNPWFYSFFLSISAFNNLGLSLLDDSMSPFRNSPAPLIF AIILILVGNTAYAIILRFIIWCLYKLTPESRSLRRETFRFLLEHPRRCYTTLFPATQT WWLLIILVGITLIEVVAFLSLNYWLPVLEGIEWGSRILDGVFQSVATRNAGFSVLSLA DINPGTQLVYIVAMYISVYPVAISMRNSNIYQERALGIYRGDNDEEKVEFSDQDLNGP APFIKLRRHPTISSVMTASRKVLRGPDFFVITQIQRQLTSDICWVICGVFTICVIESQ AIMSPSPITIATVIYECVSAFGNVGASTGYPGTTTSQAGQYRTLSKLVLIALMYRGRH RGLPASIDRAVLLPSEQLEEFEQNDMEDKITRRRNTSTSQSNGPSLLVYNRSSTL PHYBLDRAFT_66269 MTIETQTYIIRKSNAKRHQGRHNGYICIATIHHYVSSPLDSVRS IKRIACSLERNFSLLESEFRAYDNDIDREEKKREHDNIWLHTFTTRLVRSAVEINTHN NGKTNVRLTINSKVINAIDPTLNKNGGWINFIDATDPCKPEYCYFMLRNVHKEVPSRY PISVIQYISQFYKKIDREDTHIIKNIEDIDHHDIIPPLHLAKIWPTLVDITFCETCTI ENVCTRNTHPSGIALNAEKGVAGYNNGTPLNLSEDMLLNILPYIERISHKFNKKGFLL FASTTSFTRLDLSGILDFNDDNSLREIVSHCFNIEYINISGLYNITDDGLNILTRCNE LRTIVAFRCTGIIGVSFGILKDTCSHLEHVYFDFPSNQTISRLDHDKVNKKFWIPPWA TVVNGHTHQVYAAILGDSETSDVVMNNSSPIYGFGLYGVPDSVSNSDSNAESSAESSD NSNADSDANSDANSDANSDANSDANSDANSDANSDADSDADSDADPTIDINFDSNANS NTDSIAVSDYNYNANTSNNAEFASGPDSELSSSYNSSLVSGTSSRFLSASPFEPNIHP NSGPNFEPLFDPHPGLIAGSSSRSLFDPRSELSSNPRARLPYDPTSSLSSSHHSGSIP GHTPGLLSGSNIELPFNSHSGFHSGFHSGLHSGPHSRLPFGSPSGFNSGLSSGPSSEL AIAPNSRLFSDPRSNPIVGHSFGLTLVSSSDPVYRYHPKFFPNPPLGSNSESKSGLYP SLYSGFYSSPYSSPHSTLPPGSHSGSHGDPHGGPHSGPHSGPHSGSFPSPPLESNSGL FPNPSLGSNPGSDSESTHNINSDSDHNSEANDVEEIPFREMMDAIVQKEYTIRDVQVV EHSWMYGSSAMINLGKALYYYTTICDIPEYIGNYLIPLLSSNGSLFKENSLDQEDRDY IDLHTVDIGDYFDMCKKEQPHRIKALLHKKIDYVSLIILRPVPDCDPKHVCGYSILKM DYETDPWSYTVLTCEEYLKECYPVEMTELGANTNQQNLSEFMKIVASLLDFFKGRNSI PKEQIETLFDLSTFSLRQ PHYBLDRAFT_92267 ATAAKITVLKTYMSLSASAYCTSVCPDGLWTCSTCGSAYTFIKS FKGGSLDANGYITRNDKTKEIELVFRGSGSNANWVANLTFIKKAYPPVSGASVHTGFY TAYIQSQATVLSLVRAQLTAHPSYRIVVTGHSLGGALAPLAALDLYQRDARITKSNLF IYTYGAPRIGNANFAYYVSATGITYERTVNDRDIVPHLPPQKFGFLHAGIEYWITNDI SVVKICNTSLDSKICANSIVPFTSFMDH PHYBLDRAFT_149299 MSLKNSWIIVCGLLFTFLPRCHAPPVDPSDSEPTSELPVASILR TIVLGYMAHIVTVRPQTGVDNMGTNVARFICFTYPTWGISIAFDAIHDAYYADKILGI DNFKILLKKYEEDKDTTSKNEDHKSLDSAELISPLSQNELIPEYSPTLKDDEQDSPSD VILSKTKNLRDWLVDYMAKNNTPVKEYDNAPYLAALLHTIGPKKAKKVKHCILNKHML LGFDSRTYKNVPKREDTRTENISVTGPGAECEYQSNIQAENIRYLKTEMISKIRTSYN VDNISYIETFITIVQLFFTTIECMDINGNKWAKLIMIVYTAMSVIQTLSLVILHKQTE PFSLHYNEDVPWKEVLVERGAKEPSEEAKIDLADEDIEETSDCFKKHQSDLFYKNSFL HFVMENRYLEAESDSILTNYSESTTIAFCLFGGMAGSLLIGIWADYSSHSVVQWIVLA WILSPMLILLGTIIDGFSPNPDSDTFIFLSIMVSVLCNFGCIIAATIYGYIVDNEQG PHYBLDRAFT_159778 MRLFVTKRAYVFAPETGPNLTINRETSEISLMDAIPPVSEQDRT LAVAGIMGLVTLQAGDYLIVITGRESIGNIRGHEVFRITAFQILPLPESLTGLSEAQA KDEQTYVDLLEAHLRGTTFYYSNTYDLTHTLQRQAQFTTETLSEPLWKRADERFFWNK DPVNRLIEATLSGNPAKNFSDFILPVLQGFVELQATEINNKPFVLALITRRSRHRAGT RFFSRGVDQHGYVSNFVETEQLVLYDGPGAVSSSPGLKQLSYVQTRGSIPVYWTQVIN LKYTPRLWVGENRKSLSAARSHFDEQIRIYGPQILVNLINKKGYELPMGQAYARTVEQ LNDPRLYYTHFDFHSECKNMRWDRINILVDQLKDQFDQQGYMYYDASNKDSLIIRKKQ NSVIRSNCMDCLDRTNVAQSTFAKAVLNAQLREIDILGANESVDDHPNFILIFRHVWA DNADAVSSVYSGTGALKTDFTRTGKRTVPGALKDLSNSITRYCKNNFMDGKRMDAFDL FLGHYHIKPLVATGKYKSPFVSEKPLRIRVVPYILVFSMFMLLLNIFRPDYSGFEFRR AYFLWLSFWFSVVVTASRFSLRHGDQFVEWPHLVELPPYEDDAEEGVVENKGNMVPPE FGLETPIL PHYBLDRAFT_172150 MSISQMILAGMERYCLSTIRDNQTMKFIILLLSDEKIMNIYEIL DVKALIYFLFTIQQNLALFSCQITRMVPPTYSILKAPLPPVIVLSDVRINKTIPIDHD EQLSKLNKLRVSFSQRKKFWIDVEVLNKTQYRQRNQHRQFHRFRRAEEARRILKRFKS LAIDQVVNDIYKAFWNAKTIEACQGKWNFIPSKEFAEYTMHRLISATLLLDKLQMVLV ETYRDHSKLLKLEHFVSLGLTYMAICSRLSIMSATWAKQLEDCYLLLQRWHLAFPSGI KQKNQEAFLSQSNQICGPHTMQDARLEYIRKESQERSTFKEPLHFSSYVAHSSRLPPI AILKKELEEVEKMGIGINDGGGGDSDDDDNGNDECDFEDMGEVIQRE PHYBLDRAFT_149302 MDSIHSILCEAADRLGCGNLQSSYELNLKALLAVITELQKITFH HNCKYGLLSIGFLDTLHSPADISSVFIIAKTCLQNAEDIAYRTRPICAPEKVLVEEPF EMKNPPPLPPKPKTIQQKSYVEKAPPLPVRPKQPSNETIRARYQKPAPLLRLRSLQPA AITTGVSDDDRGTDDDEDDEDFDLDLDEEYDDIAVYKEKDPRTRPRIQTCRRSSTSNI PIPPSPLSANPLTAVKTFLSLPGSMSSHSDGSKKTAGLVAPPMSSESSRAAHEYYEIM MLTQDAIDPGKLVHVQTSSGDSLGQTNTAHPVRLVPAIPQAPLSSVYKALQKKLDDLE STLREIKLKPKTKDADNNRPHQLAITIADAKSTLNRVHSIHISATAVPTILQFPPVLI AYQLTLIDSAIFRNIPSNALLSHSAKTPHSAIVASTDFFNYLTRVIEHAILLQQEASG RAQHVNHWIKVAFKCHELKNYQTLKAIISALGTPPIQRLKRTWAFVPKKSLSRLETLT ELMSEANNYERYREKLGPAHEQQTSSSTTTTTTSSVLSSKKDWLSDPTVPFLGIFIHD MTYLIALQKSKRGTEETKPGLGPSFKPPMSPPQQQQQQQQQQQQQYNRQTKPAVLPSP SDQRVNELMGIFEYYQKRPLYSATLSPAYVKDLHKSRRHKLSHALRGGSSMKKHPVFS TADDEAGEVCIEMQQCLVTQYLLTRSWVGEKTVDELSLLREPPKTTRSNSTVECMGSI NSSVSLLPGQVTNSLCPPGPSWVNQEGLRNSSGSLSLGQSSGESSGESRPISMEDQPD GIDPYDVAGSALGLPGSGIQKLVGPLDEGRQDKKEAKSGFWLFGRKSAEPLVPNTVAP KISDAGSLYLQIGSEGGLQRSPRHFSLDGLEETTTGQPASTIAQSSNTLKKRDRPIGP PHREGSFSSQPSLATIFRKDFWKTSHTNLTRQSSDDNETSQSSDPLLPPAAAKRFGTI SGQSQQQPHQQPQQSYQHQHQHQPRAGQRQRPMCSNSYKTSSDALVDELETNNKATLF RRRSSSSLNKSKKSSTKSELGRHTIGRSPVAKQHPALPAFPSSPHPLESLTVGIPPLP VNSSIMTAPQPLVFKRPTRAYERHASETSSYHPLHEIPATFKTA PHYBLDRAFT_149303 MPSGIPLISTVQSQPSLLAPSEGQDVNTPHTPQTTLHPNEASSS LYSPRPSFHSTPNTPLSPLLPLPTPSRSTSLSQQTKDHHNYSNPENISIDNQVPISMD PSSLGALPVQHTTWWQRFIDAEDVWTDSPSFHQHIEHLETGLSKYIAWLEMIERCRQL DIVYTQSVRQLASHTNAILYGSSPVEAPEQCKVAKEVHWSQDEELIEAILCNITEAIS RFQSALTDAREKHEQHAGCYCAVQKARTPSTKPIPCDTTSSLYTAKRVLCELSMDYIT ILNALVKKTRALIASILHCLEDEKRASLGYLKAVLISLDEADEEDLLVPSLGVDLTTC NISSRPVSPADSSCSNQVSIEDEDEFGDHMTFYIQEKLAMYKETLKQPPANAAHNTNA TTSRARPSSPSSLISIPFLNKPRVVQRYSSLDSIIVKPPPSTITMSCGTAKGHLFLKR RSCGNSAPVWARLYFFIQKDTGLLMQQPLKEDAFSVINLKYTTVRPAENEKRDYVIQL TSISKDIELLLQPETLMEYTSWFGALSSWSAGNLTAPLEYNSAKPALKARCSAVCQIQ SAWTTPSPRGSSNNGRLFLTKRPSFSAASIMMENTLFKSSESFNSIGNSSISGSNSIN TIYTQAKSFQDPPSSYDTGNVPRVIRSTPIFMREPCSSKNSGSSSNNNPKTGGWCELQ AVLISDGVLQIKSKDILGEETFSQRHHHSIHLGFIQRHQIYILSETVYTRSNCFAIFI SPLEMIHISVSTPQERDQWIVLLKFFCVPDIVCSTRPSTDTLGSASASAIAMSSYTHP AEAFSHRYGRTLSISIQEGKNIHMNSKGLECSELYCDLLVDNEKRGVTGKLKKTPTPF WRENFVFTDVPIIRQGITVNIMSRNSKNERETRIGSIFIPSDQIGICNTNEVWYDIRK QSRHRTFASLASLGYAQSYASAGELKVGTRLDEQIVLPFCQYNELVEFLKEFHNDSVY EIARKNSDLEGVTTTLVRIYEGLGLSVPWIKSLIDYEVSTMSSDDANILFRGNSLLTK VIDVYMKMVGKSYLEEAIGSIILSICSSRVCIEVDISKIDKKEDITQNCEKLARYVQL LWTSIEATKLKCPEELRQIFSHLQSVIIKKFQLDTNPDSPKQAARYTCVSGFLFLRLI CPAIVSPKLFGIVKDYPDLKTSRTLTLLAKCLMNLANLVDPSSKEPWMKHLNQFSQNN TRGFMDFINFISKAQPASNRPSLPPSWDNILHDLPDQLQLEKPVYMTLQDMSMPPYYV NLPLELSQFSTSLINGSHHYATIQHGKSLKQLLQICQGIASVQQEIHISSKTASSFQS RSSVGDNVSGPECVVNWGIPSSPSSASMNDINTYNAAARSPCHSIDYYRATE PHYBLDRAFT_66262 MYRKTIEKNGDRLFSIKVKGQRKVKGKYLEINRGLNIKEKIKVL IAIVLYCTVLHCTALYCTALYFYTRFGCNMSIQLFNQVKLIDTNHFSEICEDINKEYG LLNMNHGVKYFLIVLKSTMVGMSAVQYTTFRPRKESKLYL PHYBLDRAFT_182762 MMTKQEISEADCWFVIGAHQAGATERECSNLSGLPKSTTHNIIK NFKKLGSPHSSVLSMASILDAGPKKRKAANISGNSSPKSEKITVQQSRKRGRPRKYTD EGFSTALLVRDVLLSSRSEPVTQTSLDTLPQNSCQRPDSPPMSDSNDSNRGRARSLGK DSMLGEDEAECLPPTPRSLPLEDESDEDMCTTEEGWSIEDDEKLLNHVLGLPILSKWK EVEPEFGDRHRADMCGERWDILKKRLLSDVSRFVNELDTLATKS PHYBLDRAFT_188277 MTNMLPPKSKYKECPRHILTLGKFDGSAILALLKLGEKHILAKT IAVHMSHTNDPISAMYTIPQEPWDNRLIRNDPRIIFGSSKGFARIYRIKVHDKNNMQS VPVTPIGDFVLYRNEPITHLSGIRLSQNVRSIISMGQDSNKNFGRRKSKTYVVIIQVL GNGLTRLVKYLWPSDIMKNSSTIAKLFLASEDDHYIVTAVFQGHDNKNLIEISSWKFT EKTCTRTLSHIVACNGLNDMIQDIKINDDASGCMILFLDRITYLPIPTESRISETGYT SEDVFETHEIQDDSPEPTHMEDMISEISKPEKESSYTSEIPISTSTPYHDTVLDKESD ISLLTSLSLQIQNDTQDNRTTIKSNSTKNEKEGFDETTEDTESISSSVSKSVMDDLAK DVTEDYSILKSQKEIAEHESQINFCDIPAQEQTIASIHQDECDIDTDLDSPIQQKEME DEISPLSILKECTDGIVLPENQEDSIHTEDQTEEYSCNSTISKVSENCYVEIGLTSIS RYSHVKCSQDPNQLAKPLPSNDGEDNFDAADMEVDEIEYTATEEYIASLKLPSDRLNM LCVRDHDEYSDSRPRVVEKKPSEHITITDKTFEEIRNDPSKIVYKVDHDGPSNRHSTV TEEKSVEKFGVINYTAEEQPSDSLKSLDILDTEDVSDSRSITAEKDPTVPIDVDDRVS KSSLNDRTKEIQYMPNNEIKEYTQGPTSSKKSNPTEPYLDLIQENISESVGTTESNLD KLLSNSGDPSYDKTIGSSRNLELLVPVESPTIDKGLSHETASNVLEGCNYGNSSVDTP GIEPSSVEDVSRNSVSLYENDLPDDVIYHKPHLSENTSTNIPAVPTSDKGMPMRRENS VSREPPTNGGFIPEPVIETVSPTIALDLYESDELYIYTLIRYVDPSCLNVFKSILQPA QRIEFVSKLNIDDHIKECALHYISNDTNSMVPKDPQAISAITLPNDTRIKLDMFWYLD NGKYKEGIDLFKSTRLDFNDVTRVVHRLSKHFDKIDIPEVPTESALDFSNRNLYKKQR ISLERTIHPESSGSENFKKGKDLETSSRGQFIRSKADGILKKELSNRSIDDFLALATT LEEHDILSQYCWEHPEPISKLFIMHGCISRCLYGDILILDSMIKKAVTDGTIKVDKKI YRCCSLLADVARGCIPKIVLDLPLEIFGRPAGRAGNPFLGKVKGKNGVQPNDLQFGIK MLQMALKACMARTSMQSTENTALQTSPAIKRDLIYNECWNNVEIVFNDSRRKGKSPQE ILPEHFSMLQPRRKHDNSGTVEDDLEPFEHHNKKVSL PHYBLDRAFT_66258 METSSTTHYILITNGESYTGHALTWYLANQLERQPGRLHKKNWK VRVLCESLKNMKKLEGMGIDIKEVDYSSQFALRDHMKNVKCALFVPNMSDHCVQYGIN VLDAAAEEKLKCIQMISMCSSEQASYENTVLGQFRQLEDHLSKKFAYGRWFPLLYQLA FFWSGMIQDKGKLGLPLSDNTSFPAIDVLDLCDACATLLLSPRKQTESDNQDDEPDIP DQALKRIYHLSSSHNYTGKMMTESLNKGLENEEACVEYEKISNKDMRDYLQNLSTTSA IKDMVSSITENIPKIPYNMRSSSGTSNSDKEHDQPTSCGMDLPALLPNPGHYLNSYVI DLMMDLFENIENYPQEHPTHDLQGLIGRDPKRFSTFFADNRKHFTPSSKML PHYBLDRAFT_66257 MANGSLPLEILENIANHLSIIEHIECTHVHKTWTDLFRQVLYTT IKIRTRRQFKQLYSILLQTSSIKGGGLGYFVESLIIGDQVGFTSGELDQLIQFCPFIQ TIEFNPRLWRYLRSPSKLGTLKLLKTLPSLNRVRIANSLIKEVGSNLTQLNLNGMIVN EWQENRRLVFMLSLLPGLKKLNLAGEFVMWNQRDSPRAQFSFDDIDTISSSCPYLEHL GLMCINIQSRVAFGVIDLNPTKIKQSKNLRVLSLKDVRQNHYQNMSYFIHKYPNLEEL LWTGFAREEMTRLGQIRLENETMESPYLSLAKRLSRLKKLRLHRIPVRLWPGRAFFET IQNKRVSLESIQIGFLSSSRDRLDGLDNFESMLESSKDTLKELTMKAWRGAHFLNVIR PLYHCRQLTSLSISGDLPQTVFMFDWILDFCHHLESISIFTCHVSISDESHGYQYFGL HRCLTSLSIKFSILDIHDIIYHLALRCPNLSSLALNSVENEQVFPDRSVEINMPEHTF QSIVLNDIKCIRLNSDCERRRGNYLALLSVSALDKMNNMLRRRESPALRWYDQDKQEE DVEFGMSRWYHRYDENADYEKRRVRRLSGEDARRVRDYEISSEEWDQILMTNIFSYSG KRQWKQDIPQGYIRIRCQSVAQIIFNEVSLY PHYBLDRAFT_66256 MSPKGSTNTNVFKTVPPQQSRLAKSSADQMFCDPENTRLKAILD EALARAAIHEEQQKVLLSKIDVIVAHSIALQEQNSTLTEELRVANEHVEFLHNQLQLQ VQVPGASTFITTTLPPTEIAPVENSSAEASAHGPVTIPTPSPTTFLAAAKKAMGKKPN QPKLTTAQATRALQPESGPSAYAFVYLPCRHHLKYSQVRKLLRTFKIQQSRVLDIAFP ERGTLSLLVHNDFKDKITQLFADIGVSVKTDFDPLDHRIIADPAHAHKPVQERQQLAY KLHRQRLLALCLRLPAPLGKSVMRHFCTVESSSLRLPPVCLEQYLEDRNLPSGPQASA IDTATAMVIG PHYBLDRAFT_149310 MAWPLDSVKNQKLYRLEKSQLRFYYAFIGPLSKIPGPWIEKIFP ELGGLFGKHHSQRFITLKKYHEKYGHIVRIGPTEISISDKDMLKQILVTEDLSKGLGY KRFQNGGRANIFDTTDKGFHRQRRRLVSPAFSIKYINSLEPLIESVTESLIARINRDI EGTKSSKGFGQIDIWRLFQLFSLDVVGETSFGGTFNALEDSNHVVPVTISKLLRTLGF VVNYPWIVKLLRIKKAKKTPRLVAFATDIVLGRLEKGDRRNDILQIMIDTQKAAKEED RLSTDDIISEITMFLVAGTETISGTMGFVFIELCRHKNVWLKLRDEIDGIVLEEGKKF PCQSQLKDLPYLNAVINETMRLNSIVSNGIDRIATRDIFMKGDVLVPEGTTVRCSPWI AQTHPDYWPEPLSFNPDRWLPGTDSKPNMEAYFPFSTGSRNCIGKTLAINEMRLVISA LIKNFDLDDIPKEMMAANEKRYYITLTLESGSFKLLLKPRNACPQL PHYBLDRAFT_78346 MTTKRQTHKALYYLYIVAVLLALFTSIASASSLVSRQEATEPTE KTINFTIAGHTVSVHGIVLSVILFLTGAYLCFLGGVHQNTTMFLVGFYVGSNIAYIVL TNAKADYGENTATILLVVSVVVGLLAGLLLSCCFFLAVYLLGALLGYMAALWLLAWAN NGLIETNWGRAILIVCFVIAGVILMAFLERPMIVIATAFIGAFAIFIGIDLYVKTGFV EAVETFLKVKNLGVFEATTQVRGMLGGTLGLAVVGSLIQWLLIRRSDRNIAWTHHYPN NQYGWRRV PHYBLDRAFT_149312 MKDTTFTYPKNFQFLDKDFTPHSLEDYQLRELLLRQGITIPEDA SWEDCILLFDKYIVPRREALIRMLGNSWSSVTKGGSSNQDWPSLPAPPGWPKRDQDGF AIPYPRSGNTEDSTQFETQKSNSLTDLFSFGTSNHNASDESVTDEESNTFWSFIRRNI PLLSTSNSERTSDNNKHDSRQDDYAKQVMDPSRNQMDIANSVIDESINSAKKIYSLDA MISKLWPSQSHSSGDSGSPELEAEELVESYEWRRSPSLEAEEISADEAAEAARQEAEE QLQKANELRSLRTVLRQWRNPNLAPIGTNPTSVLERFAMLAKLFPDRNDLFFRNSPNE SSSKEMVPHRFGSSTKELVRSSDRSIRSNAVSREVNYRPRGIYVPECDENELTYNGES LFSSEDESCADESEDYDSSDDSNYTPLDVDGTVSNDRFKEEKHSIDEDEVLGLFDDLK QHIYRIQPILDYTRDDSEYQETPPIIHVPKQNPIARYLRHAVQMSKNAKQSILLSIVP LTSILLMAMILICIARNRNGYCDSAKTLNRSIVDGEPIHHGFSLACIPCPPNGVCFFG ELQCPTLYKRRRAWYNPFGFLPVADRCVLDAVVAKRVKLIERTIRRTLYAAQGKLLCD AVKKGNGDVTNTYASIHVDDLTQRLRNSKELISKMSKSQLEDLIPIALKSVLTNENIF YWNTDGNATYSTNKAYCTLGCRILLFYATMSIFTKFCLILGALLFCASLPFMYRLFIS YKEKRQVNKLVSEIIKTLKNQHKKHSENPTENPHKYCSVPYLRVALMDSVNIKDVQKA LSVWEKAERELQKSPNIIRRFLEENGEAYETWEYVA PHYBLDRAFT_135667 MLFCPNCSNLLLIENEGGQMFFCQSCPYQYAIQSRHTTRKLLHR KEVDDVLGGAKAWENVDSTEVTCPKCEHTKAYFMVIQIRSADEPSSIFYKCCNDECQH QWREG PHYBLDRAFT_66251 MIPYYPQISSFLPNTKIYNFDPSKSLVDSHGNTNCRPPPSHTKC LCQEKDRSVFQPAIYIWKMSLRNPWLILCALVLTFVPSCRAPPIELNEAELSHALVAM TILRTILLGYLAHIATIRPELVGPTNSIVRRFQCFIFPSLGTAMAINSIYYAYNDEKI LGTNQFKVPLEKYAEQAQNIYPKDPKQNTENQNPSGSSISPSTEIPKNQGLKVDLTNQ NRHSRPSVSEIKYVGDWIVEYLKTNDNVMIKEYDNAAYLAAFLYLIGPEKAKKIKHCI LNKHLILGFASAIYARRPRHSVILTEKFTVAGPGSECEYQTEIVPQNTRYLSIDMISQ LRTACNIDSTSYIEICVTIGQAIYTTTECITMTGDKWTKSIMIIYTAMSILQTLSLII LHKQSSPFSLYYYKDIPWREALADNVVNDANTWRKVEVFDNDKERLSPSSNDGYYELL YEGSFLQFTIERREFKQRPGEDLWAQNYYLNIVISSIIGVGIPLLICIWADYNAKSIT EWLVLSWILCSAILLLGIFSLKFNYHAYFVLMSICFIIIAIGGCGCLIAATIIGYLP PHYBLDRAFT_172165 MFFPTYISFLSTLLLIIVPKCCALPIQQGFIEISGQSAFMSILI TIVLGYISHIVTVRSVTGLNHQSTTERRCMCFVFPTLGISLAMKYIYSVYYADRILGI DKCKPFLERYEDEINKVVGNRQDTFQSHVSLSVSQSPSDNHIIAAEGLSGDFDTETVF PGVTQLKNWLVDEMADSGIYYQKYDNAPYMASLLHSFGPKKAKKIKHCILNQHILVGF DSAVYMHSPFNNVMLTSDISVSGPGGFNQYQTSINPSYIRFLSIAMISQLEETYTLDD SSYTEIFITFSQLIFILLKCIETEGDRWTKSIMIIYTAMSILNTLSLVILHKQLEPFS LHYSSNITLKEIAEDYDIITKNTNDLLYIEDTQDIPSQFGSEDTTDLLYTGSFLHFIL EGGKLGNKSGGGIFELDDFNHGGYCIFGGLLGSTLIFIWADYTSYKVTEWLVLFWVAC SVIISSVEYFDFIRQTSSSWLLKMCLIPIVACSFVCIISATIIGYSTKYKSY PHYBLDRAFT_182766 MAFFAKLTKSSSEKSIYPWSQRKSSSSNNALPRSAHCTIALPNN NILFFNGIHRGPAKRDSFILDSNSLSTSPYNSTGDIPSPRTYPIAQSVGNYVLLHGGE PLQEGDLWDPHLYILNTNNRQWARVRVDGVGPGERAGHSSVVLNGIIYVWGGQRKGKY LDDLYAINMTEYPHNLQWKLISPRNEGPMPRAGHVSVISGNEMYIFGGTDSERLYQDI WTFHLAEQCWSKIPAVGYIPAAREYAACALIDDLIYVFGGKGRDGVKLGDLCAFRIKG YRWYMFQNMGPAPSPRHSLTMTAIKEKIYVVGGEFDGKPEDSLMIHALDSSITTFTAK IKYPPEIIPVRYNTIPSPNLSSPTDQTRGFPQVYPTVSASTHLQSRITPLPATLPVSK STPLRSVHTRQPHPHEPTMARFVEEQRPPPENRNNPPDQISRFPTWRSYKSPKPALIS TTVPEAAIRRARNNSPLTYLDTTHDGNLPSGSYKPSEPAEKEYSLPPTANQSIATYNS QPPSPSTLSIHQNIPQRPPRIASHIGKTPARPSREGINLDTSFQLRSPIIDSPTLQKS SANGSGNIDLWNNALPSHISQQPNPSPRQSLSGAVSDKTQAGSQNEKESQNQSRAEKK KEEEEEDEEQEVSDHSISTKTTEQVPIKLSDLSDPFHNDRVLLLRELRSRDEMIKDMK KKEQWWKAEVTLARKARSSKGDFEDEKCNGDTDLMSIGDKDSKMAKLFNQLVSVKSEL RRVRASIVQQALPASQKLEQADRMRIMALQEAAYYRSKYVAMKERQEEELAKIETERA EKLESRLSAALKENQSNSKILQQLQKRAQFDHTARIAAEERVKEAHERAEDAQKAHQA ALEKLSDMYTSSLQTEMHLRNSSLRITELTNRLSEALAHRSASDELSEAAITISRLES TNIRTRNETASLKQNLAESMDDIARLRILLSEREEALNEANRQLEDSTIQLNMMKDAM SHGGRISTSDNAHTSTTKGH PHYBLDRAFT_149317 MYNELTYTPLMDHSSPLEILDLQFGAYVNDLFGLKRHPTEDLVQ VPKITIPADANLSPRQITIKKYWERFKRPIGVPLNHYTYVKVLGRVGFVSKGIVYAVM GGMCISTAAHLDPEVDGLQSPMGVFIYLGLFSIGTPLLIIMLIGISFYSTWRFWEGSY GQGGDKTQSAFKNFFRCRLSPIVSGCVYIVYLTYIGKLLAKTREERIALGDVTSDDCF PACWGHSEHWYKKAAVVIFGMAFLIACITQLQNVFTKRWHNDLMVHHCTRIEKWVMIT LGHLGFLARAGVFMFVGVYMFKVSRHDIEVKHDSFADAINQANNVKGGAVLLWLLGIG LIFFGVFAAGNAYYKYYPTPPPHRQAFYLEDSELHFEPKPKTAEEELTAVIVEIPEAT SAKQNTPPMSEIVTPNNNYPSGSSDKNPISIATTETMSISASQTTEDSDVTSIPSPVE ESVVSPGAPLMIPERPPSPWESTGRYRMRK PHYBLDRAFT_96085 DNTILAMSNLLNANVETGLKYSLAMAYHEDIRTRTAFMQVLANI LDEGAKFDSLADNLMTDRYEQMIDVLIDSDISVVMSLCSVCPPSDTASIMETILVCYE SREKIMHLLKEVIKKEVASTEQEATLFRGTTVATQLLSIFAQNCCGAYIQSTLQSVMD EINMLPEHMQTWELDAQKLKPGEDIATNKENVVHATEILLNAICSSSNKAPRIFRKEL SLIVDAVRVRFPEAKYSAVGGFVILRLFGPAIVAPENSMFSKLTTSRTGNVRKLLLQA TRIIQNLANNVFFGAKETHMIVLNDFLTSNIYKVAGFLREISTVPSEETMAIQIPAVQ LDHKTYHRVHRYISDNFERMGRDLSERKVKEISDTQKLLEWKRTLDKLSSLLAQLGRP PDISQQDLTTLSVNYSTANNNHYYCEFINRNRYRDVTTISSLNIFYQGGVSRSGRPVF YMILNKAKGENFDFELLTYYALCVMEPYIKKPFELLLDTTCLNTDCHMPAHWLNQLVQ LIFSEINDTFSALYIFNPNTQFQRYVRKLPRVLANRLLKRTIFLFSTVELQEYIAPSE LRLPKSTVDIDKEKCIAFSPVVRIANMVLSIPVTIKLGPEYLQITTVRKQEMLWGLNS VLNDIYHISEIDNVFPISSSKSTETGGGDFCIKTDHGQTSLIFATSKRNSILALLRFN KTRYDEITKPANIHENSINPTDVPGRLLNMALLNIGSSDPELRVSAYCLLCSLSEAFR FDVGNQLMHTRDLCIPANSTNFIVNISKILSHTESQLTLEFLAESFAGLYKSEKQMQL LCLEYMSPWLRNLAIFGRGGSSSNSRSLTKTKEILRLFIELTVSQPSLYKHIQAKVWK PLAEVDDMVNLILESFIQYSVESGVGSLRAESLADTFVTMAGTSVRAKVISRMRNTIQ RTLVQPCKNLVDHPAWAEIAVLLRFLLMLSFNNVKTARPYLPEIFHIVSLLVATGPAF IRASVHELVINIIHTICTGSDLSEDNEKKLQFLLNDVCDTKCRLNFGLIKSHGNAFVI SPEANTDASGPLNLTSLESVVRLLLDVLAIGASSTDVANMWRARWMSLVTSTTFQFNP AIQPRSFVVLGCLAQDEVDDDLIYQILIALKRALEEYDESDSYLVVSIMMCLGNIIHH LPANSRYVKSLFWIAIALVQMNRPAIFPAAIQLLQSVLQALDDSKLFVHRSLADVLME AREPMSDIAREIDLQSGVNFDTHFSFAVAGILLKGLINCDPKANTLQCLTTFLEIDCK RSVEQNVVKSRTIGYLAVLLPFAVENGALRELLRLAGID PHYBLDRAFT_116336 QRGLIAELKTAMVSFNDIYKLEPQVWLNDEIINFYMEMLSDRSK ANPKEYLDIHCFNTFFFSTLSESGYQKVRRWTKRIDIFSKDLVFAPINQSLHWTLGVI DIKNKKVYVYDSLGGAHQRGLKLLLDYVEQEHLDKKKDTLDMSEWTSSAPKDIPHQEN MSDCGVFTCTFAERLSRNHEMDFSQKDMNLIRRRMVLDISRKHIS PHYBLDRAFT_135671 MTVKLPDLIPPFRYSLVEENMFRGGYPKPKNIRFLKRLRLKTIL SLIPDNLMPEMLEFCENQGIQVVHLTVDKMKEDNIPLSYNKTLMALQIMIDPTNHPLY LHCLDGADVTGLVVACLRKLQMWNLSSAMCEFSRHLHTNVIASEEFEFVDNFKNFEIT VPLTLPRWLWNGEITFRKHSCLRLKFLNPDMMTEEERELRELKEKKEKEKEDFYKKRK NDLLDNLFDTVSPVNITRHRNGSHFTNNTTN PHYBLDRAFT_172172 MKFICDSLFLFGSLLLAITAVNGQYDIPDLPTPYVPPPRDYIDD FKLLHDELDKPMNGIVRTYYIAADEVVWDYAQESKTNELPKDPSLSIWTTASSTTLGS KYYKALYREYSDETFSTLVERPHWQGAMGPILRAEVGDTIVIHFWNRASYNFTLHPHI YQGVLYEFEMEGAVYKGATEESSIAPGHNYTYSWKALPRAGPGPNDGNSLVWGYHSHQ FKSNNPHYISYAGLFGAIVIYRPGKLTKEAVSEEVVTALFGSDENLSPYLTRTIKELG PQLDISGIQKDRTTRHAFYRSNVKQSINGIMYSSPSDLVVSRSSLTQWHLLGWGSFLD MHDVSWDNASVLMYGQKVENVKLFPASFRTVQVQATDKRGSFGLLKGKLAGHGMIMEF DTK PHYBLDRAFT_182770 MKFILPLVGTLLCSMTCTASSIFTKTYKSPLGVPLEGPPIYISK KIHDTKSIEFVEHSSYPPWLGLELDYAYVKPIVDAINSTETPLLTRGESHITIVTPPE FDTLAVAGVTIEEINAIAREQRIQESKFDIVCLGKAELVQDGKNYIVYQIIVESPSLV KLREKIFTLYYSKGGNTALFDPRTFWSHITVAYTISDLFVDQGIYKSANVCHRPLIAH PHYBLDRAFT_156127 MFVIKRDGRTEKVLFDKITSRISKLCNGLNEEFVMPPKISQKVV SGMYQGITTVELDNLAAETAADMTTTHPDYAILAARIAVSNLHKETHKQFSDVVNQLY NHKHPKTKTDNPLVSQELFDIVNKYSERINAAVDDERDYDLNFFAFKTLERSYLLKVD GRTVERPQHMIMRVALGIHGSDIEAAFETYDFMSQKYFTHATPTLFNAGTPRPQLSSC YLVQMKDDSIEGIYDTLTTCARISKSAGGVGVSFQKIRGSGSYIAGTNGTSNGIVPML RVYNDTARFVTQGGGKRNGSFAMYLEPWHVDVFDFLDLRKNSGKEEMRARDLFLGLWI PDLFMKRVESNGSWSLFCPNEAKGLYDCYGEEFDRLYTKYENEGRARKTVEAQKLWFA ILDSQVETGMPYMLYKDACNQKSNQKNLGTITCSNLCTEIIEYSAPDEVAVCNLASLA LPKYVDTETRTFDFEKLHEVAKVVTRNLNKVIDVNYYPVEEARNSNMRHRPIGMGVQG LADAFLLMRYSFDSPEARQLNKDIFETIYHAGLEASCELAAKDGHYATYEGSPVSQGI LQHDMWNVSGSDRWDWTGLRAKIAQHGVRNSLLVAPMPTASTSQILGNNECFEPYTSN IYTRRVMSGEFQVVNQHLLRDLIERGLWNDQVKNMIIAHNGSVQQVPTIPEDIKKLYK TVWELSQRVIIDMAADRAAFIDQSQSMNLFAAEPTFGKLTSMHFYAWKKGLKTGMYYL RSRPAVDAIKFTVDQLALKDMSAEERKIQEEKLVAMQCSLDNKDACISCSG PHYBLDRAFT_78339 MLDLLPPLLALSVQTLNTMREVEADDLRTMWTLFTKCKGQLEHG QRLENMAWRLWHHESTLTKRTDIACIERPTDPIQRQSPIDHFLSCLATPSMTTTEPNA VQFQKCRSSPPIPTPAISPNATPWSDSDPVNVVPIEVLSVPDSYDSPILNSQTKDKHT EDTPENDTTFAKTQPTLAPRQSLLSILFHKPPSDSANTDITNQQPAQLFMYMPRNISE SVQQDPALEDIQNSPNIKRSSSNRHQDSEWRESFHGW PHYBLDRAFT_149326 MTTPDHIDPFDRRRITRISKLLSSLLRHNAVKAKLNIRSDGYLA VSELFSLGSFRDVTMEQIEYVVRDNDKQRFHLMFEDDQWWIRANQGHSIEVKDIALDR IIDPPPVVIHGTKLACWDLIKTTGLKTMGRQHIHFSAGLPGDKDVKSGVRWTSEIFIY IDASKAMADGIEFYRSYNGVILTQGKDNILAPIYFERVVDKQGLLLK PHYBLDRAFT_116143 MAYILANKFLDDNTFTNKTWAEVSGMKVTDLNIMELEFLDVLKF RLFVRKEEFERWKAALFVF PHYBLDRAFT_66238 MDRLLWLEICDQPILRKSASVLLGKLDLDDVAHTDKLKVYAQNS LPVELKKASENNQVYLKVPRLIATPNTRSPAPSKNYPPTRCYAPSAMLKKDQCKIEI PHYBLDRAFT_149328 MSTSTKRKANDDSPEALASEFKRTRTIAETEFESDSDDQTSEDE QETQSPIYPQNKTRINEFGTIARVEVKDFMCHAHLKVDFGPKINFVIGHNGSGKSAIL TALTIALGAKATSTNRGKRLNSFIREGEDSAIVIVHLTNKGPDAYKPDVYGDTIQVER KISKDGVGYYKIKNSSGKIISTKKEELTAICDYMSIQVDNPLTILSQDNARQFLNSST PHDKYRLFMRATLLSSLMEDYDVVRESIDTTRTIVERKKQCLPDLQKKALEAEARYKG MIEAREIDDKIDNLNNEIVWAQIIAKEKQAEKTKMNLGEIERKAERSKEEATNRKLKI EQLEQEMAQKREEITGFKQTYEPNNNEKQRVRKDIIDQESKLRELGADIREINENVKQ ARIRINKCDRDIAAETAKIESVNKPKKEATAAKLADLEAKEIKLVQRGKELHEEKTQI EQRLREAKERYRPMDYQVRSAEKEVENARHLHKELVAQKADKLRAFGHAMPEVVSDIK RESRWSGRQPVGPFGAYLQLMHPQYAPVLEIILGKILNAFVVENFNDRNMLTKILTRR NMSHVSIYVAEYDIFSYSHEEPDEQYLTVVRGIKFENEWVKRQMIIANNIEKTLLMDD RREADKVMYNPPRNVNICFTKDCQSVGARSGMRTESLQQYKGPPRFKKDVGSEIVKAR EDGIKFAARAAELKTEQNALRQEIQGIEASLSEIENAEYANEGAKVKVSREIARLKEE EIDEGPQNIDAYVQEKENCENQVKLWAGQFSVCKKVEKETKEVLSTLQAQLDEIEKGE SEASRRLYDLQEDLDKLDNLRLNERRVLKSVEDYIIQLIDKIENEKKRLEDLESTCKE WISQAIVDYPNRVETTESLESLQRKIAHLDEARRRKEEEVGATLEEVESEAKDTLTAW HDAKVSIKRIDELIKKMNTALKDRMKNWDDFYMYITLAAKGHFAYYLHKRGDTGQLRF DQKKETLEIKVSTGDQVRKGSKRQKDSRSLSGGEKSFSQISLLLALWQGISSPIICLD EFDVYMDAVNRKQSMKMIIDSASDNSSQYILITPQDASNMTPGPFVTVHRLADPKRDN PHYBLDRAFT_66236 MTPFIIPFDILCEISKVLGPEDIGGCSLVCREWNTVFIKILWNS LTIRDEPHLKALCEIVSTPLGQKKKYNYVQGISFSNDVQVDEDQLKTLQKAFSCLDDL SIGYESLSKINLGTNSDWDHWKSLRTLELTVYTGTDGKDIAEFVSILPHLPSIEDLFY QRTNGCGRMCLGDFEKIHAHLPHLKELHIGTELSEISDNEISILETHTPTHSMKEVSL NVDPVDLRWIFYFGSKYPSLTRFIWKDKPDSGSPNIHMDETLGLFRRNPKVYFSNLQY VMLRGNSDNHALHTLFMFLLKQLQSPFTEFNYIAGGEPSFDIQGIFMSEILSRLPDTV DTIELDLEDHPENPVVLLNGLGECPALVSLDITAQNITFDVDMLLDCCQALKYVTLTC DLSISPEASLVGATHCLESVYFQISRISSAVLSRISSRCKNLGRITMHATRVECNPFL TNGSALLDMAGSHLNTFAIGGAKFYAPINDSGESIRIHLIAVTQSDQASSDKNHKRRR LSNGQSSRGIAEVKWFHLARFSESCKTQCTIWELNETEVKYTENYFKSFKAEQEQYVE GPNNPSGLDYLRKEDWKEDLGRGYLDLRVASIRITQL PHYBLDRAFT_66235 MTFKRYESYRICVQREFAFALVLYRFAHPRSYCTMEKKWGMNRK NMACIINQFSTMLISKFKYGLEFDSRQFSRENCAKFSEAIYEQCGVYKNIIGFIDRTM QKVCCRTNNDEQKHTGNGWKLYSEQHVHCIKYQAIGTPDGITSTLVGPFIGSAHDAKV FDDTNTLDRLILHLGQLGPLTPQVNYRIYGDLEYKKCKHVFRPYKEHEIRIHANGKYV NTEMAKVRVQVEIEFGKVSQYFKLCKSSYIMKFKGNTNPALVYILCTLFKNFHTCLNG SATKPIFGLTPPTIEEYISGLMRERQKHDTIDNVDTILNNASNLVQNKPIK PHYBLDRAFT_66234 MSIRISQIQYYIYFAQLAFSRIVSTLPPKAPYTIKHGDFLITTL ISSIKIKSMTPSSLSPHYAAQSPSGRKPVLVAKEPHISSPSNVGANSPENLPLGDKVQ LIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSELTELASNNFHFPMLVAWKNLP ATVARDASL PHYBLDRAFT_74908 MSNAPEEYKYHFDTLQVHAGQVVDPSTNSRAVPIYATSSYVFND SDHGADLFALRASGNIYSRIMNPTNDVFEKRIAALEKGIAATVVSSGQAAQFLTIATL ATAGDNIVASSSIYGGTYNQFKVVFPRLGIQVRFTESDDPEDFRKLIDEKTKAVYVES LGNPKFNVPDFKGLADVAHSEGVPLVVDNTFGAGGYLVKPLEHGADIVVHSATKWIGG HGTTIGGVVVDAGNFDWNNGRFPEFTSPSPSYHGLNFWETFGKAAFSFRLRTESLRDI GACLNPFGSFLLLQGLETLSLRVQRHVDNALELARWLQARDDINWVSYPGLENHPYHA IAKKYLQHGFGGVLTFGAKGSLSTFINNLKLSSHLANVGDAKTLVIAPALTTHQQLSD EEQRASGVTKDMIRVSVGIEHIDDIKHDFEVALKAANAPVEASLDNLTIA PHYBLDRAFT_126915 MGRLEGKNILITGASAGIGEACAREFAKEGSNLILAARRLSKLD ELKADILKQHPNITIYTVALDVSDKENIDKVVPGLLEKVKHVDVLVNNAGLVIGLDRL LDTSAEAIDAMFNTNVKGLVFLTQAVLPGMLARQTGHIINLGSVAGKQSYGGGSIYCA TKHAVDAITRALSIELVDTPLRVSQICPGMVKTEFSTIRFRGDQEKADNVYKGLQPLV GQDIAELIVFTASRPPHVNISDMLVFPTAQADSKTISRST PHYBLDRAFT_78336 MASSHSVNRDPPIGANIEGEKRHIPLTALSRRSSTATISPENGS SKGSIPKRRLESESTFTINSKRGNHIRRSHSDINHRKEHWAKPDAAPFGPSQSSATVQ NIIQTPTYVPDPPNWETNSAWCYLQSMHPSYKSIYLDRQSCTDGSRTGYVLGRADDCD ITLRLTQVSKRHCTIYMESGNDGRYKGISIYINDTSKNGTFVNGVTIGSGKRVMLKSG DQIRLFYPEATEACENDLTCFRVILPPTFEVGTFHEDYKIDNTLGKGYFALVSRAVPK KSGVPVAVKIITKSRCVRKPKMLPSIIQEISILMSLEAHPCIMKIEKVYNEPDFIYLV LELVEHGELFDYITKSKLDEEKTRFIFWQLFDSINFLHKKGIVHRDLKPENILLANMD TLHIKLIDFGLAKEQQAGEKLVTQCGTPTYVAPEVLKHSSTRAYGKECDLWSLGVMLY ICLSGYPPFDAEAVGPPSMEEQIVNGIYSFDHSPWENISSEAKKLIRGLLTVDPDNRF TIHQAMNSPWMLMDIEGLKRRIKRVHPQWIVRPKTAEIVEEDAITTQIANY PHYBLDRAFT_159789 MEEFMDVDGIEFIQQVNQEIASLRAHQTNSSQFYKGDSLSTLLL NGSHSNDSSSPVQSQPLVSPTGIEQCHQVIVLDTNFLISNLGYLQSLLTKTALHPNVI TLVVPWAVVRELDGLKYAKSRDKNGGATLGELARTVMRFLEKELRNKTPCLRGQKSNE IYDPKAKKALGDDSILDCCMYFMHSVSKYVTLFSNDRNLSIKAMIHGIDTLSSETRDK MNTFLVCASKGLLPALYTEQPKVPDQQTSKWEPKRKNKKKPKDGSVKTQTVSQAHELK ENNSMEIDHNPPVEQFQFIDEDVDMMEDDMENTLENVDAWSEFPEPAVSETIIPSHPL NKLDTSKEDRSIWTSKYAPRQR PHYBLDRAFT_66229 MKYLWVLSLLVFTLLLHIQSIYAQGVSKTGKKDGNAIFKSGVNV PQGKFHFKNIKTGKYLDFLPGNLPEPVAGKKSASNLWIVKRYSNKYFEIRHDHGTAKK CLSARWTKGVDDAGVMWQCELKNMKRSLGDQTLDNEDIEAIETNETLSKRYAPIVWTK QVWVFVPVSGKAKTYKIIAVDHLYDMTPKCLSHKPTGGKGKRGGTILKSCKYNTKDTS LYWKME PHYBLDRAFT_172185 MSNSLHQPNTDNIDNSKVLEQDIAANEDNITFQESANIRNLVGC IIIDPKTKYFMLISTNKQKKPWVIPKSRWYDGDDIKDIVKTTTWEEAGVLGTVRRRVG KFIERKNTTVQAYHLMYEIESNEVFDIYPQSKGRVRRWFNYQEAVMIVKDKHIRDALR MSSVSPPILAFQTSKKLEIQKEEAVKKKRDNKRKKMREKLGYSVSEEISVPGSSGIKI NEDASTSKSGLKNSTDSEENYIGTSSRNECSLNDVHTVPLDGLPSTSKTQNTTGISES GFSAEAQPISAQSSISKKSQQSEKSNTFTEGQLSQSTGKTSQEDTTSINRRRSWAKFI PMYNSKNKKRAQPEDLATKMEDLNLANQ PHYBLDRAFT_182778 MTERASSNLEDQRFKSIDQSFIHITNDSCHCNPALDYSHLSHNC SANYYSQHLMSLNSSTSHYTPILLDTLTPPYETNNALMHGPHCTAALSNNDCIDLLPG IKRKRQDTIGDQSASSSIYANAYQPKGQKTSNTDQIINQTNGFQNEYRSPISLVNSET YYRNTPSDLVHDNRIYPSIKQACISESFEAVSINESEAKQVVCILGNMLSIVKVDVSK ESERVCKDMSALRETVNQQTIDIYCLMTGFMTVYLVFIELLFQDLIGNVNRSSFVEYS SLFAEQDDILKKSDDIFLWHHSYTRHHTTILSSLRSQMQAAGIKFHSLQLIDTIFSQF YTLHFLETSAQKHQKDHGQFYTPQSVVQFMWMRCMSKQTLRDTLKTGRVPRVLDPCMG IGTFLCEFLTRLVSQSTTTPLLWNDPTMLRTMLSQTIPDALWGVEIDPFACNLGKLNI ILHLFPFYKRLVELGECLTPRMINRLRIFCNDTLKLTVESKPVTESGTEAQLWEKEQL EKLRDAALFKFDYVVTNPPYMIRKTGFIAVPDPELYDDSVLGRGSQAYMYFLWICLQR CEETNGQICFITPSQWMVLEFAEELRAWIWQHFEMLEIFQFEPYKVWPKVQTDSLIFN LRKRAPGRVPEQTLFLRHMSRKHNLESIIKSYNIFDRSRLEVQDPLIKYKLTSTEPVD FIHQIPHASFSFLSPTSSVSDQLMNLTKSLPRLCDGEMCLNTSSSCAPLVWNRGPNTN PVYALVVRTRWALGVFGKECCDQWLRPVFYWSGKSSSASRRRKTSGFQTVSKEATFWQ DRDPLRLTKKENSPAEAYVPLCRSDPDDTRLSFYSMILVDKDGALQLEEEYKLYGNTA NSSALYHYLLDARNALQTTKTDRNIAYCHYSKCGIETPVKIVHPINFGYFTRTQPRQR FFIDTDRRCVTNQCMYFTIKSEYPWQSADFFCGLLNSSTLQFFIRDTCYYDQQGRTRF FGKHMAKIPFTPPRSTIDVEIMAMFVRHLTTARQWIYGIIQLSNTLNVMEQVRGCTWH IPLVDQALLSLCEQRTPNWRIDLFRAPYDANDWINIIIQSESHTRSVGMYEELTRLLK VASLFQYCIDQLVYDIYSIPADLQKGLEQELGLILTETWKNILFEETSVKDHCLTWGL CMEEVAKKIFDKDS PHYBLDRAFT_116169 MGKTKKNTQRSERSPEAPSRTNSVVANDKKKVQPRENAEKEPVL LAAEKSSTKWRNMAIRTSTTLLMISAFFFTLASGYIWSIAAVMIITVLVYREVIQLAY VPAKSKNLRWFKTMSWYFLITTEYFLYGESIIYYFKEIVMVDAFLLPFATHHRFISFM LYVLGFVFFVTNLKKGEYKAQMAQFGWTHMSLFLIVVQSHFIVDNILEGLIWFVMPAS LVVINDIFAYVCGFFWGKTPLIQISPKKTVEGFVGALLCTLVLGFFVTTLLMRFDYLT CPVQDLAASAWSNVSCEPKNPVFTAAPWHLPSIVRYLIKITVWIAPVQLHTIMMACFA SLIAPVGGFFASAVKRAFKIKDFGDTIPGHGGLTDRMDCQFLMGFFAHMYYHSFIKMY NVSVGTILALVINNLSQREQVELLARLNMYLENQDIMTHNIDAFFQKAQSLLQG PHYBLDRAFT_21373 MIRAVANPNYSSRSEPAGGFKSFEAFYPFYLGEHCNRINRRLHL IGTTISIIMFMIALLKRNKNYFLAGILQAYAWAWTGHFVFEKNKPATFRYPMWSLWGD FKMWSEIGMGKRTL PHYBLDRAFT_99115 KKDRTVNEVMLKEALRILERLATTGQGMGKCADSEAQYILANFL GMGGLGLKVNHDRAFQLYIQASKQCHPEATYRAAVCYELGLGTRKDTSRAIIFYRKAA HLCHPASMYKLGMIMLRGYCNQTPVMREAVCWLQRAAKHATAQVPHALHTLAMIQITG ELGESTSMLADTAYAIELLLKAATFGYAPSQVKLGECYETGELVKPDDRLSILWYSRA AQLGSAEGALGLSTWYLTGSETQGLLDQSDLQALLWARKATIVALAMENDSKRWTLAK ACYTVGFYMEHGIGLDVPSIEEAIEWYKQSADNGHYDAARRLDHIRA PHYBLDRAFT_172190 MLARIVTSEWAQCNTLAGSFRHQTILISRTRSVLRQPHSTRGFR TSRNLFEEIKEPGSFPVQGLTTEVAQLQSAMLYLDNVFPLRLNKLDIRQLLFRSTRHS LEAKAHKSIPTEGMPHNFKIADVIPRKKDGGALVKFTFTSTPSEKKEAGKEITSKVFS YIENHSTLAAFNFQPMRAFYVKGQPFLEDLVARYPTQRLRVEFQGSPVEIEKLYKQMR TYGRIHDISMYPNPITSKDPVRYAIVNFTKVRSATSARNCLHGHVVEGTRLNVLYEQQ LNTNVVKDWIVGHPRISIPIIAALVAAVTYAIFDPIRVFFITSKVTHRFNLDEYAFYR WLRSETWARLMPTEREPANRQSVWTEDSEQIERLKSWVQEKPETFGIVSGPKGSGKSA LVMTAMEGRRNKILIDCEELSNARNKSELTKMLAKEVGYFPLFTWMSSMSGLIETLVT ATTGQKAGLSSSPDSQIQDILETLAISLREIAPKQKSEKDRKENPSGSKSIFDRLLEF INSSDAKEKIHTLEEKANLHSNKENSYNKEDIPVVVIDNFMYRETAKNASLWEELANW SSILIENEIAHVIFITANPGASRLLSRALPGKSFSTIILADAPTEMALMFVTKQLGTD EVDEKLSGIVDALGGRLTELELLTAKIKAHMEPEVAFNEIVSRNIIEILKYGLGDVAQ SADSRAEWTPSQFWAIVKGLSDQTSLNYDELKWTPLFNGDENPLREMERAEMITIVHH DGRPYSIRPGKPVFYTAFKRLTADSVFAASMDIEYNTQLRKIAETNIAKYEDNIISLS NVYYGRPPREINNRIRALLAKVEKTQKLIEEYETNINEAKKIISKDWQDE PHYBLDRAFT_66222 MLVDRKRASIHNLGNLKLALRQERKRLGTKYAGHLVRSVSRRSR ITIDSKGDPTQNGKSAMLILRDVPFDLIEGQAHKAWPTEIELLLWEVPTYLDLMQA PHYBLDRAFT_172191 MFLQSRLYILLILLLNFITKCRAPPIEQDYTIPSSGITVFSIVL TIVIGYLAHTLTVRPQKPFGKMDTNCFRLLLFIFPMSGVYMAIFAIYSRYCGDRLLGI DQFKIPLKKYEHRIRNKDITNFPSPPPLPPPSPPLPPSLQSFARISSIPSLSKETYGL EADQYVDKIKKVPSPDDWLSNTERLRDWLVDYMRTSQNIQFKKYDNAPYLAALLHTLG PEKARKVKHCIINNNLLLGFDSAKYTSSPGCVEQMTSNISVNGPGVNLRYQSSINVGL VRYMSIDLMNQLQTAHNVNSVPYTEALVTIVQLVYITIICIYANGDRWAKVPIFIYVA MSILQTVSLIFLHKQTTPFSIHYDSDVPWREIVEDHDVYTPKAVNRMYLSDKDNRLVN TWGDSRRDLLYKGSFLHFILERCEFKHGSEDIMTERSCHLMAIFSLIGGAVVPLLICI WADYSSHSTTESLILVWIVCSGIANFAMISFSNDETPIKVHRWLVAFYFLVSFGCLIS GTIIGYIPK PHYBLDRAFT_149344 MSFSFFHQHLENKTNLQEVIRAKLDLKDLEKDNEETITKIHILK SKTKLQKDMANLAIEYQEAKFKAEVEAEAEAEETNGLIY PHYBLDRAFT_156131 MPYHSFPSHNQEFIVDEKYTFEREIGQGAYGIVCSAVNTYTGQR CAIKKVCKLFERPILTKRALRELKLLEHFNGHKNIIGLFDVDIVDPKNFNELYLYQEL MEIDLHQIIRSGQKLSDDHFQSFIYQTCCGLKYIHSANVLHRDLKPGNLLVNSECELK ICDFGLARGYVDSTKAGSAGFMTEYVATRWYRAPEIMLSFQNYTKAIDIWSVGCIFAE LLGGDTLFRGRDYVDQLNRILFVLGTPDDDTLGRIGSERAQIYIQSLEKTEKIPFAKM YPNATPFALDLLERLLTFDPMKRIEAEEALEHPYLAVHHDITDEPVHPQTVDFSFESL NTTEEMRDTIIYEVQAFKAKKNNLRLDTRGLRRQGSITAITPDRVRSDRSEKHSRDNQ SGYSDAAIQSPLPIDPKLERDLSGMNF PHYBLDRAFT_172194 MRLKATVYNPVGLHRIVQTLDKFGPICVMSFSTDSIRFISHDER AGSIRAWVKAQPSAFLSNYRIQSRLEGCEIPLTLRLEFLLPITKIAQNATDVKISLKR SENQNREGRLCRLVQNISVVAVSQATMPAIRDPIAVNSPHVFIFLPSMNSLKPVADRL RQIGKYMYISANMAGELKLIVANDVAESDYELEDVRKFATVRITTEDFVNFMNSYQLV PDNVICSITDNEQLAFYLYINNDIHHQADPSVVRSSAIDQTVMTCFVPVCQEY PHYBLDRAFT_135684 MCKHVLNAQVSIRAQCCKKWYDCAECHAEHSDHALRKTDEMVFG CKKCKKVFRKDMTDYEEEDEFCPHCDNQYVIEALTPEARLGIETGDLRLDSRVVKDQR MKLAKDPTSIYDIEFSETIG PHYBLDRAFT_66216 MLHVSTDNVSTILNGIGIGIGIGIGIGIGIGIDIGIGIGIGIGI GIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGIGI GIGIGIGIGIGIGIGIGIFVIGIGIGIGIGIFVIVITFLITTITFAYAVMLLLL PHYBLDRAFT_21541 MLYDKVRGISSDPKAQYTKQLENATHYEEWAEAATMLDELEGKS FFFLFCLEKWKQDPRSPDYDWELVKTRLEQLRHIRESGQGQSSMIFALRTSLARNLGD IGNSTLYSHTRVGTKHLISDYIDEVVDQFNWLCDEPSDDPELDLKAKHDFFMNIRQSF GRTAILLSGGGTLGTNHMGVLKCLHSARLLPRIMSGASSGSLMASFICTRTDDEIVEL FKNFSDVDLFEKEGKPETPWMRLHRLITSGQLYDINILIDALRSNLGDYTFQEAFNRT RWILNITVSSSTNYDMPQLLNYLTSPDVVIWSAVAASCSVPFFYTSSPLYAKDKSGKI VPWNPVDQLYIDGSVENDLPMTRLSELFNVNHFIVCQVNPHVIPFLQKDNKPPSKVRQ AANFCMHLAKTEIQHRCTQLTELGMMPHALYKVQAIMSQKYSGDITIIPDIGYSDYLK VLSNPTPALIVEAITRGERATWPSKKERKKYIYIYHMNVM PHYBLDRAFT_182782 MRIDFPSSFSKVAKYLFIGYVFLSVVYTAKHFYSSHSQSPSQDT NGIFPRGPDDKTDFTNQHPVVVAAGQQQDLLNTPSQQQQQQSSQQQQVSVPWSGGVGD KDVSQSMSEQFLMSKVFSDAMGPSDLKPYYFKAQKVPEQEDITMATLVTRNRFKVLSR LATHYKGPISAAVHVNDDDTKEETIEELRALYAVNPDLRDYVDIHLIVDKFDRQFNMW RNVAKFFARTDYIMMLDVDFHLCTDFRKSIRNNPAIMDKLRAGKTALVVPAFEYLVQD DGLDWRTFPTTKAQLLQEVEEDKIDMFHKSWLRGHGSTNYTQWYQTPTDSALAQPYKV VDYNFSYEPYIIYKKEGSPWCDERFIGYGANKAACLYEIYLSGIDYYVLPADFLIHQT HEYPEETRRKERQYNRKLYSNFREELCLRYSRLFVATGAWDEPLADNLKTECQKIRGF RSTIKGPTEA PHYBLDRAFT_178050 MTIYQSFPVLNQQFVVDKKYQFIREMGQGSYGVVCAAKDSETDE QVAIKKVCRVFEKSILSKRALREVKLLKHFNGHENITSIIDMDIVNIHDFNEIYLVQE LMEADLHQIIRSGQPLTDSHFQYFIYQLCRGLKYIHSANVLHRDLKPGNLLVNADCEL KICDFGLARGYSPELDTTAGFMTEYVATRWYRAPEIMLSFQNYTKAIDMWSVGCIFSE MLGGKPLFKGRDYVDQLNQILSILGTPDEETLQRVGSDRAQVYIRSLPKMPKVPFQNL YPKANPLAIDLLNKLLTFDPAKRMTVEEALEHPYLSTYHDEDDEPAHQHNFDFTFEIL ESLDDMRSMIIREVMSFKDHKNGRSTPTLGPVAANLRRKDSMSAHDRETLEKSKEVAA QAKAAGVVEDTPDNPETTSTSASKDASTSTATANADTNANANANAKAIAKANAAIAAA STADYSDTLPSSVPNHPASPPTEVVELEKELSGLVK PHYBLDRAFT_102406 LEHGDLDSIDTKARYLAYGARLRTLIVASSRYLAYTSDIGEAFR PVVSPLLVKAAYGISWAYLGLDVGYESYKAIQAGKENSIVATIAVKRGIFQGLASMAF PMMTIHSVVKYSAKAFKDVKNPKLRAWGPTSLGLCVVPFLPYIFDHPIEILVDRAFEP IED PHYBLDRAFT_66211 MYKYERKGVVSVTLDWFWAIKHMETKNQNLKLVCMKVSVYKYLT AVLNSRHNPNQLQHDGTIPDRFTLVIQVGSTVRLSKDISGEVTILIIMRDITELPFDR ALGWVELDCIYLLTNSVIHKKLMSTDRLLSVKPFYYCYYYR PHYBLDRAFT_66210 MPSHCPSMNCTDQQHETNSQHGHVHIPCSEMIQRQKIAVLYQCK VCEKYFNRPSALQTHSYTHTGERPFACDSEGCGRRFSVISNLRRHIKVHKKNRPELQR NSRAYSHKRYQNVQRLMEKTACAPCVNNKQYLEPPICFQYNGAPAYMQLPPLLLRQLK RKQDFMDDPCSLATAQPGEIQLPPHNSRLFPSPFTLNQTFGKLSECSNNKP PHYBLDRAFT_159795 MSLGRDLKLNMSDEELWPAIQKVSRDTLNVQTIYIGREPGNYYH THLIKRLLCERVRWEMHGIKAIQQARSAVDRLQRRMIKCQEKSLTVGKTLSLLQALPT PPQVSASVTPSTNLHQSQLPAPPSRCSPQQLPAEILDLILSFDTSTTSLVKVARTSRL FYITVCSILVHRLRSQMNTLWTALPQQNGEPILDEMDAMNPALNRWEESDQGVGFREL EKRVEAIQELVTDVNYWTKQWVSRRIRAPVNSSSRTPVAVKST PHYBLDRAFT_188297 MVTLKQTHPSAPSSVVKVFIFSMPRVAAPKWLSGLLLLLLFLTQ LLSVHAEEVYLTSDDFYSTTCSGVWSKSAIPGGRTPFIKVTMGGKSHGKTAMLIYEWK DYNNIGYLDNKKQEMRYICDKKAVADKLCTNSTLNEFIVHVPAAEKNTTSILTTVFDM QPDKKEVALYTIENTGYYCVVLVPVGSSGENSTFFEAWVEWKFPYGELPAVDYPKLLF YGIFSLVYLCVGLFWGIQSFRYWQDILPVQHFLSGAIFFLTVEMAFNFGFWEAYNQTG KPCKFIYFILHVVDCMHGIQRRQKLLEYSSIVYLRPSLGSTMKKCVILACTHFFFGVI YSLGTMLLSPETAGFLVLLVVFPLAITMTAFYVWTLGSITQTLATLGKRNKKIKRTSQ TEIRRQHVKALMYKRLYRLLVFSVLMVMIIFILNMFNFSDRTQVDWAARNWKRRWFML DGWLNILYFIVFFVIIVLWRPTSYNSRYGLEQLSQDEEEAIALENSLRRAEGNGTERS GGGRNRSGRSMDGDDALFELGDDFSDDEHGHHKGQVGGNAPSHGNSPASDNRQASQGV SRTNTPKQNANEEESALLHVNQDDEDDEHLDDSEHAHLTANTRKRS PHYBLDRAFT_188298 MRDWLVMPAPSSSLTYPVGKPKTERDIRPHQHKRQQPVRRVTSS WRTVLSLFGDAETFKQQQRSQSTPTLLKNAENIEQVHHFVIEEEPESVMVSPSSASSF SAAESVVSTAACSEHSPLGTPEIKQVTETEDTLEVNTPVELTEFRWSFAGQQVYVTGT FDNWGVSLPMTKAPEASYFVASIPLDRSVDIEFKFVVDGIWSYAADLPHCTDNHGNVN NVFYAKAK PHYBLDRAFT_149357 MNLSNSGRDKCLQAVFMETRREFSPVSKQNSRNKIRKAKLNMEI KTNSTKQHGKNGIKPSISPSRWVGPKAHEESRLGYGYNKNKFLVIIQDYWLRTAKRAQ GRNNVNHKASDKAIFQGFFLSLDFFVRDNTNHWSVYPEDKGYQREFVSGSWCGKPRSG SSSAIWWSNPFVVDPIAASWHIVSAGSLVVYCWWSRIPPAGGQGPIRPVIEEHFAVPS LNPTSRIPEMVKPRFAFMSKSNSKFCPSQSQSPNVQTLSKYCRGAFIYKSKVWVVPPS LKAKSISKKGSNAKFQKQVPKEKPKTKSEAYTKSCKKNGHILSPPLQKDQKKSELEAY TKSSNPKGSNPKTEA PHYBLDRAFT_66206 MEIDDDLAWSEVMEVESPMEIDDDVEVVAPDADCGEKLVAHTCN PTDDDLQYVSQSVKKDCDTNKIPACVPQQVVDDKVEHDVMRLDAPIAVTALKPQSRSA NKSGEQKKGGESLPASVSGLLADGAKKVGECAPAVLGPMDHSKTVKPASQSGKKAKDI KVVAKTETASGSREQQPEETKAAPKVSMPGIPRVLASRAAKEQAKDSKGKAKESKVAA KTELTSGSREKQPDHSKAETKAPMAVTLKALASGAYMEQSKDPKVESSASVGRPKRSL VKGKKPAKSFDAPTNNGLSAAEFQAKARRESLIVLSFWVPSVDFGPNCERMLVKKQKF ALAWFLKPGTASSSKKVVETRIWLPGNPQTDNSRMTMAVVTPFDSEGSGPHIKMRSVR FTKRTSCWSVGPGAKPMRSEPKVDPEASGKFYGDTGIPRPFTVLFLGTIGVGWLEPFV RRVLVDFLTGPRPRSVSGGFCTSRASGVLDSGSFLGHGGPVGLSGLVG PHYBLDRAFT_149360 MVPITCFKIRRNERSLSSGRPQLLTPRDKRRIVSNIKKDRWSTL DDLVDYASADTGKNVNKVTMQPFLTKAHIAKRRAWYKTVQDWNGQWETVIWSDESTFV LYKKNSNRNLWGQTCERFDTDYFAPKVQGNDENMDGPRYVEVLRDHFLSFLHVLPVPS SGGYNFQKEHFRAHKSKAAGKFKKEHGIDVLEWVSNSLNPSPI PHYBLDRAFT_149361 MNSKVYNALCAAFALAYLLAPALIFIPVMLWEASPMPSAFCMAK PARKRRPSMAGVGATPFVDARPNGENRVEAHDNVMRQTILGLVSNWVGSLWSTGSRTT GEPSGGLLSERQGGILGHMSVDESSSEEEDVVCVGESNVFFGVGLREYIPHLLPLDKL ERGIDTMVSPVDGVAGTCGETHADLDEGVSSELVDICDEAEISVRLRFICEEFFSGGF ANPRLPARFFDTMLEEELNASENKGHCDSLTEVAEPECGLVSKPVEETFVFGQESVQT PSGLNNAGLNDPKPKFGTVQGLDEAFALSSWQSVNGFFWECLSTGLSTPMEIDDDLAW SEVMEVESPMEIDDDVEVVAPDADCGEKLVAHTCNPTDDDLQYVSQSVKKDCDTNKIP ACVPQQVVDDKVEHDVMRLDAPIAVTALKPQSRSANKSGEQKKGGESLPASVSGLLAD GAKKVGECAPAVLGPMDHSKTVKPASQSGKKAKDIKVVAKTETASGSREQQPEETKAA PKVSMPGIPRVLASRAAKEQAKDSKGKAKESKVAAKTELTSGSREKQPDHSKAETKAP MAVTLKALASGAYMEQSKDPKVESSASVGRPKRSLVKGKKPAKSFDAPTNNGLSAAEF QAKVARRESLIVLSFWVPSVDFGPNCERMLVKKQKFALAWFLKPGTASSSKKVVETRI WLPGNPQTDNSRMTMAVVTPFDS PHYBLDRAFT_149362 MSVRENLANLAQLKVQKDLFELTSESSGIHQKKKKGGLTIAFQG PQERIRQGSKTHSARHDYIMNTVPHSIHSPWLKHKTQATKTQNPANQTRQANRTTVAQ KRPRIKDSGSPTRTETTTHRSRPRTCEEVNKNPTNKRFQPTLQQCKHHPRMYTNGKYQ RQTGLKTSLVPPQPRLRTKLTGGAWCRSQRETIIQSFESESKASGSTFGSDRIGLAPG PTDQQDVRLVKRTDRILMCGPLSRFMT PHYBLDRAFT_149363 MNLSNSGRDKCLQAVFMETRREFSPVSKQNSRNKIRKAKLNMEI KTNSTKQHGKNGIKPSISPSRWVGPKAHEESRLGYGYNKNKFLVIIQDYWLRTAKRAQ GRNNVNHKASDKAIFQGFFLSLDFFVRDNTNHWSVYPEDKGYQREFVSGSWCGKPRSG SSSAIWWSNPFVVDPIAASWHIVSAGSLVVYCWWSRIPPAGGQGPIRPVIEEHFAVPS LNPTSRIPEMVKPRFAFMSKSNSKFCPSQSQSPNVQTLSKYCRGAFIYKSKVWVVPPS LKAKSISKKGSNAKFQKQVPKEKPKTKSEAYTKSCKKNGHILSPPLQKDQKKSELEAY TKSSNPKGSNPKTEA PHYBLDRAFT_172211 MWFDVKLSGVTVKELYLRQWVYATRHSKYRINCMIKYKAYFNMC GKLVADNISRFIIEFPKYSLFEFYSVFFLFSTAHNSVMVDQIIKSIFNRFFEYIRCSR RVPFSGSQDFLFIYIKNDGNTQQQPTMLYSIYQYFLSSE PHYBLDRAFT_116342 MSGRRSRSVGDIAVELGFKNVYNLEGGWKAADTIPHQPYAKNHS PWVHTIFEKETETAQYVVTDIETREAYIIDPVLDYDPFSSCVHPSTAKQIIEFVRKHK LNITKIIDTHVHADHLSAANYLKMHLDSKPEFWIGKNVTKVQDVFSKKYNFPKNDFRA NGEQFDRLIDEGDTWTLGGNIQCDALFTPGHTPACVSYRIGDAGFVGDTLFMPDIGTA RCDFPGGSVEDMYKSIHKIYDHWIDDTRIYVGHDYPPSESGRDYDVMTTLDAHKRFNK MINTHVSFDKYVSMRKERDASLKAPRFIHPSLQTNLRGGTLPSREQPADENEDPASVG RFFKIPVRWKD PHYBLDRAFT_149365 METLAHLSERTKHPNDLLSLRLLKYLSFLWRGPDPNSILTCRPP NYPVVTLGIGWNLTCRSNQLQYWRQAYPFRCLGLCHVPNCPACRTLPICEVPSPAHEL RLPVVDLAVNPYEPDTLQTTENSTEESDSINQARSTRSARSKASQYSHGPISIFHSLV RLSIAITSTCPWLVFRLLDILLSMIFNSTEDHYGNNK PHYBLDRAFT_156134 MYFNGTDFFGPTVILISLDGFRSEYLHRNITPNLDELASKGIRA EYMHPSFPPSTFPNHWTLVTGLYPEAHGIVGNTFYDPALDKEFIYNNNEITKQSEWWG GEPLWVTSALQGLRTAVDMWPGSTASVNHVRPNFVVGFNSTVTPLEKIDTVLGWLDLA QNERPQFMAVYVPQVDIVGHEKGPDSEEINDAIIDVDNAIGHLIEELTERNLGRHVHV IVVSDHGMSSTGPSKLIYYDDILSPESLASLREREAMPLLDIRPNANASFDRMVNKIY RELHNYTENSPDPHFKVFLRKDVPSRYHYSNTDRITPIVAIPDVGYTFVLHDEVAPNA QKTFLTLGHHGYDNLAPDMRAIFIAKGPKLNLRFNHGAVVIPFFNVEVYGLITELLNI VAAPNNGTLQGGFFATSLTH PHYBLDRAFT_66199 MFDIKEWQAITHAQQRRMNNSTNIYNDTNIHDDSSDDISDDSGD DNETNSEELEISRVAEMREQAMTLHAHLARAYNVKTLAQNIRIVDELQHACFLRSPAY FQEEKRMRDIFDGDQNYEWTYSNPNLDDGTGPNSFRAHYRVSRNTFNIIVNTFQNDPE FDGSEAMDGFIYPVWMQVAVVLWRLSNTNFGSTIASEALNISQSSFDRFTEKFLDGML RSFMNTAIRWPKTP PHYBLDRAFT_66197 MKQMTLLNPSVCPQIIISSSSIRDQLTSDLVISIHQDYGIIYTN TYLHTPSFAQVITGGDYDLFSSFMADPKPAHVPENHHDFNYQQSSYKPASWLASQSVL TPAPLTVHHRLIEGLLYKCSSLQS PHYBLDRAFT_172218 MFRQSEEHQDECRFFHGVSWNIFLRSLSPFDAQFYDKHAMINVN NTLKVISSHSTFQPVIKATVIWVKSSSLELASSYRLSSESCYLNWVKVSSSLSVIVIV IIVLN PHYBLDRAFT_172219 MDYAYQFASDEVYTLVNMQIGFGSATAFLGAVAVVATAIRASRC GLYHIYLTSALAGLFYLIKGGMQAGVGGSLLSILNGNFDEGSVVQLNYAYEFFLAATV PISWIPLFAIYQKISSSPETQGFKGLLNVKMLCITLSYLWALIIIVSDIGALGTLSNT KEYTYGTYESLLTAARAIQFAAFGTWMFPFLAAIQAYISFDKVRHIFVSLATYQFLLL IVVIGRTISGTATSGSVKVYLTFLFLLIDVAGVAAIFVAVKYGTTWIKDTISSENHLS NVTETEIESQPMEEIK PHYBLDRAFT_66195 MDSQEQVLLELLWFEENVFVPWYSILLQGQGDIDHNIFLPVVCK IIYFGQYHIARRAKTVANRTTYQSSSSPFDQTTVFVAGTGQDRAVVRPDPRKGCTCFW QWSLVYLRPQVSCRLFFFGVTMQKLLAMGSLPSVDDFFSNLGLFQITQRYSLFNVILA LVRFSCMRIQIWVNILGRAAKTAVWNTLIVAFSHRIHVRRRLSRVLCKEIVFFWPVQK ITRAYSRLG PHYBLDRAFT_126931 MDTSYDVIVLGTGLTECILSGILSAEGKNVLHMDRNDYYGGESA SLNLTQLYRKYRPGVEVPADLGRDRDYNIDLIPKFMMANGEIVRFLTHTDVTRYLEFK QIAGSYVSHEGKISKVPATEGEAISSSLMGLVEKRRLQKFFEFVQNWKEDDDSTHQGL NLEKDTMVTVYEKFSLDPASQDLLGHAMALHLDDEYLTKPARETIDKIVLYVASVTRY GKSPYIYPLHGLGELPQSFARLSAIYGGTYMLDRAVDEILYENGVAVGVRSGEETARA TQIICDPSYAPTKAHTTGKVVRAICFLKHPVPNTSDADSAQIVIPQNQLGRNHDVYVA CVSSNHNVCPKDTYLAIVSTVVETDEPEKEIEAGLALLGPIHDKFVSVLDIQEPIQDG TADKVFVSKSYDATSHFETVCDDVKSIYRRLTGSELVLKQRPTQEQEQADSTA PHYBLDRAFT_172222 MGPDYRNEALETSVLYTKYSIGNLIGCEMNLTNMLIYFNLSRVN EEEGYKVSKCWSWSQYSIKTLKSLIIDSYKIKNIEYEQACFILIAIIDIDTTYFTILT PVLTAKIA PHYBLDRAFT_172223 MSLYQEDNCCYRLYIGNGNWINLGQETTRELLAIYAKGVATRFE LVPGLAIDILPNDVDCNSKNTDLPMLMRADLVSVDPESANNPGKIFSSYIKDLLEKQG IVDVFPPVRLGEDLPLVTSLPSHRHLSMVPAPIGMSRRSNRPSVVKEARLQDPLRGFV VSSSVSSASTSHSYAPQNDNNNFNGIQPTATGNKKKRGKKGSMPKKATTTSLQSGIMT RSQQRHSKLLEEVEPDHSYGSNTSPGTWIDTAPSSTSLPPAYPLNQTSQQVFLHHRVP SNMYRKDLTVETSSAGAFYSSQNVFKQPSQPIFQQRPIGNYSSVAMHGIQYQNTPEAI PPYHSQALPGLSIFTSSLGSMDCDDDNSGESALRRSNINIYSTLSHPSTMTPIPANWM ERDMWNMGTQANIMDASDYGSTQTPSYSHFPMHTPEISYNANNINHENESSSQTGVIV PLQLGVHRYDIETKESPSSISTLGSIPLSSLYNDSSFFKSNEATDCCTTNYQQEEQPK RDSILEHRSMSPKDTPVLAPLTNSVATSRYTSPHHSVISS PHYBLDRAFT_116209 ADEIQAVSVDEALLEVASHVTQPGTGQEMDLATQIRDKIRSKTG CNTSVGVGPNILIARLANRRAKPNGQYICGLDQIQDLLSSIEVTDLPGVRKTTYPLPR YLKGVRIVKDLALVPLSNLQANFGTKIGQTLHNFSKGIDDRLLSTHPTRQSVSAEVNW GIRFENEEKAKTFIDDLAKEVSERLDSQQMKGRSITLKIMRRKLGSGAPLKYLGYGDC DTFSRSTVLPRATDDNQVIAKNAWNLYKQLNFTPSDVRGL PHYBLDRAFT_101810 CKLMDGFAIFIQLCLATAAFSTLVLKRQREKPQRPVRIWAFDVS KQLVGGIVIHSLNVVAAYIFGANPDGEQSNPCVWYFLNILVDTTLGVVILWLILSGFK HITSYFSWTGFESGVYGNPPMSNQLKQWGKQLVLYILSLVLMKFVVVLLFHLCPWISD FGRWVLQWTLGNYKLQVVFVMLIFPLVMNIMQFWIVDTIVK PHYBLDRAFT_182789 MSNTTRPKVLTIAGSDSGGGAGIQADIKTLTSLGVYASSVLTSV TSQNTLGVNGIHDLPVDFVKKQLETVLSDIGADVVKTGMLSSSDIIKVVVSVLQDYPK AGSKLIVDPVMVSTSGSRLLAKDAVQTFVDLLLPVTFILTPNVPEAEVILGKAEGSIK THEDMCEAARQIGSKGTKHVLVKGGHLPFDRDGCRVVVDVLYTTETGEIYEIVNPYIT TKNTHGTGCTLSAAIAGELAKGLDVLPAVINATTYIQHAIEDTLEDIGQGSGPVNHFH GIRSMPYAGKSFVKALRDSLPKGMWSEFIDHPFVRGIADGTLPKESFIHYIKQDYMYL QHYARSAALAAYKSPNIDMCARNAKIVLHVHGEMQLHLKYCTDWGISEEEVLSTPESV CNVAYTRYVLDKGATGDQLDLQVAMAPCLLGYGDIGMKLFNCPKTKREGNPYWTWIST YADKPYQEAVTLGNELLEELALHSVSTSVSRFKEVCETFKQATQLEIKFWEMSLHCL PHYBLDRAFT_126936 MADQLSEEQIAEYREAFQLFDKDGDGTISAKELGVVLRSFGMNP SDQELQDMVNDVDADGNGTIDFQEFLGLVKNLKTDNDADDLKEAFKVFDADGNGLIDR EELRRVMASLNEALSEEELDAMIREADENGDGQISFEEFKSMMGGK PHYBLDRAFT_66187 MDTGIEVGMRPSRQRRHLPTAVKDACMSTKSKRSDKLHPIVESH QSAQTSQEETEPVVVVSSGRFNSSARRRRRLRDDNRLVSVRDRQETVGGISRPTSTRW VFVIGFVLWIVFVVVRVYDGYKRQDYSQISLVRFLSKQLVPFSCIRETKMKTECYSMT KANNHASDALVQDPFLFLFLYTEFSRICNYRYEPTVQLADSW PHYBLDRAFT_172229 MTYDSPNDPDRRYQARPYVQDYQDTMPVLEDGLILMDWTGSQPT QDMVYPPISVIPNTFHSFVQPAQTIHPQPAATPSSSFVQQPAVASVPVPVPVPASVPE PVLDTSKPSHPEWGVLKDQEFHPLTLKHQRDLENLYQTGASIIDFHFWQANLGGYCMA NMVQKTVVSPDGCCALVRRVVEGAPHPGRKNKKRGMG PHYBLDRAFT_89734 LSLVGLTLLQLFAIIIFLKGFLLTRQTLDLKGRHYDAWERFPLQ NPGHPSPLSNINISTRQPFERLIIILIDALRFDFVTPLPQTSPDQHYLNQVPIIHHLQ ETQPSASLLFQFRADPPTTTMQRVKGLMTGSLPTFIDAGTNFASSAVGEDHVLRHVSQ KYKEIYFMGDDTWVNLFPETFDRENRTFASDSFKMFDLDTVDNRILSHLWPLMEQGVS WEVAIAHFLGVDHCGHTYGPSHPNMARKLKEMNTVLERLVKQVDQDTLLVVMGDHGMS VEGDHGGESVEELMSALFLYSGRNLTLSGERLGYDPSQHPIVSQINLVPTLSYLLGIP IPFGNLGAIIPDI PHYBLDRAFT_78322 MDIIMQEPSLARVYTYANVNQPKDYYEYDALQVNWGFQDNYEIS RKVGRGKYSEVFEGLNVTNGQQCVIKVLKPVKKKKIKREIKILQNLNGGPNIIGLLDI VRDPQSRTPSLVFEYVNNTEFKILYPRFTDYDVRYYMYELLKAIDYCHSKGIMHRDVK PHNVMIDHEKRQLRLIDWGLADFYLPGAEYNVRVASRCFKGPELLVDFRLYDYSLDMW SYGCMLAGMVFRKEPFFNGHDNYDQLVKIARVLGTDSLFTYLKKYNIKLDHQFDDILG KYPTKPWSKFVGPANKRYISDELFDLLDNLLRYDHQDRLTAQEAMDHPYFRPIREQES DKTK PHYBLDRAFT_66183 MFNSIRTNPIMQSPYVSQHRTRPGFLGHIRPSPSFRDFSLPSAT SIKTFFGRSFTHLGSAAQVGISTEESPMPERTKSKLGSRNTEKKPNNQDKIQTKNINA NTNTNTNMNTSTNMNMNTSTNKSKNKNKNNSRLPSEPLMYYNGPYETLDQLPEDKLQW IKDSSPAEGVGIPQLSAHSLYPIVLRKPHKEYTRPSEIQYADGKQAKAMFYLQVLQVI AKNHAKPSHFRCSVEYDRSIFVGSFGSSEKCGKNTIQTELEETFLCDIDEPSSITLKV YAQPRTMLHRISHRAPEECVGQHRFDATLEYSDKKLRRYTIGDGETSGSGAATYQVLV VFGTYVSHQAQTLLTNRPVFSGYMTLYARGQRSAKWNRYWAVLYSTDLRLYDFETKET RPPLETIPLACFLYGFRPPVDDDDGQVDVGAHGLALQFSKLAALSQEAEGEKEKEEDE DVWFECRVYILPDSVQMSREWENAFEYVRSLLTGFRDTVVMPPQLVPSRFLW PHYBLDRAFT_182792 MLYTTKFDEKSLLSFIKWCNTKKVLYMNQEQERKVLKDQNGSKV RYRVLWTLKDEYLNGITLSITEHLPKYQAYIKNLKKNNFTVIGYARKSPGQEHQEVRV GLVQKMVNKLYDTLLVDKVFVTTSSRANDTITSRDTNGKNAQLTLLNQVHGNTQDLLE YICTSKNDCLVAIDFAGLSTNTSDLYDFIVAHGSIKKIIIDLSSSTGFMKYYNRDDII DNPSILKDFDCRKPCYKRS PHYBLDRAFT_135708 MLSLIDSPNSPIRFLILDCPTESTLGYYIDEFVQLSVATVVRCC QPTYSISRLQEHDIQVIDLPFKDGGVPPPQVVREWLALVEQARDQEDQQTIAVHCVAG LGRAPVLVAIALIEMGVKPLDAIEFIRTKRRGAFNKPQIAYLDTYKRTMKPRSSGSHY SLRSSLERMFKFGGTKAVTPVSQHI PHYBLDRAFT_149385 MFASKTIVSGEVSSRLLTTIHTDEDEIRTLGVVLSLAGGLMVSF VVVTGLYIYWRCCGGRPYRKPAAVSLTSFLPPSPPPPSLSSIQTPTTNSWTHDPPPLL PPAAISATAGAAGAVHLDMPIPSAPPAKEFNITTTETTGSHQHQHQHSHSHSHSHSHS DSHAYPCPCSTSIIDPWIQDLPPVYTPPTHMK PHYBLDRAFT_159803 MQPHSLFIITGANRGFGRAIVTAVADAAKHKTTVILVGRNANEL ENVVQSVKDNVNIDTLLVSDISLETASEAHDTIFVKIKHLIETIQSGYPVLTRAVLVN NAGTTGDLSKKIGEYTAAEIQNYVNVNIASYVTLVSGFVNLLKEKPFDPDQPTPFPPA LTIVNISSLLAVKAFPHWGLYATGKAARDMLLSVLAEEESSIRTLSYAPGPLDNEMQA SVRATIGNEEQKQVYTDMANKGTLVKMKDSARKLIRLVDKADYRSGAHIDFYDKE PHYBLDRAFT_116157 SPSPDWGLSRISQRLSGTFDSYTYEATAGSGITVYVLDTGVNTE HSDFDNRASLSINLVHNEPETDMGGHGTHVAGKIAGKTYGVAKSARIRSVKILGQSGD GTTASLVKGISHVIETAEPGKSVINLSLSGPKSRMIDEVLSKAARDYNIPIFVSAGNA GTDACYFSPSSNQDVFVVGATNINDEVPLFSNVGQCVHLYAPGSNIKSTWIGSSTAIQ SLDGTSMACPHVTGIAASLLSTKNYRNVHELYDEIRSIATKDLLKFKTVVHISQSHNL LAYAPYYL PHYBLDRAFT_149387 MQILSIQKRIISKCQRRQSSTNNTTSRASTFTSSMSQCIKGLAS VKKAFSCNKVQNTVAPSLISSSSTISNASYISALSDDENFSKSCPVSEKSMALDSLIF DHPSVTIHITPTAYRSC PHYBLDRAFT_149388 MGCCGSKEERDEEDVNAPLLNHEATNDNRMNYQSTETIDAKKEQ DFWNEVIERTTQQVSYSPYDIL PHYBLDRAFT_149389 MTCRHLIDISSSQADPLQSRDVQERIDKYRALLDQMDSQPVSST RMGGPKKEIYMSDHISPVDILAAAKPNEGMTETQVDWLYQTMDTIQDSLQQIQVSPAG EMVVRLTMPGSTPIRAF PHYBLDRAFT_188306 MSQETENISQTTENTIQVPTTGPVEAEEQKGSWKRINFQEEQDT PTSISTPKTTTIPTNTATSAESDTDTDTDTDTSIHTPKQLAPRQEKHQGEDDEEQDDD EEEEEDMFVDSLSHDRPEELSSVINTLREMVDETPELRKDENESIKIAEIAAISQAEA KIGSQHDLVVIAEDTILEEEEEEAEKDAEHHDTIVPIASVQVTPENNRLSCEDDSRSD SDKTLEVSNSEPGSPITEDNSTDKLNIVLPTEGFNRALDVPADKNMADFVTPPTPGAA PKSDPSHYDDENDCSQISNTNVFPIGHIDMKNVKANYMGPRHQEKYDIEMLHGVNAFF NNKFSKAKGIFEKRASKDPLYALGLGAMAFVKAITSSDSSDTDVAIQSLTETYAFASA QIDDACANKPLIDTVSHYFTNLMGSNPTHLPTNTKPLKQDKYKDPPIFISNGALRAHV IRAECCLLIGLIHMTKESVVGYLKMGLNLRRAYSSYSLVWQEYKRMGQHFNRYMDQDT ISAIQYGIGSVHLLLSSLPPKILKIVAAFGWQADKHLGFALLKLCLEGRRIRSPLASL TLLSYYVSLTSCAPQILARDLIQPAVECLLDAQKTYPNSSFFLYFAGCVSRLAKNIPL STQSFMYTFKVSQGGWAEVTMGHMATYEIAFNSAMSLDWASAAVRILELQSKHTNSPV FLKFFYGACMEMLGNRTEAILSFAAAPHETDKKKRSQVDQYISRRVEFFEQSGYQDID LCLPGLEILFLWNSFANMTPACLESCLEQVDTTLELVYEREKQEYELRVFEVAPNTPP PDYYEQRASLLVMKASILNALERHREAIVHLNWVLDHKERIKPVSWIVPFAYWESGVT CWGVQDYKRARAMWETSQSFSNYDLEFRLSIRLNLVITHAIDLGVPETIAPKPEKGRS TQGRKRMSIVHKLTGSVSSK PHYBLDRAFT_95948 CIRVFRPDKTFATLQVPLNTTTAEFMHRLAGKFFLQDLSKYSLV LRQHTRERALQPNEKPLMIQKRLLEQMGYTEQDKLEDVGREDNSYLLRYTFGSNLIHT IEEEGPPERYREVNFEGRNISTIPIFLYKYSSRISSLDLSKNLQIEIPLDFAESCHNI KKLILANNEHGTIPASVRTMHTLEHLNVSGNQLRDLNHIRLEELQRLTTFKAINNQFS SLPKAFSILNHLTILFISNNCLSEFPDVICEITSLAYLDISFNKMASLPDNIGDLVNL VGLFAIGNHFEGSLPASFAKMEKLVEIDIRQNRITELDILYLLPKLEVLLVDYNAVSV VSSQFTNLKKLSMFKNHLTQFNLESFGITTMLTELNLSCCKLSSLPSDLFFGMPCLET ISLDSNTLNTLPASIGSLQKLIKLSVQNNVLDSLPAEISKLSLLRILDAQKNNLKVLP NEIWMCASLQILNCSSNLLESFPEPYTTPSIALRLPSAESYVNPTANNTAIDTYTGHG SSTPVPPGEYPSHAYPHLDDDSSVSSPNSALNAPPDFNPPSFFASPRNHPPPLSLSLR HLFLGDNRLTDDVWSPLSLFLELRTLNLSFNYLYDIPPEGLCHQHLYELYLSGNYLAS LPADDIEKLTFLRVLALNGNKLQTLPAEIGKLRKLLVLDVGNNVLKYNISNWPYDWNW NWNLSLKYLNLSGNKRLEIKKNVPDQHSPKEKNMSDFSALKRLCVLGLMDITILGVSV PDDYQERRVRTSLSEVNNMGYGIADWLAPNNHISTWDLVMPRFRKNDDECLFGLFDGR KTISNGCKLTHFLYDSFKKHFIQEQAKTRNDDTIISALRRTFLSLEKELGSFTTDTSV GASAVVCYIARTKLYVANVGDCLAIISRNNGQAYEITQKHIPLNPSETSRISAAGGFV SKTGLLNNKIHVSRSFGYFDMIPAVNANPYVSTIELTEYDEFVIMASRGLWDRMSYQT AVDIARTEKDDLMAAAQKLRDFALSYGASDDLMVMIIGVGDLFDKRDKRLRNMRGNGS GRGGSGQDGSGMDDGSSMMVGKNKRRGKEEFLGDSTLARLEKEVLPPVNQLALVFTDI KSSTLLWETQPEDMRSAIKIHDAVMRRTLRTVGGYEVKTEGDAFMVCFQNITSALLWC FTVQIQLLEADWPTGILETEEGLEVVEKGVVLYRGLSVRMGIHWGTPVFERNPITNRM DYFGPVVNKASRICNAADGGQICVSSDVLAALRNIPGVFNEDKRSAIPTDSSASISSV GGFSVNKDILQLKRLGFHVMELGERRLKGLETPEMLSFVYPKQLSGRMEVNKS PHYBLDRAFT_66173 MVMVMVTVTITITITITVTVTVTVTVTVTATATVIVGILVAIAV AARTSQVRPITKKCSLTTSAINQNVLEYKCFDLQVNYNTIPPKKISRVINDIKDLGQV SKITRLAKVVIGQPVSNSFTCLILDPG PHYBLDRAFT_99963 MALSKHPNLLRVLGSFVHGSKLYICIHIILGSCLDIMKFGFPEG FEEQTIIVILKQVLEALCYLHKSGDIHRDVKAGNLLMDEDGTVLLADFGVSSSLMESG ERGIRKTFVGTPCWMAPEVMEQAAYDYKADIWSFGITAIELATGHAPFAKLAPLKVLM MTLSKDPPTLTRETRINKYSRTFQEMVSLCLNKDPTKRPSAEKLLQHAFFKHNKKKEY LVKAVLAEVPTLAQRPHKTIPQRDISITNIDEWNF PHYBLDRAFT_21395 MRSVGKNLDIDECIDRLLAVGRSEKIPRSICFKNSEIVAICRAA QETFVRQPTLIELHSPVKILGDIHGQFHDLIRLFDMGGYPPDSNYLFLGDYVDRGKQS LETILLLFCYKIKFPENFFLLRGNHECANVTRVYGFYDECKRRTSIRIWKTFVDVFNT LPIAALVAGKIFCVHGGLSPSLNSMDDIRNIVRPTDVPEYGLLNDLLWSDPSETAVEW EDSDRGVSYCFGKKIVNEFLAKHDLDLVCRAHMVVEDGYEFFNELTLVTVFSAPNYCG EFDNFGAIMTINEELLCSFELLTPTTHPTRAIPLSSSS PHYBLDRAFT_182797 MENPYACHSASLGGVRYTPPSPSGLFDVSFKDIPKPKPTKTSSF KKPAPSSLPSSPSSSTPKPRPSQNSTQNPKPTNTKSARNPHLTRLSHPSSSVTRSQTL RSPTDPGLLRLNNKTDLTRKKKSSQKEPPVAWTTASTLEPSEQSPWTRADVIHAIKQH HLQQIKALDSLITIPHTKTIDDNKKPCLVLPTLEQAFGELQVTSAHLIEQQLMVITQT LQRDSQTIAAANIELKNQVSQLQHSHVALKDQAAHLQAALTQAQLETQRTRSSLRAAI DAQTKAEDDHERLRMAHAKSEWDLLDTRKTLSQYKACFGALVPQKGNTGGPLQYQQQS KGDQKSLLDEARQSNAELKARIVTLEEKQIELEQECMALMDDMFDLERDHGVLPASQS SRTVDDSRQQNQLERYRLQAETHHKRYLALEESTKAKIDALQTELAETEALLESRILV EAELETSLAIERRRADQLAEKKHLSEWLPLSPVSLSFSQSTESLHESAVLYCEICEVE GHDVLSCTAHRSDYDILEQLYCENCDLYNIHSTHDCPNHDEMF PHYBLDRAFT_66169 MLPLVPVETTPVEVLGQGNWLKLELLNYKDKNGILRTWERCVRK KKDPNGVDAVDIHCILKTPEPELLLVIQYRPAIECYCVEFPSGLIDPNESPLEAAQRE LMEETGYQVDQSNMNLYSQSMCYEPGLTNSRCHVVSTIIDLEQSNQTKPSSQNPVVQS LEEDEWSLQTISLPLKDLQGHLTALENSHKGNLAIDSRLQAFAAGFAAGLGHTI PHYBLDRAFT_78315 MPRHILFAYDHSAIANHAIEWAVHHNLLLPNDNITVATVVNEDI VAIEGSFGLEAAAVGPANWIADDFNQRVTQLEEHANKALEQVVEWFRTKGYKATPKLL IGEPGEALQGFAEASKVDMVVVGSRGLGFLKRQLLGSVSEYLTRHLKCSVLVIKEKQE KSA PHYBLDRAFT_182799 MTASTSSEGLSNQPVRPSSVTLVMQSDSADSQPRSSSRRSSTHD TILGKPRDRTTFKGVMTKMVDGLNDLLSSHTANKTMAIGTPYNTKHVTHVGYDASSGE FTGLPIEWQTLLQQSGITRMEQEKNPQAVIDAIEFYQGTRSQSEDVWHKIPKASSTPK QSNSQNGSLKYSNSAKTTSSNPLKHLSKLKLSQNGKKPSHTKAASIATDKHVYDSRSE QVKEVVQSEDPISEVLHSPDPSTPPAAQRRPSERHNAKDTEVIDRLMEICACVDPSAL YSNMVKIGQGASGGVYTAYRVGDPIPVAIKQMNLAKQAKKELIINEIIVMKEARHPNI VNFIDSYLWKGDLWVIMDYMEGGSLTDVVTCNMMMEGQIAAVCREVLQGLHHLHSHGV IHRDIKSDNVLLSLEGNIKLTDFGFCAQLHDNEAKRTTMVGTPYWMAPEVVNRKEYDH KVDIWSLGVMAIEMVEGEPPYLNENPLRALYLIATNGTPQLQSPDTLSEIFRDFLAKC LRVDPELRPSSGQLLQHPFLLKADPLPSLAPLIKASRESIDNEK PHYBLDRAFT_172248 MHSIDEKAAYKRELAIDRLRNYIIDWRAGFRRQHGRGADDADII GQPIDEVYQKYIELKRQRKLLHPDQSSSTRTEETNQTLSATKRTRGKYAANSQDDENE NESPGQKNKKIKPMMKTKPIKKIEVEQPEELSEEQFEEQKRNLERVYNLFRRRPTAAL TAEEEEEKKKEEKEESIKKTTKFMEPNPNSNRSVEVKKPHTRHKIKPAPSDADLPQSV KDFMVMMLGPQDPCVVQHRSSDVKEKSPFFQDQSQRDRILKEIEEGTFGMPKQPIIPK TVKELDEDEDDTQANIDTIDERPSYKKKPIQKRQTKLHKMKAVEDTSVEPDTKKSKRQ EKARQKAEEEKLVKGRLLKILSQTRVDVCAKVDACTKESDHSIQPMLDQFMNQDKDVQ P PHYBLDRAFT_91038 YSFDHVFDDQSTQEHVYNEVAQPILDEVLRGYNCTILAYGQTGT GKTYTMEGDLSDHAGKHGPEAGIIPRTIYKLFETLDAQGAEYSVRVSLIELYNEEIRD LLNPSDETKSLRIFDPSGGAGLHILQKGSMYRSIGTTKCNDKSSRSHSVFTLTVRIKE TLPGGDEVLKVGKLNLVDLAGSENISRSGAENGRAREAGMINQSLLTLGRVINLLVEH AGHVPYRDSKLTLLLKDSLGGRTKTCIIATVSMAKVNHDEIVSTLDYASRAKNIQNRP QANEQMSP PHYBLDRAFT_135717 MKGGGGNIKVVVRCRPLNAREIARGAISLVRMEGNQTILTKPPS QQTKSSEDTKAFTFDRSYWSADKTASNYADQQVVYDELGCELLDHAFLGYNCCIVAYG QTGSGKSYSMMGYGEDKGIIPRTCSELFDRIVEKTTSSLEFQVEVSYIEIYNEKVRDL LNPRNKGNLKVREHPSTGPYVEDLSRLAVNSFADIDHLMDEGNKARTVAATNMNETSS RSHAVFNIFLTQRRRDKPTDLPTEKVARISLVDLAGSERANSTGATGTRLKEGSNINK SLTTLGKVIAGLAEQSIQQENKKNGKKSKEAFVPFRDSILTWLLKDSLGGNSKTAMIA AISPADFDETLSTLRYADQAKKIKTKAVVNEDPNAKMIRELKDELQALKQTLMVYAPE ERNRSVAPPKPETIVFSDGTGTPKYLTKQEMAEQVQITEKLLDELNETWDQKVYKTEL IHMEREKSLEELGIYVEKNSLGVYTPKKIPHLVNLNEDPLMSECLMYQVRPGITRVEA PKEAYIRLSGTNILEEHCFFENEANIVTLHPGKDSLTMVNGMRILQPKRLKSGYRIIL GDYHIFRFNHPEEARKERDTLLRRTSSLNGHNNLAISRPESPTVSIMSDRESVPQFSD IVDWNFARREAALCSYNTGENSTIVHMPDEDLERLFDDVSKVRLRRLRESSYSSSDGG VSMDTDSVSRRTSGSSYRLSTLSAASTFQEDSSVDESYFPSKFGPELTMDRNELFQQA KEEMQQQLELQRQEYESQIQSLSMNLLSRTSSVESLEQPSDYTEAEATLARKVIEQWK SHRYAHMTQVISRNTPVLQHANEMAFRQDEGANYRFIVIHDNTSVSSQSFWESSSSYV DAELLAENKPCIAVHVTDQKNKACYIWSVEKFRMRMRYMQGVLYTTDRIPKHLIVEEA FYDATHSRYSLLGLARTPLRNLAFQVPIESVLNVFCRNTGKSICQLKVLIAPIARSVL RGKSTAAAANNSLIKNGKTTKDHQANKKLLNVGQQLVFEVRMLVLSGLNEEEFTRVHA QFRLSAFGTSTRNSSSDTIFATEPIRNFGSSPVELGYSQTLSMTVTTEMLDAIMNNCI TFEVFGEIQPDKITQAIASVRRRPKATASTTAASVVVPSRERTNRVNETRHDVLSWIQ LCEMAPEGEYKPVMIMHDQGSVFSLRQGQQRRIILTLSHDSGNQLEWQDITSLAIGRI RLMDTKGRTADLVSSSEIPIKLMPDQILSPSTDGTSSIIVQGAWDSSLHDSLLLNRIT PAGHRVVLTVSWKVRCGKHDEPLKFKTDIYVRILGATPSDQIKRASLFRNFFSGLPAE RTLSDRMSSIFSVHICPSVCSPPKGLESDITFQNGHQDTENKTQSLELLDTYRAGHQR ISCKEQASFMRHGLVLHEQILQRNGPVEHIPLSQKEKEALLHKVIKLWTFKPQLSREK NFMVLPMDKIPGSPGRIQRWSSEVHEIIPNSPVTMKGPLSRFEKDTGKWEKYWCVLRK PLLFLYKDQTEISEASLLRITSVAISDDAVQTPNRITVYTHNNSYLLQVNDPSSLMEW REAMDPLSSF PHYBLDRAFT_182801 MAKTVSIVAGLVAAAAVTYKFRDDLIHNTSDIHNRLTKANENLQ VTAQDNTKTFTKRTTILESVPVLADSQRYVSEKFVPSVKHSWNEKVRDTAHTIVHTDY VGCAQKLWEDKVMSQLKK PHYBLDRAFT_66162 MWPLIAILLLSISFHGVRGGGSVVQPIQDAPTSVSANSTLDTTA QNTTTQDWSIPLSWTMTGNSMQLFESTHVCTMLTSPAVGGVYQGSTSMAIRWLYDNDG IQKFRTELNRAVDMSIDLWWVDNQTNQTGQIASGVDPLSGVYLWDIPSQLSSTFNKRL YVVLQPSWTGLTAEEASDTSTFEQDNGLDCISHPQVAGPFTMLPQSRPLFFVTGPDPG TSQQEGTGLLANLPLGRLFIDMALPITWYCTLPNIATIDILLVPTDQQNASDTVTIGK NLNVYTARYYYSVPQSNTFSMERSYRIMLYGYTVNFTQSPFVTWAAEGPYRITDPYGL TAL PHYBLDRAFT_149402 MQIRIFLGSLASFTWISMVFGAPVSQRNAERSTPDTHATPVLHI SPSDLLAFQNSRGISVEYQLPESGLLDREEEEEEVDDGEEDI PHYBLDRAFT_182802 MTITDKPTPLPNKVDFLIVGGGPVGLLSANLIVQAGFTVRILDI EAEPNHWGRGDWIHGRTLELLERAGLADELLKTGVKVEKMATYMNGTIQSTMPFVPDQ VESKHQYLLCVGQHITESSLQSILSLNDVQVERPSTVVKMEKCPDSIYPIKATVMNMQ GETSTEEIECKYLLGCDGAHSDIRQQLGIANEGETTETHAGVLDALVRTNFTGRRDVC ILQSDHAKTISLFPRENGLTRIFVHFNENEHDLRKEQHNRNKIQLEDIQREAKRALLP HRIEFLGILYWTVYVVGQRQAATMDSHDNRVFLCGDAAHSQSPTLGQGVNTGFGDVFN LIWKLCLVERGQIKPEVLSTYTAERHPIASKVLSIDKTAAKAAAGHKSEDYCMAVQEN RSFTTGFGIQYTNLVNTDPLVWNSDCENTRYVMQAGMRAPHYKVVQFSSGRKVRLFEA HTESTPDWLSFTILVLANDLKKTSEEIKPFLAWHNNHQTSLTTRLMVVTTSTADTVAA YDLGIQPELIMLDKLNRAQCHTGYHQKTELQAENSDDIIVSIVRPDGYIGTLLRGTSG EALGKLAQAYFDRFCVLH PHYBLDRAFT_66159 MFTDYDNPMKTIDPSIFMLRGFFYAITRIQGSYAAAKLFSGCIL RDTINGHSILVNTVEVYGCINNPNIYSESFGKVKDGVVATSLPSTTFKPLYPGVLSAT LQKFDGMKLIIGIRTSNVLVASRIRLDTKEDLLVGSVNLGFQLMTFKDSMITKLRQLR SRFDKPSGYTLCRASGSLTDVNVCQTFITFTLADYDKGRDTGANLFRLIEILVLKHGQ AALPSKVKCQRCYPEIHGQNSNNPLINAISVSP PHYBLDRAFT_116257 MIQEINLNFTFFFLNEFRDVWILKGMYPGIKPDSVVGADGVGVI TTNGIEERVLLNSGRGWDSDERGPEGKFGIIGLLPYIGTLAEAAVVNKNDLVKCPAHL TTAEAASVPLAGLTAYRAVFTKGQVRAGDHVLVTGIGGGVALFALQFAVAAGATVYVT SSSQDKINRAIKLGAKGGINYKDEKCIDKLKELLGSNLLSAVIDGAGGSMYGQYPKVM RTGGIISNYGQTVSGKGVSFSMMHVLKNIDIRGSTLGSRKEFREMVDFIDKHKIKPVV SFVWHGLSMASMDSAIEVMRRGDQFGKLVIEFDSQNRIQKL PHYBLDRAFT_182803 MISVLTFACIYLLGGLTSVPIILYGAYYYSSSRKQGKLAYMTLD EHYHEKRGWIMLSNEYQDNPETIPSTGLMAGIQSYINSNSSSSSHNSNKKAREPLYAV IKHNTMFVFNSEQEEECRLIIPVHDYNISIYPPRTTDAGIFGRTSSILLEPKKPSNTK KGSEEYNLQHSLYLKCTRATDKEDWYLGLKAASTLMDDVDSVNQTSAEMVNSTMFSLS AADRWMSSVMRPEEDGHTDWLNGILGRLFLGVYRTDKARAYFEAKLVEKIKKMRRPGF VEQIKVRRVDPGQSVPRLVKPRLVSITPQGMLVADVDIEYDGGLSVEIETDVSWSYSS RRKPIRLQLVLAVKLKTFSGKCMFKIKPPPSNRCWIGFYETPDMTWEFTSIVSEKQIK LQMVMNAMEAKIREIITDSMVLPNMEDFKYMETDGQGGIFGTRIARDPEVDNQDRLEA YALYQLEKEKEKNKNEKAKNDKSKNDKIKVKGKQKESKSTTTVGEQDPSSVQERPCVL TTTATTTTVKIGPDSLTTDSGLEPPLRRVESDTLPAPNMLTFEKTTHSAPNLLLENEP LITDNESSVSDSVEETETMSDRQDSESVYSTSSKQAKSIISAKNSLLSKISRRISDAR DNPPSDLPKSSFSTKKTMSQLATSFLHKAKPDPETTAIFTERIAGFRRRSGSLGTHSP SLTLSREDNQSTSIKIISTPPPKPSRTPQLSRSLSTTQSKPPLPPRHAVPSSTDLSSV QQPEEQPQEQPEEKPSQPPLPPRLSVPPPIPARSIAHDS PHYBLDRAFT_78310 MYFDLPTAHERNSESPDSNNKNKKPIVADERLIEIINNIQDHWG SPPIMTPETLAYVLNNQWVKDHQLMPLDSPRLTVLNTRANHPQENEKERDGLASEPTA SSVLNLVTELCRTMRHINSSDGSAMNDILMKDPHIQSMVQHQKLDNELSPQQVLSHVT QLLSKHNDLAEESKSTV PHYBLDRAFT_135718 MPTVNVDKQALFKALERDYTSEEFNELCFRFGIELEEDTSEKEM AERGGKNASGLSDRPLLKIDIPANRYDLLCQEGLARALRIFEGKQKGPEYKLVKPANG KLERLIVKPETAQIRPYVVAAILRDVAFTQENYNSFIDLQDKLHNNICRKRSLASMGT HDLDTIKGPFTYEALPHKDIKFIPLNKNVVMDGDQLMEHYTNDKHLGKFLDIIRESPV YPVVFDANRVVCSLPPIINSEHSKIKLSTKNVFIEITATDEHKANIVLSTLVAMFSEY CAKPFTVEPVEIVYPDNTVKVTPLIDRRLATAKIDYINSCTDLSLSSDEICALLGKMS LEASLNPKDKNELLVQVPPTRWDILHACDIMEDVAISYGYDNLTKRMPSVNTVASALP VNKLSDHARRELALSGFTEVAPLILCSHDENFKFLNRVDDNTEAIKLANPKTIEYQVV RTSLLPGILKTLNSNKKLPLPIKLFEVSDVGFKDETKERRARNERRVCATYTSKASGF EVIHGLLNRLMEMFMTSLISKSSTKLGYWIEESENPTYFPGRSADIHLRYVDSETNER KEIVVGSFGVLHPTVLSNFDLTHPTTALEFNLEPFV PHYBLDRAFT_31546 MSAIKVHARQIFDSRGNPTIEVELTTAKGVFRAAVPSGASTGIH EALELRDNIKADYVGKGVLTAVENVNKLIAPALIESKINVVDQKAVDNFLLELDGTEN KSKLGANAILGVSLAAAKAGAAEKNVPLYVHFADLAGTKKPFVLPVPSFNVINGGSHA GNKLAMQEFMIMPTGAKSFSEAMKIGSEVYHVLKGVIKAKYGQDATNVGDEGGFAPNI QDNQEGLELLVVAIEKAGYTGKVKIAMDCAASEFYKDGKYDLDFKNPNSDPADYITGQ QLSELYKSFAEKYPIVSIEDAFDQDDWENWSHLLSNSDFQLVGDDLTVTNPARIKTAI EKKACNALLLKVNQIGTITESIQASLDAQAAGWGVMVSHRSGETEDTTIADLVVGLRT GQIKTGAPCRSERLAKYNQLLRIEEELGDAAIYAGEDFRKAHDL PHYBLDRAFT_178057 MPPKTRRERKAEVAVSTTGGSTRATQSGPVSSSTVPAQQAPPVT LDYKKLNPKTTHYEFGGPIGAFLMITILPCVVILFAFGCDNIGYTPFHRLWAIASDLI AGRFEWEFLWSLVTSWKAVALLWYAGFVAQLATFSIAMPGDTVEGTRLRDGTRLSYKV NALSAVQTMTTMTLMSVRGQGLKLPLWVHANFAHIAVASVIFSFIVSIGVYVYSFFGK RLLSLGGNTGNHIYDFMIGRELNPRIGNFDLKFFTELRPGLVGWVVLNICMALKQYVD LGRVTNSMILVVLFQAWYVFDALWNETSVLTTMDITSDGFGFMLAFGNFCWVPMMYCL QARYLADFPHDLNIWHVCAVIILQCVGYRIFRGANSQKNTFRNNPENPSVKDLTYIQT KSGTRLITSGWWGMSRHINYFGDWLMALSWCLACGFDSIIPYFYSIYFLILLVHRQRR DDSKCKEKYGEDWDKYCEIVKYRIVPGVY PHYBLDRAFT_188318 MSLSAPRRMQDTNENDTEMMPIFDLDHLIDTLDARNSLSSCNIS TDDDDTESLESCDSITFQPSNHLEMQGNSYYDRAENETESSQTYYILPRIHDVEAQQR LVSSSDQENDDLEKEMKPAKNSGRKWGCIIFLAVLLIGWAIWTLSLSRLSPDAAVESN AAAPKYVDFEDIFKEDFIPIRSQLEWVKNGPQDGVYTYRDPRTNDILLESVEKRGSRI FVKAKDLIFDGFPLEVSSFEVSQDSQYVMLITDKVEQWRYSYRANIFIYSITDKTISP LTPYSTVAYIPQISYAVWSPTGHNLAYVMENDIYTTDLKRHRRITFDGSSTVFNGIPD WVYEEEIFATNFALWWSPDSTHLAFLRFNETDVPEYTMQMYTASAKSYPDEVKIRYPK AGAPNPVASLHVHSLVSRTSVMITKRYAQNATIQSSSLYKEFEDHDRLITDIAWATDS NTSLLFKQTNRVQDHEITSLISIAPSLNESRVDLIREYIPDDKGWVDSGRNMVYLETK SNGTIIQYLDIADDGNGFMHLALFTASINKELSDPVWLTFGEWEVIDGTVVVDKKNHL VHFMSTERSHLERHLYTLSLNSKKPAATKKCITCPNDPKEHAYYDAKFSPMAGYFLLN YLGPHIPSAVVKKVGNASFENPIQDNHQLKQLLKKYELPRKRMVRVKSGGVEMTAMEL LPPDFDITQRYPVIFNVYGGPGSQLASYQFELNWHSFLASQLKYIVVTVDGRGTGFKG RKYKVGVRGRLGELETIDQINAAKHWSNLDYVDKSRIAIWGWSFGGFLTSKVVEANSG IFSAAMAVAPVTDWRFYDSLYTERYMKTPEMNPKGYIKSAVNNMTGFSNTEFLLVHGT GDDNVHFQNSAVLIDKLTKADIHNYRVQFFTDSNHAIQYHNANKNLYYLLTEFLWKSF GGKEYIHLRQESHGRFFGPLVEDH PHYBLDRAFT_172264 MLNPFAHEFKPIQSDPLPTLPKKAVPDKRKENKRRERKKEDNNQ EAITVEKTIEQSSSAQTSFTAKNSSQKSKAKSENIQINASINAKPKPAKKTFPSRQTK PNHSSGPHSSFTDPPFQNSSRRHSKKNEETAINLAPIASVFDTPSKFITIETAIHPVF QMRPEQNIERSQKGHFSGTTSGLTKLDHGYERYIDWIERSLKTFNMITIVGMESAVVD VVSMVAILQQRSIGEHEEVETFSIRDGKRWTCGIQVRLH PHYBLDRAFT_149414 MLSAPMAMNRDVNQVQQKSENRFISPMHYSQSLIEISEFPDFSE FLHVFALIGLLLYLHTLCPY PHYBLDRAFT_149415 MTPSSLSPHYAAQSPSGHNNRVHPNCPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFEQGLHEESAVNSTSSPSSTQ PHYBLDRAFT_149417 MNRQHMIWLEQASPTESRLLRLPKELILKIMSYVAVDSQTDYPS SLIELSKTSREIYYLIHHDPWRLLTLWRCAFHVRFDTAALYRRRLDRYIDWKAVMNRR FKALYGCRAYAQTRQTSNLQYLDWEVIWEMLTEHDDRNVWLLHYYDVPVAAADAFTHS DGSNHAIILPILSLLVNYDFKLTDALRSSQNSQSQIVCPSLTRMAYHPETDVMQTTDM ATTFSPAQDSSSSAYHLFFATIFAHHPTPYQSIPGCVPIPLFPLSSALFDVEYLRRYE RNLFVASLSESRCGWQDRPVIGSVENVYASTGFGSQSHYVTEAHRIEGEWIGYYSFQD EGDNDSDNSSTSTISSQPGDWVDGPIRLSLHIVPLDPHVHSSPQQLSSSYLSSSSSSS SSSLLESSSLYLSSPPYCKTSENTTDSLGFPSNHLRTCPLTRFEGTGIDGNGAFTVSG LIDDSEDGQITWEKSYVESEETWEYSGRFVWPMGLCGRWGDEEYGGPWWIWKAASSDS IALPLPVLKPH PHYBLDRAFT_188319 MNNAIQDSNQDTIPNESQSQSSKKTPQEINLSLIHYILVSPFAA LYIVGRALLDVLRLGVYWILWLCEQAGPRIDNWLFETVTESIPSRLGQLERWWNDRGL VWCRECIDYINKAVLPAVVTGVERGFGWFCSICAAINVGWTAACHTYTAIVNMHDWQA LFLKIWRPLESFCYRTHRILVLVYRVCYSGAISLWEDIRSIYGGLSLVFDTVRSSWLA GKIIDAIRSGVAWSSANFQPVLDKLHKQVILPVLTISGVLAVYTVERIARVLESDGFR TRILRFRQALFSNLVWAVLDLLDMVKDINIWVGCLINTVVVPTVILFVQHLLPRLSKA HQRLRYVAIGVFENQVYPVWVRIYPHTRPLLELCHKICALAVSQDWIVERLGWLNKWA VSGCRRMIENVGPVVYSATEILSRCFWSVCEWMGSQAPLVAKAMEQMRRLVEELDWAG LQRDGLAVYWVVYDAVSVQSDLLFGSLERALTTCELEQSTAKSKGSKQL PHYBLDRAFT_149419 MTPSSLSPHYAAQSPSGHNNRVHPNCPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFEQGLHEESAVNSTSSPSSTQ PHYBLDRAFT_78303 MFAQVRTLSTLSGVMRTPIVRALPLTHRSMTTAASNSQSKPERT IEKKTLALLEDSIKKEKSRPVVEAVQRYQKIHRVGDTYHPEDLNDTRYQESLRQRRGR PVTPAVDPFDALGLDPLHEYKNFRLLSHFVSDMGKILPRTQTGVSAKNQRKLAHAVKR ARAMGLMSCTSKYVSPMQYWKNTY PHYBLDRAFT_149421 MTSTREVQTLAQVLNDFRLNSFHAERLTQFIGDSDIIEGHIRTG MVQLIDAAIDLEELDQGDQVKRLQKSVPDFIDLEHKYSSQKETIQKIKQKSLQSGGTK DMIKDYEDEIHKEEDEYNSLSAKQKYGENEFMSEFKQAVWNVKHPNEEMPENEEVEEE DGGDDDDVIVSSTKRSLTCPLTTTWFEDPVTSYDCKHTFSKKPIVNMIRARHGIVQCP NPGCNKTWKMGCFFQDTLMERRVGRAKMIVEEAKNREFYDVE PHYBLDRAFT_78302 MLYSLLLAILLSSYAIPILAYKTLIDVLSDEGQYTTLIKHLQYR RLVPYINDIKAGTLFAPNDEAFGSYVPGSVSKDQLLYHLLPNPMKGDEFFDGQLLGSL YVRPGFLGPADASQLIKITKEGFTGKGRGKVLVNEAPIVKKDIWVNNNTTIQALGKVL FPPHMLKKQLEDKPSLFELLKRLGIDTLLNEARPYTVFVSRMDVLSKFNAIEQRYILS EYGQQDLSGLVRYMVVEGALFADAFPVGESSHKTISGEYITINKSEDKQLTVDGIRVS EKDRLAANGVIHEVEDTVVPRSIWFNSRKYLYGLNATAFVELMDQYGQAHYIDSLIGN YTFLIPSNEDLEDDMIPETVKPSWLRYHILGGTWTKDDFQNSTLVASEFRSEYLGGAR QRIPVYVETEGVQDISSRPTGKSVRFGRSRILGEIVSFRGSMFYQLSEPLSLPDDLLN KLVVDLELSTFIATLYVSKVARDMSETHGITLFVPTNKAFENLGLVAKYLMHPSAKPE LQSVLRYHAVQQLLYSSVLAEGVHEVETLGNVTLRITPTDGQLIVSQPDGSGAATVGV SDLLVSNGVVHKIDQVQIPTTVSITNRQLLIGIEATTMLELLNKANLLGEIEKPDYIV LAPSNKAFAQIDLETLINDPYELDRVVRLHLIPKAWQDTWLQNTYRDEGEYPTLLSEW DKLLISNMGQGELVVGVKGQPEKVHAQVTGMGKASTGGGVMEIDTVLTPVRRGLFGLP WLWSVALVAGVVSVTALILALCGFFIYKVWSRRRLGYRAI PHYBLDRAFT_66143 MPIGNRFYAAAYLLSIASLFSASVFAVDDASDVAFKKLGGLHME STNALVPDASSEHPLVISHPEDQPVCNPNVVIEPSHKFKTLQGYEAIVLTNAIPKPRK LILDHADHLLAVSGTNSLYSIRMDECGNPNVMLLIDQSSIGNQIFAHGLAQYADHIYV TTPSSVWQFNYVDGQHTPLTGGIKVLDNINIDDDSAQPDIAIDPFGYAYIPRTVTELH ENVEPGHAIIKRFNFRQIPEGGYDFDNDGQVHAIGANSHGSMSFDAQARLWGIEAPLG TVDRSDMGGDITEKGLAGEINLYEFPMENYGFPYCFTEYDLSSYTANGLGKGTQWGHP MFMNETMDLDSYCQTLDNSQQPAFPLTSSSNAIAVNFYMGESCSVGDLESMGTSVGMP CNWTDTPIVAYHGQEGGPEGHSVVHLGMDDLGHRPRWDKREEIIFEAAEPCSSDDLPC ISPVGLAVDSFGRLFISSDDSNEIFRVNRIYNPKAAQELTDKDNAKEAAADAAADAKE DAEMEAKEQAQEKAEQDAAEAVDTAKEKKDESLENDSEDQDSEKTQKSQKISKMSNV PHYBLDRAFT_159816 MEVILPFITEKNYNGLIETCEQLELKHAAGQSNIDLSDIDATYL IGYCLINDLDSARFLRKRILARDNVRRPEVDFAWKVCAALWDQRYSDFYSALHDFPWS DPIQSLVASLQENTRERMLVTVANAYTSIKISDALYYFGMPENELVTALLSKGWTYDS SSKILTPNKPELKIQDISSNDKFSSLAEMILHLEKN PHYBLDRAFT_66141 MIRSDRFNAPIAVHSSFNCGELEYAYNFEQPYLALTDISNSNHN DNDDNDNDNDGDEAIDVPQTLEPEQYKYEFPPKSYLRALAYLDNNQNFSFFDETSFTT STSTTAAYSVMLGSEGGDSGSFLGYYSSFLSNSTDRPEENLADNHNDNVQSTRMTSSL SPIPRQQHRPTPTAHSYSYSNSHSHPHSHSSSLFYSSSSSSYSYPPFDTNNAPNKLRN INHYSQHQHQHQHHNSNSRLSLSIRQSRKHSNSNPNLNSNANANANANANANANANAN ANANVNANTNSNSNSSNAQSRSRSRELSSDSVSTVTQSNTYHQPVHPSSFLSVSPNSK SLARVIEEDEYEYEVVVGEEDDDDHEMIWQEELYSPLMQYNNNRVTPNSRQNWHSRDS SCDNISDRDLSDQDDLDLPVYWNESEPIRDDISSRDINDNNSDICSEPTIILIRLGRA QSSRMTKGRCPTTVTVFGSDEGYDDEDDDENDDTKEEPIESCSIFLPISGDQQPDQQD QEFEVEIESEAEEREEIVEDQGQEDQEEEEWFDSDHMAAIKIQSAWRGYWARKSIRTR NPNTLGAELVLGVVRLCGSVHQRQMKAMTRRLENLERRLREETAMRTEFEKAVADMTV LIDSQQKALCERVEQEVAMRETYEHKIELALLHIGPLEDQLRAETDARVAMEDRIERV LDQMAELGQVQVNQELEAAESRKLMQAQIDSLQQMQDLKTNQQQQQQQQQQKQSIQQP AQVQMHQKTLTSVSKPRLVSSRIAPKTSVTSSGISVKPRRTLVPPSLPPLKAGHLNTK PNVSGTSTVTNAARKTNSRR PHYBLDRAFT_135727 MGKVHGSLARAGKVKSQTPKVAKQEKKKPKTGRAKKRQVYNRRF VNVTATIGGKRRMNPAPTAGP PHYBLDRAFT_178058 MAVGKNKRLSKGKKGLKKKVVDPFTRKDWYDIKAPSMFEVRQAG KTLVNRTQGLRNANDSLDGRVLELSLGDLAKDETRAFRKIQLKVDEIQGKNLLTNFYG MDMTTDKLRSMVKKWQTLIEAFVDVKTTDGYLVRIFTVAFTSRRRNQVKKTTYAQSAQ IRQIRKKMFEIMSEEAVVCDLKELVAKVTSSASASAQDSIAVRIEKACHGIYPLQNTY TRKVKILKAPKFDVSALLALHGGASAGDSDVGAKISKEFVEPPVLTSV PHYBLDRAFT_87497 PTKEHFQEVPPPYSSFSKKRKMSIVAMAALSSFFSPFSANIYFP ALNMIQQDMHTTTDLINLTVTVYMVFQGVSPSFWGGLADNWGRRPVYIMTIFIYIMAC IGIALAPDYKTLLGMRMLQAFGSSSVIAIGAGTIGDVAVPAERAGYMGMYSMGTMMGP ILGPVLGGILSHQLSWRWIFWLLAILSSALWITLVLFLPETLRKLVGDGSGYANPTPF QWYKNYKAKRVHTRFLSVDSAMTAVAHHDKHEVVAKPTKSRFLTLPNPLQSVLYLLEK DVAVVLMYNSLQYAGFYSVLTSMTHLFTDIYHLNELQIGLCFLANGLGAGTGSFTAGR ILNWQFKKIANELGLDESQTRRGNMDPSFPIERARMSITWIWGIAFNIAMIIYGWLLH IKAPIAPILFLQAILGYCSTSTFNATSTLLVDLFPRNSSAIVASNNLARCLLGAVAVV IINPGIAAIGVGWMFTTVSLVLFVSRILMVIELRYGHQWRLE PHYBLDRAFT_126966 MANTAIETKKRKRSHQANKAGKKQAKIVEEPVKEPTPEIEENEV EEEEEKAEEQKEEETKEDVDPLAEIAEEVDESAPLNLDFGSVELCEKTKNAINDMGFT SMTEVQARTIPPLMAGRDVLGAAKTGSGKTLAFLIPAIEMLYRLKFKPRNGTGVIIVS PTRELALQIFGVAKELLKYHQHTYGIVIGGANRKAEADKLVKGVNLIVATPGRLLDHL QNTRGFVYKNLKALVIDEADRILEIGFEEEMAQIIRILPKERQTMLFSATQTTKVADL ARISLKKGPLYINVDEKKDTSTADGLEQGYVVCESDKRFLLLFTFLRKNLKKKVIVFF SSCNSVKYHAALMNYIDVPVLELHGRQKQQKRTTTFFEFCNAEKGILLCTDVAARGLD IPAVDWIVQFDPPDDPRDYIHRVGRTARAGGQGKSLLFLLPSELGFLRYLKHAKVPLN EYQFPSNKIANVQSQLEKLVEKNFYLNQSARDGFRSYLQAYASFSHKKIFNVNNLDLA KVSKSFGFSVPPKVNLAIVSSKQ PHYBLDRAFT_149430 MSSDDAWLDSNVTSFHVSSSRFSCRPDLDFPKPCHLLRNHVVNL QYIFNDVPFVTNRIAAIAVLHIRRLELEESLTNGHGRVVNVKGGPEPMDYIVDQNEFI VETIATHTEYLKTTASSESSLTCPILIEKTNGKDIRMKEANTKRDYRSSWMFTFEERR DGLTSRTCILTEEHKAIVINFIDAGLSATVVEVTEHLLKQFYNLKVSCNTVYNFMRRE CNLSLKKSRFSFYREKQSSED PHYBLDRAFT_172278 MASTTTSEVNNTVIKKKVIQHWQVFLRAPSVGHFRKDVGHLRKD MGHFRKDVGHLRKDMGHFRKDVGHLRKDMGHFVKDMGHLRKDVGHFDYSQMMGEIWQF MLIASWTVMLFFTYTPCVMVIIEEYPSSSVTSPWMKSQASCLVLGHKPNDPEERKKVK EHLEKSGLIAVHIRNHDPFQPVAIGINVYERDPFKFISYPDSPTIPNRARKPKLDNTV IPFCKDRLLNLMKEIATDKNPLNDNCYSKQFLTAEDQYQLMCSKDLGKIRAFTKKSAK TWAKLMGSYEQLRAFVTDTSNSVKAYWKYLQHDTKYITIGYARKSPTDETKESRIRLL QLMVNKLYSRGKCGEVFVSPICKADQPILERDSPKQDDLLLNLKGSHGDISDLVERVH FSQKPFRLVIIDYAGLSMSPNDIRICLEQCSNIKEVVVDHSSSFEILSRFDLLKSNGL EKFKCRHANVKRSK PHYBLDRAFT_172279 MASTTTSEVNNTVIKKKVIQHWQVFLRAPNMGHFRKDVGHLRKD VGHLRKDMGHFRKDVGHFRKDMGHFRKDTGHFRKDMGHFRKDVGHFRKDVEHLRKDVG HFRKDVGHFRKDVGHLRKDVGHFDYSQMMGEIWQFMLIASWTVMLFFYIHAMDEK PHYBLDRAFT_149433 MSQPIKIDKPCHLLRNHVVNLQYIFNDVPFVTNRIAAIAVLHIR RLELEESLTNGHGRVVNVKGGPEPMDYIVDQNEFIVETIATHTEYLKTTASSESSLTC PILIEKTNGKDIRMKEANTKRDYVRYSKQLDVYIRRTQRWVNQYNVCTDSIFESCKNV GRTCILTEEHKAIVINFIDAGLSATVVEVTEHLLKQFYNLKVSCNTVYNFMRRECNLS LKKSRFSFYREKQSSED PHYBLDRAFT_178060 MSPTITHQRTALGDVTPNNLGQLRKLNTILFPVNYGEKFYNDLA EAGELAKLAYYNDVCVGAVCCRKDNVDGKTTIYMMTLGVLEPYRRLGLGHQLLGHILE QAKDMKPAVESVQLHVQTTNDSAVAFYKKYGFEVTSTVKDYYKKIEQRDAYVLTKTIE H PHYBLDRAFT_172282 MRLVFRHKDNNAPESIDRCFIAIQSLKCLNIFTSKHKQTMVIDL YRTCNSGTFYCTFVRRSGYNKHTRVSGYDGVVWLTVSSKKNERHSQPIAALYQEILRK KKTNGEIDESKFETRWTSGVEKSRVHQRTRVFSTCMMMMVTGYTSIWLWLPYIDSIKV FFIRIRWWWWWWWWWYLSICDNAQRHQNSFSPMPQNGNLETKDLKLVYSDRNFQYTEC ASRQLLAIQFIDFFKVYLHF PHYBLDRAFT_172283 MVEILLREKTLDFKRSIELFLLVELIASRGGERESGIFFILGRW TGIIASITNKCKTKLDRWVFTTINLCILWYFSWALTTLPPIIATLSFSMRFDQRWSYS LPNLEVDMVRAQNVYHVAMSSQRGGPDGKALKVYSDDRAAVDCMVPITRRG PHYBLDRAFT_159820 MGKGQEYVIYLETEEEERQQITRATQRRWLYVRRFILSLVGVGL VMHCLALTPTPTQLAQYWQSFETSEAAIATTAATAAATRTINSDSVMFAAEEVQPRTV LHTGFPPVENISGQKITPSQAAAIAFSPHPSLSPVSITNTRFAADGFFRKTTMDEGWW DRIFHRGHVHLTSVQLAVRSPDLSSLQATVKICTTTHSGLWKNVPDSVNCVAEKALKV APEDLEDEESAFGILEWIPDDVIVLKKRQLYWLVVEAQVDNFDWVFAQAGINPYGSAL ETEEGWQLQSQEEPVPTTIIVVQDHS PHYBLDRAFT_99387 LKSHRWSYYDLKYVFLGLLVLIDFIIIRKPILPFRCAILAVFCI GLFVPYIRRFIVPASPIFAWLITFYACQFIPLHYRPQHIFVNILPTLERILYGASLSE IISSHTHPVLDVLAWLPYGVIHFSFPFVLAALLFTFGPPGCLSLFGQAFGYMNIAGVL TQLLFPNASPWYELIYGSAPADYSIPGEAGGLARIDKILGLELYGSTFGNSPLVFGAF PSLHSGSATMEMLFLTYLFPKMWPVGVAYTMWLWWSTMYLTHHYLIDLVGGSIYAFVV FFIARKFLPKMDKSAHSRLSY PHYBLDRAFT_172286 MAEQKYLSKIAETLMTREPLVIHPPSQPRRAAVALVLRCRPNTT SVVANSVSSVKSFFEQAWVNDSQPELLYMLRATRVTDQWSGHVAFPGGKSEPGETDRD TAIREVQEELGLNLDTDNFIQLGQLDAREIKSPLDNKLMMVLTPFVFLQTVPETPTLS LQESEVAAVKWVPLSFFLTNELYNYDYVTQNLAPRSMRKGNLAPLARSMMGTVSFPAI DLPTQDQDSNNNNDSSSHHFRLWGITLGMTRDLMICAEGGRPTWKHKHSKTSPSIVQS TKETFPFVKFCKSPPLFSRKDISFLFWLMHRITSFKGNLTRSSGRKNMLPKIDSEDET MLIAFRRAIGLAVVLRISGVAWIVYFVIKKLLID PHYBLDRAFT_116328 MLFYPLALLSLALTAVQARTIGVDVSALTSTASYTCIKNLGYDY AIIRCYTEAYGNNPVIDSNCYQNYLNAKAAGISKIDIYMFPCTGRSTCKSAATQVNEL VSYVGTNKMIVGRLWFDVEIDSAANNWPSTTTSRTTLAAFHSAWSATGWKWGIYASYY QWQTITGSASYVLDSSLPLWYPHYDEVLAFSDFTPFGGWTTPTLKQYAGDQTFCSAGF DKNYAP PHYBLDRAFT_96413 RPFVTQPKLKPTDLANAEWTVRPLSTGNIGLQNAIQATRHRIDK LLWVGTLAMSTDSLSADQRQEITKTLMDDHNCCPVMPTDAEIEGHYDRYCKQVLWPYF HYVVQADIQNMMYQNAAWEAYCALNQRFADAIVEQYQEGDIIWVNDYHLMLLPGMLRK ALPRAIIGFFLHIPFPSSELFRCLPTRKELLEAMLQSDLIGFQTYSFARHFLQTCARI LSLDATPTGIQMDSHYVSVGIYPIGIDVEALNRKRADPDVGRWVSMLKDKYAGKKLIV ARDKLDYIKGVRQKLLAFEQFLIHHPEWQGEVVLIQIALSTSEQNEVRAHISDVVSRV NSKFSNIAYQPIVFLHQDIAFEQYLALLSSADASLITPLRDGMNLTSHEYIVCQENKC GPLILSEFTGTYGSFGACLRVNPWDYRQVGDAIHEALSMSQEEKTGRWKELYRSITAN SAQNWASTLIGDLSKVHDATSRRFSAQIPPVDTRLVKKAYHSSKQQRVFLFDYGGTLV QHGQSTGSPGLARLIRVLELLASDPQNLVYVISGRTKANVERDLGNVPNLGLSAENGF YIKPPGEEWQQLFTKIDISWQPAVQEIFQYYTERTPGAYIETKDISVIWHYRTVGQDA SYVAWQAAECQNHIADLVNKNFAVHVVAGTTSIEVLPNEINKAVVANRVLQDVQSDFV LSIGDDRSDEDMFGLLNKQEIPNVFTCTVGSRSTEAKYFVSNVQGVLASLEHL PHYBLDRAFT_78295 MVKVTVCGAAGGIGQPLSLLLKQSELITHLSLFDIVNTPGVAAD LSHINTKSKVTGYVGTAQLEEAIKDSAVVVIPAGVPRKPGMTRDDLFNINGGIVRDLA IAAAKFSPKAFICVISNPVNSTVPIVTEVFKQFGVYDPRKIFGVTTLDIVRASTFVSE LIGAEASQLQVPVVGGHSGVTIIPLLSQVVGTSALSEDQIAKVTHRIQFGGDEVVQAK NGAGSATLSMAFAGARFTLNILEAAIRGTSGVVECTYVHLDADKEGAKAIKSVVGDDI EYFSVPVELGINGVQKIKPLGNLSEYEQKLLSEATAELKGNIVKGASFITEGSKF PHYBLDRAFT_116284 MPANDSKFIKEVWAENLEKEMAYLRDLVEDYPYLAMDTEFPGVV ARPIGTFRTSSDYHYQTLRCNVDLLKIIQLGVTFADQYGNLPSNVCTWQFNFKFNLAD DMYAQDSIELLTKSGIDFKRHEDYGIDVEHFGELLMSSGFVLLDDVKWISFHSGYDFG YLLKVLTCSAMPADEAEFFDLLRTYFPCIYDIKYLMKSCKNLKGGLQDIADDLQVARI GPQHQAGSDSLLTCTTFFKMRQMFFEDRIDDQKYL PHYBLDRAFT_66122 MCLCLCGYTQNKRKLDGRKYSVLRLFKASYAKDHNKFLSLEWDD ILLNVLLFIDLLMRIVFFSFFHLLIFPELDSTSWNQIELFDAGKNVTICSPVESKCRP RPRNAIPPKISVQAVPPGCPNADESNGSKERRGIHGRKSDGGLKIVYKEHEQQILHME IKSPNVVSEDQAFHPDFTKLGNLRKDEVNLMLKREFPENTTVFGILVGGNHANVFGMD LVYTKVYRLFEIGSFFFPHNAQDLNRLDDVFDTMTKLNAILCVDKQNINPFDKFYSCC TFCCRRSVILSTIFFGINCKVEDLRQVDFGFIPYIPM PHYBLDRAFT_172293 MPFLPPFELVGFLKRLLYYKIKGASDKKRRRDLLELASKFLLLL KQHSCIIDPLIMLLLQKPFNFKPRYPKSSPTNDSSNSCINNIKSSVGERVVSLIIFSV NQCDCGSTTVQLDCTQCSSL PHYBLDRAFT_159822 MELYGLCLRISALVRFYSFSRRTSIIIHRAKKQKDADKSNEERL ISLAKDQEKAYGTLRECEKYLGYHHIIQKFPVTFDDVCTKGKLGAGIVLGGEAGVSIE PMFPFAPFSRLHHAAIMGKCIIGEFVTQRELEYNPISNTDDFM PHYBLDRAFT_172295 MQTLFIQKRMLYLRKKGGFYGGLMAVREEGVQTNAIFASLRKNN RMRRKKNAARNFLPRSEHLATILSTNFSEESFNKRNRVEGPVKDLEECFFTSMRDRVE ELVKQTKTQDKTNELKNKLLNVKTIPAAIITNAAQLAKCDRKVMCSHMEHRPGLKNVE EWKKFVSQNFDKIAEAAVGSVVKDTAKVYSSSTPTNKQPYEEMRTCTVQINSLFRSDL PPIVKTFVCTRLQDSMVTSTDYTLCFSAFVNMMISELKTSEFFFDNNDIKIKKVLGFN LAKLLPFVTTNEPKHTIQPLGKDLIASKRFETDSKCLFTSQHLQVVYSYFFGARGAKE ENLNAHPVQNSLFCSFKEFGLDKQSFYLEKASSSAMSMALEMYLVNFENMWDGKKIIN KLLDKVILVLLRHHLARNRESKRISTTTTRNPPGKKDIRNHVRYVCRAEDKKLKKLVQ RKGKASGLEGEKWATKINSAKQRLANLRHTFKKKISQMLNDRKEASVKHKLVVQDLNI AEEQQDFLEEVGTLDDDVPERRLNQLKSVIKHLVFSNDTPVYLEDVKHPVSPEATTTE QSVCLLICNTLMKSLPPKKQYHVIAYQMYFCIFANDVLKYARYIKFTRALCPSTSFSS LSVLHLDSVALYQLLTQNIDQDKSEEPPSHTNQQEKEGYSRMILYGYNRDELIGSQDK ARQNKDATFNAVFDMGEIQKACESYGLYFAHRMTCLPGMKAVRLLGSKIKTHGTVKEG TKQSYEARILRNPSIMQEGRKTKDALFSELQSLTEEVKTLESVRKRELDLLKDSNFQR KIKECKSNWGTTDDKDQLYRTIEKYKESRYKSYLMVNKIRNELAEKKTTLHNVKDVPQ DSRSPIEKCGKGVTKAEDRTVVNPGDFNYAGTDNGLVNMTTSIPMSLQRMKFHLKLFN YYTALRKVSNEDSIGLNLSKEESFLHLPSVTNTKASDVRGFHYLLGFGKRVFK PHYBLDRAFT_66119 MSEIEETIQVQVNGKDKVEIDPTFVESADPIDRAAVLKLLRKQD LKLIPILCVLYFLNYIDRANISNAKVGTLEVDLNISLDQYQWALSIFYFGYIIFELPS NIILRRWRASYWFAILTCSWGICATCMGAVENFSGLALTRFLLGLTEAGFFPGVVYYV STWYTRQETGSRLGFFWSFGSLAGAFNGLLGYGLLQINDSSLESWKWMFIIEGLPSIL ISPFVAWYLPDTPATAKFLTSDEKQLAVRRIAEDAGPAHDESWSWMQVVSVFMDWKTY VFIVIYILGTVPLQGIVLFLPSIISNMGTWSDPVVQLLTIPPYIMAFLGIRFMAHCSG NYFDSSTHMIGINIILMVGFLILMFAPVSNVAANYVGACIVTIAVYANAAVKAPWFNS NYGGLTRRAVASAVIVSVGTIGGAIGGQIYYDPPTYFAGNTIAFSCVGAQTIVVIIAR TLLSRENKRRELLNQEQKDYELLKYGSVQIAGDRHPDFRYDL PHYBLDRAFT_66118 MTEQQSTGSAWPDPPSFYKRYTAENVEKLKKARKTGIYPETPIS LPPLPDFLLKSLEPPTPPTDSYKIFDQTWQVEDRLATLNELGVKQLFPEGQIDRIKEL KKLNRTLIVQYLGLLDILVNNPDEARETLKLLMENQVAKKRQQAAELRRKSAETLQML HDFGQEIGHSLTDHTGRGGEVFGKFEHIDHLGSNSEDVKMSETCIKNEGTSDALELNV TSAIDSIV PHYBLDRAFT_116183 VIVRFRAIGNAPILKQSFYKITASNKFQTVIQFLRKELKYQGSD PLFLYINSAFSPSPDATVATLHKCFNTDGHLIINYCTTAAWG PHYBLDRAFT_149448 MSVQAAVAFLNKSPSGPTSNPIKNGPVGAPLAKLKTWKPPIDER KKEVKHIKPIAGVSSVRNMFANQLNNEPPLPLIPAKHQHISTLKKSTQIPQTPTQTQT PAAAPAATPTTTTTTTTTTTTLKSLPQQVAPTQNTDKHRKPKTVTSYDISAISRITQE LEDLYKESLDEYSVATEKIKQLESDIERFSSDVTKARDYEIRVEYLAQKLEQISEERD NLEQELMMYRQRGGSSLDTPVSGVFDPLPNGFAHSSRSVASHEKTRLLDLQEAENEAF LTGILDAYEQHSTDDPEDYQQIAPIIHDQQAAQEIARLTEQLLACDRGVQMTVEKYVT ELEKERLETKALSEVVKKQEELIIRLENKLQSVPPVKEISSNDVPKSPVNLIENSSRV STGNEDLLREQVELQRIEIEDKQEMLTHLLTERNSLLRISHDPSRPSSVRSSIDVLAE FARPGLTMSGNNSSTSLLDSIRTSAYSQHLARFSRSSQVSQTIFMESPISARAMTPPP SGPPAMPPPPVPAQVQALAQKDSRRNSIESLHASTSTSSSSASWSSNEYSERIPNQPQ STAVYEEYKPPANYIQHAPTTLSDPPMMILYDPPVDNYRHFEANQSKTSSMSSTSFLR LSNGPAEALSKEPKKHNRFWKAWKQK PHYBLDRAFT_149449 MRIMYFSFFRLLIFLESDSTNWDQIELFHTSKKVTIYGPVESKR RPSQGNVAKAKNPEIKIAQLANKRKKLHWHLHLRADNEAVNSLLVGQPPTAATLQNDK IDNFFNSGPSFSVLPIKSDLNTLLCYNILDFVTTRQKAGTKSILGN PHYBLDRAFT_66114 MEASDPTENDIAFNAFIGVLVSVCGNALISVALNVQKLAHNKIQ EQQMANYFANMDEPPRWIATSQSAYAGGEFFPDDGYSSPRTSEDVSRTEEEEAEHRKL IIAMAQEQTKSGESDYLKSKLWWLGISLMVLGEVGNFVAYGFAPASTIAPLGTTTLVA NVILAPLMLKETFRARDFLGVILAVMGAAIVVFSSNSEETAFLPDMIMEALTQTRALV YFSLTGAAIIALTILSPLYGTRSIMIDLGLVAIYGGYTVLCTKSVSSLLSLSFLTMFT YPVSYILIVTLVVTAVLQIKYLNKALQRFESTQVIPTQFVLFTISAIIGSAVLYHDFD GMSMDQTSRQGMIDRCAIEFLGVYFITSKRDKRPPSVSLQNDGTTGFSNPVPSQSTGV VSQTIPEDNTVSILRDPPQHDGFPRRTAAQQSNRLSGLPINAARASVSFRTPIMPMSP EDRQTSAAAAAAAARGHRRRSSMFRGISLTSQLVDRMNEETTSNATIQLPSSPTRQNF GFSVGHRRGDSTLSTILTGLGNIAHDALPGHYNHHQQHQQHQNDFDADAIEIEIPTAS SIASPRLSSDGRELLPLFGTKRDDGLGLGLGVGVGVGVGGGSGSKRNSARLLEIEESA EYRDLS PHYBLDRAFT_66113 MAHRIPFTTIAHNPVKPELTLGNGTEFLVVDTSTGALVKPYSGQ DRKQKYTEKFRNIAFSADGTLMATTSAEEKTISVWDTSDWSLKLTRTANKRVNAMQFD KNATQIIVADKHGDVTCHSIKDGSEEDKATPILGHVSMLTDMILTPDEKYVITADRDE HIRVSRFPNGYNIESFCLGHTDVVTLVRILPWASDILVSAGGDSTLRTWDFIKGTQIQ CLDIKSHIASFTPENLKAADENSKDPMISAIGFSPKTHQIVVCFAKTAAILVFNWNEP EKSIEYQYTLETSGPVLDLVYDLEGRLWVSLAPVNESEDLIAVYTNADNKLERVESTN PLVAQINGCEAGLVDEIPNLYSIFGLRKFLDVPEQQEVEKSTGGNKKRKVEN PHYBLDRAFT_57394 MLISKQNRKVIYEALFKDGALVAAKDFNAPKHSELEVPNLEVIK AMQSLTSKGLVKTQFSWQFYYYTLTDEGINYLREYLHLPQEIVPATMKKAARPAAPRR AFGEGREGARGPRGDRDDYRRKEGASGDFKPEFRGGMGKFL PHYBLDRAFT_78290 MESVLMHAKKACPFLHASSASTLRGLSKSGMPSSRSMLMTRAQE CPVMGKAIQTRSFSATAKPAQAAVVPAKKAFDYEAFYSEELEKKHKDKSYRYFNNINR LAQKFPLAHTASVEDEVNVWCSNDYLGMGRSPVLKDAMKRTLDRYGAGAGGTRNIAGN ADLHLRLESELADLHHKEGALVFSSCYVANDDTLSVLGSRLPGCIIFSDALNHASMIQ GMRHSGAQKKIFRHNDMAHLEQLLQAADPSVPKIVAFESVYSMCGSVAPIREMVALAK KYGAITFLDEVHAVGMYGPRGAGVAEHLDYDLNSRHPTMGNGSIMDEIDIITGTLGKA FGVVGGYIAGSAGFVDLVRSYGPGFIFTTSLPPVVVSGALESVKYLKSSQLERQRQQL NTRTVKSRLADLGIPVVPNPSHIVPVLVGDAAAAKMASDELLSDHRIYVQSINYPTVP VGHERLRITPTPGHTPEMVDSFVDAIEQLWKRHDFKRVDDWTAQGGCAGVGVPDAPLV TPVWSDAQLFPNKEAEALQSVLNE PHYBLDRAFT_188334 MSRLRDDDNDRRNPESFVVFGTPFPDQTERDKRAGVSDAGQFVP VWKQEARDENGRRRFHGAFTGGFSAGYYNTVGSKEGWQPSNFVSSRSSRNENKEMRPE DYMDDEDLQKSLAGAKKLVATEEFDIIGGTERELAARRKLQEEDAERGGSLGFLSSSI INMIGPPKDSVGIRLLRKLGWKPGQGIGPRMSHHQRRFKKTKDESEDEEDDDDEEGPH MSDITYAPKDTPIVDFHSKTNGRGLGYDLEKLVPQVAEMRRLRELGKHDLEGKSERSA FGVQGTSTKRAGFGLGSFEDDEDDEDVYGDGSGRDIHHSLYDDEAEANDDLTPWSSAN LKRKKDTLNNSSKLRSSDGRLPLKGFIYSPESQELGKWYQPPKVPTDFDCQHRFPLDN QIDIARPQHGLSSDQRGHILGEKPVHIRSVFDYIPEKSKNQLDSAIRFITEASKPVDR SKLSGFTVIPKDVAALALKGYMPFSENIKKRARYREYLEDMMGKKSSDGQPKTELPIP EGLNYEDAMKEMEEFSKSARMYGRVNNMINSRFTSASGSHIEQNAFEGGLQTEAEWRQ DNQDKQKEVVEEPVKKLSQEAEAASMKMFGQLTRSVQPFYPTKLVCKRFNVRNPHPDH VETNVSATGHSRTQAGSHQALSEDSMDSMLRKREFHPVSAPKTIQDDPALAAVIPKPS ERSTDPNAPTAPSLVETVQIPEQATQAESVLDYERPSMDIFKAIFENWDDEDEEEEEE ENKEENKRASDHKQPMHVGKSTPTERQNLQKYGGHENQDEDDDEDMIGPMPPPSETFT EKQISEPFRPIFNRSSTRNPESGLSQSQNQYQQQEQNEQKQKQQQPVIMSEEIIVQPF KSRALQERSKRRHISVSDDDDGNASDDRSKRDKRDKKSKKKHKDSHKHSRKRSRSPSI ERSSRRDKKKSKKESSRRHDHKHSSSKFLLTFGDEEEEAEWVEKEPVVSNHKFDSSKR SDSSSKAFSHVDEQREKPQNRRMRAADLW PHYBLDRAFT_66109 MYFRRSSTAPLVSGSSTMSRSHSASESRIPDHLIQAFRIALPVI EKTLALELPPDVVNLCIQQLTSLPTIMQNTSSPSMQKMPTSNTFSRLSHWIRNSLTRN RKTVVFAEKSEDLKAEHIKLEVKSDTPCRKNTVNSRYSEDILCQKNKKVETDPKIPIS VVSPSPKDLAVAPQYKHKERYIAFEDLVDPNNAYDDYEDDNSTEYTESIKYHPDDGTR FSPHCQKGIIADKSQNNSDTEQDDYSADLISSKMDYCLRLSDTKVSTEELSSLVSNKP ASPSKKALKVKRNISGIFTNFGKRVRGVFKRKGIRSSTVSPLEHDPVPDLVENDESNV SMSTRETLDTDVDDTVSTKSRHNREISDGYTKGIKAKLLDLDQALMLWLNATKDTYET RTRTHQSMEYDTSDSGSQDLSLDSLVKMTTKHHALETLLAKATGQIPPDILQVSIVEL FPTLFNTDFNHFENFKEVVEYTQRIGEIDYASQWLVPDISWKNVLLVSNGVFGFEKRL DLIPKWTQKTLFNMANDRQQLLIQLAKIVGEPISETINDRLFNILGDLHTLEISNPSY EALKAHYEMAIDTCDQLAQDYLLYGYEKGMLNSVQGGYVFEVTHDYLPDWNLKSLRAL GGSRSFICRKLTSLCQQGSWEESDQDSGGYSDEDDMNSIDQENSQHATLQDLQFDGSP PLQHPSHWASYTSDLASQFLEATASLIPIEVAQSVLKDDSASSFYQENVFNIHDEQTY FQFTGKGDFDMQWQKHFRANHQTSAQLGSILRSISWALYDKICTSHAQRIFNHDPVFT KHPYLLPGGYRSNPDLYSVLPTSNWQDIYEHLSFVVNCGELHAEHLVITYIYVARMLD MSGQRLCDTNWRMITMVGLLLAVKVWDDYAVYNSDFAQIYSDLVVEEINHTELVFLSK LQYNVGVNCYEFARAYFSLIKVENTI PHYBLDRAFT_66108 MHIPTKAKWHSIGHKVLALEQWSFKGGFRVVFWLSIVIFIFLCF NTYPSYCACRHYFHTVTLKKSVSWIPYAPNLRNTREPLGNCDILPEVQGSSKISTPQD DVQAVNQAIPFKYFGLVYLKRKFVKNNNQMISGHIITFESRVKLNVMLGSICIVKCWR LS PHYBLDRAFT_188335 MPKVLVTRILPPHAQARLESQSFELIQWKQDSAMPRKELLGQIK GVDALICLLTDRIDDELLDAAGPQLKLVATMSVGYDHVDVSALKKRRIPLGYTPDVLT DATADLTVLLTMGAARRMKEGIIAAEKGEWREWRPTWLCGSQFSNKTLGVVGLGRIGE AVAHRLKAFGISKVVYWGRSEKVETGKRVGGEFVSFDRLLAESDYVTVCCALTKDTKE LFDYNAFSKMKKSAIFVNTARGGIVQQDDLVRALEEGLIAGAGLDVTTPEPLPLDNKL LKLPNCIVLPHIASATNETRERMADMCVENVLAGLSNQALPFSVTE PHYBLDRAFT_21435 MTFLFSIRPVHAAYSTSSKGWMMRQAKDPYVKAAKANMYRARSA FKLSQIDQKYRVIPHGGVVVDCGAAPGGWTQVAVAKAQKKGLVVAVDLLPMDPVSGAH CIKGDFMSLKVQNKVKALLGRRKADLVCSDMAPSFMGNHMADHARSMELCESALTFAQ TVLATNGCFVAKFLMGGTEVEFRRKLQTMFLKVKQEKPDASRKQSTEGFFVALGYRPI KQSKEEDEEDEEESGLRLESGLGLGLEVKVETEIEVKENEQKDQKDSEEAEFNSIQPK KHIL PHYBLDRAFT_66104 MHGMLPIRVLAPFSFESTQSLRPQSTTTTTTSNTNTSTTSKKSK SNKLSKNDTIAALDSLHDLVERLTARVHDLEHALERRDPNWLQPCEQHGLDTSSTFHP TIVDPWSSRVPSAYRSLTEHLEDRQLSTYSLPSLIQSSSSCSTKHDPLDSVYAQWSTL TQCLPQMEPDSQRHVRAVALYAMRDILESKRVACVYSLDPATETVRKRADGWLPPTTS STSTSASTSTPPPPPPPPPPFSTSLSTSVSRPALSSLSGPFYDRPSLNLSPSLPLLHQ TKHKKPKRWFRRSKKGDQSPDQGSISCSWEGFDKKFTAWLDARDQRRPSHPVL PHYBLDRAFT_159827 MQEERNRLLGYVNTLRENVTAAIKKTRGNLQTAKEQPCADKPSP ELATVCPDNAVESTPSPLVVTPLSDSENICCFSPLRQSPGQTDLSKTAEGSSAANKKA KPAKKTNISRVRLPLQTKNQNQAVGPEQNPVTMRKKRKLNTVGLLSKEN PHYBLDRAFT_149461 MWIPFSLTRLDSTQLNLPHLTSYHPALPCLTFVLTRNGSFHLTS PHLTLPYLTLPYLTVPYRTSMHLTSSNLFLCPSIRSSNSNSNNDDDDNDDNDDDYHVR MAFTQSNQIKSV PHYBLDRAFT_149462 MPSVSIFKAIKDNNIDLVKFLIGLGTKSNQSANVTKEDQQWLRN HLKTTGQKQYNLNKRSSKGKTALHYAVQWNRISIAQCLIECPMVNINLQDLESGWTAL HRQDWEGLSPFELYNLTVKDSSYPNISTLKVETPASKLTQLDDLLNLNSHYQLQHQYQ YQYQQQNQNQNQNQNQHVVAGGTDLYTWGINTNYVLGLPDSDNKSRPERVRLDLESQR IPEITSRPPYLVRTVVMSKYHTAILTSEPRNNLLLCGFGRGGRLGTGKVVDTQLTPIA VQWPEYIVAVALGRDHTIALSKSGNVITFGNNRFGQLGYETDAGKDETPMQLVPRKIQ AANLKRLPIIGVAASSVHSVVYTSSDIYTFGYNQGQLGYTYLGDDICQTTPKKVTFSE KIIQVVVTDNATAVLFSTNQAVLLFNYGQQKLILPWKRFPSKMQVHKPARNLISKLIT SGGGDYMGAISNMGEVFIWTCKSNAATTRRQNEGSGTGTGTGTGTGTGTSAGIGTGTG TSTTGSGTNAGTGTGIDTSASTGTGTGTGIDFGARSRSTIITTMSSPRIVWAPRLAHL EARQASIGQNGQVIVCTAMGEVYIGAPSGPNENGEYRFNKIPFLQRCIYVSANPSGAF AAIRAEYKASKQQAIIPHVSDHLVSALPHKAVAKMLDEQIKKFDAQKQSALEELRNNQ LAGEYGHDKNIKNNHSHNHNHSNTNTNTKTKTKIKINNNHNKHNKNNKNNNINEDEDE DEDEVKEMKQKIHTRYNFQIETAVSDAWKSVENLSRDDATLDLVFVVQNRRIYLHKFF LSSRLRFLIGGGSSNNRSGGGDDTYSGDLVEPKIPHSSSIFHQLLAVINNPERSEIHL ATTHLESFLLLVDYLYSDNYAHPMSTLYKSPLLCRASDIPTATAAAAGTATAAPFLVV RPLDVQKGLINLAEELDLAILLDSAQSTFNHTPQSSLLTDLELLLTNKVTQDVQLNLK DGEVLFCHELIVRQRCPFFEALFCPGSVWMEERREANRTNAAVDGNNGTPAGNKQSYL PVDLGHVPVEVMASVLEYLYTGHNEVELFGKVAKNTVEEMVEFLMEVLCVADELLLPD LKALCERSLIDFISLRTAVVLLERADACLANLLKQECLGFIGANLSTFITCGMLEYTD NRLVGYLEEHVQSWQAQERKFSGIQRDLAQVDSKDREMDDPEFSTSLYALSLEDMPII SSQRDILVTVYPKKPAVPDHNEPSRASLAIKDKKPKAAHVSSQKKGGESRKRGVRLDL GATENEIEAIARSLTKTSHSLTSRQGWATPVVGIQAESLKPSLREILEQEPQAIDLSS SGHSPPTQAKLVQPHLKKVSQKERRRLQQQQQEMAGSGSGSGLKTGVWGKITTEKPEL IKATVPNNVPGLSLNPAINLAQKYVPEELVNAETSAIRRPVENDIKIGSNSDKVVSDT FEAGGLVDGFVLTPIRRRNAYGDLMALCSDDVAETGSFEKILRQQALDKMRKDRRPKK SLVRIQTEERAVEGLREFYVQTIEVESGEWFEVNRVGQ PHYBLDRAFT_149463 MSQPKSNVLNSTLAGAAAGTLELLIMQPTDVIKTRFQSIRLASH YQDGIINAFRRVLREEGFSDSSNRFRLAFYRGTLPVLCIVGPRISLQYMGLALYKPIF EKYEGTFIPAHSSAGLAGICTGITQAVTLVTPLEMIKVRQQTDMMNAAHQRKYHGLIN TASIIVRQEGFRALYSGLVATVARQSWGLLVKFSGYTEIKALFTKHSEDPSQPLSPWK HMASGGLANVLVAILNSPPDVVKTRMQDDGRPYRNTWDCIRQMVRNEGFLSFFKGSYL RIIRIAPGGAIQFAAYEQVLSWLNKL PHYBLDRAFT_78285 MPSLISHAESEPLSRQLTVTTDPTVNVRHVVKGAIKEASHTLTD AYASDAILGWCARGLEHSKHDSFLYNVFKNLINSSSLQSRDFVVQVDGCQGVLVWSNL PQGCSWTRAIGTVKLARLTGWASAVRAFVRFQPTCDKMRRKVMAEYSQEYITIGFLGV LSHQQHKGLGGTLLRHVLEKADASHYPVYVEVNHPDTVKFFEHFGFVIRASAQVSQTP GLSVYFMVRDFVSHIEPKPLRIRPGRRISYQ PHYBLDRAFT_156158 MLHKKKNVLEVFAENERVNICAPMVRYSKLPFRELVLKYNVNIS YTPMIIADVFKNSEFARESDFSTNANDNPVVVQFAANNGPDLAAAAELVAPYVGGIDI NCGCPQKWAVQERIGAHLMSDPEAVRDMIRTVKARMDTPCSIKIRVHQDLKETYEFVK RAEAVGVDFLTVHGRTRKQKSTEPVNFEGVKLVKESVSVPVVANGSIFSLADAEEMYE KTGVDGVMSARGLLKNPAFFAGHDYTPWECVENYVKLALGYGTNLHIFHHHLMYMFED IMCNAERKTFNTLSSIPAIIDHLETHWGLDVSKLI PHYBLDRAFT_66098 MQNPKSAFFSIESLFSHSHQNLCQSFCVDQSVLVLVPNPSDPHQ LIRLNHVSFDFDCRINYGRIFCYDCRTVEAIQDRVLTNQVGAIQLLIGGCLRASKTDK LPLPGAPIELRIELDINNYLRRTSRIPSMSWARMMWTVASRADLVAFTGVYFSVLGGA YSSLGKTNSFFAHKAKTLALQQIRLAQWLKDPILECKCWLYYAEDLLQLGKFKRATEI IRRQTAYAEILNDGILLNMCQSVYAKKEMALLTRQVKTKKMK PHYBLDRAFT_178065 MADLATAPPIYTLEEKEYPSPYYPIRTDYKSFHSHRTPIVIDNG SYQCRAGWASEDKPSLIFDNVVSKYKDRKTNKTMIAVGMDTYADPAGRSNARSPFDSN VVSDLDRMETILDYIFITLGIKDSRIDHSIVITEPVCNPQHSRRQMSELLFESYGIPS VTYGIDSLFSYHANGGSQDEGGIVISAGHTATHVIPTLHGKGLLERTKRISYGGTQST DYMLKLMQLKYPTFPTKMTSSQAQELVQEQCYVAKDYQQTLREIEDRSTFAKIDRIVQ FPFTAPVVEEKTEEELARQAAKKEENAKRLREAAARSRLEKTQLKESGFKDEADLDET IKQVDIQIQRARNKELGIDDNEEKDPPPTTLIDIPDEELSEADKKEKRKQRLLKASYD ARKRAKKAKEEAKAQEEEQARLEEEERRRNPGNWIKGVKDKREKVIDRIKKRKRLAME LADRRSRASQMRMRSIANLASDSPSPKRRRKGQEEDTFGADDDDWMIYREINREDESD DEEEDLSLLNQYESMLLEFDPDFKPEDSYEARSSATNTLMHLLARGVYPPFDPADVGQ SHQLHVNIERVRVPEVLFQPSIIGLDQAGLVETVNDVVKTFDSEQKAKVMKHIFLTGG FSRLPGISERIEGSMQSIFPANTAIQVKRAKDPLLDAWKGAAMVALDEKFKNIRVSKK EYEEYGGEYIKEHGLGNIFRS PHYBLDRAFT_159828 MSEVVKKTRSIPVYLKKRGIPPSEQNIPQETTVIESLDATSSIT TIKRKDSYTEFLNTLPTLQHPSTYRVECVSTSLDTNRLMCELFSKSTMFGLDMEWAPT FVKGRGENKTALVQICDAKSILLIQLSQTKEIPIELGSFLKSRTKLKAGVNIRNDGRK LLRDYGVFTNGLVELDVMASTSQSPKLDITHRRSLQALTGMFLGQHMPKGKVRMSRWD QRVLTPIQQRYAANDAYASYKIYEILSGLHEKLPRKVKLDICHLEDEMKDFQPQKRAR LSNTYTYNDKQSIKKPYEKGQPLEPRKTLSPRSTTTIVKKAESKQDSV PHYBLDRAFT_188341 MASESEDDFMLEDSRDYSDMDESGFASSMSDNEDYADEEDDVAF DGVFADKQRKKAYEVEYSVQNSISLQKMQDQEVSQVATILGLPTKDSTMLLRYFRWNK ERLFERYMDNPEKVLQLAGVAENAKQGSSALILAKNIPGKGKFMCEICCDDDPEMETV AIACGHRFCRTCYAHYLTQKIREEGESRRVECPQDKCNVIVDEVTVEILVDDKTFSKY RELLNRTFVDDSDHLRWCPAPDCEYAIECNIPSTSLTSVVPTVQCTCGLVFCFGCGLA DHQKWMKKCEDDSETANWISAHTKECPRCHSTIEKNGGCNHMTCRKCRHEFCWVCMGP WSEHGTSWYTCNRFDERSSSEARDSQTQSRASLERYLHYYNRFANHEQSAKLDQDLYQ KTESKMEEMQQTSDLSWIEVQFLKKAVDVTVQSRTTLKWTYAFAFYMTKTNETELFED HQRDLEMATEQLSELLEKPLERERIAELRQAVLDKSVYVKLRREILLEDTAKGLLEGR WNFFVDLK PHYBLDRAFT_149468 MGVNAEAPGVGFLKNVAALIQDTGAMLDEMLYSKASFSHTAIRS AVSTPSTPHVDEKEKKIGRSS PHYBLDRAFT_182832 MSEKANSPLIADLMLPELQRQLTEDPSLWPNVKGLFVITVTRKK TSEAVWYLLFQGNEVEPVITSDEANARKSVKGKVRTVKIQIEDHNLLNFITGGMSGVK AYMSGKIKVRGDLILAQRLEEVFEKAGGRDRALEFIKKNEKILVISGKSKL PHYBLDRAFT_172322 MGLQRLQFLLYVSLLAFIPKCYAPPIQQEINQLLSSLPIVSILR TILLGYMTHVVTLRPRTGITELSTNYRRFMALIYPSGGIGLAVGSVYKAFYGDRILGI TQYQSLLKDYEKESKSKEVTTKENSTQDSTKGDLSDQSFSADTSTPEFKEEKDKGTKV TEYYKRHLDTVRLRDRLVKDMKTSSIHTGKEDNAAYLAAFLHVIGREKAKKTKHCILN GSLIIGFDYGNKFESSGTQCLTEEIITNGPGAICEYQRRIEPIDARYMTADMIDQLDT VHNTDETSYVEIFVTIGQLFYTTIECMDIDGDRWAKLIIIVYTAMSILQTFSLIVLHK QTTAFSIKDDKNDEEEDIVKEDGLSLTEEDRESVSEDNGTLKLDDIQVSALSTFLGMI VSLIIGVWANYNSHSTVEWLVIAWILSPLPLATFFIFCVLFNFNGETKWANALVSIFL ISSTGCLIAATIIGYLP PHYBLDRAFT_66092 MRRQRLQFILHISLSTFIPKCLASPSQQDDTEPISKLPIVLFLQ AALFSYLTYIITMRPKKGMPNNNGFQRRILALLCPSIGIGVAAVSMYKAFCGDKILGI AQYKPLLRRYEKENPTTRDIDQDSLHEIRIKHRNLFRMSPSSSPLLASTSTSKRPINH YNRGDNQHLNDIDEIISIEQRSKVLQLRDRLFQDMEVEDIEIAEDDNSPYLAAFLHII GPEKAKKTKHCLLNDSLYIGYSHDYSEDHCRVSRRTEYITVVGPGAVCINQGKLRPAN ARYMTVDMIDQLETAHNIDGSSYVEIIVTISQLFYTTVECMNIDGDRWVKLISIIYTA MSVLQTFSLVVLHKQTAAFSIKDDADPNANRIYFGPKREILLPHYLNGVYDILELSDV AVLSTLMGIILFMVVGVWADYSSYSIAKWFVIFWIISPLVFIAFALIVFFLSLTPYCG FLVDCTFTITFIILFICSDG PHYBLDRAFT_39274 MSNNIPTRFPDLAPKGPSGELLLAAERAKTSFDVKDLSRFMYTK KWLDKMTQITEVLESEPAFDKTNRYYQSREEKISTSLWKDRRLTELVKEQKWSETETQ IANFLFDQSTPFTLHYNMFIPTLRTQTTDEQKKLFLEPALKHHIIGCYAQTELGHGSN VQGLETTATYIPETNEFEIHSPTLTSSKWWIGGLGKAATHAIVMARLITNGKDFGPHP FCVQIRSLQDHRPLKGITVGDIGPKFGFNTVDNGFIMFEHYRIPHVAFLAKYSKVKAG SGEYVKPPNAKLSYGTMVFVRANIVMGSRFALAKATTIAIRYSAVRQQFVDAANPRKW DNKIIETPVIDYTMQQYRLLPAVAATYAFFFTGREMLRLYDLNQEAMKNGNFDLLADL HASSSGLKSLTTTMAISSIEDCRRACGGHGYSNFSGLGQFYQDYLPNVTWEGDNYILT QQTSRYLLKTFRNVVAGKAVPSEYNQTITYLTQYLENPKAKCPATKPADFLNPELILS AFGFRAAYGIAQVAEQIDRHGRTWNSMLVEIARISKAHCQFVLVRNFIIGLQADASLS TPERRPISNVLKTLSALFALYTMEQELAEFLLPGYLSSEQTAMLKAQVISLLDEIRPN AVGLVDAFALPDYFLHSALGKYDGKVYEAMTQMAEREPLNHTQVVQGYEECIKPLISQ GQAEGRWRQDGLLQVASKL PHYBLDRAFT_172325 MRVLNWDCLTLNVVCWSALLRRGVDVRYLELDVTSLFILSLLLL FYIYKKYVRVRMRMRVRICICICICIRVRVRVRVRIRIRIRIRIRICGCVGIWMYYKD VLKKEAQLILSAVMVVWSDEMINYVTVAFGPIAYEVLIFFGQNRI PHYBLDRAFT_116296 MTPERKSLPRNTVIKFVPQQEAWIVERMGRFHRMLEPGLNILIP IIDRIKYVKTLKETAVEVPSQSAITQDNVTLELDGVLYFRIMDPFKASYGVEDAEFAI TQLAQTTMRAEIGQMTLDRTLAERGHLNANIVDAINSAAEDWGIRCLRYEIRDIHPPA KVVESMHQQVSAERTKRAQILESEGARQAAINVAEGRKQATILASEAEKSEKINMAAG EAEAILLKAQASAKGIEVVARAINSDNGSDAVSMSIAEKYVEAFGKMAKEGTTMIVPS ATNDAASMVVQALSIYKTINKAKPSGSGPSGSNGRDLPNAPKSIKEEITNDLVEAAKR ESSFQEPRDN PHYBLDRAFT_89829 IMASFGDAETHTSETLLIKCSRSLDIGKLERVNDVAHKASTGEL QVLEATQLLDDIKNEPPTWGFWVVLLAYVGSSAFIAPLFFNGSWTDCWVSGLFGLGVG LLTFLSERVPMFANFFEMAVSVLVSVITIALHPHVCYTAVSLSAIVIALPGYSLTSAV MEISAKNIVSGSIHLIHAIMYVFFLGFGLGYGASIWSLTHPDETLELAATCANPVSPY WYFLLLPLAGVFIAIVFGAGVKQWIPFTLNAGVGFVAYYFLSMATNGNQNITSSAGAF ALGLTGNLYGKITKNLAFVPLIGGIIILVPGSLGKEKNEKKTPQKIYTHTHILTCLGV RGVIPLFDGSDGASGGSFATQIIGIALSITLGLFFSNLCIYPTGRKR PHYBLDRAFT_182835 MSWITLFCCGNRPIDHDYEEVSVEDEGEGWRLKFCTRTSLSKRS LDCACVGAGAGAGADSSGLTRNSLSSLFKNLFLFVSPSNSIEPINIQESKQQSPFEDL YFSFPALEEADPEQQHYIAESNR PHYBLDRAFT_182836 MAPPVVRVVLRVDPLLQLDSLTIHQNNITLGTHQFTFDKIHPQN HTPNDIYQANLEPLLEPYIQGDSQPSSELDPREEQDDQVPVPLPVPVPELGIIRRFSQ ALFNHLEETISSASVHVSYAKLENNNANPVDLLHASAPTSGITDEWVMDTDELMSQWS KGSVLDKSLESYGVFTVYLRQPSESKWNIVRIPESSFGLGQLEDILGLTGPRTTTTTT TTTTAVGFGASSGGDSDCDGGDVDNADHHPLIRMLQDSLSHVVVVTCISPKHHETEAL ESLRNSARLLPNNNHTKHIIKNSQNNKNNPTPHRLRDQVSHLRLDLNSQKNKSLDDEV CQMRQELRQLQSFTQQLSHELALTQTERDSLISRCVSASASVSAAAIANTMDDGSVEP NSAQLLLLMQQQHQQQAETIQRLKREAAEAQKNNNTNNTNNNNNNSSSSNSYSSNSNN NAYYSSGGRIQSPSGRTSQATTPREDSFFRVASTSSGRRKVKHVSVTHRPSLIKRRSG NNNLGSRSPLGGSFTTLNTESLGEVLAMLRHDLDACPSTHSSTEFPRRTDDRTTQPYT ANPPPWSQASDETVTPDHAEARPPRDPRSNPLSPMPALLQDDHLQTSLEDDLEALAVP TWTDVPKTQSTNGSTKRESLSWTDSVLDDSDNSVITSSFSGWTAASRSREGRRHSKDL LKMLHQVQADVLVKRELVGQLEKTEEEYTQMRVNYEEQLSLLHNHIQEQNQASSPVES SSSLTNLSGSITGSVAEREKEPRRGRGRGIGTGITVVTERKDGGTSTGGTSPLVTTQP TPTSTRVGLSRPSSVAQLREERLAQEVRSQYESKLKRMFNENQDLHRKYTQTASTLQT TRTKGQAIVSRLQSTVETLKAEKKQLQKTIRLAADRARDTAVVNEREIQQLRRRELAA LEVKKRLEEANEAQAQVIKRKNEDVAVANLHNRLLVNALRKAAGEGTLLNEAALDKIM AGVQTKLSKTRLAD PHYBLDRAFT_149477 MTGDRPPSASNSDSTLVSTDPNQFNEKSNKPLPHGPSQLGKYVS NSSAGFDQQSLPQRTRSTLSTRSTRSTRSTRRTFWQRAAYIIRKRMAFKPPVKHDPRL YPPAKKRWILACLALGSSLNGFCSTVYLPGLPNIQEDLEATPISVALTTSLFILFGGI GPIIWASMSDFYHIRRFLYLSSLLLFVGATIGCGLSPNVWVLVVLRCIQSVGTSVTMS VGAGTVADCWEITERGSAFSVLFVGQFLGPLVGPIIGGGIVSAMGWRATFWFCAGYGV FLFCFLLLFLPETYRIDHVWDNGLPIKKPRPLSPVPLPVATRDEEEGGSSGVASDEPD NSEDSDDEYIQEPPPQGRMNPIQSIALLRHTFVWLIALQTGFCFGTMFTIETIIPDLY DEYYHFESWQTGLSFLGAGIGNVLGAIVSGRLSDLFLRRARDRRGGLSVKEDRLTLNA WPGGFICVPMGVLIFGWCTSPNITVWAPIIGFSIVCFGMSQVYASGSAYLVDSIPGKG ASVTACSNLVRLLMACILSLIAKPIVSSIGSGYLSVILASLNYIGMGFYALVKFKGAS FRKASGYGDNPI PHYBLDRAFT_102505 KDPLVSQLVNTLMRDGKKARAQRVILDSMKHLQKKTDSTNPYSI LTEAIESASPLLKLTSTKKGSKVAHVPTPLRERQRHRRAIVWIIDAAAKRGEKTFEEK FAAEIYDVSQGVSAVLQKKLQLHKQALANRANAQV PHYBLDRAFT_172331 MQDANSSENTHGSYEKADPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVPFITEEQLHVEYMP PHYBLDRAFT_172332 MSLSKDEDREEQEEEVLALEAIFGPEVFQKDNQSPDAFIYTLNL DEDTPDLKSPRILVVRFFLPPTYPRTDMPFYEISSVYFGQLKVDQAMVDDINRGFQEL FQPGQVVLFEWINWLREYLESKTQNGSEDVNKLSDTLSTTRAGFDNEKKDKEENDYYE EQEEQEDYDETAKEMDRPTVRSCSSGLASNEPVPEIQSSIEPLVDRKSVFVAHVATVY NASQVRAVVSKLLENKKIAKATHNIMAYRIVLDDGRILQDNDDDGETAAGGRLMHLLQ ILDVQHAVVVVSRWFGGIMLGADRFKDINNCARKALEDFGHIKDDKQNHEHGKSKGKH KKK PHYBLDRAFT_182838 MFGAFKASFVAQGGLLWKNPFRMSTTRKANVRKRLRDVDQVIAT VAESGVRCKALDEALALPKECEMSPRDKYTVFSRTAAGHRKSLHKVPKFTKKTVRTSP PGF PHYBLDRAFT_100577 SLDKKTRDKAVRSLRTFLSTGPELSHTDLLKLWKGLFYCFWMSD KPLVQQALANDLGSLVLEMPASNAIPFLSAFWEVHCKEWYGLDRLRLDKFYLLFRRVI FFSFKFLAKEDWDEELVADYTNMLLEGPLHPTDRTKPDSIRYHIMDIYFGELVKV PHYBLDRAFT_135767 MTILHLVGYCAIALAFCFFVLSLACGLYYLAELVEEYTVYTKKV IKAMTVAVAAVHVLLLFDRLPFLQIAFSLFCHGVYSMNLKTFPFINLTSLPFLSSCVL VFVDHFVWFRYFTKHYRPFMDIAAFFGICVWLIPFTYFISLSANDNSLPMSDPTAGDF APSQNKKGLLKTLLRFVGIKGQEPVFPTTQTFEPSSASSYTPGPSVGMASAVRSHYIP NMQNRKAL PHYBLDRAFT_127003 MADTNTDSSLRTEKDRAPIMSQSARSGLQFPVGRIHRYLKDKSR NNARVGSKAAVYTAAILEYLTAEVLELAGNATKDLKVKRITPRHLQLAIRGDEELDTL IKATISGGGVLPHIHKSLIGNTKPGKKM PHYBLDRAFT_172337 MEVLKQNFEELYPIVKEAILEADFISIDTEFTGLTPPGVSFQQF DNMQQRYEKLAQCIREYTIVQYGVCAFKKTENGYTAKPFNFYVFGCDTNDLQARRTFS CSASSLSFLRSNKFDFNKLIDGGIPFYNFSEEDSAIQSASGTTFAHRNIHQGRESTHP GSIAFLDTLRRNLGKWLQNSSNKPLVVNVNKPVHRKLVYQEMAKAEYNSFLQASHRDS KNMEISRITVEERQRRQRALSPLLNFRNIIELIKDAKCPVIAHNAVFDLFHTVDQFWR YLPQEVSDFKKTLNDMWETVVDTKYMAEFHPDLKKCFNSSVLASLYNTVEEEIKDGGP TVTLAKGYGGYHEEGAATLAHEAGYDAYMTGVIYLGFVYYIHERERERLESEDDKTKN SKKSNKKIKTDSNVDKNQKKSGKDETFMDKSLLPYYNKLFLMRSDLLYMDLKGVEEYE PIDHPNRFYLNNVPSELTFSGIEQIYPEIQPAHVTWTPDNNAWINIKHDNKVELVKLG PLGEDRIRDFLPGGSREAEGVASGITKEASKIEILTFKQWMELQNPKKEDEDKNQAFD ESNNATRDFVPTGGSSYDDLDIPIPPSFASAKKRPHEDGNEPNENHNKRHHPY PHYBLDRAFT_156165 MLNWLAPSSVSPDDPVRACFVILVRNNELGGIVSTISQIESTFN NKFKYPYIFLNDEEFTPEFVDTTSALTTSVTRYGKLDQQMWGYPSYINQTRAAENRHE LAKQGVPYADSESYRHMCRFQSGFFFRHPLLDEFDYYWRLEPDVDYYCQLDYDVFKYM RNNGKKYGFNIAFREFMATVPSLWGTIEAFKRDYPEVMEHLPVKEDSLWKFVTNDNGE TYNSCHFWTNFEIASLAVWRSNDYLKLFSYLDRSGGFFYERWGDAPVHSIAATMLLRK DEFHFFNDVGYRHTAYQHCPVEEEFRSKCRCDPQNNFDLHFQGYSLDEQIYVDE PHYBLDRAFT_116120 MSQDQPRQCGVTAPISIAQATEDELKLTEDLVKTLHDYGLFESK TEADKRVIVLRQLNELVKEFVYKVSLLKGLPEAVARNSGGKIFTFGSYRLGVHGTGAD IDTLCVFPKHVERDHFFTIMYEMLKERSEVTGLTAVADAYVPVIKMHFSGIPIDFVCA RLNVDQVSDKLELVDNNILKGLDERCVRSLNGSRVTDEILRLVPNIPVFRTALRTIKL WAKRRAIYANVMGFLGGVAWAMLVARICQLYPNGCAATVVCRFFRIMYKWDWPEPVLL KHMEEGPLQVRVWNPKLYPADKIHRMPIITPAYPSMCATHNVTVSTKAIMLKEFKRGM EIVENIVVRSGRWEDLFEPTNFFHTYKHYLQVIASSYSPASQLQWSGLVESRLRQFVL KLELVEMLGLVHPYNKGINKVHYCLSEKERRDATHGLYPEDRTITMKEEEDKKMVQPV YTTTFYIGLSVEPKTDGSTGPRRLDLVWPTQEFLKLVKSWDKYDELKMNISVKNIKR PHYBLDRAFT_159839 MKQDYEQAHYWCIRGDDIWPSGLGYCQTCLGDMHRAGLGVPKDL VRSFEYYQKAASQQDAPQNYARYMLGEMFFKGEAWPQNFAVAVEYYKLAANEDHELSR LRLEEISRLEATRAEEERVKAEQALKRKTWRIWTLFTNRRKAAV PHYBLDRAFT_172342 MQSNPPQTDFGSTPNNGILNRCARSFGSYFSLDEAQFKFSLLLY GIINLTPCSSTKSRAIIPKRHISEILAHIRKSASDSCGTTYKAIKKFTVEVIGFVIKA CMNMSNFLVSEYTYGKATTELAYCFSYPKWTA PHYBLDRAFT_127007 MLPRSLSPSTYELLDIRAPDHTTLKKSKEAPLVGRPPSPPSSEA SLDVFSSAELANTILEGLRKPTHKKSISTFVLYDNRGLNLFDKITYQEDYYLTNAELD ILQQRADELADRLHDGSVLIELGAGALRKTQLVLQAIERKKLRVTYYALDLDQHELER SLGKLGEFCYVKLMGLLGTYDQGIPWLSEHFKDTNTHKAIMWLGSSIGNQNRRESAIF LRRLQRTCLQPGDICVIGFDLRNDPAKIQAAYDDKDGITREFILNGLDHVNAILGQNI LDRKDFAYDSRYQTGHGRHVAHYRALRDMGLVYHSKSGDVKIELSKDELIHIEHSYKY SLKETTHILESAELNMLENWTDSKHQYRLVVAECRPFSFGGDRNAIIHTLFPPENAYP QDVISCDTCNDTGIDPSVASNTLPNLVGPLHWPEFLPSLYEWEQLWKSWDTVTAIIRN QPSMLHEKPIKFRHPCIFYLGHIPAFLDIQLSRNAIDQDINPEHLLTEPAVFADIFER GIDPDMDDPSKCNPHSTVPTEINGWPLLDDIMTYQKAIRARLERLLISWEVQFQTAGA NGNWPSTVTKRKQRIMHMTFEHEAMHLETLLYMLVQSPNVRPPPGVGLPDWKMAEISA SFASQFSAKKCLDSAPMLEMKAGSVVLGHEDDESSDWTPMDGAPVNALESTTTHGLTS FGWDNEHPCRVVRVSAFSIQSRPVTNGEYLAKLGNRPLPESWGRIAGQDVIGVKTVFG LCPMKYCMDWPVQVSAAEAEVYASLMGMRLPTEAELVMFRKSAKSERIPNIGFGEWSP TALRNDEIHIVGDVWELTGTILESHEGYNPSTIYLGYSSDFLDGKHRVVLGGSWATHP RISCRETFRNWYQASYPFVFSGFRLCRS PHYBLDRAFT_78272 MPRPNLYSSFFSQSFVVCSDPQSYLTGVETSPIHCTEFYGLSSD QDKGVREPCMRRTSSACSDLSRYLQSCSANNKSKWWIGPKRRFSTLSYSDEDDASYHK HSRNERSLSADALFCQETLATPQLTLDERDQSTFPCLTSPLAEDLRSWLPARVAVADK WSLLYSLDQHGCSLSTLYNRIHDKGPCLLVLQNTEDETFGAYLSEPFKVNSKYYGSGE CFLWRTDSSNKLHVYPWTMANDYLLYSDQDILAVGGSNGKFGLCLHANLTDGHSQPCL TFNNPALTQDPSFECVGIEFWGFKF PHYBLDRAFT_149492 MLPSGMLTFSPRKRVSHRELPVKGTKEKQTDVPSLAFQPNGPTR PLDPLKPMITQRSLNLTNVLDKKNVAKKMKKAKPAQKKKGSVHVNSIKKYLTSSVTTS SSPLVNELKLDTTTTEIIKDDKEDGIPCVVRRSTSILSLLPTFLEEFNPTIETYSDEY AALLEADKALLKDVVQVEPVSLVIRQTVSGMDLWDEMMGSLDSANTRLNNEYLTLLKQ DKDQGQDQDTKTSEEIEAENIVPQISEETQMPKSCKVRHNKPIMSLWPIFEDSFEVHS PSPTSPVSLESTIWTPRHTTSLTALWSMMEGDLQSSKEDYEECDENLDIRPYALHGKK RKQMSDRRDKVAQKMRYWSGSSFIDNGFSHLT PHYBLDRAFT_172347 MTSTIAGIKEDLKREMQGGVTVVVLGASGDLATKKSFPCLYGLY KRDLLPEKVHIIGYARSKFSREQFVKKITQHIKTDSEEDKKKLDEFLKFTEYISGAYD KEEAFKDLDKNISDWEQKNKLKKGQKNRLFYMALPPSVFLSVASGLHNSTRTTEGKNR LVIEKPFGKDLESYKKLNSDLAPLFDEKEEVFRIDHYLGKEMIKDITTLRFANMLFSP LWSGYHIKSVQITLKENFGTEGRGGYFDEYGIIRDVMQNHLLQLMSVLAMERPIRRDA NAIRDEKVKLLRSVKPIKMEDCLLGQYTAANDKPGYLDDETVPKGSTCPTFAALAFYI NNERWDNVPFIMRAGKAMETSKVEVRIQFKALPGILFEDAPHNELVIRVQPNEAIYIK FNNKSPGFSDDCLLTELDLTYKNRYSNLQIPDAYESLLLDAMRGDRANFVRDDELEAS WEIFTPLLHRIEKDKIVPEKYPYGTRGPAKLSKFMDRYGVVHTPHNQYQWPIQDVAEE PHYBLDRAFT_127010 MYEVKLLVENERAVRLDKLENVSKRFKALENAANTTASALDRSR RGHLSYVNLTYLQDTANNRIKERDLAERFEIVAKEARRVALVPLDGGFGAHILSFFLS TLMFKKHGLVEGDDLESVLSRTGHYLKTGDLDTAVRELNQLQGWPKVLAFDWLEAARR HLESKQAMEVLETEIILNSLVEAS PHYBLDRAFT_91973 SASQGWMEKFGKRHCIKMNRIHGEAGSTDIESLQIDKAAIKEKI EGYSVRDIYNFDETALFYATPPRTTISHQKFSGWKDNKKRLTVGLLCNADGTDKWSDV LMIGHARRPNCFNKNNKKQEASDHGFSMYHYNSNAWMTRSIFHVFLRRFDHAMKAQKR KVLLILENLSGHIVDYTPTNVELLFLPPNTTSHLQPLNGGIIRAFKAYF PHYBLDRAFT_66066 MHLFSTNDGFTFRKQCTQDTCYIHMENFWVNYLLLLKNTAFEAL ENASILWDFIVHTLDRLQINLFLFMIFNYKVTIKNVSTSEPKKCMREIMACIQHATAP Q PHYBLDRAFT_172350 MTMNIQFLYENGKGSAVDEYGWPEPIKTTRVHVRTGQKWAAQYE KDPESIFEKRRKTGRPRILHDKHKNAILECIDENPSVVLDEVMKNLKHTFTELKVSKT TLFDFVKKNCNLSLKKARLQPIDRNSEERIQEHLDWIHKWDKTDMDFTRNCVFLD PHYBLDRAFT_149497 MSPLTKKCRPLKLRVHAVQAVLSGCPTLEKRLCLFRQNQMEKLI AIMKHETMTYSPAQSVTSMRQLISGFNHPSTFAMTRASHAEFDSDLLMPCSVFPVCDL PHNQGYGSAANSVGKLSSRFLQALELSFLKGTPASFESAIKTFNAYSDTKGSDKITSQ SWSTLKRFVDELQYTLKENQTLSPATVATKVSFSTIAVEASTAVSLANQRIKKYVLHR LQILYNGR PHYBLDRAFT_172352 MSGDRGLFNPSGQNGVPSKKYNIFICRDKVIKLMRTMNILYFFE GTPFCPEGLNRPRSPDMSSELISLVKIEISYPTRKHGYPIKPLIMSDIVYFQAAKAVQ AVRKVSLHFYIEVYVTVGKCNGAKLESMSRVD PHYBLDRAFT_66062 MQRIINYPKNSRVVVSAPKGPGQHNFAFDDIGKTCSLCGKDFDR VWNLRRHLTKYHKLATHIANDISPQYADRNLASQSQTTNTAEPTAPDHNDDSVNEDLH VESDLEDDDSSDVDDINSDGDDNVSEIELDAGESIIEMDEDTSPFESPSPVESTNIKL ETLLGVDSTPVDVALCYSVSPGKVFHLIPRHKSLLEEPHFLKRHVLDETGKPIDPKLF YKVRISPIILFTDDTSGNRSKQYNPYESWSMKFAALSYEERSSIENIHFLSAIPKKKG ASGMSLLPKIVEDFKRLENGLVMFSAKDNENVLVASPLLWIEADTPCHSELCGLRAPT SLYPCRKCYVRLQRSMPNLQSSSYYTGRHTARTKAHYLAAASTSGRGSTIPDAPLTGN ALTASDLCFANRATDALLELQSFDPSTDTPVEVLHNILLGVAKYLVNDLVKVVLKKNP NQMARLSKALNDYENSQGMSRKFTRELRHCGSFLGRDYKVLLQILPAILVTEFANDSI LSLITPPFVRLGRLCSLVFVRAVRYDYNMYIDEVEKAVTSLIQELHHYVITCEIEGHN PYSSKPKVHLLTHLPDDLRRFGTALHYETEKGEQFNKHIREHLMHTNRLNTSRDVCLK FAKQSAMRHIIDGGSWVSKDKMREKYGNSTAEFLKENFNDNVKNILFSGSRDFADNND TDDITAKALCDNTFAVFMLKESRDQHAHPFIGKVSSLRVEHYRVESSPTLKLTTTSSR KRFPMMHLLHSIS PHYBLDRAFT_66061 MSSEKESVHVQSVSGHEIHETLRHRITTRYVTADPHSYLQRPDE KSSRFWVRRLGQIKPVELLLGEAEISGLNRALTSWQLIFLGIGAIIGTGIFVLSGTAA ANNAGPAITISFIIAAIASAFAAFSYSEMASMIPVAGSAYTYAYATMGEFVAWIIGWD LILEYMVGAATVGVGWSGYFVKFFSVAANAHFSPSWTEPPIKWNESPTSISIEDGQYF NVPGFTIIMLVTIVLCIGIRESAWINSGIVIIKLLVILMFIFALCGFVDTNNYHPYIP PNTTGDWHKFGTPGIFAAATTVFFSYIGFDAVTTAALEAKNPKRDLPIGIFGSLIIST VLYLATCTVMTGAANYTLLGVSTPVTVAVDVVQLHTGKNFKWLNIIVTLGALCGLTSV LLINLLAQSRVFYSMAKDGLLPAFIATVHPRFKTPYIATLIVGTVTAILAAVLPVDLL GNMTSVGTLLAFFVVHAGVIVMRFTRPDVERRFRIPGGKYPSLLVPFCGMVVSILLIA VAEVTTIWRLFVWMGIGWIIYFAYGIRHSTLRRNPVGRFAEAHAGLAEATHIKRDSEG IQIEEYEHTPANEHENIPARV PHYBLDRAFT_116167 MAEPVKTTSTHSGILPTGADGKPLKPCCSCPETKKARDQCVFEN GEENCGPLIQAHLKCMRDLGFKI PHYBLDRAFT_172355 MANTLNPVTETQQDSTVEECSEIDVLAKNWIQSQSDKEVKRIKD VGSDVIPLKIINCGIVPNFELKKARAINRVELDTVQDISKIQQVMVSPAVVYPNKPNF NYVHLILVTEDPTPFLVPYLYQTNLKVIQPAKEEEGRKSASKEVVLKTDLREFLFLNR RGVRARFTIHEYHDV PHYBLDRAFT_116092 MQTTHTSTAARQSQDVSASKLKRLLDHNEHLKDQLNVPRTTVSE AATRLVDYCNSTKDPLVPSVWGAVDKKEDPYGPTKKSHCCTLM PHYBLDRAFT_149503 MAGLQKDPAFERWAHLRENTHLYFRWNKRTVNKTLFWGIVIPVG LTILSYKTDRKWDFTGAQTKKELNMEK PHYBLDRAFT_182845 MFKLLLLPLLGTAVFSQSFEYATRFPQNKLYSLDQGTIGLDDFD GTIAAFGDFNGDKFTDLFVLSSDQSSVSVYTWNHQSFKFVAPKTGPQIKIDKLVITNI IPGDYNYDGLLDVLVMSQEDVSNNADGEIVMRVYTGNGNDTFDPEYIQLPSARGPLPM VLDISGDMRPDLLGYAWDATTDKDELNMWLNKGNSLNTNSSDFYERVSASTVFDKVTT GNCKWAHPHSNAFLDLDGDCLADLVFVCEEKNNQKRIQLWTNERKDGFKLSQTLYLPD GAGALSFADMDGDGSIDIVFPVCHGSTCKIHVVYNKQMGLCSTNQDSNSSCRKAQNLC VADPGFTFDFDNPQSENYVVFDIDAELDDGEYIQMEDALFRGKLPVAIHPGDYNLDGY PDLLVTTNKRAILLESIICTTELCSKKATSASKRSFSIVNKGVSALTDVTRPSQAVFF DIDENGSLDMLVLQSTGRKGADRTPNFVINNYFNDAFFLKGLVSNGVCSSYCPVDPVL PGLKPYGVSYPGATFKFTVLDTSGVKRVHQVSQLSQSAYMPLQTPYCLFGLGRTNNYV EEMFAGVTRHQEHNYLFYEGVIPNSQLIFIPYQPENIKDSSSWKVELYIQPADYVPWV LVALIAAACGLGIVVGALYWAEKREDETERRKALHIINFDAL PHYBLDRAFT_100882 MRVCYYDLLGVERKATDDELKKAYRRQALIWHPDKNHDRVSEAT ERFALIREAYEVLSDAQERSWYDGHRDAILRGDDHKASRDSSAGTTTEDLMSYFSISQ FKGFNDSDTGFYTVYRKLFQKLMNEEEEAHRDTPDEDDISFTHYPSFGNSKTPFADSD GYMGYGSYVRDFYSAWGNFTSVKSFQWMDKWRLSEAPNRIVRRAMEKENKKARDTARK EYNDTVRNLATFMRKRDPRLKAFQEEEQRRKDAAAAEQKARVQREK PHYBLDRAFT_182846 MLGARKIIPKIPDLKTWIKEFKPKKEGYRSLSILTPEKKVAVDI YPGIGVWSAVLANNGFKKVYSLEPLPTYFKYMEELASQSDNSIIPMKIDGYDWEAYNT LKTSEYLGGMENSDWSKINPNILFTGMLPMTSKGEQLMAQLATCINNKMSLYTMGRIE MAMWIPDTLYTKITSAPGSSTRCKMSVVIEACAEIKTIYSTPDTAIYPNNKYHLVHFV PFEKSKLNSDWDVFEYVLKHLFVMQRQPLQKMVKTLGPGAEIILKRLSFDPSILVGQM TAEQLDQVAVKFDQWPLRPKVLFEDESMFT PHYBLDRAFT_116175 MFRPQTLVQSKAIESASLHVPLPRRWHLYVWPFASMLYPVFLYV YYFKYDIYIVSEEWTFVILGSIITLHAMTFLVCQWSVSIKALFTCVQENDVNKATVIK LVPFRYQGIGTLCELHHGKPNSLGGGEISFQFQQKKFIWDQDKKTFRELVYLSDLHPR IATYQNLKGLDTEKAIVQATDTYGLNKFEIPMPTFSELFKEHAVAPFFVFQIFCVGLW CLDEYWYYSLFTLFMLVVFECTVVFQRIKTIGEFRGMNQKPFPVYVKREKKWIQIQSD DLLPGDLVSIVRTKDDQGVPCDLVIADGSCIVNEAMLSGESTPLLKESVALRDPNDEF DLKDLDKLHVLYGGTKVLQVTAPENSAPDHGCLCIVARTGFGTSQGDLVRTMIFSTER VSANNFEALLFILFLLIFAIAASAYVWTKGVENNRKKSKLLLDCILIITSVVPPELPM ELSLAVNSSLVALSKFAIFCTEPFRIPFAGRVDVCCFDKTGTLTGEDLVLEGVSYASP NKSHTDLSDGISVPDTTKWTMASAHALVLLEDGVVGDPMEKETVKAVDWHLGKHDVLT PKEVRSDSRERIQIRRRFQFSSALKRQSSVSTLIHPNFSSPKTFVAVKGAPETLKEMY KDAPKDYEDTYRHFTRKGSRVLALGYKFLNDNVTTEKIKDLTRESVESELSFAGFIVF TCPLKDDAIEALQELNESSHRCIMITGDNPLTACAVARQVGIVEREVLIVEKDEKTKD NNLVTFHSIDDSFKIEIDAETPLDQKLLDDYDVCLTGAAMNLFMDKPNMKLLLEHTWV YARVSPSQKEYLLTGLKDLGYTTLMAGDGTNDVGALKQAHIGVALLDGTPEDLKKIAE RQRIERMKNMYEQQKQLSARFNAPPPPPPPAIAHLYPNAPRSQVVTENMTPAQRRQLE QKQKVKIYMKSHQSLLMEDMDMGEPPSIKFGDASVAAPFTSKLRNVMAINNIIRQGRC TLVATIQMYKILALNCLISAYSLSVLYLDGIKFGDLQVTISGMLMAVCFLCISRGKPL QKLSKERPQPNIFNPYIILSVLCQFAVHIAALVYINALAKTYEPPKEVDLEGEFEPSL LNSGVYLIQLSMQVSTFAINYQGHPFRERIQDNKTLYYGLLSVGGIAIAGATEFFPEV NEQLKLVKFPSAFRDKLTMCMILDFGLSYLIEIVTKHLFADNRAKAIATRGRKDTKV PHYBLDRAFT_172360 MSSRSTICPNHKLTKQEQVKNLLGDSPVTFTGKIKLDTILRVIA CWLLVLKWLPPKPPQLLNALRDDHRHIYLAAEKNFLKSQKRVLFQKLFNNIAIIWPDN ISEAYTVKKGVTAEICEDLLRTNIHKSVTLGSLSESLGAYISMLARIMRNYEHQNRQQ KQQKLQMSASPLYPSQQSTTVRKTQQRSNGYQQTMVSTTAIAAPARETLTTSSIFVMP CIIAEMEDFLLHTNLCTSLEDINDVDNKTRFVFLNEIQMDGHTADVVFQKKKMCNQNV SITLLGKIMIAEDFGEASPCAIYPNKNQIFTAFYDDGITPQQIKQYSTKESYTHSGSI RYTQRKSKTPQLKVAFIYIKECKGNLMRTTFPGETRRFYLTGLISCDHFPGPMFFSNH LEQNGVSCKKQQF PHYBLDRAFT_172361 MQATLATAIEIVRLQNIQTYMVNAIQFNKLRKAPDIHVGQEVLV FTQQLSLLCMSVCTFNLNLQLCWIQHSRPGRSGKLSWAWKGPYEIEAISHDQYSLRPS PTSNHRALNQVHAQRIKAYHRPEVPVLAIKNTSL PHYBLDRAFT_172362 MSHCYHMVQGPINKLVYVETDPELFLSHLCSIAAGLVQFDSQGM AMVKVAKLGTSEVQVQSGCQLGTSEDMESINSTSTIKDSSNTSSLPTLSPVLFTITNL PMDKTLPEDQKTGIMNLLNKFCTMFVAKAHTVTIQVVCLSNLYHDESSSRSIVLLERS GKTN PHYBLDRAFT_66051 MNKSTRAKRNKRRSPGSQLVCLEPSTYIPSRLGYIECVPRPLKD NPKIGYLYCTRKQRTPASFTENASQSNMNSQLDFEYDFEAENHEVESSKKRTIYNKWM ENLFQLANSFLCFVSKCQDGCSDKRDITPLSPPPSSKMFEIVFCGCKTIPEQLVEMGI LPASLNNVQYGIHIRLLNFMIDMRNVLATSGQGLATLYNKINMGTERKISKAFCQNLL PIYIRLMAIVESKVEKAASGFEELNGCPACPDQVDSNVTIDDCQYVAMDGNFSLKCER RKDVEGDVGKELEQIGRFDSNFHAGSGSLAKSIKYSIKGLFAASCARHESVIKLVDME TGEGFKYSLSIINQLLGDSGSDGQSADNSPNINVMYDVVCKLAKSLKANFSGLMEKSK LAVLIFHVYAHVQHCQVKLNPKYRDGFGLTDGECLKRLWSYLNCFVTITRKMGQANQK LVLYRAIKFCNETKKVELGLMLESKYVKAKCIIEESRKALEGFDCVVIEREWKQHVNK VEKSENYVDIADLMESGRKIQGNIALFLVNFTILRQLRELTNDANGNHVKDKINRLNH KMEKLKAKIQKEVEGFQEPDEKNTNLIKYIEENAQLEYNEFLAYKKNIQRCD PHYBLDRAFT_172364 MPSIPHRRNVVCRCAPCSRNSQGYSLVTSRTAERHIRKDELERI ERLDMAERLANTVQEEQMMDVDTQYDQANSPDSNAATMADNVSVDDEISEVNGNDSDI ERDINSDSGSGEEEGVETDVEEFVNEDPFDAPNMPENPVHQFIATFAILFISRYVVNK GAAVLIEFINQLLKIYGEDFQLPTSLIGLQRMTGFSNYANGIKKSVVCEDCHKVYEQD VPLPTHCDFKKHGSQSACNCELMKVSSSGAMVAKRSYVYNSIQRSLQSWVPVYSPILL KAVLPIEMFINWISFVDACRQLVKPSITFSDIDDGHKFLQEFCTECQRIYTPTILTCN MHLHLHLRETIRDFGPVYGYWLFRFERYNGLLKNVNTNRKDSFEVTYMNSFVQDTFKG DFVHAALTCPSQVPFLPLLAKLTATAQPSTSKNTITFPQRPFRLSAFIQAYSNPSLPV LGNEPLPPSAFPLHIEPPSAMSDVDYPHLLDYYKVAYCMPNLEGYQHPSSPFSFVDNQ IIKLKSINLLGQVYKGCKYASGRGSFVQSLFLGSQGNNWLAYTGQIQYLFLHSFTPPV DNTELQTRVVYQDKHVFAFVKWFQIEHDRSRELESVDICSADFIACDFECILPVHRIS SVVATCDYKTSTNNKKILVNALPHKQYN PHYBLDRAFT_149513 MSEGESDDDMSPSQPRNEIRVARPSWRSDELNKFITEVDSFVVK QLGANSRQLLKRVYGRTVESTVPIDLDPALPQWALKYES PHYBLDRAFT_149514 MPTPNRRLTPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNSTAINSIDALSALPASAHVPTSVASTSAALPITESSDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKPKWAVDVRFDRSPNRELVKQLLYYLEKKFAGTDMRTRDLRK CIYTNFCSKHRQQRELPETRRALNTNSRRSGRETDNYTRRRLAYDAYKADIDLKMG PHYBLDRAFT_66048 MNLVKNIKMNTVDPVFDFPYIIQLEMTLHVLSSVYKDNLSLLIY ILATGIERYHKERYGLSISTSIFCSLFSNIFKYFFSVDNYSIQTVSSRPVEAITDIQ PHYBLDRAFT_149515 MSNNNNNSECKCSKYSSNSMRFVLVSTQTLRRHAQQDIMRLYQS GSSSSVIEVMSNDNDIEIDFEDNVDAEDQVEDMHNEGVIEATILDISDDESDDIREHF SSSNMPVNPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLAHFGQSFRLPLSINGV NSMTGLSNSDVVSECCNFERLSGRECGNALFFATSRALTIPKKIYMYNSIIKTLSILF CHPGFEDTINHWRIRVQVPGLMFDIYDGTK PHYBLDRAFT_102468 NLPRSEWYKKENVVLVGLMPGPKKAKTSEINHYLHPLAVELNQL YDGVVMPTVQCPSGTLVRAALLLVACDIPAACKTCGFTSHSSTCVCNKCNRQFHHLPD SNAVDYSGFWVPRTDAKNCCDANSDAQRKRLERENGVQWSELHDLVYFNLVECTVIDP MHNLYLGTAK PHYBLDRAFT_172369 MICTSTYFHCKIMDGRLAWKTISYCIILLSIIVVTMSFFSGFKV LEQRSTCCVHFNSLSCHTYKSMINLTGILIKIITPKMILLKNNKDRDTSSIRFAEATT CSIPFFREDKAFKFEEEYDTETSSSSFDNNYPDQSEEFNDENEAVIVGGIGFKDKMAS GLYLKMHGMSQGEYTTLKLICYFLVTNEKDTQPHVTVDVLKTMSGFGLRTETISKYTV CSNFSYILAMSSSIQLFLQEVPSELFIYSSNSLYVDSSVDNVLPMVFLFHPGYAVLSA KIESGLPYMKADEWRSWRSWQSWCLIYSLVILKDALPENDYKNWTLFVKACQKSTGPS VTYSEIDSTHQLLGEFGKKCEILYGESSITPNMHLHMQLHESMLDFGPVYAFWLYSFE RYNGKLKNIKTNRRNCPEVTLMRVFLEKSFIDVSSPLNLDVGHPPALPFSLAIFQQAI TNPWYNWLFEFYVKAYQSTSVSFCAVGRIPICEDVFVNNRIRKMKKISLLGQEYFSGE KKKRGSYVRVVFRERASDVISKFPGQIEYILTRVIKIGGTKRVPTFAFVKWFSAYHSS SHQPLADQGLQS PHYBLDRAFT_159846 MSAKTTKAAWSTKTMTCAMSAETTKAAWSTKTMMCAMSAETTKA AWSTKTMMCAMSAETTKAAWSTKTMMCAMSAKSTVCARSTKSTKHAMFTESAMHTMLM ESAMLSVFAVSAMSSV PHYBLDRAFT_172371 MLDGLLGNKIILTLALSKVNVAFNCNAMKSIKRSSPQSFTVIGS LITLDVLRYLSFQCRVLNELRLSVHTKYLEFVVTIYALKKLTKENLGSDLNESFSVAI MLEK PHYBLDRAFT_172372 MTKQRKIPYKFKSFIIFVFQAHAPFLTSRFFVETPISIVARASN KQEIIHIIKVFKVPLDLTIRDLQQKERKGFLNIYTYYMTNTVRFSGDTVTHFEDITPS SKPTGYIESKIGDFQNHIPKVHKVFKYCPFLIRVELTTRGILFDFDNLLDNCPVMTHL VLDTDSVILSQNSAIIYSSHGLLSLSICSAKVNPFLFNYVSQRCQKLSYLTLRDLRIQ SVPLHSTEMIYIDLSHSNLQLLNMCSIDTIKPPAKPPTNEGKGRQGLIPRLALLAVTI INPNNKFALEHQQQLNNDVLEAQPTIADTTTLWFHKYHSKIKGVKSTPWEQLEENAKD QRLIGYDLIYDKESTDTKFREWKKSSLFTKTTSLQYYVELRCESTRKLVLGY PHYBLDRAFT_188355 MGTINSKSTNSRSIQRQTQLANDTVDYGSLIPQGLYGIITQDYD ANVVTKLMRLGKLAPFYKGLVDPPVFPLGQDQESLEQNLCLDKSKFKLLYTKTAECPI CLLWYPACINYSRCCHQPICTECFLQLRRPLDTLSPVYCPFCVRSDFGVIHTPPEWSQ YHIAFNDRHPGFGPRTEKWTKEKRVVLNLDDPDVVLVDQVRPHWQEEMADKLSKRRRH SSVLSGSGSTRRVVVRPRNRTMTAVSQRLQTYSAGYIEDLDLHGDAQVNNTNIRSNGN VNGNSNGNNNGNGRVDNYRQLDLEDLLILETIRRTHSN PHYBLDRAFT_39753 MIPVTSRQRQTKKDEAIRKKIEQELSRKRGNSTRIRQTKKIAGT VSALRPAQALTVRENILVIEAAQLMAAKRSDCVLVVDDDEHLSGIFTAKDLAYRVVAD NLDTRSTTVADIMTNGPMCVTADTSAQDALNLMVSRGFRHLPVCNEEGDIFGLLDITK CLYEALNKMERAFGSSRKLYDALEGVEREWAHSPAQFVQYMETLREKMACPDLTTVLD HAGPVQVPVKAQVREVARLMKEHHTTAVLVMDHGGLAGIFTSKDIVLRVIAAGLAPDA CSVVRVMTPHPDTAYPSTSILEGLKKMHDGHYLNLPVLDYDKNIVGIVDVLRLTYAIL EQINSIEGNVGDNSKFWQSIATGDHPDTESAISDSLSQAANSHISSSNPMINGNSSET FSFKFTYGSRAHRFRCDPSQFSSFREMVRQKIIAEHLSLSQSYVDVGGPRDSATTMNP NPNPNDNDNVDVDVDNDWLSIAYLDDEEDQVLMTCDNDLTDAVQLARKMGHDRVRLFV HDSLAEQHPNHNHDESSIAGTTAVPTRVSRQDESSDSDEDSSGSDMERTGRTLREPNF VIPQEMLLPAAITFLGVVILGVFAIAKLTGGSNSRY PHYBLDRAFT_172375 MEPTYPSLHPLPNRLSPPTLPYTRHQSDNLNQHYNHHTNYKDYH HYNHTQHWRSHDLLQLQSLLCNKPQQDTNNHLPPLILLSSISSSTTTSTNNNSPYGGQ SPSLSTSFSSSSSSSSSSSSSSPPLSSSSPSPSPAAESISTFPSPQQDQDFDLDHNKQ HHWSPSPPSSSASDNVVVVDDSVRGIVSVTSLLNPVPELPEPKRKKNRSGYLSDARNQ MPATKIAPNRSSSNILLKRGRPRQLTLNNTNMNMNMNSNNINSSIFGTSGTPPVAADH LHFTQNTKKQRRYKSKKNKTENNHNDDDDDNHIALDVSEDHRRLNKGLRHFSKQVCDK VAKRGTTTYNEIADELATDIRNSPQDNQPGCDQKNIRRRVYDALNVLTAINIIEKDKK EIKWLGIPGCTNTHSETAKREADLLREIEEEERCHIELTASVEAAREKLAQASLSQMF ALPNCPTAFPCPSS PHYBLDRAFT_172376 MASVFTSDSNGSQQKPPEPSRPKRPRSSLACIRCRKKKVKCDFV QPTCGRCSIAGLPCSYATPPRRVDGHAFDQLGNHVEELKDRMHKMQNELTMMKNSIHP CPGTGGIRAPDDDMGSPGGSGSGSGSGGGGGGGSTSPYGMLSHGQIDSNAHKDSMAVI EQQPLQSPSQPVTWKLSLSPSGLRIDTNIASVADLYRILLNGISQLNINSDSTTLFST DSIRSDGTKARNSKNNQSRKGPHDTNIAMDTTSDTLSSQSSGGLGGFGLGGGSGGGGG GRLWEVDENEARKILQERKQSGDTLPQETMDELMRSCYHRCFLAYQIVDRDTFTQTYS AVGLEPLLANSINAWVSKHGCIYHNAGPQHDPSTMGEAYFKNARQLLKKCFDISSPTT IHALLNLYMYQLSSERSSLAYLYIGLAIRMAQDLKFHKKEHMPADLKQRETNKRLWWS AYWLDLCAALESNRPTMVDDKDCDLEYPTRLDCEDDETGHRVNFCVNSIKLMKIRKDI TKHLPSEQSGQSLLSAISRLENALTHWLNELPSDLRLEQDDSFRGTGSFRDEACLILN IQYQTTWIMLHKFFLPKQDQTATPVALLSLNICTKSATFITKMLDIYAANLPWCQFFY AIDGVIASVTIHQVNAVSSEHEVAHLAQRNLIITANVLKKSPLMYMDKVNEIIESIEG FLKKSGCPTELSDLPPINEDSINQQSISSVFHPTVFDNNNNNNVNNIGTPQSYTRSEP DSPSMNSYQSRFGSVGPHSMSSPRPQVASSTPLPTAAVTPTVGSNVVNNSNSNSNNNN NNNNNTMRHPNGSSQMPIFTHETSAHASPISLAPSPQRPFPDTINQHTPPSQHHVLQQ QQQQQQQHHHHHAQHHPQHHAQQHLQHQHLQHQHHLQQHLQPQHHVQSHPHQQHPQQQ QHHHQHHQQQQQQQSMGHNTSPMPVSNHPNMMVDPNMLFNDPSLMNFNGNDMNHLLNM GFDINNPGMDTSRMGTSAGFGQQQAIFGSLDTPLFHPNSREQQTSNAGAFASNVNVGV GVGVGVGVGGGGVSSSSSSSGGGVGVNVNVGGVNVNGGGQSFSTSADASHFSFASLGP TSLYDQTNPSQSGVVTGSSYPGTFLTNDSLFGLGSPESLSNQSQTQPPSQPQQNQQPQ TSQAQASMMSQNPNQTSRQPQQAQAYPSSVVTSMAVGPAAQQQQQQQQQQQQGRPGFD TSNLFDQINMDPATMNALLQYNTPTPAFHGFQYSNTVQGPAYGNGGNGGGGGGGPDGD MTAAMYAAAASRKRAHREWDESA PHYBLDRAFT_116559 MVGLPARGKTYISQKVCRYLTWLSIKTKVFNVGNYRRKLHGANM PHTFFDPHNCIGEQHRREAAAAAMEDMIRWFNEEQGVVAIYDATNSTIARRKWLHESL SKQDIKVLFIESICQDESLIIANIKEVKLSSPDYANVDPEDAAIDFRARIDHYKEQYE TITEENYTYIKLINVGSQTIINMIQVYLESRIVYYLMNLHIAPRKIYFSRHGESQFNV QGKIGGDSFLSPRGELYAAKLPGLISDNLGGKPLTVWTSTMNRTIQTAAGLPYPKMQW KALDELDSGLCDGMTYEEIEQKYPEDYANRDEDKFNYRYRGGESYRDVVLRLEPIIME LERHENILIVGHQAILRCIYAYFMNYDQDDLPYLKIPLHTVIEFTPKAYGCEEKRYKG KSNKIY PHYBLDRAFT_149526 MRPFTPLRNNLASLVKTDLVPESLPRYAGSVQHFYRNKVLDGHV HQKATPITLRQLIFYERHRNTKRILASANYVRTELPIRLSHRIREFQNLPFIVGTNPF IQDVYDLYWKSFERLRTVPPITTLAENEDFCGVLRGTLEDHRVVIPRLALGISECLDH ISSQRLDAFMNSTLKARISRRVLAEQHLVMSHVGTEIPISIFSSCSAHATLETCKALV MEHYPEQSKVPQVIVGEGEKDSKDTEFTYVSEQIQYILYQLLSNAVRHTIKHHSNAAG SYPPIKVTVCSNSTDVFFRISDRGGGILPEVYKSLWSYGVRNQIFGNFKHIPQHTGSV AEHSHDVPLGIGLPMSRAYAEYWGGEINVVTMDGWGTDAYVRIPKLGTQIENIDVEPT DEHAVLDSAHEGPTPCRLAV PHYBLDRAFT_172379 MSINLIFIKPFQNRKYIIETRYTTQILYRICISGICVNKRKEER KQERKGVVFISYFRVAFCNRNNSKNQKKHLGYSKALSNSYVLLATALKLKAQSLSLEA QRTTETPLIYGNWRAILLLQVEPLIIFTQSHPSLFVSMLLSKLPPEVILNISLFLPLK DRDSCCFVCRAWKGPFQESMHYDLTLGSIGAIYNSWEELVDSESIKQYTGTLLRSLTL SDCRNLSVQQLGTLQRTFPNVKSVWIQKLCCSSNLFERETDWLLWRSLTELRVDILLY RTIHVEVDNPFLGIICNLSMLTRLQLINSVHYESCINQIFRLSEFELIHTRLVHLTHL ELSSRLLSISGTEIQRMGEVSPANKIKLFRMISSKTDHQWLYYFAKKYPNLESFNFST ISDELESEKQRNNIISLLQSLTHPFQHLKALYLGDKNYLPKEYLLYYDMINCFKPPLE RIYIYIFQRNLFGNLSKPLSALNKLFRYMCSSRLSGSLKDLCVLCPEVLIITTLLIKR KDTFYNLVHLKLSCPFQPFNLDDLLDKRNFLKTLDLRFAKIEINPKALKRTKRRSLQS FTATRSEITSDVLRYLSFQCRDLNTLYLHRTKVFGKFNSTQGCHFIDMSYTRFNLLRF VSVPFIIKDNPINGHNINITLITRPVDDIPPKNDDSVETLPISFESSSFKVHYDWLYS LNSSMRPLPKAASKNAEEFFMNFEDNKELFLNTYVPNPRTEKINLNKWKYDPKCHNKT DWKLKFIYGYTRIKCGYVANFALN PHYBLDRAFT_127023 MSRPLNSQSFIREDSSTITSLEECGRQEVKEFLDYCQLSQYLEC FLSEGFDTLGSVYEIMEEDMIVMGVKRGHRRLIQREIATAKGIPLDQPLIINSIKTRH PIGYTVNRNSSSKMVLDGSIGSILKPNLPVKVELNNENTSSSSSSSNINSGYGSMSST QKSRMPNMMISQENMIEDDGPSKRAHDSLPRVSDPTRGSSVSSNDEDSTCAGDSQPPK RTYRRHPRQDKNAPVKPPSAYIMFSNHARTELKDQNLTFSELAKIVGDRWKNLSFAEK QEYERTAMRAKDEYSAQFDRYRRTPEYKSHQEYLKEFKSAQFAVSRMVSRTRKRSKPE SPNSMADCSSNGASNENGSSAS PHYBLDRAFT_66903 MPLYLKILKFGENKGKRDKALIVSPSLPYFGLVSRVNLRQFFSK ANINLIPEYTALDSVDNIYSPYEFCFVYNKKGGERLYMFSFGFNAFQQTNPNDKALKT TLSQKIGHVRQILINIQIDDERLEIWGFKPAINLEEWQTKKPTCIFGDPNEILGLVDE NKNAWYCYGFSGESMPLRQLAVNVKKAVYCQGRQAIYVLTECGKVTCYTGLKDNLVHS IMDIPNRVHDIAASFSHILFYSAGYDPIYALGSNRFSQLGLDYQQSTNIEMPFPIDFF CGLGNPYGTTDGSVSCSPFHSVVILSGEVYTFGLRKDGRLGWGEEEADDCDDSTVGLG VFMENGVEVQVNAIKSVCGSSHTVVLDDEGKVWICGTS PHYBLDRAFT_188358 MEKSFAGLLRNSRLATYDRRLAQVYTTPKKCKQIGDWGLKRNLP TVIRTPYVTIGDLDTAEHQTPWQSGQSQVLFVKRWKENFPNSTKPAPRREEPEHNVAL MSSSEFKRFLKKVSKNKDDFKEKLDRKEVVPEQLYEFFNVTFNETPSSTTVGPTYSDY EHGWDYPVEGRILNADKQGHVVGIAGVTALLTKRNSIGLRPNSERRIRTFYVEKAGFD EQGKPMVIVNINHSSSSAIPSLLSPDGFARYNEGRGSSLFGSMAADDMFSTKPHEQAR TQDDDSKVSANPQHTQLMRRIYGLLEPVESADKKE PHYBLDRAFT_85972 GSFSSVSASLPATPRRTSASPYFSLSENRTTSSPNFRRIGSSTG ESSTSHQSSDAGTQSDHSLSLSVLMNGTDSPQNESKDSVEPWEAFKWTPLLKISEQLY SDSVRKNSGLLTVLAVSGVIAIGTTRSLVFVYDYSQNLKCVLGDSARAVEVGSVTSLA ISADHTTIACGYSQGYIIVWNIKKPAYPVRTIDPLPASQLAGALASNAVGPQQTPLRK EGHIKGAAILHIGFISIKKSEIVSADDQGMAFYHLLYKVVMVNAVDTTRILGRYQNIS FSSDPAYSSAASSRQYGSPSDDIPVIPKPKKPSTVFGMQPLPLGHIPHPAESFGLVAL LTPYKMIIVGLKPTPQTQFKFLKPKYLHSTEHADSLSGCLAWLPVTKTGMHDEGEPQD SLPSTDPMLAFSWGYHLFIIRVGVEAADSKQQNGNRANRNPIGNKPKKGNKLEFIKLG EWECGDPIVGLQWINRQILVLFTPNEEMILFDPKNMRETERANIRNKQLVYHDWFNNP LKEVASETIVQIQKPALGTNNTIVTHKTIEMAYYHSLKGYKGKMFLLGLRQIFVGTLL SWADRILALVKAGDFLEGIELATLFYNGQRIQTVVGLPEDEQARKKLVGEKLMELLVA SLNYAFSSKRTYEGMTDDIAGGNSILFHDLADGCMKACLSMGKLDFLFDTVYERFAAS GVRGVFLEVLAPYIVDDKMPDIPPSIMKDLVEHYSEKKLLGQLEQVIWHVNPQCLSID QIVSMCHREGLYEAMMYVWNKSMNDYVSPVVEMLKVIPNPAQRSRVSSGESRVWPRPS PENNLRQNTEKLFEYLKSVLRGRSFPDDLQMIGSRATEAKSAVYSFVFSGRCVVWPRI GGKLVLTTTEDEEMSEPTYPYLRLLLRFNTKKFLEALEVVFEDPWLNGGEDILTSTFE DELPGKVISRQIIVSTLLDVMGGGLSANYDYASSDNLVQLYMFIANNLHKYTTFILLP PKTLNKILVRLAEEPSTDTREERQLAVQNLLNIYTPVNEEHMSTLYEEAGFWKVLTNV YRRDKKYGKLVEAYLKDDERRNMVFECVQGLLGNRSNLNIKQKNEVKSVIMIRISQFV EIDGQKTAFIIEKFFNNEHAKAIRLLEEDQELEEEEHHATADKHSGMVYQHRTPNVDS AIQERYIELMCRFDPSGVYDYFSTKLGDNVNLDNLLKSCEEYNIIDAVVWIMEKKGDT KGALDTMLEVAKEKNTTILQIIKDHAPEDGKASEGIPANPRLWTFEDQSTISSCLIGL NGVLRVGTLLCENSSRMSLSTYTTEVAASNDDRDKNDEVELLWFRLLDAYVEASIEIY GALDSIKKSSSATIPQGLHNHIVSSFKSFVQSILASLLLSTSPQVSLPRLLLRLIRSQ TRGETTFADFRDILLSMLDTYKYEGKLLKMTNQLFDRDLYEGVQEMVRKKGRGWRPRR AVCEICGAAI PHYBLDRAFT_66899 MNTPQRSLDYSSDKETLKTPVNQRFRQITTSPAPSPIRRYLSST LNDFREKGNKELGRIYETFSELTGISPIPKERSQKTLKTITKRTSKSTLDADQILNEH KNHTFLTPIMERHSVRDSIKQDIGA PHYBLDRAFT_172385 MTNVNNKELVLPPTRATLRDSYNSWSWLKLSLFTLYVLEINDVL WTPLLIRVLMHLKPGECERMDNPKRYSKEYCCGIANSSVFKDGGTLHIPEFKLTKSTQ SQILKQIEVRVSPFVNNSLGFKIIIIWLLAYKFNSCGYKVLERAT PHYBLDRAFT_159850 MSSQPCLEPMSTHSSQNNTSLSFELVIRQQPVRSRMCGIGERVD RRPIDPPPIVELKLKRKNDENKNLLQLRSPYLFLAAILIPTNATESDDDSLSLEFHSR LTVGRSVSSLYLLRDLDNTEGAFFVFSEMSVRAEGTYRLRMSLFDMESSHVCFRESIT TDEFSVYSAKKVSKPLVIFFF PHYBLDRAFT_66896 MIPRLPPFLLAVVGGASIYGVKILLDKLEPKLLGAPGSSDHAEK RIRFRQNVRLGGAVIVGTGVLCAAYWAYDARINRPSPAVSTQNSVDFYQDQYDARERR QRDDAARIKEEQRVSETVAQKRLTEEQLSMERIASSNQLK PHYBLDRAFT_149535 MAIEGKSSFREDLKEKGVEARVLGSEESCHPLRDQTTGLIWGVD ERLEPTNLKGTLPCNRV PHYBLDRAFT_66895 MIFELQTQCAAHCATCASSRDNTRLLDIACSKNLLGIVSLEILK NSAFGNRLGVKLKFVVNFLKYERIRLSEIVCSTTYCVVCIPCSHTGIETFGAVSPFPL SYAIFMILIV PHYBLDRAFT_39748 MPDKLVFVFQTGVCVLSTFLMILNGTIISPAMTKIATDLDGVDS QAWIASAFLVGACASQPTYTFVFYLIIKRRVVLGFGALSFMIGCLVCALSHTINMLIA GRTIQGAGGGCLLSMSFIIVADIASVEVRPRIQALLGISYGIGSVSGPIIGGALVDYV TWRWDFWLGFILSSIIFVVAVFFFKEPANVSRDSVLSKLKRVDWIGVVLAMGAICCIL IALSFGSILGWTDPHAYGAFTACGIALILLFIVETKFAAEPLLPKSIFSNFHAVVVFF FMLCVGAQFVANMFISPMLFQALYGVDAISSGIRLVPYMVCLIFGAIGTTAALKFFPF TKFFLVLGAASAVIGYGLFLTVNENSSWGAQAGYLGFCGFALGISQTNGLVNVQSVVA EKDVAITTAMFNFFMFMGAAIGVSIYQVLIRTFVVHNVADVPLEYLYVANKFGALKNY LFIRNMPVEYQGPIIHVYARAMHSVFIIPLGVAAVGFILSLFIKNVRFGQPAQTVKDP ENVQA PHYBLDRAFT_39747 MAIRDVYIASVCRTPLGGFNGSLASLSATKLGSIAIADAIKKVN LPIDAVEEVIFGCVLSAGLGQNPARQAALGAGLKEETIATTVNKVCASAMKAVMMGAQ SIALGNADVIVAGGMESMSNVPYYLPKQRFGATYGNAEVVDGIVKDGLTDVYNAYLMG VAAEECATEYKITREQQDDFAIESYKRAQAAFAQGNYKDEIVPVTVSGGRGKPDRVVV QDDEVAKLNEEKLRAVRPAFVPKDGTVTAPNSSPLSDGAAAIVLVSKDAAEKYGLTLI AKISGWADAAQAPARFTTSPSLAIPKAIKHAGLKESDISFYEINEAFSVVACANAKIL GLPADKVNVWGGAVAMGHPLGCSGARVIVTLTSVLKQKGGKYGVAGICNGGGGASAIV IERL PHYBLDRAFT_149538 MPSQQQIAWIIYLIIFIPIFISLVWRCKFSVMTTPIIFFTLLCI VGLCVLLFGQSSEYGSFSFMAGHSQILGQFPLISILLFAAVLNPQVAYIQHAFKIGPS ISSSEAFIIPPGSTTRTRSSGSFDMEKPPPLFSKEPSYQKELMPPKLPIPSRVPNTLG NAVFKKYYVSVIGIFTLYTITAIAFICIRLIEDNYQVYTHIAICTTILAAISWINITM LFYGTRNDVSRHIPLFRLRQNDTQRVWIISLLFSYSITGMAIVSWIYWSLQINAASID IAYWIVIEALLVYTPLVALLLFYIREKKISLIKNQYSLHMETKRKSATMGPKSHAQEE MKYVTREISLQTARHTPAPVESHSRPLSYQNRTEPLPL PHYBLDRAFT_78609 MKFTIIGAALFLLQSFLLTEAKREATPSKPNILFIFTDDQDAKL DSIDYMPNLQKYLVQQGTIYRNHYATISVCCPSRVSLLRGQYAHNTNITDVSPPYGGY ARFSRLGLGDNYLPIWMQEAGYSTHYIGKLMNEYTVNNYNNPKPKGFDYQDQLVDPFT YIYNTAVFSRNGEPPVYYNNTYQTDVIHAKARDALKRQRDTDKPFFLWVAPMAPHGQF FIGDNGSIETMPAVPATRHANLFKDVKIPRNPNFNPENQTKTASFWKDMERLNDTIVE ELDEAYRDRLRALQAVDEMIGSLFEELEEQGKLDNTYVIYSADNGYHLGQHRSYPGKC SNIEEDINVPFIVRGPGIEKGKISDVVSSHHDIAPTLLALAKGDEYVPDWVDGGVIPL TKDLERHPKPVAKESFAVEFWATFNMAENYPSIKIVGPNTYKTVRVISDNYNYMYSVW CTGEHELYNLKDDPYELNNIYGNTHIQLRSRLDALIIVLKACRADNCRDPWRIIHPED PSIKTLEDALHEKYDYHYTQFQKFTFGECENHYSASNELPHIGSHFINNITYIHTNSG SQAVFSAPRNQKRDETKPDLKSIPEDILGLLYLIPKPEQAGQFSLDEDYEKHSVPVPQ ELIDTHINWSEYGFYNVGS PHYBLDRAFT_66889 MRVLSLTLIVLLCHVIYSEGKGFTRFKARVLSIKKFSGDSGGGG LSRPKAEISSNSLPGTKGYTKGGEGPPISGGTYPNTRALNNNYKQTTSQHFSENGRSG WMGAYNPAMVYWAITPAIFYAGYYEAFRRFDPETGAYYAPNITTQADNPSNILINGTE YTSDEDNYHYTFNISTNNGYPMVDHAYWATSDFSASLADFAYRLTFSHVVEFDDVNQN GMFDPDQDHLLAVSSLQNAVWKDLFWEEVPMPTNSSLTYYMATSNATIPFNGSSSYFD VQFTWRLSNIRLNTTVSIPVQPNSLQYDFKVEGYPTTSARLALVQLVNTFEENEITFD VNNTTPIDVATQVRTNITYGISIGNYSEGRLEYHNKVNISDGSAAGWYTDLSPDNISA SSYISPDDWIWGIYPPSIGISKLLFVSMPFQSENSVPVKNGTSSATKTAKNGKVSGMV SGLGFLDVDVMNAMAIPSSGLSNIYISSAAYTIHLFTTTVTFIILSALSLP PHYBLDRAFT_99254 MEINKDEALRCLSIANNHYSSRNFTAAVKFAKKSISLFPTDQAK TFLEKAEKSMASEPPSTGKSTAVPTRQASEPVPEKKYTAEQTKAVREVLSCGTNYYKV LAVEKTSSDSQIKKAYRKLALQFHPDKNGAPGADEAFKLISKAFTVLSDPQKRAIHDS GGGDPEQRASQPHPGFSAHRTHSGYREEVSPEELFNMFFGGGNFPRRGAGHRTFNFAQ AQAQARQQRQEGTNVAGWIQVLPLLLLFAFTILSAFLTSDNTPPLYSFSPSATYTHKR ATKSHHVPYYVNPKAFKASEQTRHKLARVEKEVESDWVHNLHQTCQAERRERANRITL ARGVLFGIGRDEKRYQDALKMPTKSCDELKKFG PHYBLDRAFT_149543 MQPNSDSKRSPSLDSFYQLLRGRVFHNTTNYAGWLLDCMSNATL PIHPKFSLVIKEFVSSIFLVEPLHTIPEDKIVPFFHDCSNPTPTQILLLLYVLQYNDS FIAFKTDPGLIAAASSSKVNTAHKVYSSSLLEKIPIRFFLNHVESYQKGDAYRSIYPE LVSLMANLFPEIFDVVGFLLQEGKEFDLAYDTKKATRWWTTDMSREKLGHILSEWKTQ PKAVLTETLSSLKVAEYADIVLSTLIPACLDEEIDKYIAESFASVWESFNRITPHELW TLTANVMVLKNESESTAYTFDMLIQDPLLIFQCDARIFRSERLLPIFLHMLGSLRICS KHRIWKRYHTTYTNSDSALNSRNVMALINAQDTMMLQLLLELCRSQPMDKNDPEALQV SRKNICHFIHSIFIDGDRDMLLAKILHFQTYAIELIPMVVAMIPSIYIVLSFVPELVR QPQMHKQVFGILMACHLCEKYPLENYLDMVEHHVLPRLLRVAFPPSRDGTQPTVCVPS EPLVQAIPGFINLAKAFPHFAPRIMDALIEITRGLPAPSEFMGQQGKIILVLQLHKVL ADSKTAVQQQIDQMGQVNKVIL PHYBLDRAFT_149544 MTATYHQPLHTILPDQHGIECIVKVIDILVTIEKLHESSNTLIQ VSEYIVEWEPEDVIHLKDAYTQVIQGSLRLVGTATLSSTKAPSFIPVENNFSLVNFVR SV PHYBLDRAFT_149546 MAQKALTKAASPAKKSKNAKTKPIKLRKGCKVIAPKQSILVKQR SMERKMTAKINKNIEKQMSVKANAVGKLTIMKTLAEAAAEEDKKDKKKK PHYBLDRAFT_149547 MKCSFGTTESITFVAYGPNSVYLNGICTAPVMVNSAQDKPSSQH TEDQPRVVNYEDDYQMIMDAQDSFEFCDTQDDRFLGGFELQHFELAPSYFGHDDINLR EEERSDDDKKLNDRIALGLINGMLNSMDGRKIHRGQDLLKRLDKVSSNIWEAKPLTER SI PHYBLDRAFT_135806 MSNFTENNPFSLDKLRSTLIRLEDTIIFALIERAQFALNNCIYT KGALEFNGATGDRSFLEYFLWETEKVHAKVRRYTSPDEYAFTSPLPEPILPVLKFKEF LAPNDINVNGSIMDIYVKSIVPVICQDRDDNNYGSSATKDIECLQALSRRIHYGKFIA ESKFRSSSEEYIKLALANDRNGIYELLTNRQVEEKLLERLRRKALVYGQTFDQEKEGQ NSHLRVPVNVVVELYERWVIPLTKEVEVDYLIERGQKAAEGQQKQDS PHYBLDRAFT_182862 MPYTPYTLQPILLSASLEEPFGPQPNIPSTSPPSSFFGRRTTSP PLDGSPAQPSRINTLLTGHNIPSPAWNSSLSIDAVEAWDTDLYLGTSDGHVLHYTLEA KGRLDQADVPYASRLERKINLGFGKKSVERILVLPQVSKAVVLCDSILSFYTLPFFDP IPVSLIPHIKGVSCFSHDAAEEGRIGEDGTIELCVVKRRVVQVLKIGEHAHLRKELPL PDGAIMITRYNRNLCLADAQNYKMINLEQSSAITLCNTPKIAVSPSGSTYLGSHNPVS RPVATVVKEDEFLVVSDIPPYIAALLRNHTIEIHNFLDQQRLQVITLDPSFEPRGISL GHGISVWMEDLAVRLRKCAWPKENDTGNSETDTDSELENKLGREVARYSTVPARILVY GRDSVMAQLATPLVIQVDQLLDTNRIEEAMEMADQARNAMSVDNSVYGERLQSELDYI FQKSGLLLLKETVFEDAFQLLSKGNLDPRVLLSRFQEFFQPQWLHVSPRIVLFEGVLE ILNRLGSIEDIVASNIEKNYGKQEEESQKRSPIMDLSCVLLNNARDALQKYLEMERRK LQGNTRKEDSILEVIDTALLKVYVIKEDEKSIHGLLKQPNMCNPKLCAETLLKSKRYY ALSILYESKRMYERVLDTWSKIHTGEFPAPSVADSLGRIKKMLLQDINIDELPLSVVM EYTWWLTEQSPVDGVEVFIRSPRTADMDPDEILRRLEKYGNEVVRTYLEYIVLERKSD CAEYHTRLACSYVHDVQKEIRADHMHQLEELVKLYQQSIKTKEIKKASDTEDLSKPPI QSTFVGFLSHQKQTNLVKARLSLIKLLQCSPLYSAETLLEALSKDGPLDIEKVIVYGR MRMHTESLHILIHDLGDFMGAETYCVTNGHSAGAISDNLNTPSKHSIPVRTSSLAAPV AQKDKKPLPKERDEKNVGRKGIEQKKLSGSELEERKNLFSMLLKTYLTIQNKDTMLAR TMHLLNTQGFYLDTLEVLSSLPEDLPIQILQGYLTRSLRRSLHAYRQSNIVLGLSRGE NVMVGSELIETYKDIGPVYVDRQSVCKKCEIYLGNGTIVRSSGGGFPLHLHCAQMMGI INYEDDEEIYEVE PHYBLDRAFT_116552 MSQINPDRPLIVGPEVPAKPYPCAFSGTVIKGYGRGSKELGIPT ANLSEDALATMCSEFEAGVYYGWVQIGKSDSKVYPMVMSLGWNPYYNNEKRSAEVHII HEFPEDFYGVSIRVIVLGYIRPEQNYPSLDALIRDIKTDVEVAKHSLKRPAYDAFQSD ALFTQ PHYBLDRAFT_188370 MLPFSSLLILLFLASALALNLGDQCDPSVYYSASWLYEDSCDDV YLYCDAASSVCKFKGCSNTDYIKNWDTTLYDLPQRCGADTYCPDDSSFCTPLLPIGGK CEPQRDDECSGNGTVCLNFTCLIKGAPIGGQCGSDRTNYVSYDAEGYSVQQTIIRDNC TVGSYCDDLTSPVCVISRVNGAQCQQDRECISASCNNDGICVNGPEVFKKIAVWLWAV VGVAVFLFVILTLAALWVLHRYQARKEHAKILKFFGDNEEFARYALADGDFTGMYSVQ PDRTSPSTSEIPAGTSATRTNPSKSSVVYLGTPDYNESQALGLTRPLQWRNSSTTKLR ESPSIPSFHTNRTSQRYSDSIGPEMTERTPEPPLPRP PHYBLDRAFT_159861 MNAEEAESYQYQLDQVDLALSKDPDNEELKKLHHDLKELIALTT QFESAQQQQQQQQAQQQQTQQTSTKRSNASSTNPILSTPISTALKSNQFTVGQEVMAK YAGDGEFYRATITAIGGADQVFSVVFRGYQDNELVKAEDIKALDNKKRQGIFEEAPKQ PLAAPPKKKKKEKTPGVKKVNETEVKKNAWLNFASGSDKKKKKVAAPINKKSIFKTPD NPEGRVGVVGSGRGMTTFQQRGKHVYADGQNNVGAGEEEN PHYBLDRAFT_66876 MVIASHLQFDKNEDKVKIKVEEVDEEEDEEEEIPAILEETEILH RLREYNELITWMDSEFQNQSQDLFHKKLERMQHEMLDIQQGSHPAYLDEVSDLKLKRE KTIFSAECFMAYQLEFAEGQHAQSMNTIQDEFEMERRHLHTTLMTIIDDRRKQIKEDK LEDKSFNIEVPVDVFQDAHSRISHRRNLRNNKKPQGDKLHGLSSRHEPTRRRQARVVT LHNIHAQPFSKEEEELKADFISMKIR PHYBLDRAFT_172407 MNNMALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYS QVATQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPPKTSPNFDPFWRAL LAAYPREVNMGITLGSRSSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGT IVRRVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHETYGFFDGSGYVVLANTPTD EVPSDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCTKRPAETRTCFGCNK IGHLQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPLIPTALSLTYGGSEA SKHNPHKPALLESAKLTLPATLPAITTISATTTSSGPRPRSRSVDTPVKGWDDEIDDD MITDFTDRVEARTLRLQNASRLSHLRFSRTVRPIGRNTSLSPPRFTPPHSKKALDAEA KINQ PHYBLDRAFT_73946 MTTCILHPKFCERNSHLSHPLYEREKSRENIAADIWRDFRNQYL RTFAVGTQILLDLQSFDPLQDTPIEILHVILLGIAEYLVNDLVNSVLVKKDELRQLMG YLKDYKQSKGMSRKFTRLLSGCASYLARDYKCLIQILPVILVIKFTGNPVLKNITPCF IQLGQLCSLVFVRAIESGLETYIHEVDTAVKGLIKQLLVYDKNCELNRHNPYTSKLKA HLLTHLPDNIRRFGTPLHFETEKGEQFNKHIREHLFHTNKLNTSKDIGLKFAKQTMMR HILDGGSWPVENGLRLSRGKGIKTYIDYTASVHKFWNVLFGGSREFADNNDDGSIVNS ELCDDTFALFMPMHGGSQTVHPIIEKVSSSQVIHLHIDLPTDIAQKNNYLLAREYSGM STLLEELKMIRILDMYTKVGDAYVINLSKFGSYWHFYPYFSTST PHYBLDRAFT_149558 MSNNRTRKDYIICMCTRCTVSNPSGKRQRKQNAKRHYKQYGAPV LAASAMDMRNENVDRMEIDYNSDVDVDFNVNEEEDAGPQSFVIDGDDIKEGGTSFGFE KDEAFEDPALSSMKMQAHQYPLNNMPVYIRFMAIFIVIFHLVFLVDNGESILIEFCNI LLSLFDLTGALPLTIDSLKHITGFNTATGGITVGNRSSPVMVYPYSSLKHALQQHFLT PNFEYRINLWRNRQTLAETKMDIYNGAMWNEIKDNNGKRFVDDA PHYBLDRAFT_149559 MPGPKEASTDSMNNYLKPLVDKLLELYNGVKMSDNSNENITVRA ALMCVACDIPAARKTSGFTGHASICACHKCQRQFTMIPETTQVDFSGFEYSTWIKRTK ARNLDYANKWKEAKNAAERTRLKRSNGTRWSQLHLLTYFDPVWCTIIDPMHNLFLGTA KRMVQIWKELEYFDNQALLAMQDLANGVVVPPDYTRINKKIADGFSFMKADEWKSWCL IYSPFVLKRILPVKHLSNWMFFVNACRLSQNPLLLLTTYPVPMPISNSSNLDTNQKGG FEVTMMKRFLEKAYVGNYIQSFEKKFPASTVNFLHSITRSQVILEQPSSMSSSFILST FVEYSMNPRKSVMGCEPLPSDVLSFKVEPKIVMCKEHYELISNGTAVSIGLEFQF PHYBLDRAFT_172412 MHVEILHIIPLGLTKYLMTFLWKQKMLTTSEKGRLQEALNSYKS FLPGIMSKLFSDKPLASLFIKALHALGCLSSLVYMHGVNRCFDYYIAQIKHAVTDVTD LLFQLDVQILQKGFSKQDFTFKPKVHLLHHITDNIVCFGSVLQYKTENEKPAGNGTRS VRSSIGDFVKLAPVNFPCFNLHFFGSRVNSDNSGLSTPTLCDTLAGVFQSNGQLFLGQ VKIVQARDSADRMRKAFFMQKYQIVLNSNINCIYTPAMVMDNYNNIVVLPLGGLVEVN KDDINIVQAVDIHLSVGSSNNQKILNIAKFGMFWWMLMNIAKIY PHYBLDRAFT_172413 MATDNRKFVCTICETKRVLGSLQGLRRHYTKKHPNEMGEYEKLL KRRSAMFDGPSSSASTVTATTTATTNLNSNNGPAPMEFIIENPQDTYGHEISDEDEYM IHQMTIMRPLTTKTCTRVEYDSQDHIARMAAEMRTFQSLSHAMNAYSNEDSSRQILYW PDDFADIFTGPTRPFKSKVEFILHALFYGNEDLASERSIKKIMFAMKMVLDVREESGV ALDFPIPNAVINYHKQKKNQIPVFPTASFDVVNQDNERHVLWMNKPSDYIKFTMTCPG KSSQISALPDFTENQQLNLNQDEKWKENPLLQHPMITSNGMDYWVGDVVEVQGSPNQY LLEKFFTKDGSILANAFQVYGGHDPRLNHPDDTHFLQFGNSTNFAVSTLKYTIEVDRI MSTVQKDSDLFLGRGFSVSYSPAEIVTYALTGVKSDLWLNKSHVEEFKRRLPGSGLMK VVVCPLNLYSDNTSGNSTKQYNKYDSYLMLEKGIEIYPEDHGEVVLIVAPLLLFMGDN PRQSQLAMHKGTSAKKFCQKCLIPSPHIEQGSIPDAPPYSPFDHCGSEERTRDFLYAF ANVNSQSELYLNGCCCK PHYBLDRAFT_149562 MNDTDNIIESLLLAIQLQLSVLRANQEQIKMDINSLGNEIMIKG SPKQNLSLFINISSGFVFKPVINISTLPSVQALGPRSSWGPYLHISPDAIKIGHPLQE SVISGKTVKGGNSNKAARATTTTTTTTANDNSSNIDSAEQTNAGKIFALMQKRSQGID NSDADLSSKSSCLNTVQVSLGDDHTIDEIYEKISEVNTFLRSGKTTITSEDIEAEASK AVEQALSPECYPVLDQLLQLYIQEEQLYEKYDKTQSTYFEANRHIIKSVVDYLRNQAE GKLITPGKIKIKVLRYISSQKLKRKKTGDQTAETNQVECLSQRRVQIQNKRALALETD REYFVKTYGEGLDDFLHADYMSDLETDCEVADNSSSADQVFGRFRSSWRSEKGDNFIE ELDAIYQNIPKGSQTRSFDRKIFGRREKKLTNAKMKKLPS PHYBLDRAFT_172416 MNNTQGSQVASVSEQSNLILNFASSSTLEENTNMSINEDITPSV INEIDELMYDIEHEDSMENDLAIMDVTENAIDDTPPQLVYDFSASVPVPGYDDTKNLE LMKIIKEFGISQKAHISLAKHFNEILSRSSEILYRAYTPYLGTKLLSRFLGVDEETYH VCCNGCMLYNNDQQTECPHCDEACYKTSKRSQDAGENSIPASTMIQLPLESFKHFDKV ASSSKKGLTGQSPFSLLDSFSDPFFFALDEMHGICHSIGKQVWGLVCGKSGYFRAVDW ADFILFVIPMLVAEHVCDQAACKALLDLVQTCNLLMSWELSAEEKTLIKTNLVAWNVY LEALLAKGEVQLKVFTINQHLLQHYPTMIEVYGPPRAYTTVTATTLFQYDNPSAGWPV DREGSNVGTDSDIEFWGPLRNRTIVDSCGGISCLPELLQKFYEPKGEECSIIEAAIKT SRKAFVNGCVIDSALDYNCVREAHNVRLQVQVDENRNIGQSYSPVYKDFFGKVVVFFE HKLNNKRWPLVLVNVYAVRLVNSIPAIDNGQMKLMVVHLADIKELVGLVKSDMTINTI TTYVVWLELNRGPKLSLGSLADL PHYBLDRAFT_172417 MNKNMILILCRDVSKMNYVHCRRGMLLAWGTIISNEYNLGDDMM ISCNVCFSTIIFYDLVLMFLVLLTRVLVFVLCKINVGDKEVYKIRGKRYRGIGSKISQ ETWETTLVPRDTSLGRFTVDVNAHESAHVTNYIFLNGLVARIRRSHRRGRGSIPRWGK HFVKMLASLLSKSVRHYFDESVKSEDIKGRLTQIGNDKSVIPKFLSANLDDNYTEFSF ENNDQSDFLLLTKELEST PHYBLDRAFT_66870 MNICENLCRFCLLRKDFVSKFDEVPTLNYYCYLYYFGLGKLYDW GQSILMYNNYNAMRNMNRISQQKLSVSSEFRRHFFGSQPNSDNFGLLTPTLCNTLADV FQANSQVFLGQVKTISMRDTMNNFVKKYYMQNYQMISSNKICEVELKVVQTIDIHLQH GNNSREKLLNVEKFGVF PHYBLDRAFT_66869 MSSNGESADFNVESDVLNLDAEMEAVFIGANPIAATMNVYLDGD SSTQTFYHQENNTECFSEYISPFKSKAAFILHVLFHSNKDLSSERSIKKIMFAIEKLD FTKPDAKNEIPVFPTTTCTAVNRKGQCHEFSINKPSEYIRHILACPGKTAQMSSLSDF TENQWLNLNQGTKYKENPMFQNPMIISEGSDYWMLPLIVDDFVELEKGIVMYSKDHDE DVLVVAPLLVFMGDNPWQSQLAMHSKTLRKHFCRKCHLEAPQSTQKDNTAEISYLPGN HNGAEKITKEFLYAFVTVNTDSELYKHRCGLNYSKNGSKEFLRLEAFDAIKNMPIEIL HTIPLGLSQYLVTYLFKFSRMSTAEIARLEPALSSYRVCKSYNRRFRN PHYBLDRAFT_172420 MHAKPVSITRRRTFKRWDNNNGDKPSSIQILINWLTDVSNYSCW KDGDSSGKTKEVLCSEIREIMVENGITNRSNGDIRSKIQYLHDKFKDATNFLNGTGQG ILNDIDESGKTLEEAAKTLEEKVKQKCTYYYDLKCVMLHKPSVNLPFPMTSGDPIDVS GVYKEACSHEEVDAEDEVEFDAETLPVYHLSAMSSGSQKPSKWARQTIDASISEIVEE SVKLNCIHVELMQKKFEAEAEVNERQLCHQEIVHEDRMIMEERQLNIKAKWVENEAKQ LENDCIQSKLQYIAKLESLELSKEYILKKLDIKL PHYBLDRAFT_116486 MARNPGQYFSGDQYLLGDLAYAPSHIIISTYKKPQNGLISAENK QFNYKHVNAQVKIEHCIGILKGRFQSLKSLWILVKNKYDVAKIGI PHYBLDRAFT_172421 MPTLNDRQIILRDLKEKILKKLIERQLSLNTSILNIVKDISELL MYQIVLENRYLSPRLRIQRAPSRIDWLLYQLYDDRFRQEFRMNKYSFHAILRMIKSHW VFKSDPRKKQPEPMIQMMVVLERLGTHGNGASVGCTAQSMCISDNFYCFSTIICSVHT LILIYISLHRRISLLLYRKISHCHNVIRD PHYBLDRAFT_172422 MFPSIQMHNTDCHCTRCNNNNQEVSRVLRCTAQHHNKRARFEAE KRSMEVDTEIILTYQSNSVEAMDGQANSPISDAISMFDNDIFVGNDYNGDESNTTDDN DSDDNGEEDTAKIYVEEFNNENPFAVSGMPKNPVHRFIATFTVLFASCYVVNKGSVIL IEFINKLLKIYGQYFQLPESLAGLHKMTGFSSITKGIKRFVSCPNCHCIYEENMSISP HCAFTNVGARSPCGCTSFPSLTLSPVFKTFVLIMSNSNHTRLSINDINDDHMIQIAPD YKMANPKVTKTFADEKKFTVWFENSAKRNSNWNVTNTHFSQATGTASPRDVVSAVYFV CDHQGFLKKTKVQEMAGKPKAKRVRTESIKDGCKAKITKKTLHDGSVQLEGGIDIVSF PMSLRINYYDVQNVINARLNNLLRQNAIDKTSVEQWIEFLEKKRTTWFTLSSMKVMSH TLFRGSLLDRRRFSNSSKHSYLYMIVVRSNVTNKGVPVCFFVTNAEFITTLLQWLNWV KSNCSLRVKCGMIDCSPVEIGALEEVFGQSVQVLLCHWHIKRAREMHIKKDVKITGAT HKSKREQDADRVALNLLMHARNLLATSNLWHILPHTGMLSVTFGQWPEEHVIFLEKKE KQSADEKYGETLFIDEKRDDLLLLFAIHTSRTGTRFYTNKSRIILCLVVFSDQCNITW SGGQNASFLVKQFAIHNYTSMAISICDKINLIRLKLYGRESYMDLPNDPSGAQLWEPF HQFVNLNNDLVEGVGGPSVKEALLKYYRRTTGLTGHKFGDSVVVVAACLWIDSTVYSL CMYQRKKNETSRGNHYVMFTCPYRNWLVSTVQFYFQHVDFHGFPHFLAFVEVIKKYDI TCHA PHYBLDRAFT_172423 MLGDFNYSSYANASRAGLAPRLWLHFVANHFVDCVTLSDAQPMP TFHRDLSSSTIDYIYASKDIASCHSSSTVTFVQPLWTDHCLVCTCLSFPMLSHIGRGL WCANPRLANIPSFRSSLSDCLSSFIPLLSPSISPQSQWDLIKVEVARFTCSYSCTTRP SLATLEFIQYRSFPPISLGTFCQPIWLGGLGVLDPQVQQAALQLRWLWPLVRSPLSPS GLVPPWFSYVLCLDSSSADPLVPLIFPSLRSSHQRDFDSPLATLLAAIDLLPHNFSDV VVNLPTCLSLPLSYLTTAQPDHPPFPSAWCDLQVSDAYEVDPSFSVLAQCPLHRILHR PIVLHQFFERLYTRSLVLHPVLYRATIPPAICAIQFPLLDMPSGTAVDVRPFLTALVP GIPWHCLSTQSFRLLCNFHSKSARPISPTLVPRQLRRFWSFPLPHGARNVWFRALHKN IPCCSRLNSRIPTAFPDPSCALCSHPLDNQTHFLFQCLVKLSVWSSIWTLYFAQTATP TVLLSGLQSFTFPSCTDSSLSAASIFGCTLLAIWRHHWLFIFDHVPFVSSAAFSTASS LLDHLKSELALDFPPL PHYBLDRAFT_172425 MSTVNIIPTNETIYTLATISEALECSSIPGVMTLRLNSTIRVRT SEWKECLVELSGFYAVKWTIHNSNKQHTDITAEEAKKVALRYASHRSTCVIVQEFMNL RQRCEQFFKIPEWYEITLTKDHADNTPGDIHKDIYTLSLAKNYLHELFQQLEQASKSA SQIRIDMLRAIDRYGRSSDYQMVSFLIWINEKLLAINFSIFKGNMSYSPNTNAFAYSF MSPTQQIKMRNAVSFCLDATHAISGKTDEILYTLLVCDEEIGRGWPVTFMVTNDRGVG PIVQWLLFLKSFLLLINPQQFTIDCCSAEVHAIQTTFPSTQIQFCIFHGTQA PHYBLDRAFT_66859 MKVLIFWYTLCYSIERTTQIENDKNVGHYFDESVKSKDATAVSF LIWVGLPFTITKSKGQTHWGSRSILAIILYFNKRRVIILRLTIFRFYGFIKIMSDKRK TNPDQK PHYBLDRAFT_73738 MNDEEALDKFVRGLHPTIRGNVLAARPVDVDNACNIALAYASGL HLGQQNNYAPVPQPYQQTYQQPYQQQYSGPEPMDLDAIQGRRNNYRSRPPQKRNVATC HWCGEVGHFKRNCRDRLAVIRQLDETRSKKQGFRETQPETSEPEINKDVSEQYTNVTN EEPETMSEENCDPCLTADQHFLEDLHAAVDTDLPLYAAILDGQQILVLIDSGANRPFG HTHFGYTRTISRNCRRTQH PHYBLDRAFT_172429 MIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSRCQTT GKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVRSASEPVVSPSVSI LGHSISMADIHEIESDVAPVFPTVMPTLQDIWDRFQVYDERLSALEAVQKENIELRKA LATANTTIARLTKENIDFSVGAAASKYATIAASVPVVSQADFPSLPASQTHHSTEPTK TFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAIRLPISTQRQRLRKLK IDNARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVTTLDNFDPLDPVHLRDPALA SLSLDDRATKAIHVHNDRMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEMTIPTIL SYAPLTSLLFITETWLLSPHRYPTSWQQYHTYGQSVPNASRGCMGISLLVNPSFPYPI SIVPSSSPYVLSCIVFDFLIHCIYLPPSLSDDDAISILYDLPLSSSSIPSSNTIFCGD FNSRMGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGGSGTSRSSVID LFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPRLLWNLSKLAQP DTLKIYIDTASGSLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDALDTAVGRRTPR TMQKYWFWSVDLQEAMDLRERSYQRWRHSSGLQKAICWMRHQDACHAVRLSVQRRRRE TWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQVAANKMADHLQQIFSGQ FLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDHLRAEMLHPIV KQVSPVLCLLFQLCWQWAKGTIDPSLLISRNCVSAINSMRALQSLGVNHTGLSRLLSI RLYRQFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSSTSVFRHLGNLP SMRERILTLGFKFVYRAFWLPDEALFTLLRPVLTNPAHQWFKLLANPIWLSLSNRQNA DSKACKHAIRSFLNQGLSLQRSQQILLSACRPSLGVDPILWLPMTNYERSRFIRWRMG WLPGRPQPCSCGLHTTSRHHVIECTGAAIRLHLYSTVQPNPIDYVLNMLPLKTPKNNK NNAFWIFTWPILCRIMLDIEQICLPGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_172430 MIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSHCQTT GKIVSNGSTSRTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVHSASEPVVSPSVSI LGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIELRKA LATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASQTHHSTEPTK TFISKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYVPNAIRLPISTQRQRLRKLK IDNARVLDLHYPDRKVMGMLVHNEYTPELKTILASYGVTTLDNFDPLDPVHLRDPALA SLSLDDRATKAIHVHNDCMLCAIEFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPT KKDLDISIHTASITIPSFSDL PHYBLDRAFT_172431 MGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGG SGTSRSSIIDLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPRL LWNLSKLAQPDTLKIYIDTASGSLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDAL DTAVGRRTPRTMQKYWFWSVDLQEAMDLRERSYQRWRHSSGLQKAICWMRHQDACHAV RLSVQRRRRETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQVAANKMA DHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDH LRAEMLHPIVKQVSPVLCLLFQLCWQWAKGTIDPSLLISRNCVSAINSMRALQSLGVN HTGLSRLLSIRLYRQFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSST SVFRHLGNLPSMRERILTLGFKFVYRAFWLPDEALFTLLRPVLTNPAHQWFKLLANPI WLSLSNRQNADSKACKHAIRSFLNQGLFLQRSQQILLSACRPSLGVDPILWLPMTNYE RSRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAIRLHLYSTVQPNPIDYVLNM LPLKKLKNNKNNAFWIFTWPILCRIMLDIEQICLPGVDLADHAATDRGQLFLN PHYBLDRAFT_149580 MPRPKKRSTMAKKINRLASSRAFIKKIQLENEDYVDLEFDHEDD IVLDNRELQRMGIGSKISQETWGTTPAPQDTSLGQLTVDVNAHKSGTTRHGSLVKQTT KGIHRLVSLTRNWPKPNLSTTHVKPICWFLGVEYKKE PHYBLDRAFT_149581 MVRVKSRWLLFEIVEDPFIQDSKVVFPHTPFNITEEHLTRIIRE TITIHHGDFGSGMAWNTSVKWFNSTTRTGIMRVGREYVDLVMGSLFFVKQIHSMQASF NILHSSGTLISIQKTAIERDRSFYLEEKERAERKGQAYDAMSRIEANISKINQLEAH PHYBLDRAFT_159864 MVFEKTKHHRVCEFQAIVLAGYGSSNRLYPIAEDDNMPKALLPV GNKPIISYTLEWLEKAGIHDALVVVQASGNVHQKVAGYLARGYQGNVHCNVISVDEDC GSADALRFLKDKIDRDFIVVPCDFVTEMNPRELLDVHRVNDPTMTALFYEPNNTEAGK DDDLLPFVGIDSSRGALVYKTSRSEDEDFSIRMSLLNKFPRVRVHTDLQDAHVYIFKR WVLDMVADKENISSISEDLIPMLVKCQYQKKMVEQEAVEKYAAANKNLLSTALSLSTT LSEETYESDPINSDFNSPVKTNVFVYRGGLCGRGNTITSYSELNRHVTKQGAQIQRIG AHTEVSARTQVGNDSTIGEYTKIDERSSVKKSCVGAHCIIGKNVKIANSVIMDHVVIA DNAKVDGCVICNNAKVFEKSSLKDCEVAAAYVVEKDSQVKGEKLVAFREAYSNN PHYBLDRAFT_149583 MNFIPILPAPPLPIKLASKPPVIPFSNHLSQWSERPRFTTVEWV AEHTMCYQVNVNGTCVTRRQDNNMINGTKLLNMTKISRGKRDGILKVEKDRMVIKAGI IDFRGVWITFKRAKQLASQYGLIDTLYPLLEDFLTIHAPSENSQTWTDDHSNISLGLN LIPSSEFDSQSFAYDMVESDAFIQADKSYRGKMVPHLSHQWLSEDPLPFHGQHSRAHF TYAFSFINGPL PHYBLDRAFT_159865 MLPCNRNNGNVQDDCDGWRDKLVGKFILENDEATSLSSDEYVRH SDLPQPNRVLPPNSIMTMDYRPDRLNIRIDKTKRVMGVNYG PHYBLDRAFT_159866 MAFKKPILCEAIQEPTILPQRKSPLVNQTELTFGTVLGLCAGFL TKKLGKLAAGLIGTGFLFLQYMASKGYVNVNWKKLDRHYRQSLDTDRDGKVTGRDISS HWDNFSKLLTHNFQFKSTFLVGFAIGFRYG PHYBLDRAFT_116503 MVRAIKWFKRMKTNGSEPDAYTYTSLIDGYMRIADIDKAESVFR SMMMNNVHPTLVTYNILMHHSVTQLDIKTAMSFWENLLQAGLKPDVYTFAILMHGLGE EGRVDEAWRLYNTMKEENVDINTHIATTLMGMHVKHRDNEHTIQLYRQF PHYBLDRAFT_159867 MRAFLRHNSISMVSQVYQDMIARQVKPTVVTYAVLMLAHSFVPD PYSCVHILNEMKHAKLEVNAVLYTIVMRAWAKLGRWDQVQETYETMKGDNIQPTKLTL EVLRWGRNRGHTYLVQ PHYBLDRAFT_66848 MAGFPHGWFFITSTNGHVLTITPISFQRLTTNKVSQASRIELRP NVEGDHQLWSHDDGYLVNKLSGCVLAIKNQPSKGQCLTSVMREPLENAEHQRWEFNTN CLTLISNHDWSLSDQPVPRCVASEDGVDWVCCPKSCSD PHYBLDRAFT_172440 MIYSRLFILAILSCTACASILLVDSNITYNDRIAAFGPRLLGGV EDGPGLQGNLLPPILEKDRYGCEVIEPPTTEWIAFVERGECSFIDKVRAMQASGALAV VVGDRHFNGWITMYAPGDTSDVRVPSVFVAQHQYQILLMLTDKQHPLRIELLKDDMLT WPLLDMLLVVVLSPAVMMLSIYITWRLRQRQFRKQELAPSDIVTKLPLRTFRREKSID VDHEECAICLEDYIDEDTLRTLPCRHEFHAGCVDAWLTSHKKFCPICKYDICKRAPGG SNEQTPLLGA PHYBLDRAFT_182870 MYANVLARSALRSRVASRQVMRSDLYHFSNSNGQNLPFDTTNKA ALALKMTLYLGVGFGAPFIAAAWQFHKAG PHYBLDRAFT_31954 MICSCEDEKIVKPGCVCKPSTEDATLTRTFCAKKQENGKYLVTL IPGDGIGPEISLSVKKIFEAAKVPIEWEEVDVTPVKKDGRIAIPDKAIYSILRSTVAL KGPLATPIGKGHVSLNLTLRRTFNLYANVRPCRSIVGYKTPYDDVNTIIIRENTEGEY SGIEHEIIDGVVQSIKLITKEASERVALYAFKYARSIGRKKVTAVHKANIMKLADGLF LESCKRVSKDFPDIEFDDMLLNQACLEITMDPAPFASTVLVMPNLYGDILSDLCAGLI GGLGLTPSGNIGRDASVFEAVHGTAPDIAGQDKANPTALLLSSIMMLRHMNLEKEASD IEQAIFKTIAEGKHLTGDLGGRSTNTEYTQAVIENLKATMV PHYBLDRAFT_172442 MDKIDEDSHALIKSVSHLYHKIPEILVRKAIPTVKGYYGEAKAI RRVAERFKEESIITGTKIHLKKFFYFGQQTARCGLSKPVERLSQLKTYKPNYINHAMS MQV PHYBLDRAFT_172443 MARFRRCSSSLLSLSLHPVLLKLEILFQKRITDHKEFFFKKKGT WLSPGISKNTILCYIIRIISIKLCHLFNCVYFLFDWKQVKILPSEINEIDPPIKPMLS NSCCPKDMSLKMASLTSKYTLFSTGLFDKTLSRAIVPSKNRIFRSLK PHYBLDRAFT_66843 MKASWPRFSYCWLLAFALYLWIFAVSTQAFPVPLSSSTTDNSLY ADNQQPPSQQQKFSLQNTEDSNKRVQHESSTEPGEYRVNVSHFSQLLTSHLLFEHLEN TYLLVSKKISLFFQDTIQLTAKLPEQLVINSSSSVDVQILKHQLRGAVAAYIEDRVPA MWNMHASALDKTSLQSFIEYTVIRLCQTKDKYDQDEVITSSIIDDDNDDQDDDFTQYN KYLEQQKQQEGHQTTDSEIVLTVSNVCLNANSGLLFSALEGYIGKHIRQAMSDMVSSN NLPHLYSATRAQVKGVLAHFNSYILVSSGVQLELTLSPQDALSISNDYSWLFKTSTVD EILTTVAHWANEDTAGGEEEGEEGEEGVEGDPVKNSSSQNMLLVHSIQTFANIAQYS PHYBLDRAFT_66842 MSQSTLAIRPSASLIVAAPIPTKNVLDKDDYDFRVLMLKRNGKS NFVHAHVFPGGIVDSFDHFSHWKSILSVSGQTQEELEEAQNTLTSKICAIRETFEESG LLLTNPPAHTVSGFLTDEWRNVVHKDPSQFKVMCEKYKIEPAVDKLTPFSNWITPTIE KRRYNTQFYLTVLGQTIEEEHKEIVEVSADGQETVQLDWFKPQQVIENWKNETVKLFP PQYYTMVELAKVLHHKDLLENAGINVFRTKSGQPIELLPQGNQTDPNDILAPKGSKVF LSLPGDHEYKATDTDTNSDGPKVKTGNLHRIYIEGPMASFKIVRNLDFDTKAKL PHYBLDRAFT_156180 MDHSGNLLNETEHPGLDKDQVLNLYQKMIQLNVMDGIMYDAQRQ GRISFYMTHYGEEALIGIAAALDSEDVVFGQYREAYMFLYRGLTVDECVSQCFGNELD MNKGRQMPVHYGSKKYNFQTISSPLATQIPQAAGSAYALKMAGNRNISVCFFGEGAAS EGDFHAGLNMAATLSCPVIFICRNNGYAISTPSSEQYKGDGIASRGLGYGIDTIRIDG NDIWACYNATKKAREIAVTSNKPVLIEAMTYRAGHHSTSDDSTKYRNKKEVADIIQND NPITRLRHYIENHGWWSQEDEDACRKQARKTVLDSFASAEKKKKPALENLFTDVYDEL TPNLEAQKKELRELIAKYPEYYPTDEFKSSI PHYBLDRAFT_172447 MRLNFFRSKSDLSVNQVVVEPTNGCPTDAVPTASRQRRQRSSTE PCHTRRSRSNTLDNLMDIFQETSPSTSTPQNIDYSLDHSLNQSFNSPSEYYNHQQSQQ QSQQQSQQEQLIENHISFPSEASRANSAQIYPKSTLNTRFLSKSKRSVASWISKTHND NSTTWPNYQQKPQSRYQNSTPDNIVVQSDSSHTTLQIGSCEPPTALFGCYSPDGKTRH HQQHQAQHKAQQQNESNIRCLSVAHDSFVPSALLTSQKERPKLTPAQKQRRKTTVFET TLLVAPDFIEEEPDNMDDNIDGGNSNNNEDDDDEWTCCEPSTTANSAKISDMLELMER ETQRLRQCVNKERDQYFMCLQDKQDTLDCLRMAEQEIKCLKRKISIGKFIGFTGTFGR HQQLLTNKDQNNYDYEYEYEHHPILQQKKLYKRRHSVAHLSYDSPVHHHTQFDNEEYI YPTDPLPNLHTNPMPIERKIHILLEEIDAMQTDEVNMEKKYQRLTNKKHQLEYQVKQQ DDTINQLRHELEIARLNFS PHYBLDRAFT_172448 MNNSLSNPESDGHFQDPTHSQFITDEPASSSSSSSSSSASSTRR QDFSLGNITKHFIDLIHSCTNGDLDLNKAADKLKVQKRRIYDITNVLEGIRLIEKNSK NHVRWIGDIPRDNSYLTRTSPHSLAELEEKASRLRIANRALMEEKERLDAMNVAIDDK TRQLMAQNKAHCHITRNDLHQFEKRKAPAAQQETKFLADPTTFDHDRPYKQDYRISHS ATRSGRLSIQVPISHSHPYTLPPHKSSKKCFNLAPDYSDQPIRLLGLPARKHRNSTLS LDHNLQ PHYBLDRAFT_9437 CNMGFSRSEHLNRHRRKHTGEKPYACTYRGCLRSFSRYDNMKQH LNTHKDNKSR PHYBLDRAFT_21989 GRYEQKHMLGKGNFGEVWLAVEKSTKKQVAVKVLKKKNFKTEDQ IKHAQNEMEICKGFVKSLGHKNIVKVYEVDSDSDYIYIVMECVKGGELFDKIKKYGRI KEIDVQKWFRQLIEAMEYIHKNGIVHRDLKPENVLLDEFNNIRVCDFGFGKKCKELEL LEIYCGSPYYAAPEMVSSTPYRGPPADMWSCGVMLFAMLTGHLPFHSSNPSELFRKIR TGPYSLPRYVSAEASQLIAMLLVKDPDARMTANECLGHPWFSR PHYBLDRAFT_156181 MNGQFVLHEELLRLQQDAVTNYYIANEVVIHGRSDQELARYLNA FRAEVKATSDGLENNEKETFQEHRRYLELYGFLVHWFLLAAEEKTTNVSTKSKKGKAR TDDSGRFDWSTQKQKAFDIVGWMLELKLTKIWTMTPDRNTFISLFTKPAYQIFENPSN AKSNEIKKRVFKVLGMCIKNYNHAFAAQTSIMQNLQYWEHSAEPMAEFLHYLVEQQGY TQLVDEIIREISNKEFKETAAKELKDSPNAKTFAMFLQKLAELLPKTILKNIGVLIHQ LDSESYTIRTAIIDILGDLIVNLSQQSEENTANVDQVNGFFDILEERMLDPIVFCRTK VLQTYLKLLDLRTKFPKRRHTLGILALRHLEDKSSSVRKYAIRVLTKLIATHPYSIYG GELDLEDWKQKLKKLKAEIANVESPDMALMEVAVSTVQTEESQPISTDAEDTEMPQAG ETNDNAENATEVQVNVDVAVEQTQAETPAQAPKVFVSEEKMQQLILMKTFHTDAIRFI QQIHTAMPTILQLLSSKTKAEVLEAMDFLVVCYNYKVKPAADGIKRMLHLIWTKDTSD EGKGVKMKLLKSYRNIYLEMDANLTRRENINVIARNLIRLTFNTTLAELTSLEQLLTN LMAEDAVPDDVIERLWSVYGFVKGHIPKAQRRGAIIILGMLAKAKTEIVTEKVDLLLR IGLGPLGKDDLALSKYTCIALQRLAGTRTEKGRGVHEGVRFPMNHAIFARLRDVVESS NHSMEWFSVTEQAINTIYLLGEHPDILCAEMIRTKTISVFDDNQGTSDTQKPFEEEIL GDLMDTDYDMSSTQPTATSTTGTGTTQSVHNPDQKSAFELSQLFFIVGHVALKQIVHL EIVEAAWKRKKTLKDVEEGKKHTSTEEELEQVNGTAEDDIGDAITHIREREILFGSNS LLARFGPMITEVCARNKIYTDRTLQITATLALAKFMCVSSDFCEKNLQLLFTILEKSK DPTIRSNIAIALGDMAVCFNTLIDENISFLYNRLGDEDGLVKKNAVMVLTHLILNGMV KVRGQISEMAKCLEDSDQRIADLAKLFFTELATKDNAIYNNLPDIISNLTNSEPKVEE ETFHKIMKFIFSFEFTEKEKQAENVIDKLCQRFLNTNDKRVWRDIAFCLSLMPFKSDR SFRKLLEGIPAYQDKLQEEAVHRSFLEIVAKVNQRRPI PHYBLDRAFT_149600 MTPSSLSPHYAAQSPSGHNNRVHPNCPISSLRRACERTRETYHK VAGSSVFDYQATPSVPKSFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_1237 SLANLPSQADLDKIVASYLQKKGYKATEAIFLRESGGNTQSLEE IHVLKYKDAEQGDPDAYNVSYKSLREWIENSLDWYKPELRSVLFPIFVHAHLDLVSKN LPEQAKYFMETYKDDHVELHTPDLNRLATITDPQHVRENELAQMYRNNKYNLRMSSVP FELFLNYLQDNKFMLLLRIVNQYLNIQVVHGKLLKSAGGLEMDDVIGITGHDSQQIEA FNHQSIQLGQPQMDPSFKEEIEQALKERDSARKDENGENITNGDPVPSLLETFKRVKQ ESMTDGPAFGDIPVPPYRGTDIQAEIDSLKDLGKRITLGSTSLPSICCYTFHNTHDSL NCVTATKDASLLAGGFSESYIKIWSLKGEKLRSLRNTLNPAHVNDYYKRLVGHSGPVY GLSFSHDNKYLISCSEDKTARLWSTQTFTNLVCYKGHNYPIWDVDFGPFGFYFATASH DRTARLWSCDQIHPLRIFAGHLSDVDTVKFHPNSKYLVTGSSDRTARLWDVQRGTCVR VFTGHTGAIKTVAVSPNGRLMASAGEDKSIMLWDLGSGRQLKKMTGHTGFIYSLDFSA DSNVLVSGGSDGTVRVWDVNKDTHTISSSLVAGAERTDSKRIRVDESARNDERNGNDH LGVFPTKNTPIYDVQFTNRNLCL PHYBLDRAFT_178080 MENIHEEPAQKKLRAEEDVAVENVDETQVVSDEEDSYSAEDLEK GHALIKAVIAGDLDKAKALVEDGADLCFRDEQGRTPLHFAAENGHLAVVNWLLSERHP YNLVDNAEVTAGELALKNKHLEVYERLVDEGTRAELVIRALKAAFQTDDDEAPNDEYL HQKLHYDDNKLLDENDDAVMMGWEGPLMVEHAKVMCPREGLSVLNVGFGLGLIDTELQ KYKPAHHYIIEAHPDVYAHMKELGWDKKEGVTILFGRWQDMVPTLTHSFDGIFFDTYG EFYDDLRAFHDAVPNILNTDGVYTWFNGLGATNQFFHDVYCRVSEIDLREFGLSTEYV EMSIATADEKGVWDGVRQRYWVLDTYKLPICKFLN PHYBLDRAFT_149604 MVIFDVTPIIQDANQLNEAILGKSHRELQQTLDSFVVHFDTFDK IDDSSEKTASNTFVGPDTLASNYVQLTGCHQRLEKSLQNTTSWDATVDAATRTNAAMD ELQSAVMKMEKSGTERVQLLEREKALFMAEVEERRAQVAQEFRRKQDQLEELYANKTR EVIYHNLVGNNHQWAQ PHYBLDRAFT_172456 MSKAYTWDQIRGQVRSFRAATQANLSPQALATVKDFYLDDSRQS LTFLANQRSSESCLSRNMMLYQLTLRHHVTTHSKDCRTASTSKEHAYLHRLSRLPHIP WNPIITEYIDPCDHSPTPNRHPIQGITSFEYIHDKAMFVFTGAIYVSQRGETPESLPF KPSNSVNTSRPCCNSPESPQNSPTDDILNLTSPKQVGHKSANQPIRADPKLGGFDGNF IAFIRDNDIWVTDLEGKETQLTFCSLNAKHASMSCGVAEYVMQEEFRRFTGYYWGPCD ENINQILYLETSEDNVDIIMITTPCTPMNMYPTDSGPFHYPRAGRPNASSVMHIVTFD SQAKKVVRKRLWDTSIETLFPWTEYIVRFGWLPDMKSVWAQLLSRDQKKTEVVRIPIS LFLSPQEYELQKISSTQSRAQIEVLWEETTDVWINISDAYYFLDSSDPNSTQFIWSSE KSGYRHLYLVTKNIDEPKPSVTQLTDGEWCVIDKPLFVDESRRLVYFMAKKDGPLESH LYVTCYAHDLPVPATRLTELGFSHNVTVFPSSGIFVDCFSSLECHQVIILCYLSFETF NGLPVVSNDNTVRLTSLPTMKKNPEHTFTCHFSKSHIPAFSLEPFPAMEMPKGIMFDF LSHDGIKLYGCLYKPFHYTAGTSFRTVLHVYGGPKSQMVTNDFRFPRLLRYLMSVYFG FAVVIIDGRGSCDRGLAFEAGIKNHLGSVELRDQIDGLNHLVNTKFGAEPTADGSTIS VIDISRIAITGWSYGGYLSLMGLAKYSDIFKLAIAGAPVTQWELYDSAYTERYIGLPS ENPSAYDLGSILNKVERFPDCENRLLIAHGLIDENVHFRHTESLVSLLVKFNKPHYLQ VYPTEKHGLRHASVNEHFETLMFYWLMNYL PHYBLDRAFT_172457 MTDQKFVFDNISLIFEILCTLLLRYSGLLLGQYINIEKVDNLAR FISNKEPVPGCGICSSINYGVYTKNPINRRGVQSNKKSSIDSFTSYITVSVLLIHKKI RKPENYIFQLKNMLIEEQKEVTIAYQAISNKIMSVFFWLHLMTMYRKEVCYSFQKFFF ATCVGYTLTYFSILFYLLI PHYBLDRAFT_66829 MVRQKRACLQFLMSSNRPLHGDRPLIPVLYFVTGLSAVVMVYSV YSHLKSHSESHATLPRKKRDILKQESKKKLEDAHTATLSAVKIILERAMSDAYLPIII SACRNDQPVEDRSNALTTLQLLTRRERNKPGLLAAGALDVLVEALRCTEPDMKETTKR YVAVAICDLIQGNDANKYAIIDLGVLDPVKTILTSTDIRNNELKYWTLMILYQISLSD PFPKVLIRSGFIGLIAKMARMTYGNTNMPKFCMQSLVRILANVNSTESKSILIELLDY HIVDLISICLRGGKMSKYAQMLTTNVNILRRSDIAADEFRNIKGVHNILASLLSAEEM YISRIVLRTVKFMAYGQDKFKQEMIHTGMVKKIMHCLTLNDDDVRYWAILCIHTVSGQ GSGILGAPEIEILLQLGVSRKVHVAIFVSDILSLICCMPTNTDGMAPHIDSIVTTLNS LLMWEEQSFADKVLEVCFDNIMSVCVTSNFERVQLTCAKAIVMLAIKYSDDPEISTRV GLKVLESLIKTTTSIAQSILPTVMMQALIQEAKKGVFILTALDYHTNQDQLPAESYGI FEPDYINVNSSGTITNASRLEEMLQNTNNFEDRLEGVLEDSSNTPVDRSNNGHSESSM INDEFLYEGTSSQGRDYLKSAELSLGARRRISGALSALKIMLENDQLVRQIGEKHIDN VRNTVRKHPREHETRIEGLLSDSMCEFVKVLVFMALYPVLEIWALRHGPSLDADKIDE AIAKESYRELLVWIRDSMRIDQKLTAITPATTSASFHKHSLDSSSEDSEDNMDMMPGQ KYKRKKGNYRHHSPSFGESQATKIYTGLTTRSLMTIKSLLRFDSARIYLVQEMNIVEV LLYLFESSRSLSDHILACIGVLVNSDYYTLSISTISLQTLMVVFWKHMLFSLNHKRSF ISYAHLVMAYGAHATRSHDRSTHTIGFAEIDMNTRTPFCLVNPSCKLSVRNEAWTFET IRCTECTPIISDVPNSLAERYCFEVVLDTDGLMQVGWVSDAFEMDPEGGTGVGDDDFS YGYDGCRAKKWHGRSSAYRTAYGQTWAAKDVITCAIDLHLGELRYYRNGVDMGVAFTE LDTNQSWYPAASLSTGQSLSFKFGGPLDRIKYIPEGYKTISVLAQASGSTYMELPPPQ INARIYTDSCANYLSDIGMSRSDINTFTELTDEGNVEPNLRPIDGLDFWNTSTSENYT NERFTRRVFILPKPSFRLFSQQPCEEDLRTLPSLYFEIALVFCQSEEDFMDCSLEGQS NSDEEIMEAWAGHSVVTIGFRSLSPIQVRLKYHSATQQAIVCQGRFARTMPFTLNIQD GDVIGMFYIEETERVGIIVNGESTLVVHLDRNSGFRPFMPYEHGAAKSRINYGDKPYR WQRASAISLKEKSCRYLNRVLGY PHYBLDRAFT_66828 MRILWFGLGSLSYVVVIQAIRVVATNTTYADRMAAFGPRLSESG KLGFLVEPSQDPTGCIVVEPPCTDWVALLQRGGCSFITKVRNMQKSGAIAVAVGDPNH QTGWITMYAPGITSDVVIPSVFLAQKEYRALLYLSRMVDTPMMVLLQLDEIITWPLLD MLMILFVSPSIIMIFIYISYRLRQRKAHYIDMAPIEVVARLPIKLFNREKICENEAED CAICLEDYLPDEVLRILPCRHHFHASCVDAWLTTQKKFCPICKRDITFSTNEVTPLLM V PHYBLDRAFT_22017 MWNDKKSDLPQYRENEQKIRREISILKKCAHPNVVQLKEVIDDP QSRKIYMALEYTEKGEIEWRDDQDRPILRLPEIRKIFRDVVSGLDYLHYQGIIHRDIK PANLLLTSDHVAKISDFGVSYFNEVLAGDAPACSAECLARIDRELAETAGTPAFFAPE LCCAGESTSRPRITKAIDVWALGVTLYCFVFGQCPFIASTEFELFDTIPTEPLTFPDP NNIGFEIPDTLKDLIQRLLEKSPEDRITLEQVKVNKIKKIKLVKIHASGQFNLY PHYBLDRAFT_116472 RVLLIIRCASALYRTIADCDEVFNYWEPLHYLQNGYGFETWEYS PEFSIRSWAYVALHAIVGLFGKIFVSSKLQSFYLLRMALGAFSSFAEAKFYRTVTEEV NPHVGRYILAILFFSAGMFNASTALLPSTFAMYTTFLAYSYILRPPNHIERERTYKAV FWLGMGALVGWPFSAVVGIPFAIEEILVFGRDTTTKKDGTIIQVLRSPNWRIHRLVRL VEAIILCGAAISIPVILIDRLFYQRWTFVPFNIVLYNVFGGEGRGPELYGTEPWYYYI VNGFLNFNIVFILALGSALCVFVTAYIDRNRIPGTRKIDVIWPYVLLGLKLIPFYIWF AIFSLQPHKEERFLFVAYPLIALNGAISLYLIRSWASRVAGYFGAKVETRAAVLRYTS LAVLIVFALLSVSRILAVITRYSAPLKIFGSIWDERAPDQLFNLDYLQEDYPTDLSKK TMNLCVGKEWHRFPSNFFMPSDVRVRFIKSDFDGALPKTFVEDIGASSYLEVDGQRVE YRPRFYKFEGASAIQPFNDLNKADPSVYVPLSECDYLVDSDFPLRPLSVNEPPYVQDT ATWETMDCYPFLDAQNSHQLARAFWIPDSQGLKWGSYCLLKKRQ PHYBLDRAFT_66825 MPEFLYYSTYMRGKSQKEKGALSINTPEPPGVKNPSTTGSSPPL ATSFTPISPTLTPLYSQVATQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFT APPKTSPNFDPFWRALLAAYPREVNMGITLGSRSSPDTCELHLATSADCERACSHPLV VGVSSFPAQPAVPIGTIVRRVFLTKLPRVPYPELATQLTKCMSPFGKVREIAIHETYG FFDGSGYVVLANTPTDEVPSDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQ CTKRPAETRTCFGCNKIGHLQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPK PLIPTALSLTYGGSEASKHNPHKPALLESAELTLPATLPAITTTSATTTSSGPRPRSR SVDTPVKGWDDEIDDDMITDFTDRVEARTLRLQNASHLPHLRFSRTVRPIGRNTSLSP PRFTPPHSKKALDAEAKINQ PHYBLDRAFT_149611 MPPLLCFKTLLTNNFGHLHLYGRHTVVLYASPPILFSQTLYLVL AAGASLQLSLILTINFLHSRWVSSMLPPLELPAMIFFLPYCRHLTSFHPILPTSFY PHYBLDRAFT_172463 MVDCVTPPGQNPQPTFHRALSSTTIDYILASPDLHPRTTDSQVR YIHQNWSDHCLLTVRLALPSTQSSGGLGILDPITQQCALQLRWLKPIIQNPLGPRGLV PKWMASLLRTENTDCDPLLLWIFPDSRPRTHRSLDSPLHLVLKAMDHLPHKFDDVLTN LSTCLMLPLSSMVNSPPSHPPYRQSWRDLKVNHLYQIDHNLDVLMPIAPARPLPRFIT LNRILQRLLDRSLVAHPILFRACIPTFILESRHLDIPPRDGSPFDFDPFISALVLGKP WSRLSTRSYRLTCSHHHANAQPLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSC RALLHHIMPFTVSSPLCNICQMSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSAL SSAFTSFLFPPTLNPSIPAASVFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSIS RICSELELDSADSSLA PHYBLDRAFT_159873 MQSIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANV MVDGKPISLGLWDTAGQEDYDRLRPLSYPQTNVFLSCFSLVSPASFENIRTKWYPEIS HHAPNIPIILVGTKLDLREDSDTIERLKEKRMAPITYSQALQMSKDIGACKYLECSAL TQKGLKNVFDEAIRAVLSPPEQATKKKGCLIL PHYBLDRAFT_149614 MVSFTVYTEENSGRKIKRCTSYHVQSILTFIGVKHSHCQQTMDL IAKDNLFSDTEPFSLTSFLSRIQGLLVRMNYSNPRTDLQVAWHIMNKQASVCILLGGT SGCGKSTLASLLAHRIGITTVLSTDHVRSLLRSFDPQKNTRLLWASSYHAGEPAMKEI DENHKKSIVDGYEAQNLLLLDTLDKTIAGYNKRNECLVIEGVGLSVESMRVLAKKHPN CIPVLIYISNEQKHAERFAIRAKYMTMAPQSNKYINYFGNIRLIQQHLCQHADRWMIP KINNTNVDKSLAILHTTVVNVLSRMERKGLASLMNMETGKFPVLFEEYSKVYEAAWSS KQMLRKIRLNSKDPVTKKPRLLVSSHLLDFNDLNHLDDIAWGSLAS PHYBLDRAFT_66821 MPSGPPFLPFAIPRWNLKGVYVHHAFMIDSRGRRSFAKWHPPRI FLNCRLCHSAVDSLQHFVFFCPGKLRVWNTVIGQLCPSRSVSEAVGLLIHTNLFRLWP PPPQLMPFVVLIRLLPLTVSFSFLTMLRPMPYKPLD PHYBLDRAFT_188385 MLRLTRRSVLNTAKSFHPNARRGMASSFFPDEPKGPSMKTSVPG PASKAIMDRMNKFQDTRSVFFIADFAKSKGNYIVDADGNTLLDVFAQIASIPLGYNSP AFLELTNKPAFQTALANRAALGVNPTEDWVDSVQNAFMKVAPKGMSNVFTVMCGSCAN ENAFKTAFMYQAAKRRGDKDFSLDELHSCMKNQAPGSPDMSILSFGQGFHGRLFGSLA ATSSKALHKIDIPAFDWPRAPFPALKYPLDQNKEHNRAIEKASIEAVDLLLQNKTRPV AAVIVEPIQSEGGDNHASPEYFRNLQAVCKAHDVLFIVDEVQTGVGASGTFWAHEAWN LPTAPDMVTFSKKFQAAGFYLHPRLRPSQPYRLYNTWMGDPVRAMQAVAIVNEIENKD LLSNVKQVGDYLQKKLKSMADRKLLSDVRGQGALIAFDLETPAKRDKFLIDMRQRGVN IGGCGERTVRLRPMLTFQPHHADILLDTMEATLTS PHYBLDRAFT_86467 LTLVAIPVRFHTISHPNQVVFDEVHFGAFAGKYIQGTFFFDVHP PLAKMLIALVGWLAGYKGNFDFTTIGNVYDDTVPYVAMRSASALLGLLMVPMAYLTIR DGGHSMLAAVLAALIVCFENSLVTNNRLILLDSYLLFFTAFTIMAFNKFYKQINNPFG ARWWTWLALTGIGLGLTVSCKWVGLFVIATVGFSTIKDLWRILGDVRISKGKCVHHFL ARTLCLIIVPFMIYTATFYIHFKLLPLSGDGDFHMSNTFRQTLVGNAVDETPIDISYG SHITIRHLATNGGFLHSHNSTYPEGSKQQQVTLYPHRDVNNWWRLRKANSTLETEEVE GDVIGSDGETPLEWIYDGDIVRLEHISTSPRKLHSHDISAPLTDNDYTKEVSAYGFPN YEGDSNDHWIVEIEDGPTEESRERLQSRQTQFRLVHATQNCALFSHDVKLPDWAFGQQ EVACMLSAKKPKTLWMIESTTNELLPEPVDMIGYDIPGFWGKFFELHRVMWTTNAGLT GAHPYESRPSTWPMLKSGVSFWARPGTQIFYIGNPVIYWLSTVAVFTYMILWGFFRFL AKTNRPDRFPHRRPFFENAAGFYVAGWAFHYITFYLMHRQLFLHHYLPALYFGILVFG VGLDLVFLRLRPFQRVLVGVLVCSLVIYSFVEFSPLTYGTEWTREACEASRWLPSWKY RCD PHYBLDRAFT_39715 MPNTLRSLSTYTTMMRVTSAGRPHCKDLHDILAAFIIQMPLSDH RTLFRTYPFSFTTEEAVEILGHLQFTHVHRGPDPLDPTRHVSTRTTTTFSMTSGMAKT LCQQFLQARLIENASDPSNRLIRDKAIWCPTPKGKCMIQDFSIRAQISIDHLTACLDK LKTISVVTLDRQNNRDNTLAFSRPSVSLAFQLMTQYVPCDVLLTDEVNGVDTRIAKNT QYTFYGSQCIDWLCEYTTVVNREEAEMIGSEFILCGWIKCLTDKPPGGNDIIFRSSRN AIYHITDRGCQCLGWNGYTTTITPGSPQSFDIIPLARPLSISYGNPTDNDLKLKASYY SRLQNILDDALLRMYFREFMKSNFCEENLCFWVDYNRVEKKWISAADSIVVRRQVWAN DCFQMYMTYLSPCAPSEVNIDHMLRQDIIRYATAYFINQAGMAGTHAPFSTALHPISF LTNTNNTNNRLPEECLQELIEMLRCVNEHVCRTMAQDTVPKFVQTQKYQEISQRNKSQ DIKD PHYBLDRAFT_188388 MSLSNKLSIRDIDLKGKRVLIRVDFNVPIKDGKITNSNRIIQAL PTVKYALEQGASAVILMSHLGRPNGEVVAKYSLKPVAEEVSKLLGKPVEFLEDCVGPE TEKACKEATGGQVLLLENLRFHIEEEGSAKDAEGKKVKADAQKVKEFRDSLSRLADVY VNDAFGTAHRAHSSMVGVELPERAAGFLMQKELEYFAKILEHPERPFLAILGGAKVSD KIKLIENILDKVDSLIICGGMSFTFKKTLDDVKIGNSLFDESGAGLVHDLVEKAKAKN VKLVFPVDYITADKFSPDAETGYSTDADGIPEGWMGLDCGKESNAIFREEILKAKTIL WNGPSGVFEFEKFATGTKSALDAVIEATKNGAVTVVGGGDTATAALNWGAGDKISHIS TGGGASLELLEGKELPGVAALSTK PHYBLDRAFT_159876 MPHKAGSISPKDNKKNKKDTSPERKVRQITVQSINKEHRVWIVV EPTETGLSLAEKIHQIATFRTRKILTITSASGRQVPLDNRPVFGSWSDMESFQDGEGW QVTWGDLDKSVVDRIFSKVVQVGGRKKDPK PHYBLDRAFT_87937 MLQDILNVRKALDLFLDSRIPEAEAILAPHRKKSMYYSLGHAFI LFLKSMMTFQQTDIQTALDALKDTVQIANGLRRKDGSWLAWVKGNSVNDIKDMSRVHR HAELVFAESYLLKALLSILNDESLVSFLREGLHVRNSYNTYKILEKYVSFVDQEAAEG KDVSAYGLDDHFTSGVALGVGCFNIMLSMLPTSVLRVVEFIGFSSDRAHGLSMLESIG GWEDYRLRRQFCDMVLMAYHIMLSKVVPLSDADPEIASKVLAYNLGLYPSGVFFLFFS GRQLSSLGQFEDAKDQYRRAIDTQKDWKQLQHMCFWELGLLHLMQHQWQEGAKVYAML TIESNWSKAVYTYLEATALHLYTQSQSRSDPVIVKKVATMMRSVDGAKQKIAGKSIPL EKFVARKSRKFISQDNYLVLPDLELLSALGTLEFMPSEVLRSNINRINRLLETLQSTR DDDICLAHYLRAQLTRLLIDRSPQASRKVLEQNHKVSIDTVMAHAPRVTLDHYIYYFT HYENARMMISKQLYTEAETEIQTTSRAKSKYSLESVLLFKCHNCL PHYBLDRAFT_116629 VPKGQVTSYKSLSDSLKSGPRAVGQALRVNPFMPLPVPCHRVIT SNLSIGGFAGGSGDSQLVCNKRSKLIKEGCLFEGDTFIANSDGKRQIFENFKM PHYBLDRAFT_159877 MRRMQRVLEDDKSLAPKDYFERFEDVPVPSQRDVRSACANDKCL FTTNMSPFPRPEDIEFTKEFIPNLHHLDALYEDGGFDLPQKHYWNTHSFHMAVDMDPQ TCWNTHKSPRAGDYFGLAIVGDITVRQLTVFSSQELKRPDKMFNVFVKENGVKWVECN VSSSRKAPVSRNAVLNLSCPGVTSIKAIKVSFQEDQKEPFELCGLALDRFFV PHYBLDRAFT_182885 MADIISSPRSRPASLRQVRRKDPNGSSSRPSSRPSSRPSSRPPS PPAPSSPLIGPVGNDSEVIATALVIKNIPFSVKKDALLAMLSALDTPPPYAFNYHFDN GVFRGLAFANYRTAQETEIVVKALNGLEVGGRKIRVEYKKVLPTALEKEKEDRKRDSA EMIIPPLVSSLSQSLIERKSSSHSLRQMSNAQSSSSSSQPTYDDSLDLNDPAVLQLYD LMLVFRCDQNRHEMGLSKSMGLKERRDAHIIAEKLGLSHYSEGFGPDRQIFIAKKTSN NGSQHLRKTSRVNLRPSTSRDRLSQLSEGGKRDSSYYRKTTLHSSYPMSDSASNGSGS GASTPSSSGIIHPIRQPRGPEPDKNFASRRNAQAQQLAEALSRHHITNVGA PHYBLDRAFT_188392 MAYDSAAGVPRNVFTLPTPTDITLTPFWSTIRQEECFLLQKYSA PGTPLFKSLISTIASVFDTKQSSYRILFQREVNCTCLQIGVAETEQAIEAAWLWIQKN MMPELDTIDDSFQKEGWVVEKMSMVVTTIDTGTDELSSDEGVRNASRTFRQIFDVPSS ERLVTYYSCAYNGRQGWLYISENYLGFYSFLLGIEAKTLIELKEIQDIAKEKTKRSMF GDSLKIITKDKVEHIFTTLFKRDEVYDLLVQLTGQAMLRLLKNTGTDAPGASNTVLRG LPVPSTSSTMSPPKPLTPRNESGQLISPLKHDLAAQKRNTSFCLHFRLPLEEQLVDAL EADYTQKPPSHTQQSHPRITSTNRAERRLENKCRGRIYLSETFLAYESQERLPHPQQH QPFCWFVLPLYTIKRVDRVSTGSYTTLSVITWHKMEHIFELDALKTACEIFCNALRNH LKSQTGVIKKLKQFLTTCESEQLVSTKSNQLVDVDDPVGGLGMKFGYPGDARKSKDRS KLNLWRQYFEENGRNLTMMKLPTFGKLVRVGLPNRLRGEIWEACSGAMYSRFANQGLY EELLETYKGQTSVSTDEIEKDLNRSLPEYAGYQTQEGIDRLRRVLTAYSWRNPELGYC QAMNIVTSALLITSMYGAMLDQIVFEQLVEQTMPILWDHFKKTDVQLSVACLPWFLSL YVNSMPLLFAFRVLDCLFVDGPKILFQIGLAVLKLNGEELLQTKDDSAFLDILKRFFT SLDTPLDPNSKHTKAKNLTKFNQVMLVAYREFSLVTDELVTEKRKQNQFKVIAGIESY TKRSAIRHLRDIGGFNKEDIPTIYDKFFGALYYAKPKGGKAETHMDVETFQNFLASLT DWAKMKVSDGSIDSNLDRMLGASFIGRVFNHFNHNNNSGISFQDTVLGLSEILHSDLM SQIGLFFTLYDEDKDGLLKNKDIVTMAKELFWLICHTKEETIAWDAVCSLIVHSYEQS EIAQGHQQNTAMLAHRLAELSMTDQSASLQERVGQLNGVLSDTEAPDIELTLPCFRMV VLTNEALEMFFDHGFINSFQLVKSATDRQKSLGRELFESLFAGGRQLAKETPAAYRPR LSPNPSPSSSQVTLSSHSPSASVTNLRLSTDEKPDEKDMSDEIDHLLTELGHLDV PHYBLDRAFT_149626 MFIPLVSYPLFPVKDSSRKQKCCVILSEIPSPKNHKFEIISREV IDGQSLVLGRFDNKNVKRHAFIGFQSPSVSQFHAKILAKKGKLYIKDIKSSLGTFLNG YRLSQSFQESSRYPLRDNDILQLGQVSENDGIDTNKPVLMKLRIRQRTNAWNYHGDL PHYBLDRAFT_149627 MYDIGLPPPLPPRPLHIPRELLAKHTRTIRIIPENHSSGSIDFE VIERCLVPGDTLTLGSITSCDSGYRDIVFDSGKVDTSHAMIWEDKGELYIRDLHSANG TYLNGVRLMPSYTVPGGYIIKSNDVIRLGRSVKDSRDGNHSIGLYLKENNIEANTGSQ ILKNSSTPSLGSCRVCHQLNEPLQALFVPSCVHILHFRCAELLLDNYPTFECPTCEKK YRISSTHPNGPKLQISQVAKDP PHYBLDRAFT_149628 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPKSFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_149629 MGSDRPLDEAENGKRISKKKKTSANTITTTTLPPFQANTSFTMQ LFTIQQHLNSKHQIHQTQAPVSKRSTKTPTKRSTNRVITLTSSMSHCIRNLSSVKKVF GCKGSKKTVASSIAPSPYSFSGNSCIPGVPCESEYSPSSRRLSDRSMALDSLIFDHPS VTICLRPAAYRST PHYBLDRAFT_135836 MPPSVESIPTHSSNELGVHSSYSNEGTAMETSKRQVLGISGLMP VRVESFEIQKKRALKQLRSKQAPIEKYIFMAQLRTTNVRLFYKIIVDHLEELAPVIYT PTVGTACLEYSNIYPFLAGPGVPDGLYLTKADHATLCKTIRQYRPFPSESAFDPQIAV ISDGSRILGLGDLGVNGMGIPIGKLQLYVAGAGIDPRRTLPIMLDLGTNNKKFLEDEF YLGLRETRPADEEFYETVDTVLNALKTVYPNMIIQFEDWSSEHAFGLLEKYKSEITCF NDDIEGTGAVILSGAINAIRKVHSESNVEPTNHRIVFYGAGSAAIGVAKQIQTYFEKE FDIPEEDAKKMFWIVDSKGLVTLDRGDNLAQHKIYYARQDNEEQQYKDLMDIVNYVRP TALIGLSSTPGAFTPDVLGRLAEINEQPIVFPLSNPATLAECTFEQAMESTDNRVIFA SGTAFPAYTIASTGEVRTPGQGNNMYVFPGLGLGAILARSTVISDNMIYAASKALADS LTPEEQARGWLYPSLTRIRAVSAIVAAAVCQESVNEESAQSEEIKAITSHDELVQYVS ERMWSPSQDNYGSDSLIALQKSSRI PHYBLDRAFT_188394 MSEKASMILEAETPLSPAELQVLKKQFEREGEYVTTQTKFNYAW GLVKSRQSDQIALALTLLTEIYTECPNRRRECLYYLALGHYKISNFNEARQFNSQLLK LEPRNEQALELQRLIDEKLSREGVIGLAIISSVVAVGAALVATIIKRK PHYBLDRAFT_188395 MTPNANSLPLAIDTPTSPTTLDTNQPSLKRKLSIDHSQRPNPTQ TNRVVLATDHECRPVRLEPPERQTVQSTQPRWHSQSYMVFLALRQHPERSLPRTDLIR AALALDKKISEERQLPRVFRGKTPMNSASAILTYNSDRYFVPFKPEGSRSTHFRLAYE PGNFSKAVQEYRKWEKKLAEHDWPYCFGEVKPSVLAAKREQQQQQQQQQDQKETTPPL LPSNSSISSSSSLSSSSLNPTEFDTFIADRTALSLSPPQSPDLIKPDLKPDLKPDLKP DLKPDLKPEPKPDLKPEPKPEPKPEHKPDLKSEHKPDQASNPSSDINIPATQVSDSWS LDELDLTNVPASWHDILKVDDSKIPGAGKGLFATRRLPNNTPIGFYFGVPMTEDEFDS LKDNVGRASEYSIMYRKTVLDATDENGLPYNDPEGPMYCPFHYMNETNEAQANISFLE GAVVNQVICWTKRVIEQGEELFVWYGRDVNRHWTETKGFRVE PHYBLDRAFT_66804 MPRIPGKGCLLNVHLLFVMISSTLHPSSALEGVTLEMVLQNRTQ SPFSYDDFSLYLEHTYCAENLAFYKAVSSYHEAARLYFGDSTLDLTTDNVILKNSQPF YFSTIENYKLSPEELLRFEKLKMRFEDIITRFIMVNSSQEINIPSDIRQGLLNTYYTA LNYHPAILCPAASQVLELMRVGAFLPFVTDPKRLVDIGKTCHSLRHYCSTPSLRSSFM LDSNIKSSESEDEISLKGEPGPQKLPSHLLVSLGLHSPNTTFRPHTLPGTLFQKIVST FRPRPRPRPAKPLVPPPIEPGNSNYIPGLTTII PHYBLDRAFT_172484 MEVVFLVTILLDHKIVSRFMALQRYKCKSTLKIPAFIEPYLTCP VLKAVLRAVKKNVCKFILPKEHKLTSINFIGNNPSATYVEVTEQVEKPFHYFKVSPRV VHSFIIDECFFKYYSLELKNLRHGPSFNIGHCLRILKNYTDTQRTPLNHLQYPPASSS SSTTSCFNEENKKDMFISEIQGLPLKILYHMYFLKSILSSESIGTQAIDLSKRGIQAL DYVSFFTKPNGVQLLIV PHYBLDRAFT_149633 MSIPRIPFELLYYISGFLELHDLSQAGLVCREWNTAFMEVLWDD VRICSTTDAHGFYSTLTCHTAGLRSYRKDTSRLTLHPNATDAIPKASKANIFHLLKDQ TRSHKNIGIIGALKSSLKLAWETFQIFGPSRSIKPLTTDQALFILCHLPHLVHLDIAS GNRINTMRFTWKDIDVLHQCLQKLETLKLGVKLDRISPQDNTMISRIDRAPKLLTLEF TSKEVDLGWLYYWENKYPNLRAITWINKRGIDTSLNLPHNEQTDTGRLMSSFRYLDTI NLNYRDGHGRMTHVLLDKLHELNSPIKNISCSIKIRICDLTDGAETTDKIMMFSKTLK KLNLEIQGRSQDKLAISQALCHCPFLVDLKITAPYMTFSINHLLDNFPSLKSLNLFVR SVDIEPSRPFVTGCHGLRTFKMCNTHTVYRLFSYISRRCRRLKFLHLHGVMIPSKSGE VNNIIPIKMKYSCLDTFQMENMRFSFRANCQIYDDCEAAVLFRVLQHNPLVPGRQKNA VAWYRKYFSPGTKIVTSEWESIAEAEGDSNCKEFSDIKNEKRIILAGYVSLECGSVKN ILI PHYBLDRAFT_172486 MSVYLRLRLKDKCSTSIDCLSLSRLSFGKFKQVLNPRPYRRTRI STVTYHGLLRCNNLNCLENTDQSEGMHRSWNRDLASFLSFQHILNDPRYNGTIPERLT RVIQIGRIRRQAEGDLQEGRRLRQRLTRI PHYBLDRAFT_127074 MLLSGSRFYSRRLLIRNHFQRATFATATSQNHRYIKDNWEAIIG IEVHAQINSNTKLFSDTPTSFNDLVNTNISTIDAAFPGVQPTLNGRCVELAVKTALAL DSKVQSLSTFDRKHYFYPDLPQGYQITQQREPIARGGSISLTQLDGLDEPIKVGIEQL QLEQDTGKSMHDMRPGFTLLDLNRAGTGLMEIVTKPDMRSSHEAGLLVKKLQAILRSV GSSKANMEEGSMRCDVNVSVHKIGEPFGTRCELKNLNSVRFLSMAIDAEIKRQIIAIE QGEIIESETRGYDVSSDKTFKLRGKESALDYRFMPEPDLPPLILSSDYIESVGSTLPE LPDQCRTRIMEQYELSLTDANVLLNESGSLVFYEKVCIGRNPRFVVNWTLHELFGQLA SRSIPFQENPISEQQMGSLLDLIQNGTITGPTGKSVLKVMLEERSNEMPMKIVESKGW ARMGDSEKLKTLCENLISQHVEKAETIRNGEPKMYNWFIGQLMRETKGLADPTELNNA LCNALGCKLEDMTSAPAGGSAIKKTKKSKGKKGM PHYBLDRAFT_127075 MARYSNTSQDSQSPLLVDSVQKSPRRRTRLIWSLSALAVFGLTC IYLTHSLPSAPIEGIHSQAFWSDSAAAKVVAPGISLKSFNHGLSKCQSILERQHKETN TSRVRHRNPRAVSKEKLLLIKNGQIWLNDRYLHGDILIEDGLITAVDKDIDVPDARVI DAKGRIVTPGIVDMHSHMAGSSFPGLRASDDINEMTHPTTPYVRVIDAISPSDPGLKI VASGGVTTSLILPGSGNLMGGEAAVIKLRPVPTLSNQDMLIGAGVEDEDEEIVWRYMK MACGENPKTYYGGYLNRAPMTRMGENYLFRKRFEEAQALMRAQDDWCDAATRVNATFP TDKPIDETTITRLTERFPELIDLESLVALLRHEVLLNIHCYLPQDIEAMISHSLEFDF EIAGLHHALAAWKVPEVIKRAKTNITIATFADMWGYKAEAWEQNVHAPSILADAGIPV AFKSDHPVMNARDLMHEAQKAFHYGFDEHLALAAVTSVPAKALKLDHRIGRIAPGMDA DIVIWERHPLRLGARPQKVIIDGAEMNFKASWAKSVEEDQDSTWVDTLADTVDVADKK EEKKGWLPPFSTSTMKLEDHGLNNPIKFDEACGPNVNSFVMRNIGRLYMGPDETYDST MAKERIGLVVKDGVIICAGIECDREHVEWPKSSPVFEMGGAVIIPGIFSAGESLGLIE IQAESSTQDGIAKNDVSDPKLAQTVVRAADGIKLNGLHLQKAFKAGVTTSISQPLVES AGLAGVSVSFRTGTERTVLDTNDTIINDETALHFVVGTSGSTTVSQQIAAIRDLLTTN VNKDASTNVFARAAQGKLPVVIQTNDKDEIASIVQMKKQLYDQSKQQFSVKFIILGGA EAHLVADHLKRLDIPVILSPARCFPGDWSSRLCLSGPPLTPFTGLDILIDRQVRVGLA STDVNNGDARNLIWEAGWNLAHNGKLDESQAVGLVTWNIADIFGLGSKDIGTIQVGHK ADFVAYNSNPFEFGSRVLMVYGGGHSGPECFPKQI PHYBLDRAFT_188399 MQIHRFLTASRNAVRATRANAASLAQASARTYATAAVATATPGQ IRSVIGAVVDVQFEQDNLPAILNALEVQDHTGGRLVLEVSQHLGENTVRTIAMDGTEG LVRGQKVVDTGAPITIPVGKEVLGRIINVIGEPIDERGPINAKSSRPIHAEAPEFVDQ SPTPEILETGIKVVDLLAPYARGGKIGLFGGAGVGKTVLIQELINNIAKAHGGYSIFC GVGERTREGNDLYHEMMETGVIKLEGESKCALVFGQMNEPPGARARVALTGLTIAEYF RDDEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLSTDMGGMQERITTTKN GSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRSIAELGIYPAVDPLDSKSRILD PRIVGDEHYKVATQVQQILQNYKSLQDIIAILGMDELSEEDKLVVERARKIQRFLSQP FAVAQVFTGYEGRLVPLQDTIRSFKEILDGKHDGLPETAFYMQGDINDVIKRSEELAK EMGGQ PHYBLDRAFT_95976 RAASVPLSIELDAASLEHIRRLLRHMLNRADPKVVKNRTQWEEV LINLLLKVSDNVNPDIRGGDEIDIRHYVKVKKIAGGQPKDSLYVKGVVCTKNVAHKHM VRTVTNPQILILLFPLEWSRDTSKGEQQLQPIDPVLAQEKDYLEKLVNRIIALKPSIV LVSSNVSRLALDFLVKANIVVVYNVKLSVLDAVARCTGASIIPSFIQLNTEELTLGHC GTFETRTHVHEWIPNRRKTFLMFHDCPPDLGATIILRGGRVETLRVIKRILDFMVFVV HNLKLESFLMRDFAKMRNVVRASLPTEDKEATESEEKDVNGVTIPDPRIQGQSALPVP ANVAAEIAASAAAAAEAATAKAIMIKKIRDMESDERIKPMMDIVTKYKNVTLSASPMA RFPPPYLLLRLKETQMRMIALIEKGIAHYNPDPKLNQPSVETLLAIPLPTSLTDMPAY IHAFEPVLNGDLDYHQILEEHHHRWRALEACIDTTTDTLSPFFYQQLVVLSTSICTET TVPCQGPEMRLFEYYRSESDMTLGQYIVDTAYDSPGLCPSSMCDRTLLEHYRAYAHGS DRVIVQIQRFESPQPLAPNTIMTWSYCKHCALYTSLTPMSENTWKYSFGKFLELIFYQ SEQPLSKIDQCPHTMYRDHVHYFGYNGLAVRFNHESIEPFDVFVPPTYLYLNPKCLSA AKDAALESARGKIARFYESIVERNRNFMFDIVQPNMVDSCKEFLQDLSRRANEEKKQM LQSLQAVYAKTVATDILCFNEVRFYLQMCVYQWDLKYLEFVRQYVRPERELRRLTASH FRRMMPAETMISMPVASLDLHKDSINIDKSKNDDDDVSSQVLDPSVARRLSLELMKDN PIRSASWQESVLDQDDEPKIKKVLPKLEETSILGRHAGILTLISADACKRVAVELLPK SAITKSKSSPPPVSNHISTSQFVPEASRTTTRRQKLENERQKRIQSKQSSEENSSKDI RSPRQHPWDTTISPSESSSRTSLNSEVPVKKELPLSGYRYGYKGSTERGSTSHNRTRP RVVEPFDKGRELDKHNTSFNYGRASSSTEKPRYGSNSGMATLQVPLQVNRSIRFSKNL ASTLRARNARQNLPSKSSIEVYKTIKDIVREESDDEFQATDIDDQTDVEDDDERYTSM SHGFSLTRTDEYDESLGRETDPPHIQQLRRFNREQFQMFSSSLPHLSLDLEDTKPTNK ALNDPLQQTISDFRLDSTNTELSSSGPERNSFIKTITNMLAEKGLGNLVPLEYPLSPI EHIFPGSNIIVGEDEPSTIIAFSLDCSDYREKLKQIRASHAENSADNNGESHGESHGE SHIYGQGYNHSEKYPENFADGTTKFFCKIFFVEQFDALRHKCGCDESYIASLVNCCKW DSSGGKSGSVFLKTKDDRFIIKQIPKYEMDTFSRIAPAYFKYMAESFFHELPTVLCKI FGLYRIGFKNTATGKSTRMYILVMENLFYKRVVKKIFDLKGSMRNRHVQETGKKNEVL LDENMVELVYQSPLFLRVHAKEMLNDCLHNDTLFLSRLDVMDYSLLVGVDEENQELVV GIVDFIRTFTWDKKLENWVKESGILGGGGKEPTIISPRQ PHYBLDRAFT_127081 MTTNPPKNTPITVSELAKCNGTDPSKPIYVAIKGDVFDVSKNTD AYGPEGGYKIFTGKDASKALALSSLKPEDCIPDISELTEKDLVVLEQWHAFFSKRYDI VGKVVPDN PHYBLDRAFT_116388 MTNTPDTPKLTGYDFYKALGSPRFVVAPMVDQSEQAWRILSRRY DAHLCFTPMFHARLFSDPEQGHKYRKEQWSTDKADRPLIVQFCANDPQILLQAAKLVE NDCDGVDLNLGCPQHIAKRGHYGSFLQDEWTLIRDMISILHKELAIPVTAKIRVFPTV EKTVEYAKMVEAAGAQIITVHGRLREQKGHNTGLADWDKIKAVKEAVKVPVFANGNIL YYEDVQKCLDYTGADGVMSAEGSLYNPAIFAKRDMPPCTWEMAQEYLEICRDLCPTRT GIIKAHLFKILQPSLPHHTDLRARLGKANKFEEFWDITMELKKRLEEERERVGETELA GQEDIKGIRKYGYWRCQVIKR PHYBLDRAFT_172495 MADPFNPPQGRGDPNSSGSQAQASHETTPLLADRSTVLASKQTS STGLVSTLKSIARKYWFILGLGVAIILAAQFPDVGRKGGYIRAEWSIKWGAVILIFLI SGLSLKTQTLAETVLRVRLHMLIQIISLAIIPFFVFGLVLLLFKIQLPINSLLLVGVV ISASTPTTVSSNVVMTENACGNGASALMNAALGNVLGIFISPLLVSVFQGPLLRATPG GDKDQDGSKPIDFAQVLTQLGLTVLVPLIVGQIIQWIFPTQVARIKARCYLSEVSSVA LLTMVWSVFSDTIYAGSFSSIHSTDMLIIVILNAVLYILFSVLCFVLARLPLPAGVRT PWWIERFRYSREDTVAVMYCGATKTVAMGVPLINVIYQDGNPGMIGVLSTPLLMYHIE QLILGNIEVEILKNWVIKGKIADETLVTAARDEEERDA PHYBLDRAFT_21891 MSALPESSKPPTRAERKHCWKLRDEYFTCLDKISIIDPAIVDKD PSRAEGCLDSKKKYEDGCMASWVEYFNKRRVIDVRQKQYLEFSEKMSGK PHYBLDRAFT_66791 MLLQKTGCGCQSLPWAFHQNHLMSIPAKRDVLRSLDYLLYCHFV YLYFLDASLFLFCIRMFLQLVSSQLEQNSSRPCSQQLLSPRSFARSLRTALGIVTAGY LGCLLMHLTFEPGQPGILIDFIGNQQLPTTMRLITLDSVVYIGQIIRIFISSSLSQTL VNSSIATTLAVPPALVPALGSDRITLMLPSERTQNEENCDDLFYHPGLVVDISLRSSV RNVMYADMEDPHTARDGSDRLPV PHYBLDRAFT_78574 MSAKISNKSSNKSSTHRNISKNLALTTSPALLSSISSRHPSSAT KLRRISAGEESLTLSQKQRHTKQSHISSITLASATQTKTIVLPIQQKWDEDLFTKNPV LLSPTLEMPIAPSDLITPPPSPRQSPRKEDLNVSSLNTEVRFMEAKRSKNIKNLMAEY TSLKKQGVALGLESYNLFFEIYLNLRREGTPLTPMFNVYEDMLWDSISPNSTTYSILI RALCKRDVEVQKVVAMLKRQTARSGQQQEKSGKNSNIGTLEAEGNLAKALVLFKEAVA QDSSKDFPVDLYNQLLRVLSHYGNTKDSLIIYNELKKHTAPSSATFAALINLFGRAGD VASADGYFQEYLSVKDTLGVHDASYVYNASVDSHLKCDDLEGAIRITENMPSHGVKLS IIPYNSIIRHYCTHNAMDQAMVMVNNLTSSEELPTPDASSYGPILSAYCQVSRFDAAT EVYDALVKTDISKSYGNLANYALLCLSNLKINQDKVLEVIQEMKTAGLEPDALLSERI VTQFVSAGDITRAIAALRAAIGAMTSRTLSKGSSHIVNAALQIAMASKKQFSQVIEVV KAVSSIPSVGLPSSLASILVASYPADCEHEPISGAECQLVCESALIAYCHGAVHPLLP AFDTFVLALAKDMVRPGTATPPSSLISRVSTQLKGMGAHATEAEWVSAFSNRTQVDEA RKINKDEEEIQEIIVAHKCGSSTVITAESEVATADIMKAVMNGNIDEAQSILKQKIIQ LGLVPSPESMRDAIALAGKQGHLEVAKEMYTLSLKAYKTLLITEDPQRAQKAIFSATN SVLIGYAQQGEMSEAKKYYDAIKEMGRYPDGNGYASLLLGSAKCATDEATDALIIYDE AKRHDVKPTTFFYNVVISKLAKARKLDLALCLFDEMRQFKVSPNSITYGALISACVRA GSESHASRLFGEMLASPYELRVGPFNNMIQFYVRQQPNRARALEYFEELRRRSVKPSA YTYKLLMEAYATIAPYDRVSSHRMLSEMENCDRIRPQATHYATLIYSYGTLENDVKSA KWVFDEMHKAGVVPDEVVYQAMLDTYISNNELERAEKLYLDMQKRIGKSSSPYIENLF IRGYGKKGLLSKAEAMFRAMTDDKIYCVSLQKNASNVVVVREPSTYEAMVRAYLDNKL VNKAKVVLDSMIKREFPEKVTAVVADLITA PHYBLDRAFT_66789 MLTFDSGADSCNRVVPDYLESIEYIKLSSEDEFTRWVDEVAKKH SKWLYNQKYEHKSNRCFIGQPLQEPLKVTTTVYLCDHAGKPQKKKSLSDTDPSIKRRK RTTKSIKIGCPASIYKFNLTDNTILVKYNWQHPDHDPFEIQNISTTRVPDELKYLVEG LVSQNSDWKSIKKMLKMSEDKYLEVNNSSNNDNISNIIRVCVCLLVMFANIELNQLEQ AGDRSSFSASLLDYQYVRDVVNAHIMKLSRKHMDHKKSVELWAKELNESENTSLVTIS ENGSFLLAWVPDWQKEYLQNSDEWSIHSTQKICKSFNSSGNTNIGNSNSTSSSNNTEK LSGEPNNCALYTIVVQSPVTTKGTPVGFFITDQENVVTLEQWFSWMKSNFTLKVKKIM IDHSQAEVEAINKVFEGKVDVLLCHWHMKYEWESNVKRCFNVQNSTQKVEVVHKNAFD IFFIMMYAATAESFEISYQVFVATFKNNEAIIDYFNKTWLPKKQLWVKAWRIPTKFYT SNLIEGYETQLKTFYLGHDRSLRIDRLVYLLSNVLTLDYKEEKEKESTRTSSSLPSTS PTPTTTSSPSLASSPSNFTKFQSLRRNEEEEKNMTEAYEYDIDLADSMVEKRNDDLYT CKSFSTNDLYYRIRFVDGILQDCRCSDPNRLCKHIFLVGRVFNLPHSLPVNCSALQSS GNLSVGSESDTATKGTNITDVSDHEIRCKEAREKCKKYIDLINARLRAKVIECQGDPH ELTRLLNFIKTAHKRLENYGSVSEQSGTSKQT PHYBLDRAFT_172499 MTTITRFDGIQAYGTFTKQQAIAVLKKSHKISEFIVKKEKILVE YLSASTDEFIKDILEVIKEEVNVDLGQIASVKELEQTLKANKYIHSVDNVLPDLELKE RTVGFFESLGAYRFKEEIRMCKSLFYESCTNFVLLPFGVLNYKIISLAKIHKRILIDQ SG PHYBLDRAFT_188403 MMKPFTFLLALAWLSSVHGYFYVPNFMASLPFKGGMSYAQVNSS IVMFGGENATTSFTNNLYQLTQTETSFTWNTLPQQNPPEGSVYGQSVVSSTGTSLLQL GGITQSAVAYLSLNTTVPMPLQLHMYSFATGAWSSPNITGAPVNRNRFSATIDSSNKI YIYGGALIQGTVLSDLWVLDANAGTYTQLQPTDVGHYGHTTSILSDGRLVVLGGVSPT LVNGQAVLKLAPMNMAYVYDPKAATWTKQQLNPYGANYPTTRSGHTASVTSDGKIILF GGDNGGTPRSKLYLNNVATLDTNTWTWSFPEPAGIPPSRRTNCIGAILDGKHLTVAFG EALNSYYNDINVMSIDDSAWLQSFGAVQKSSKSGISTGVIVGVTIAAVALVAIILFLL WRFKSYVIWLAKRIHADIWKPRSGEPVWAETTRIIFQVFFLFLFVMFLVFVIKQAVDS PNVTQRIEVASAEVETPDVRFCFDGYPTYPIASDTRNPGVVCQTNTGYSCSSYIQPLN MSVFEPTFADKLGAVSCYLFRSDPSFKMSATSGDNNGSHLIFSFFGDNTITSGRVHVS IFPKSMDPNVKVYGINDEVPVLISDQDVLNWQNAERNDLQATNVYTIEPFSYSAMSYD IIDHRYMQPVGWNYVGFLPIYNHTSEVDSNFRQEAPNPNYVALGHSDIGLIAVIPNTW ASLTDREVKMYTLVNALGFVGGIFGLLVAAQTWMFGFRPRSPWGVVHRWSVGDMRRSL LRGLQSKFKTTDAGIPLIHPVHKRFSVNNLQDLGGESEEQRIERVEERMQTLEMLFKA YYVDDEVFRSLDNANHASKDGYLNNPRSGPGGPGARGVPTAISQDNHHAMFPETEKVD GPGGGYNKPGFSHMFNNRQSVVSLSSDSNSQRHLNPRPDNVPLNDM PHYBLDRAFT_149648 MNSLTSNTQDKQENGFLSPSEPNTRRTLRRPASRSHRLSQGYDM QAGYPEQLSGYEIIESSQERQDGEDKGNYIHM PHYBLDRAFT_149650 MAFTGYVFKSLQEITPYLEDAETGQTTTWAKQQAIRLKCFVLAG YPEVIKEDGQTKHYNSQCCVNQEGKLVYTYRKHFLYQVDENWAAEGPGFTSVDIKGLG KVGFGICMDLNPYRFEAPFDAFEFATYHAQQGTKLILCSMAWLQSKGKSENIRVRSTI SYWAERLYPLINPPKTKDSSPGVPLQEVAAYLNCFLNA PHYBLDRAFT_116603 MGKNKTKKSLPLHKIIMVGSGGVGKSALTLQYMYGDFVEEYDPT KADSYRKKVTLDGQECQVDILDTAGQEEYAAIRDNYYRSGEGFLCVFSVCEQESLDHT QEFRDQILRVLGDETVPFVLVGNKVDLAHLRKVTANEASSLAAEWNCSYIETSAKTRY NVDEVYTMLMRQIRDRKLKEQKSDNPERDSCCVIL PHYBLDRAFT_116613 GNCIGKGQFGSVYRALDLATGEIVAIKRINLDDEGVHDQEMMKE VSLLQTLSHTNVIQYLGFIRTEEHLNIVLEFAENGSLMSTSKAFGAFPEKLVASFCVK ILSGLEYLHANEVVHCDLKAANILTTKTGDVKLTDFGVSLNLKIKGADAGTVSGTPNW MAPEVIELKGASTKADIWSLGCTLVELVTGKPPYSDLIAMSAMFRIVEDEYPPLPENV SMEMQDFLVCCFQKDPKDRPTASQLKEHVWIKTNHKEKSTESTGNNCSNNYNNSMFCL SFFLKGKNANICIHF PHYBLDRAFT_172504 MLRSTKYSTFDLTLDPKYTMDSNSCSLPLSLSNVSQTGWTFSSL EQEQEQDQEQQQQHHHQQQQQQQQQHFNHPSPTEGTPWSPIPKSHPTFKPSFYDPFQV NRRRRTSREQHKTLEDTFQANRKPNSKVRHALADQLCMPVRSVQVWFQNRRAKAKLQD KQVPNEQATVDTPTMSQANNIISAGGSGGGVPAAPWTNAFHMFCPLVSKPKILENINS PLKSDTGSRHELSSDRLQALDDISDMQNCDGSGCDDDECCYHDNKNEWLWEDPGFPLT PINPAFLNNPAARASFSSDQMTFSPGPECYYPMVRQQFSGGAAEETHHNHHHHNQQEH EIEQEQEQLSEYSRISAEQQYTWDRPPPPPPPPQPTSRESLCQPLLSGFKQITHNNCT TSHTATVEATTVTNVAVADNNNNNNNNNTATIPTTADGSWIETPALAPRTNARIPVSF GYPNPAMTRQDDIYWCGSQTMQDTKALTGYLFYLLIFCTFYAVPVITFLIGHI PHYBLDRAFT_178090 MSIQASDSTSLRAAQYAAVVSMLKLNADTSGSNSFLDDSSNALV LQDDIVWKALVFDKFGQDIISSIMRVNDLRENGVTVHMLLSQDRSPLLDVPAVYFVEP TSENVRKISEDLNRNLYEAFYVNFCSTVPRPVLEEFAGLTLANNTSEKVTQVYDQYLN FVCTNPDVFSLNQPNAFVNLNNPSAPESLIDDTVDRTVNSLFSVIVTMGVIPIIRCPR GNAAEMIANKLDSKLRDHIMNSRTNLFSSSANNSSLQRPVLILLDRSMDLTPMLSHSW TYQALIHDVLSMNLNRITIEADQHNDTRKCYDIESKDFFWNKNASSPFPQVAEDIDIE LNKYKKDAAEITRISGVSSIEDVNQLDLPSNTKVLKSAISALPELTARKTTLDMHMNV ATCLLNAIKDRQLDTFFQIEENITRQNKSLLLEAIRDPEKKNPEDKMRLFLIYYLSTV DEVSKEDMEQYENALEAAGCELGPLKYIKKVRALMRMTSMLTSAPPTQTGFSQNDLFR GFSSIGNRLTDKLKEGALGGGFENLLSGVKNLLPTRKELVISKIVSDIMTPPQNEPSK ADDYLFFDPKLGKNSRSRQKVAFQEAIVFVVGGGNYVEYQNLQEIATQNNQKKKITYG STDILSPHQFLDQLRTLGNEA PHYBLDRAFT_188405 MSSAYGSGKAQDTDFRKKWDKDEYAARAKLREEREREHAKNAER KRQGLPPIRKKEEEEEPRELLKPREEKVVLDANLGKTVVVQGGASGEASRQPGFYCKA CDIVVKDSANYLDHINGRKHQKNIGISMKVERASIDSVKERLAMLKRKKEEPEKEYDL DSRVAELKREEDEERRRRKEKKRQKKEAKKRKAEDEPEDAADISEMMGFSGFGSSKQ PHYBLDRAFT_159888 MIPDDERLTLKSLESNLTVKQPKPKHIEYEKKKQENDLAWRQQI LHQSLKYCFEKIHRKQPSLSAKPTKQSTNTSATIQNSRISSSVGTAVGTATSNVDNIH IYESQIRVPLSASSSSCYTQGGGSDIGRDKAQYEAVVAQTMHRRPKGHDGRRRTRSDS TPVVNMNGNVVEDPLVVVVATSTENKEINQTKTSSLQIIREHHHNHNHNHNQHHHHRP SRSISPQTTTTSMSMTGTATIPQSARTPSLIYDPSSPSSIESTVDNDYLDGKQDTLLP FAENTS PHYBLDRAFT_149657 MTSRFVRVNLGASNPQQNRNSESFQKASQNRLPATFAPRRFYAT TEEKMRTKSAIGPFTWKAATVFIGTGIATLIYFDREKKKSIQLREEKKIQEKSKSYGK PKLGGPFKLTNAANNEEFDSESLRGRFHLIYFGFTHCPDICPEELDKMSEVVEATKKD KELGEHALVPIFITCDPRRDTAPIVKEYVKDFHPDLIGLTGSQEEIKKVAKLFRVYVS SPPALSEDDDYLVDHSIFFYLMSPEGVFLDCYSQNATPESVLTSFKKHYQDYVEQGGQ VNDTPL PHYBLDRAFT_149658 MDYISYIPPSDYYIIDNESEEQIEDVAYQHGDIKKIINFDTITE NLKTISIDDDKRKYKKYIPEKLAEGSTFVGWVVRAIQGILLDHFYVWIILLQSINNSF KSVACVVANIQ PHYBLDRAFT_172509 MAATSLATNTSGRKDEVRLKTNSAVGTLFQRIFYQRERVMAKEG ENAVVEMSYVMGRYPTEAISGADHEGMVDVDATSYMKIFLSKHWVQAAYFLGPKTIRE SLLDTPLSPLVAIEYVLSKQEQPLLGSEKKGAPIIKVLKAVSK PHYBLDRAFT_172510 MVRVPKLVFAKNQTDIPSDNQIMRLDVSMPSIMKLLGRPSIVNM DFIFLGDGVIKLGNPKTKIEDVGYVGFKTKGLDKFHAEISLENRKFRIRDTASVLGTY LNGMRLSKEREESKWFNLEDGDEITLGYSQKSILKPCNHISMTFEELNKNGSYIPTKK KMVNPETLPLAEDFGDLMFPECCICLEDIKYRQALLVPSCSHTLHYDCARQLIATDPG YFCPVCRSFSHLSITNFLTPKQAHDTLCSAIL PHYBLDRAFT_172511 MYVSKYLLLFLLFFLTLVLCSSMANIIDINKRGGAYLRILSQDT PEGTKGPAFVDREFENIDHIIIGSGTTKEVEGEYVTIPGVTVSEFHARIFFLNGSMYI HDVGSQYGTFLNGRRLSLSYHRSSTHLIINGDKLQFGRKMADAKQNTIIGTNAIVELK TYVKLNKFEFQTYCELRKISPPSEAQTLQSLMSDCAICLNAIAPLQSLFVAPCSHSFH FSCSKPLLDTYPEFECPVCRICTDVRVYTSSDVNTLANNFKMTNIN PHYBLDRAFT_127091 MVTPAVKHTIVKKRTATFKRHQSNRFMRVGESWRKPKGIDNCMR RRFKGSSPMPKIGYGSAKKTRNLLPNGFRKFTVSNVRELSLLLMHNRTYAAEIAHNVS SKKRVAIIERAAQLNVKVINAGARLRSQE PHYBLDRAFT_172513 MSCPRTRRNAVSGNDAKFNTPCDTAIEPTGHHRSGHGSWSSFTP HLASKWFSRIRHRSCSSGSGSVVGPSSNPTVEKSEEKNLFEWNDFERHFEIAQTEIAY AVESHGSIYYEEDHITAQTAVNACVDKYLSLQQEHSDTSPADARWAGELLRLRAQLEH LPVP PHYBLDRAFT_127093 MATLDKLPIIDFGSFDKEPVKVAQQVLDACKSIGFFYMTNHGIP LDKVDNAFEMAKGYFDLPSEEKRKFLIKEDNYGYSELYSETLDPDNQRQGDHKEGFNF KNFIDGKAYAPLPAFFEEREEAVKAFSYACQETSARVLEAFAIALEIPEDQGGRNWFK DRHHYNRKSGQVLRFLKYPRGGEVSYKEPVRAGAHSDYGSLTLLFQKDVPGLEVQASR AAWIPAPLVDGAVLVNVGDLMEFWTNGLFKSTLHRVTFLPEHNHLDRYSIPFFVHPED GVLLTPIPSSIVPPHTAAGSEDETKKKPIMTAGEHLRHRLKATYSF PHYBLDRAFT_127095 MSNLSTLLTEAVNQTIYYWRLPETYDILLLIYKSLPAIMTPLVC IVNAPYGRFSGQFSSPLSLKVTFSGRWSWCLMEAVSPAVFLTSVWLCSPSPSLLNLSM SQMVLTSLWVVHYVNRSIVYSWRAPSIAPIHLLVSVSAACFNILNGYVNGVWVARHHD LDLNPNLTMTVWRWVGVGIFATGFGLNLYHDSILFDLRKSKKKESKDSNPEKTHDYSI PFGGLYRYVSCPNYLSELIEWTGFMIAAWPSTPAMVFVLSTAANLIPRAWRTHAWYKH QFPDYPADRKAVIPFVL PHYBLDRAFT_66769 MFSLRPLAAHLRTSPIKFPFSSVARLVKPLHTAPPIVPPEQLSQ NTSTTTNNTSTSTSTHPSSLGRFRRSWTEEEQLKLEKLIEIYGERWTEISKHFDMRSP AHIKQRCLTMKGSQFLGPWSKEELDLLRDLVGDAKDVDWVKIRDQLPLRRPIPIIKQT WIYSLDPTIKHGKWSEEESAKLTQLVEKHGPNNWTAIGNEFKTRTKRQCLERWKWQMA DIKKGQYSDEEDKLIMDAIKEHGNTNFLLIQKATGIKRTPRHISQHYNAILNPKFDRS PWTLEEELDFYHGYQKHGDMVKVSKMMNSKRYPKDIWNHYYRTKRVLEGHSTPRLSKA KADKEKESETEQKEKSEESTITQDTSTTTTSTATTVNQPAKELIKENN PHYBLDRAFT_2967 LPEELQIKIFGALPIQDLVQATTVCHAWRRLAYDGSLWTSIDVT IFSNIIPEDQLVQLAVNAGTFLKSINLRGCSHLNGYGLRSLAVACPNIQVVYLKDCRG LSTASIGFFLQLIPSVCVLDLSGLDSVSDGILQTIGSHLPTLEKLNLAWCRKISGKGI PALAEGCTMLRHLKLNGCTNLDERAMHSLGELPNLTHLCLTSCVSLNDTLLLKFLSRS TAPLTRLKLSRCALLSDISLRNLALHSTKLTHLELAGCILLTDQGFSFLAPRITGLVH LDLEELLHITALTVKSLANHQPNLRHLCLSNCTQIGDDAITHLVLHGICHNLSHLELA NCIVTDTTL PHYBLDRAFT_135865 MMETTKKETLPTPTESVKLVENVGHESVGSHVSLPTLRSLYLTP PKQYTIDDDANTITFKATLDYPPVRRLKPSERTRILVTGGAGFVGSHLVDRLMVMGHE VVVLDNFFTGNKQNIQHWIGHPHFELVRHDVVDPFMIEVSQIYHLACPASPPHYQYNP TKTVKTSVMGTINMLGLAKRTKARFLLTSTSEVYGDPEEHPQKETYWGNVNPIGPRAC YDEGKRIAETLTYSYMRQDGVDVRVARIFNTFGPRMCPADGRVVSNFIMQALRGNPLT LYGDGDQTRSFQYVHDLVDGLILLMNKRYQSPVNLGNPEEYTIGQFATMINKIVDPSR AIAIKRLPALTDDPRKRKPAIEIAQKHLGWQPRFGVQQGLEETIDWFRLQAAENNEAG E PHYBLDRAFT_135867 MTSAKSASYDYLIKLLLIGDSGVGKSCLLLRFSDDSFTPSFITT IGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFGN VRNWFSNIEQHASEGVNKILIGNKCDVEDKRIITSEQGQQLATELGIRFMETSAKANI GVEEAFFDLARDIKKRLIDTQQAQQTRQREEVRLEAAPKNNAAGGSSCCQ PHYBLDRAFT_172520 MNFNIQEITGNFRVCTGYHGGKKNMLYIHRKFGVTMVGQKSSLL NCNQAQQTLLLPTPVDKLKDAYRCLETFEILTQKNWQESQGDFPCADYTKKKQIALAL AYRLIFQLKQRLLYEIMPRKNEFDVRPVETKLSDQRTVIPKRMRVRIRERNQASDRWS QLTRPVGYKHRVAPGNFVPVRLLNSEQAGVGKRWISRSHHLCRHASRTFREGRR PHYBLDRAFT_182905 MTLEDFKMEYVRFGNTGMRVSRVCLGCMSYGSSKWQDWVKNEAE SLKIIEVAYKAGINFFDTADAYSNGESERILGKAIKQLEMPRSRIVVATKLFFPVAED ISANLFRTRIDNVPELVNGYGLSRKHVFDAVEASLERLGLDYIDLYQIHRFDPNTPIE ETMEALNDLVRSGKVRYIGASSMKAWQFQKANSIAEKNGWAKFSCMQNLYNLLYREEE REMIPYCIDQGIAQIVWSPLARGFLTGKNRTTMRSQSYKAIDSPSARRENENNDLIID RVVEIAEKKNITAAQVALAWLFAKNHVTAPILGIGKVEHLYDLLNAISLKLTVEEISY LEEEYTPKEVMPM PHYBLDRAFT_127108 MTSKDFKMEYIRFGKTGMRVSRVCLGCMSYGSSKWQDWVKNEAE SLKIIEVAYKAGINFFDTADAYSNGESERILGKAIKQLEMPRSRIVVATKLFFPVAED ISANLFHAKLDNVSEFVNGYGLSRKHVFDAVEASLERLGLDYIDLYQIHRFDPNTPIE ETMEALNDLVRSGKVRYIGASSMKAWQFQKANSIAEKNGWAKFSCMQNLYNLLYREEE REMIPYCIDQGIAQIVWSPLARGFLTGKNRTSTRSQTDKAIDRLFARKVNDNNELIID RVVEIAEKRNITAAQVALAWLFAKNHVTAPILGIGKVEHLYDLLGAIDVKLTAEEVSY LEESYIPRELISM PHYBLDRAFT_116374 MPNDNNDKVRSMAFAPCRNPANEGHYLWVGMQDGMLMVLDASRQ MILGKRANFHSAPVQFIMRHKNTEVWTIDESGSLKIWPALAVDCDQHDPLDLNPGKCQ VTSKAVAAVKVDSCLFMSSGRTLEYHTIPLSQNPVRKRIPNDLGNITQLAAVPYHYHR IFASHDNGKISIWDSESIERLQVITVSMYGISAMVGVGDYYLWVGYNTGMIYVYDTRP EQWLVVKVWKAHTSAVSCMVVDDTGLILDRSTVQVVSTDSSGNIAYWDGLLTDDWQEQ RLHAHVREYCDYRDIEVMICSWNIDATKPEKLANTDDDIKLHEWLKGMKNPDIIVVGM QEIVDLESKKQTARSLFASRKKIETLQDADELLTHRYSLWHDYLVQAVAQNYGEHAYI VKKTDQLVGLFSCIFVKSSLGSRISNCESTLVKTGMKVMNRSLHGNKGGIAVRFLLDD TSLCFVNCHLAAGQSHIQQRNADAEGILQTTAFSAHETPDIFTNGGDGSLVLDHEVCF LSGDLNYRIGMSREDVIRELKNPDQQAARERLMAEDQLLKQRHTNPLFKLLTFQEPLI EFNPTYKYDPGTNCYDSSDKKRVPAWCDRILYHGESIQNLYYRRHEVLASDHRPISAG YSLEVKYIDYGKRDAIQKKIPEAWRKHLLEIVKSRKTLYVADYDACSLSEAHSRLEAN QWNVQQTVEDLYE PHYBLDRAFT_188414 MSSQKIFKLNTGADIPAIGLGTWLSGKDDSGYTSVKEAINVGYR HIDTAFMYGNEEQIGRGVRDGLKENGLERKDIFVTTKLPPTYHRPEKVVEAFNSSFAS LNIEYIDLYLMHWPVPLNPASGEKIPLRPDGSRDLDETMLGKFDVTWAAMEKLLDTGK VKAIGVSNFSIPNLEHLLKTSKVVPAVNQIELHPFNPQNKLLEYCSSKGIHCSAYSPL GTSNGNLCENSTITDIAAAHKILPAQVLISWGITRGSVLPKSVTPSRIKANFETIELS KEEIERINTLGSTHPKRFVSPNWGVPVFDEEFELASKN PHYBLDRAFT_182908 MTSNTVFYGTLIHSLSLAELEVINNGVLIINDKGIIIHLERNVP DLNAFLLKHDFGITKIHRLNPHQFLAPGFVDTHAHAPQYVFSGLGMDLPLLDWLNTYT FPAESSFKSVEYAEKAYTKVVSRFLRNGTTTCSWFATIHLNACQSLTDIIERLGQRAF IGKVNMDQNSPDYYVETTEGSLRDTRLFIDYVNSKKSSLLTPVITPRFAISCTSELML GLGKLAKEYDVPVQSHLCENHDEIEFTCSLFPDSANYTAIYDDHNLLTDKSYMAHCVH MKDDEVDMLAAKSVGVSHCANSNFSIHSGVCDVRRFLKKGIKVGLGTDVAGGFSPSVL DSIRSSFMASKTMKIMHRDGMTGGSKEYEPLVPSELFYLATLGGAKVLGLEDTIGNFA VGKSLDALWVDLAEGGPVDIFENDTNTQMFEKFLFLGNDQSLLHVFVQGRRVAGTATS I PHYBLDRAFT_172526 MTVGSNKFVISVGIDFGTSSSGCSYAYMDDAAVTVIDIPDRARN IKTPTQSLYRKNTKEFVCCGFKAVKQGNRHETGYDLITNVKMALNSRSKDPKSSKHSL ETVDVIRDYFRNYYIQIMKYLKSKDPSLNDPSRFRYCLTVPNLYSDNARLIMRRAVIE AGIVKKDDICDQLLIINESEAAGLWCEHISPNLDLTHDKYFMVCDAGGYSVDVSVLQI DTSSGKSFREVIASSGSFCGSMCLDDCMERLLRNRLYLRDTSNKHIKRLMDEFVNTIK PEFDGTQNVQLTLPEELRLIFDPMAEIGEGKLYISVREMKEDVFDPIIRHVIHLIRDQ FKKMRNKHIHVMFVTGGFGESPYLTHRIKEAFESRLDFLHFLREKDGAVRRGAALFGL NPEVIDQRILQRTYGFNSVIKDGFTKRNCFTIWARKGQPIRENMWIEGWTSWVRGKFD LSISIALYSYDGDEPVKMYKPQGAKLVAKFDTQFRIALKPGKDRANLNIFIRFCLGNI RVKVNIIDKTYTYPPQYYDNELLSPEQNLDSSGPHLHIDIR PHYBLDRAFT_66759 MELKQLQALDFIISDIKGTMLPREEVDVCGDIVEKIKCGDKIKY YDTRTVPHNPSLSIPNVSPRLFRCRLCAHTLFNVRIEKSNVILDRVPSIIMFYTCLLY PDVFMFWLCYSH PHYBLDRAFT_182909 MPANGNPGCCGTTCACDSTKCDCTSGACKCEAAKKKDSCCSDGA KCAHKTVEEKKGCCGGHC PHYBLDRAFT_172528 MDIRNLLNSQEDETTTSSEQHLSEYYKPFECTWDDCGKCFSRRS DLSRHRRIHTGERPYRCEWNGCGKHFIQRSALTVHFRTHTGERPHTCEYDLCGKSFSD SSSLARHRRTHTGKRPYICIYTNCGKSFTRKTTLSRHQRCHDPEWKPFSFSPKVHGLR NQNCMNNKCLLCEEWPSQDLEFGRIQ PHYBLDRAFT_172529 MYYKKKENGSHIVTAQTSVIVAPSYTFWIDESSKIFVTDSSAEY NFFKEFIFGVSKSMLSNLMTRTKIRPRFVAVTVSLFYFVVEPSDSDSQSMQFSCKSEQ TFMNLQ PHYBLDRAFT_172530 MIIKNLESTSDCCTETYNKKDFPQTMKHIFLYRHYGHRLYNPRI IETETRLGQSHIFPFKRFNEPQFLSKCIDDSFVFWGNLTITVFSASQRLFQEFCACLN IQVALISVIVDYCIYTLSLINRVSFL PHYBLDRAFT_135883 MFILNRPEKLNALNLGMIRNIEPQLKAWEVSKLAKVILMKGTGS GKFCVGDDISEVLLKVKSKDPDALYFFQEKFGLVQTIATLNTPYVAILDGYALGGATG LFVHCPFRIATEKTIFSLPEASIGAFLSSGSSFFLPKLDGETGTYLALTGDRLQGIDT FFAGIATHYVPSSRLSALEDRLIDLETSEHEIIQRVLEEFVDPVATDKIGFTPVVRET IDRCFKYDSVDEIIGALENEKSTTWIRETKKKIMSMSPTSLCVTLKTLRKAQFMSFNA CLKLEFDLIQKYLVTKDFHEGVIAAFLSRPRRKPQWQPSSLEEITDDDIDQLYFNEPS PNALTLPSRLDIIDQYPYSRFALPTEDEVRRAVTGEGAEFGFEGRLKEENDVIEWFEK GHKRKWGVKEKVLDILRRKTVSTEDGLAWKRTL PHYBLDRAFT_149681 MENHYTVLNISESATTDQIKLRYKELILKHHPDKHTANTLDDGD YAHRILKAWETLRDAKKRQNYDAELKANRFQQPAINAEVDLDDMEYDEETCTFSIECR CSGIYAIKEDDMEQGIDVVCCDLCSLRIRVLYDVLED PHYBLDRAFT_149682 MSLLRNLRLLMPLNGSHRWMTTAKPSIPERKKIVLDDKELIETF VKGSGPGGQCINKRQTMAKSNIFSSSMLLQCQQTRSLQENRGIARKLMREKLDDLFNG TLSKNAQKAAKVSKQKARSARRSKKKYAKSEETLAPEETIESKSMPE PHYBLDRAFT_66752 MKDTTRTTNSIRNSKKSLDKPAESLNVSVQINQDFNNYCPHSIS YSQVIKGNDAAHRIELYQTFFQMCINADPKLRKWINKVDQKGLPGLMTQGYCPPPRQS IDQSMPTKRSSGVFDRMSGSVSTLFRKATASVPPRPSGPKSTVDPSPKPSSSRFLASS FGQLGFSRSTSRVPSKSESSSSKSYQNTHSHTKSSMDDERLRTMRFSFSTCSRKEKSS SHSHNKSPNTFGHSSANFLSCLKFQDARIPGDLKDKHFLHSATKPSKKQKSASINWGR GKRGNHGLNEASKSQCLHTTPIIEIQRIWTQNSDPMGSVKQDYKDLCTHDPVVATIHM PHDEQNNKSRRSFLKERRLPLPSHLLYVRNSAPT PHYBLDRAFT_172535 MTPTPDNTSREVTKAYAYYIPKGYFYLAAETWANFRVEQTQNFS RTEIYENDLDVMLAANESKGLSFLLSNNTTNCPYHKPPNSTNSPNRRVIQFILGPGEE KLLIHQTVTSPLTFAFIRLIQCCKMYENKQESRLYLQRPVLLLEEMDRVVFKDTLAPK VDHVREKLYKILFGNMSIAKGVLPFWNTICDWARYLQSDNPEHCLILGLQTYKLAKQY CAHN PHYBLDRAFT_91703 RPVRIYCDGIYDMFHFGHAKALEQAKKAFPNVHLLVGICSDIET HKRKGKTVMTDVERYESVRHCKWVDEVVEDAPWVITQEFLDEHHIDYVSHDAEPYVSL ESGDVYCFVKTQGKFLPTRRTDGISTSDLITRIVRDYDAYLRRNLERGVSAKELNISF IK PHYBLDRAFT_22059 MLSSTAVTAKANSTTAHTPDRLHGSLHWDIERAASVALVPLIAS QLAFGASPVTDTLLGVVLPLHLHIGFGACITDYFNTRKTPILNKLMTATLYASTAGVL VGCYQFNTNDVGLTEFISRTWTA PHYBLDRAFT_116483 LFINNEFVPGHGPLIETINPVNEQVICSVHSADESDVNAAVKAA NDCFKNEWRKIAPAERGRLMNKLADLMQRDIDELATLDALDNGKAFTIARDVDVTDSI GCFRYFAGWADKIHGKTIDTTFDKLCYTRHEPLGVVGAVIPWNYPLMMAAWKFAPALA AGNCVVMKTSEVTPLSLYKFAALCKEAGFPAGTVNVITGYGHTTGNYLSNHPGVSKMA FTGSTVTGRKVMEASSKSNLKKLQLELGGKSAQIVCADADLESAAVWAAGGIFNNHGQ SCNAGSRILVHESVHDKFVQLFVKEAENIKLGDPFDVETFQGPQISKGQFEKILSYIE LGKKEGAKVAYGGKRWGTTGYYIEPTVFINCNNNMRVMREEIFGPVVAIGTFKTIEEA IDIANDSDYGLAGGVYTSNIDTAIKVTNEVKTGTMWVNCFDVFDQSTPFGGYKQSGFG KELGKYALQEYTQVKVVKILRSKL PHYBLDRAFT_21980 IPITPLKERSIERSTPATSYELARERLHVSAVPDSLPCRENEFE EIMGYLESALEEGTGTCIYISGVPGTGKTATVLEVIRHLQHKSENNEIPPFDFAEING MKLTDPNQAYNLLWDCLEKSANPGAEQKKRVTSAHALQLLEAKFNQQDSSQKTTVVLM DELDILVTKKQTVMYNFFEWPNRPFSKLIVVAVANTMDLPERMLSNKVSSRLGLTRIN FQSYNHSQLFKIVESRLQGIDAFEKEAVEFAARKVSSVSGDARRALDICRRAVEIVES RIRKQTDSDKPASHVTIGIVNEAIREMFSSPSVAFIQSCSLHQKLFLVSVMRRARRTG LADVEFGDTALDHMETCKWYSIDRPTMSDLMRVCESLGQTRALVVEGGRLDLGMRISL NLAEEDIIMTCKSDKVIGRLLDTMN PHYBLDRAFT_188421 MSEAGNWCLIESDPGVFTELISGMGVKGVQVEEIYSLDESTMEE LKPVYGLIFLFKWQKAEAVPSLAHSDNSPTDHIFFANQVINNACATQAILSILLNRDD IDLGDELRNFKEFTFDFPPDMKGLAISNSDLIRSVHNSFARSDPFVNEMKDPRGSKDE DLFHFIAYLPIHGALYELDGLSSGPVNLGPCTDDNWTNKANEAISNRMGRYGNSELHF SLMALTRDRIEVYEEQIEEKDCLLLSLPEDANAERNKINRERSYLEHRLHLEKEKRQM WHRENMLRKHNFIPLAYNLLRILAERKELTPLITQATQKVLAENERKSKK PHYBLDRAFT_78555 MTVDENDYTLVIGIDFGTTFSGCSYAYVQDGFMEVKDITYWQIG HSSKVPTQSLYKKNTKELLSWGYLAINKAKEPSNKDDLVNRVKLWLDRTIKHPPTLPN GLTPTDVISDYLKELNNYVVERLKWKDTSLEDASKFKYCLTVPIMWSEESKGIMRNAA IQAGIVKQDDSPEKLLIVEESEAAALWCEHTSPELNLQNGSRYMICDAGGDTVDLAVF EIDETSGTRNMREVTMGSGSSCGSTLLDYRMEELLKKRLSRFSNVDRYKVALMVQQFV DTVKPIFDNENDSLLDIPPGIEGIIPHVPGDGIKGDKLWISTTEMREEVFEPVVQQVL DMIEKQLVQLGDRNLDAMFIAGGFGQSPYLFGRIKDTFKDRVKSIVLTPKGDMAVMRG AVLFGLKPRVITQRILRRTYGIKFSIPPESDIKQDNNTSPNKDEFHVCVKKGEPIDEE IWIKKRISWSKADLPIISLYAYDGDDPIPQYGEPPELELVAMFDTQFPIETRKKKERP VLNLHMQFCLDKIRIKINVLDREFEYSPVEDITGEKETIRYAEISSHPDVEKRRHHVL KWLKKIKAEHIKRKQ PHYBLDRAFT_172542 MTKLPAKVHAFWELQCLNLKLMMVDLTQITILHTLGRGSVLKGH QLDFDLGLRGSRILVPCILLLGYFCACFTATWFYYFPSPVRWELLSVVVIVEFRKNVF YTCLKAKCSIIGYFSLEDAHSQYGTCFLRRHSTYFNLCDSINRCACENVRLVTKNNQF SIAYFGWSLPHIRGLEITKLTVERLASDDRFEKKRSYAYVNHRIYNRLKGVPPFHTTY YKIGDFVPHKDIPKVAVIHKRKEYLMDLKIDVKYKSFLFIFFKKRKWNRIKYLV PHYBLDRAFT_149691 MSLIEPNQTIFWLLCDKDNVCYCDWRLYLKDCVLQDELRHILLG GAIVSTIVAVVGQSVWEYSPTTNFIRPKPIDSFLLMLGLYNGLRAIQTYIVLGDVLQN VIFRSFFHELPWQFAVASMTCYFFGIIHTIAENHKTLSIRWIRRPVLIDRICTVLIIC PFITNNIFSICSGVYAQNGNLKMAYIFSRVLHITWGAYCGFALLIIFLSGKRLVRLLQ EHRKMRIKNNSDIGAINVGIIKVRVVALAASAALVMFTFLSFSYGAARNEIMMRKGLS LTICVFWMFNAPVAYSVCEVAIILTPKLTTALGFSDHSTHEEEDDIARPSSKIFNHIR SSSLPQNQVRFPKRTLSKIDTQQKNGNGNGNEIDYVFSKDVTNSDTINNGYGLNKEGL GVGAGHYSANTSPVRYEFNKVSSEHPADFNGFVTALDAPRHHTSREKNREFSTKATDT IPLQQISSSDDGFPPARSNCRLSLELEGTYPVGNTYGIDATVHGTFSIEKPEVKQTKL ANMPHYLPKNKTSRTPDWISCVSLSTIQQHVINDRLTISVYIDNDSPCFGQKRQSCPE PRILKVDNEKFSKFHDITMHVFENEDEDEDEGDNGDGDKDGDREGEHDGSNKTFRGHK VILATASPWFENLLLSGMEPRLNEVTIRGVDPEIFKRFLHYSYKQEIYIRSVSDALKL IKVADMLKFDDIRKDAFYYLQMRINSGNFWSIWKAADMYSCKTTQKLCQVFIRENCPN VLSSPGWLTADFEYTLKALKIDCLPVPVEESTFYEAVIDWREAAIERISRNKRRMTEN AQAAAATAAVPRSPDSVSSDDMTKHTTHDIGRTENKSISDSKRKKMTTEDALLLTGDE KTKIELDIIEQQFSTMVHCIRFTQMKKEYLADVVETEKAVMKVNGIERLLISAYQYIA FGGKRPVPKELMPRYISSA PHYBLDRAFT_21973 MSKTYLVTGTSRGIGLWFVKTLKEQGHTVIATARNPDASEQLKA ITGSNIHKVPLDITSQESIKAAVEHVNKLAPHGIDVLINNSGINEKKYSIDKIPSEEF SLVLNTNVVGTSSVTQAFLPLLRKANTRHIINISSIVGSLAITDTASIPVYRVSKAAV NMLSKLYSIQLSDEKFIVSAVHPGWVKTDMGGEEALITPAESVAGILEYESRLTSKDN GTYVDYQGKPLPW PHYBLDRAFT_149693 MPKEQARLVRGPYQKLSEFRKGIIIGLYKSGMIVAKIAVMENVS RYTITRIIDKFKRTGSVADNKSPGRPKITTQDKKQQQQRQ PHYBLDRAFT_159902 MEDKLAKKKSIRDYVAKIDSKQLKMAAKYHGDSDLPYRDNNKYS KTGVRQPLDNSADLDGADWDAEDNKTASAVRNGTNDDDEDNYYEEVAGSKAAKKEAKL AEYEAGRVPIVDGDFKVEDGHKRLASYQILKNKGLTPHRRKTVRNTRVKHRNKFEKQV KKLSSVKQIVKEQHSGYGGESTGIKTNVARSVKLSQ PHYBLDRAFT_159903 MDSIEHSDFAKRKFLAKGVGDAATKEYIANKYLSSGTDENKKKK KRKIGAKKIRRGNIGIVDEEEFGWKQIGTRDEEEAETKRYQEEQERLMAEAAESKEAA KSRASSWQTIRGEEQTDYRGEDDNDNDDSELEDEKPMIVSQEEAGSRRDRGEEGGGGP RMSSGQKAGLLTSHELKAEAARARELELKALQRLKEDSQGQGTETVYRDATGRKIDPK IKKAEEARAKKEADERESRRMEWGKGLVQREEAQAELKRLKEEKDKPLARYVDDEDYN QGLKDRDRWNDPAAGFLTKKTKGKSSVSRPSYKGPWKPNRFMIPPGYRWDGVDRSNGF EDTFLLHLNQKKSLAAEAHAWSTEDM PHYBLDRAFT_9296 KAKRKRASAPQLAVLNRVFSQTYFPSTELRIDLGKQLNMSPRTV QIWFQNKRQALRTRGKLSDKCE PHYBLDRAFT_172549 MAIMLIYSISRSSSSSTSTSTSTSTGAYRILITMYKDICNEGGL ILSKRVTCNISYNAKALGLEVQEIQNLQGEESIPDFVLFSEIADFDSQNRFQKNIYTK MSRDNLYNNSLYKYICKCECEYECEYEYEYECECVKRQKVICV PHYBLDRAFT_66734 MNFLITGMRSNNLNFHSATRMSIRAMSNYTRLATGTPFTESHRI YIEKDGQIISPFHDIPLFTNTEKSVLNMVVEIPRWTNAKIEVATGEEFNPLKQDVKKG KVRFVRNCFPYKGYLWNYGALPQTWEDPTQSNPDTNARGDNDPIDVCEIGEKLGVAGE VKEVKVLGVMALLDEGETDWKVIAIDTKDPLANKVHDIKDVETHFPGLLDATYRWFKI YKVPDGKPENEFAFDGQCKDKAYANTIIEETHEAWQKLIKGDTPAKADTHDLAVANVT IKGSPYTTSSKSIKPSQEVKKSNNPGEADNKWYYVG PHYBLDRAFT_66733 MRPDEHKSKESKRYQAKKLKQGDATAAEIAGARKIAAAKSRDKG TGIAAIRRRNGEALPQSQIDEQRERSILFARRKLGTNADRYKEVSAEDEITQDAELGI DRETTELVGLLEETDDTAAGASYFKFKEEQVWDTQTTEEQEEVYRTMMQVDFDSFEGI LQQTDTRTLLGLSEKDIGLVDCVFEQEPITVTKPIVPAVTKNSKGLVLFKSLIITEPK KEVDGIYLRNDGSNHRSATNNPKAALVDSTKDTEDLDELLALDTPKVTENHYPPRGFS HTTKEPQATRPSVPKPTSKKGFVLPKPGATRMSKMAAQTTEPTEDEAWLDDILG PHYBLDRAFT_149699 MYPPDIPEPKEVSLASALSSEFAKESVRSSIFNNNNINNRPLSS YFACIVPWWNTSHHNKQDNTEKLAWCYRPLNHSKSVGYRPFKQKNQCILWEHALRMEQ VDSAEQEECHVWERDKNGVKRDIRVIIEEQVGFVADPYRTQPLVYEVSVCSMVKMSWR QRLIQAQAKKLR PHYBLDRAFT_182919 MSSEGSSENPNIQLANYSQPTTFTVDTASRNSLAEAEQDFQDPI NINIHKRQKIDHPNDGQPVQDSNEFATECSLCQKNWTNSGPHIIVSLKCGHLFGKSCI SQYIRAGICKSNVKGTRSMCPTCGEPAKKHHIQNIWPSKVIPHDPKELNRLKQEINVL QEIISFQEEELALSTKTYQRACKVLSQYKLPIPQNNEPVNPEKDDLKIDKIQDSRLFA PWSSSSLSPNRNSCRVMAMDSRDRILVASLKHPTKGYGIHKTSLLDPLSTDFTPIHDG LIRDIVYSAKRGQILTTSIDKTLKISSALNNCVLQTYNLDCAGWSCDFDSVDPNILYC GLSNDTVMVYDIRNTKESLFRLRNSEITGGAQIHSLHTCVIEGRSTILCANSLKAYMW QSESTESNTEDDYICSLLNLGTEGYTSFSLSIDLSRQELLLSSRKHGSTQHIVASWDK SKKLFTSEWSIQSDISQKTLARTSCIQRGSQDPAVCYSDEKSGMLYIEDKDHEILTIP VGGQIMDIKYSKIDGEEILAGLTDDSLHLFKYQ PHYBLDRAFT_149701 MISKLPREIILIIASTFSAKEIRSCSRVSKEWLQIFEYFRWIHI QVALSTLKTLKEDEMTYWKDNGYRSRYLWLIYKEDHATKGKPRPRPKTKDNDDNGDYH IENIKDAQDYFPNIKSLRFDYDEKRFPISMLFENGHGWSSLTHLKLGSFGESGTIPCD DLDGFFQCLPNLTDLRLDSIDIGSCVHFDLNQAVTPAKLKVLEIKNGSVPYRLAHYCS RKFPELHSVVFDTIDECRISDVYSFSFNGRRDFWTGKYLLDLEAADIRIKDSGGNAYH VRCALWNLEKLLSCPLHKLSLTLSVHINQNIIMKMGLFSRIPALTRTLRALDLDISTP LDKPCFSLNLSNAPCLEDLNIRYLGGTIDFQDFLSKSPVLKRAILELQTLTFRNKLDE PHGLESIELIGLSITSEVLENISASCTQIQTIKISPAVDNISTTN PHYBLDRAFT_182921 MKKQLASEEEVQKQAAADKVVERATLLKSNNPLRVVEQKKWTDK VEGSSSGHLVGKSTADFSDAREMLKSFRDELSSMVAGISDGEVLTSMRRLEENVERGF LAIETRQRTLEQRQTLLEERQARFEYNMQRYLL PHYBLDRAFT_149704 MSRFDPIIELTDRYINHSSRRIAEEQERGWVSVPEQQEEHADVY EDQEPTNEEIQEALAQYARDREQEGERIAVSLHCQGCLDPSTLSDEDSKLLFRFTQPE IRSLATLLEMGDIVYFREGTPSEFSLPMTLALMIVLRRMVFPARLVDLSLLFGKGKST LSVIFNEMIEKIYIKFYPALKFDYCQFRESNQMCFSRAIREKSPAMYCVGFIDGTFNK IARPIVDQEGAYNGHYRGHGLKYQAVVTPDGVTSSIMGPDSGRNHDVRMYRESQLDAM MCVAFDFTSINGPCYYLYGDPAYTASDHMMIPFRRQTADEQELAINKSMSAVRISVEH EFAHVGSLWAFLKYSQTQRSGQSPVGLYYIVGTFLKNLHVCYNGGNQTSKKYGVAPPT PEQYIYGLLNQ PHYBLDRAFT_66727 MNVESSINYHFKVTRDILYQKRKRELLKRVQLTTPNVHQSWCLS ISSHQNTICTTAIPFIWAKNPSIKVSCSKPSRDSVRLATFLDKCRMFGSLKGFKHFEV LQRGREEVLLSVYDPKHINHQQAENTKSKRLIESMSPLDKDFEKYQDRTVFLIAGYAR YRCPYVWLRSHHALLAQEQDDEIVNTDNPLRLETTVAWKTKEVALWEIVQEIISMTVD PCPENPFEISMEIFDSLDAEQSIMLSASLLVFLKELWLQSEPDVPFVNQAMIRKPQSS KETV PHYBLDRAFT_182922 MTTIAASQPPSPQPKHRQIETKVTEHDSDSETGGALSAVQHIRE LKRLARLAHRRAQVIESPSTTKTHIYTNLFGTPETEESEVSNTSWRMNADGLAEPSRS KPRPYLSYMLNTYRNLFLTFYFNWTMIFTAPISSLAFVIVYPTAVVLLFIFEIGLKVF MDYLGGSAFVHYIGSKYGEGLGAINWGAPNLLLSEQTAELVKSTLPSLGKPEPPNKAG GGGVRSRTFDLSIAQTFTVISSVIYERDADKVKSAYEVYANAMKSSIVDLEDQVESPV ERKMKCLLWQSERRIRTIVANWGLHFAGVSELKSLGGPFCGIFWSEEHPFIVVAFKGT TPTNYEEFLVDATFQRTDARSYLFGSVHEGFYESVFPTAGFGSDDTRDPYGAILSAVQ EKAQQMQARMGTSEPIQVWITGHSLGAAMSSLLFARWLKCPEDLTSRCVLRDAYVIGT PAVGDNDFASYFSSFSNHPVSRTSTLWRIINKHDIICRIPPGYDSQTIGNYMKSTDFF NYSHIGHAIQLNSRWHRKPLRVYPSGYQATMQVEITLGGFDSRCVDYNLPDGQIITAA ENPSPSTSTDIDTNTNTHTNIKPKLTSGFVDPRKALVFMQHDDQINPVKFLALPRFAM YISKKAWFRTMANKFTDGNPIHSLESIYPFFFKDHIPVHYFEGLERARSFCYDMEKKD RQIKL PHYBLDRAFT_188427 MADTYNSHSLVVRLPKSKSGSTRSNDQDIHYFSELTNELFTDTL YFEGLITSASESDFRILLDHYHPIEITFARDHCSGFLRLSDPQSADRLYAVCNGYTFS DGSKLQFRLNRDKYNDPAPQGDLLQVKGLPNNIDDDGLYELFRPFGPLSVCKPIVENN IFRGAALIEYFFHESSENAQHHLHGKSLYASGNIITITPLATMKTNSKPLSSFDFRSN NNSSNSSSNNSSSNNNNIGEKSESSHVDYMNLYIKNLDPSITSENLKELFGKYGHIVS ARVMSNPSTRQSKGYGFVSYGKADEASAALHDMNNVMVGSKALIVAYHEPKRPRQEKS MTGGGNNGNSNGSGGAGGGSSPNHNLMSYNTNTLQSNQPQEYPSYTPSHMPEPVYYTS DNRHPHDMIMQSSIHMSSPVSGLGIDNVDQLASNIKDLSIGQRHQPMSMPVPHPTQRK PSLADLPYGHSPHSAVRLSPQFPSPPISGTSTGGGPSLASLASGISVQQPPTMPHHHQ QHQQQDFKKQPEGKPSLRRRGSLESICSVMTETSSNVQHQKMTNAVMHCGSYGKSLPD IVDMLLTLKRKERTLCLFNPDFLQEKIGLALEALDVFSEDFDTDEEDVPPMPTRKHPG SPLLAEVSSAMFISRSTKNLNTIPNAEEAALIQFSPPSRNHIPVEIATAPSEENGEEA TLKLLESLEGRPSQEKKQLLGDRLFPLVKATGAKHAPKITIRLLDTIEITELAHLMYD KEALKQRVEVAAANLK PHYBLDRAFT_56077 MSFHTILLVQPTNGANRTYSDFETIAATLDHVASLFEQKLQREN PRSGQIQYRAEDLFRFIDSYKEFVALVFDQTTQAYLPRDKEWIKDRLLAHFSQQNSAP SKHHNQSQQRQQQNNRSQSGRRW PHYBLDRAFT_188428 MVQRLTYRRRLSYNTRSNRVHVVKTPGANLVYHYEKKPVKAPRC GDCGDALAGIKALRARQFGNVSKTQKNVSRAYGGSRCAHCVRSRIVRAFLIEEQKIVK RVLKTQTQKK PHYBLDRAFT_66722 MYFFYSSQPMREEVKITITTQSVPIIDEGKLVLQASLSGLLITC IVEDDLLGLELMKQNLPIGTHASLGGKFINIGNRIPVGETLNMLVTSVKIYTKVSGSS SETPKTGNKRQLSLSFDREATTEDLGSENDDFDSSEYIGYATEKRRRIQTREPTTGLR WSLDKFSEYPSSVTNYFLSLFGRISLLRGHQLINGDEANILLNAMHTIHCKGNNQKKD AVEFADIARKLTNMLNDCERDEHLPRYPFDDSVCSLPSDIYKLLCE PHYBLDRAFT_188429 MDKSSINAQKSLVQLQAQANELYQIISSESSRPALSLLGILNKL NSFDGPWKEVPDQQVIEFLFLGSKSLPTTHEACSAFCKFMFKQCSKQIRLSSLRSFQF DSCITFLLNGLETTEAPELRVDLLRALSALVFENTSNVSRFYPRLATNLLVLANRSIK PLEVRRMAINCIGNTCAGAGPKLNAYYKDYYEVLLSNLCVVDHTSRGTIMVASSSLDF TDPGVRKIASSTLRALQFLLSQDKTLVTNPLCDMIDIVYNFVFAHVSVQAYNPIPPKI DNPMPIQLSASTSKQRLGQIRTQQQQQQQQPISPSTRTQFSWRPSMLSRTMGALSSDS ELSDSDSPLNSLRKQRDDAKIRINALLCLAAIAKTSPRALYPNWHKFIPDSMTIFFNN NTEIGSNSQRLSPTLRSDNQPFSLFTLIIYDPTVTVRTAACNALIAMLEGSKQYLSIA SERDTKSSFTSLSERVASILRDLHAGIMIALNKEQTPQVQALLLKAATNLVQNCSYER LALGHLTKLYRSVLSNWNKSSISLRIGILQVLSAIVEARPGKGEVSELIKSNLEIEDK TLPCLPNLLLEIQGNQTDPGYTELKVEKWQTFGMLAKSQFSLILNLWPDIKPDFEESL GDKDPLVRAAALRFIECYASSMDKSLAEDQDTELMQEYIDWWIVMIGEYIQQTSLDSE GIVRAISCDCVGSISKYIFARLNPRIQTLLVTLILPLPRDEDPNVRAAACRTLGVFVL FQDLREDPLFVSDMASALMEQMNDKVINVRVRASWAQGNLCDALVLESEKQGFCIEEW VSTSLWTDLISTATTAALDNDKLRSNAVRALGSLLRITPCSYFDQGRIMLLLKNAMTA LIKNIETGTLKTRWNACHAANNMLRNPDFPVGYMDKDQMYPWTTGFYRALCSSLTQCK NFKVRINACLALTRPSLQAQYGSPDQFKSIVNSVVEAYKTCLDDKETEFQELGYKDQL KLKTIEALGHMEPWIGPGKIKEDVDCILKNNEQLTTNNEQ PHYBLDRAFT_188430 MNPSSSITSELDEFRDQWRKEVESQKVPQPHEPTPPIQEPLVQQ TESLKLEPSDDEIEEEPMVTAMDFYIAAADLEKQGQLQKAIIHYRRAFKLNPEIDYEY KHHQATVVHSEPKVGNSSTEHIHPEDPQFRHAVPIGTEYKALSTRKDPLEDLIKLFQS QDLHYQPAIEYKPVLIAKLPNEVIQHILRHLVLRSVSSVARFALVCKNFFLFTRSPSL WKFACEHAFRTPEMSLEDSQWQQHKHVQVYEGNWMRMFIERPRIRYDGVYISTCQYVR PGISDSSWGQPFHMVTYYRYLRFFPNGDILKHVSTDKPAQVVRLLTPQFVRKQIFNGH FELDKEKIYIEMQDRTLPREEFRMSLTIKSTHRGRHNKLAWIEYNSNAIDQEGAFPYD LKLMKPYFFSPVKSYTLCLRLKKLEDMMFLFIINLYPFKHSKKRGDKTKETKEEQEEQ EAETKAGEK PHYBLDRAFT_172566 MRYCCGFHNKSTRRTFLQRFFAVAIVLAILLTVIIIRTSTLISY RDPNIRGESLYVAASVMDVNFNEKSYKVHFLIQPNGTLANAYGQLSQPIIVSFPSGGS HRFEAGDTVDPIRVEFSYDFGTEMDYPFDQYSGYFELYASYANDTIQGIPITFHLEAS LSSFSFTPTLESLPNEPDRVGLKIVTGRSTTTLGFSIFVCTLMWALTLIMSLFGYQVA VKKRRVDAHACMIGITMLFALPALRSAQPGIPEVGCTSDVLGFYWNMAIIASASITVI VCWVARWNETYDIHEQSHSHANPTELPKQGSAQHIEHVVCMPDADDYPSEMDLSRSST CNIGTGAMEQRTKIDMCTSSSSSYLPRK PHYBLDRAFT_149712 MQQKPVIESELKFAKGSKTFPENCGISLELVGTYPAGDITEISM TVDTILTIFKENALKFSPSTRHQFKLENGSTNLCEYSFYPTKRGIGDYIDNNQLSMKI DFLNLKQSGIDIPIKNLEERRFVEPKSVPEFCDTIIHVIETEEDSDFSDMHSGDYETP RSRFTFKSRKAILSKASPWFHNLFFLGMKESRDSEVSIRGIHPDTFQRLLNFIYGFEL EINGINDAVKLIKAADRIHLTKALEFIFVYLNMRVNNKTVLRIWKAGDDFECKETMEY CKSYTKKHWESILADPSWLETDGRDTIQILSIDSLPLAADESIFYQAVLNWREAKIKQ AVDVPEMLQSNVLQIFNHLRNNRFTKDCKLWSNEIGNELEDVENHFVQMIPLIRFNQL TAKYLMDNVETSGIVIDIPGMKKKVLEAFRAIAIRTEIPETVNTDKRHI PHYBLDRAFT_78546 MPRQTRRAAPAQQTRQAHTMPVRQAPPPAPVQRAPAPVQRAAAP APAAPQHAMTSAPAPSPPSLAQAAPQQPGMFAQMATTAAGVAVGSAVGHTMANGISSL FSGSSDEPAQQQQQQPAQPQYQQQPFQTFQAPSSGLACDADAKAFTKCLESTNNDMSA CQWYLDALKQCQQMSSNY PHYBLDRAFT_127134 MYQDNFEEDDLIAEVEFVEQEVYAKRSPTDDGFDTEGASVQSAS TTQIPDGVRNFILHFYRNVMDNNVYELHNIYDHSFNKVTDKYYTKQAWPEAEIVSPLV NDDQVFLTLYRELYYRYIYSRMAPTLEQRFNSYENYCDLFNYILNSEGPVNLELPNQW LWDIIDEFIFQFQSFSNYRDHFANKSEQELSLLSENPQIWSCYSVLNVLYSFIQKSRI QEQLLVSKNGGDMMEAAGEYGSRPLYKMLGYFSIVGLLRVHCLLGDYMLALKMMDNII LNKKAMFARVTACHVTTYYYVGFAYMMMRRYADAVKSFSTILSFMQKTKQYHSRSYQS DQVSSKVDQMYALLAICITMSPTRLDEGIHSKLREKYGEKLIKMQKGDEAIPIFEEFF SRSCPKFISATNPDCKVVPERKLHQLNLNVFMSDIRNQIMLPTIRSFMKLYTSMDVDK LAKFLETDSEELKMQLLVYKQKSRQFKWTEGNLIEGEYQSTSDLDFCLKKDIIHIAEV KIGRRYGDWFLRNISRCEDLVATLENKA PHYBLDRAFT_31865 MANQTGISATSSLTKSNVDLDHVSGRRVQSQVNQDKLRKAEAKI AAKMVKRQEKTNLKVEYEASRLLEDEQKALQEAYKMYNPILDYTSTKSKNKDIKIENF DISFAGRRILTDASLTIAFGRRYGVVGKNGIGKSTLLRAMARREINVPTHISVLYVIG DMTPAIEMVLRADVWREHLLEKERELSFNINQFENELAEEGLSEEEKKALEEKKDRLM SDLKDVFAKLQEIESDKAESKASAILAGLGFSAPDQKRPTKEFSGGWRMRISLARALF CKPDVLMLDEPDNMLDIPAIVWLENYLKTWPNTLLVVSHDREFLDEVATDILHQHSEK LDYYKGNYTNFYATREERRKAQYREYEAQIQYRKHLQDFIDRWRYNAKRAPQAQSKLK ILEKLPQLEEPESEKIVTFQFTNPDQLSPPILQMSDVTFGYTPEKIIIRDINLDLRMD SRIAIVGPNGAGKSTMLKLLTEENQPMSGLVHRNGRLRIAYFTQHHIDQLDLTRSAVG FMSDRFPGRTEEDYRRHLGAFGITGMVGLQIMKTLSGGQKSRVAFAALSAQNPHILVL DEPTNHLDMESMDALQTALGNFKGGVIIVSHDERFISEVCNEIWICADGVLSKFSGTI KDYKELVCPKDV PHYBLDRAFT_172571 MLEYEYAKSEINRFLLVRFYIKLNAAYISSNFHLIYLVNIVGSV SKTGKFERGLLVSCVVVTQIGYIFVAMEEGVYFQGLKTVKCSSDTNKMNRSSHDAVHF TNYNIIFNVIGSG PHYBLDRAFT_172572 MEWEEEQSPEQNLVDEGLDAEMEEVAKVVKTQKKHYNGYSSEQK LLFVYSNRLEELLNEQYIDGPRDSKKTKIGTSWKNKPTKSIGQRRSLMKDIMFIYSSF MMITLNPELLMQLFRSFLFHAFDTDTVTISDIYANPATNNPRYWLILQDPVRNGQSVV LSIIY PHYBLDRAFT_188434 MSVPVAFNDIGKSAKDLLSKDYPIGGVKLEVKTTAPNGVTFKVN GQRDNKTGIIVGDLETKYADKANGLAFTEAWTTSNHLNGKIELENNLAKGLKLELLTS LLPSVNEKAAKINATYKQPNVHTVATLDVFKTHFSVNSVVGRDGFLVGGEVAYSVLDG KISRYNAAVGYTAKDYGVAVHATNNLSNFAASYYHRVNSDLEASGKASWATNSTNAVA LEVGAKLKLDSSAFVKGKIANSGVLGVSYTQALRPGVKVNLGASIDTSRLNENAHKLG LAFTFEN PHYBLDRAFT_200776 MSRQQSNPQSTQGKTYQFKLVLLGESAVGKSSLVMRFVKGLFDE YKESTIGAAFLSKEVSLEDNVTVKFEIWDTAGQERYKSLAPMYYRNANCAVIVYDVTQ ASSLEKAKSWVNELQRQADPNIVIALAGNKIDLESRRVIEKQTAQEYANEMGLLFYET SAKSGENVDALFDSIARRLPLDQIADTSRGRRTLNNRGVDLDQASSNGCAC PHYBLDRAFT_149719 MPTTNAPQSLAQVDTNYESVENTPLNSIGNEQLSLTESDVESPT RSLPVNEPKVKNNQMTDHQAQLKRYRYLLGQTELFAHFLNLKPKKDNALQEVMEENGH NESKESGARRRRKTEKEEDEEILNEDFSEDAEETTVFTESPAFVTGGVLRDYQVQGLN WMISLFENGINGILADEMGLGKTLQTISFLGYLKHLRNIPGPHLVVVPKSTLHNWKSE FAKWIPSFNAFIFHGNKETRADLIKTKLVPLDFEVCITSYEVCLSEKSQFKKMAWQYI VIDEAHRIKNENSMLSQIVREFNSRNRMLITGTPLQNNLHELWALLNFLLPDVFSSSD AFDQWFENQGTDQKKVVEQLHKVLRPFLLRRIKSDVEKSLLPKKEINIYVKMSPMQRK WYQKILEKDIDAINGKYKSIHTINSCVLGGGKREGRTRLQNIVMQLRKCCNHPYLFDG AEPGPPFTTDKHLVDNAGKMVVLDRLLTRMKAQGSRVLIFSQMSRVLDILEDYCWWRN YKYCRIDGQTSHEDRIDAIDEYNKPDSEKFIFLLTTRAGGLGINLTSADIVIMYDSDW NPQVDLQAMDRAHRIGQTKQVYVFRLVTENAIEEKVLERAAQKLRLDQLVIQQGRMQH HQKAASKEELLTMIQHGAESIFKDDTNNTQEDDDIEDILRRGEEKTAELTKKYSNLNI DDLKNFSSESAYKWNGEDWSSKRKADNIGLSWLGPSKRERKANYAVDDYYKEALRTSA KSQSTRAPRSKRFAVEDYQFFPPRLSELNEKDTLYLKKSVGYKVPPVSEGLSPKETKA LEKEREEEQKLIDQAQPLTAKEEEECKKLHAKGFSQWTKKDFYNFVNASAKYGRKNIE AIANEVEGKTLDEVKKYSKVFWSRYKEIADYERHISKIEKGESEMEKQLEIQNQISEK VALYRQPLQQMTFNYTQTTRGKSYNENEDRFLIVMLDKYGYGTENVYDYIRAEIRQAP QFRFDWFLKSRTSQEIQRRCNTLIGLIQKEFAERDEQAREEKKKNKKKAASKPATTTT TPTVTTATTTTATTATTKPRRR PHYBLDRAFT_135910 MYANRGTGSTALKRLMTEYRELTLNAPEGITAGPIDENNFFEWE ALIAGPEGTPFEGGLFPATLVFPKDYPLSPPTMKFTCDFFHPNVYSNGTVCISILHAP GDDPNMYESSSERWSPVQSVEKILLSVVSMLAEPNDESGANIDACKVWRTDRERYNEI VRENARKTLGL PHYBLDRAFT_159918 MRRGGHGTPQWNEPGGYFFSEKKRVKEEWEDIYYWGMGGGMALM AVALAYKPDTSVVTWAKKEAEKSLQEKGITFEHPTSV PHYBLDRAFT_66708 MQTQGIEYDSERVGFRWEGGRRLADQEDVAYLLPSDKGEVDRLQ MNHILAKMMLKGLFKSPIHDKLEKGIKVLDIGCGPGWWTLEMARLYPKSEFVAIDMAD VFVMDNKPPNVTFQISNAASGLMFEDNTFDFVFQRYLVMGFTIEQYKQSIKEIKRILK PEGIIEVLELVIDYIDAGPAFQQIGTWITQAMEARNLDCFIADHISTYFQEEGLVKIK DINYSIPIGRWGGEFGDRFLAIQRMALPAIKVMVTELTSATGEEYEATMTQAFDETTS HQTSTRFRLIHGMSARTIKGSVLRCDTEVRLVTCILKIRQQPAAYIICKDMH PHYBLDRAFT_159919 MPMLAHHSPLRPTHIPTPRTPPPQSCYGQDSSDDEPGFKEQIYK PNKKDPLDIEVAAIVNANPVPIQCERVSPGVGRYYFGNELSPTLVGGKKMYTCKLMNY AERDSRRGRGQKGPRNKVLVRVGGGWQDLELFLLHQAIHY PHYBLDRAFT_135915 MPHAREHSVETIRRNSEVMGTLRKLMVVNRGEIAIRVFRTAHEL SMSTVAIFSHEDRLSMHRYKADESYQIGSVGQFSPVGAYLAQDEIIRIAKEHDVAMIH PGYGFLAENAEFARKVQAAGITFIGPSANVIESLGDKTKARKIAMDCKVPVVPGTPGP VEKFTDAKAFIQQHGFPVIIKAAMGGGGRGMRVVREQSELEEAFMRAKSEALAAFGDG TVFIERFVDKPRHIEVQLLADRAGNVVHLFERDCSVQRRHQKVVEIAPAKNLDASVRE AILLDAIKIAKAVEYKNAGTAEFLVDSQNRHYFIEINPRIQVEHTITEEITGIDIIAA QIQIAAGALLPQLGLTQQRIRQRGFAIQCRVTTEDPEKSFQPDTGKIEVYRSTGGNGI RLDGGAGYAGAIITPHYDSLLVKVTCSGSTYEVARRKIVRALVEFRIRGVKTNIPFLQ RLLTHSTFMTGNCWTTFIDDTPDLFRLVRSQNRAQRLLGYLGDVVVNGSQIKGQVGEP SLKEEIEVPVLKTTADTTVPCQDGWRKIIVEQGPEAFAKAVRAYPGVLIMDTTWRDAH QSLLATRVRTMDLLKVAPVTSHALNNAFALECWGGATFDVAMRFLFEDPWDRLIQLRA AVPNIPFQMLLRGANAVGYTSYPDNVVYDFCDKAVKAGMDIFRIFDSLNYIENMKLGI DAVKKAGGVIEASICYTGDVSNPARTKYNIDYYLKLTQQLVDQGIHILGIKDMAGLLK PKAAKQLVGAIRKRFPDLPIHVHTHDTAGTGVASMMAAASAGADVVDVAIDSMSGMTS QPAMGAIVAALEQTHLGTGISMENIQALNSYWEQCRMLYSCFEANVKAADSGVYDHEM PGGQYTNLMFQSQQLGLGAQWRQIKQAYKEANDICGDLVKVTPSSKVVGDLAQFMVSN SLSGKDVVDKASTLSFPTSVVEFFQGYLGQPYGGFPEPLRSHIIRDLKRINNRPGASM PPLDLEKLKAELVEKYGKGIRDYDVISAALYPKVFAEYRNMVDLYGDLSVVPTRYFLA KPVVGEEFHVNIDEGKTLIIKLLAVGSIDSNGKRDVYFELNGEARVVGITDKNSAIET ITREKADSSNPGDVAAPMSGVVVELHCKQGSIIKAGDPVCVLSAMKMETIVSSPVGGR VELVPVQEGDSLSSGDLVVRVVKNT PHYBLDRAFT_188439 MLQHPQQPRTATRGRGRGRGSSSPNNRNSPRNNPNGQNSQTQRN PQHDVTNSRGYKVWERNAPKDELPIFEANNNFGAPPPALSGNTVTAADRAKRFGTTSK GALYDELKHNRILERKQAIENNLIADPNIPRRLEDAIEFRGTCQTMCPEFELVEREYQ NGLDSIEMDEDGHADPYKTVKAYRRSAAGNDQPLPSDVRPPPVLKETLDYLVDNVLSE YPLVKCHAFLRDRTRSIRQDFTLQNIRDITAVQVHERIARFHILCLHEMCELEEEKFS EQQETEQLRKVLLSLTEFYDDLRDEGIETPNEAEFRAYYIITHLRDNDITRQAMALPP HIFLDFHVKRALQFYGLAQRNNEIGESSSRRNKPANIEACQNYYSKFFKLIAAPDTPF LMSCLLEWHFPEVRKGALKAMNKAYLIANPGVEAEYVRQVLAYDTVKQLIEEVELYGL QIDMSLGQPTIRFGQKYHADTKSFFFAEPLSTPRPRRSMMLVEPKKSGISFRDIVNGT TSSMENNPMMQAQLQNSQLERAHSMAAINQAKRAAMEAQIKHAQLKLHQEKQAYTKAI AQAEIQAKLQAELKAKEIAAQKKAAEIQRTIEEHRRQEEIKATLKEEARIAEESRRRE EEEMTMRAALAAEEERRRAEKAAAEAAEQERLRQEEQERAIARMHRQALISQLSNRWM NQLMSTVIRQASFELTSKAIQRVKNLQKKLKPWIQRARSRVEKRDEVAIARNKRWHFN MYKAGVKPWEAGNANVKGRASNILLEASVISRKAQETIKAEETALAKASWPYNGPNEA IWETENFAERIYPIIKAPMCEFEPRREDNSAKSEWQLWIHLANRQEQSAQWIQKKFGL DEEFSRRTERYKEFNITTRSITPNDNIYRKAVNELGAVIFSLSEMKRLGIDDCENNAY WTQERNRLHEFLDLLCRYNPGIQVPIVFAYWPTTKTLEDSLNNIPRKLGVLNTSVISD FKIMIMSPMTIVDRLEEEVDWLAKNMVINQPILSTL PHYBLDRAFT_149725 MTAWLEESIDEPGLRQALQDVLTKYPSTINTIKGLIDHYTAKLA LVEQAEPDSKKRKTNHHNTLEITAPPTHTIIASIIDVSFNIPARKKFNIVITNFNLQL VNSKTQIPEYEYLLDDVEIASCTPTPDKAQKNYTIALFFKNKAHQKEEGENTPAAITA TESVVFNIQDKADLVVTRPGHQTDQILGQDKHLALIEILQKDARLSVTQPSGQLFTST VTSPTTGKREHDRLYVNVFLRSKQGSLFFLPEGVLYGFKKPTFIIPVKSISSIVVSTI TQHTFDLSLILKKGANVLGAPLSSISTTSTSSSSSSSNSNSNSNPSSGVKNKDEKEQT TTIEFSMIERSEYDGIDEYIKRSKIHDKSMSEETKAPEPKQKAVGSKENSATIAEKDI QNNNKTISSNNYDDDDDDEEDEDYDPSNYEKDLNYGSDSRSEGDSDDFSEDDDIEDEI EDQDQAQDQDQDGNNSGDQLEDSD PHYBLDRAFT_66703 MRGKKERGGDLSKTGLAAFEDKGKPDKIDPNLVRRIVVRDPLPC TVSSNMYIVESIMLHLQTGVTFSKEKKKTHHGAGIFSSSSKGHKKIGKIDENTDSTKY MTVLQQGLLEALEKQNMNKYEIKFLHNNAKSHAAASTKQGPKKS PHYBLDRAFT_66702 MPNNKRHTLKTIKNREECHPSSRKARQLTRVFLRKERLDQRSTE RSNSNPKAERWMWFRYALDESLPLATMKEMHDLVEMYLERNNDELAKLVKDRQIGGRR PKTKRELLLLALIESERAEYKSGFEVPDMTNGKTLKLLRDWDGDNNSMARMHSIRISA PTNPIIADATMEGVTSSVNAKTTADADADANNATTTKSTIDAMEE PHYBLDRAFT_149727 MPKWFRDLWGTKRQECGRHALKYSHKAHYFHDHFKTGCVFDVGS DADTDASTKANTNTTISTSTNNSNPGPVSRATTELLSCTDIRYTKTQCGTQCWGPCLV NSGVNISGRIGIVQPTSQPTSQPANQPTN PHYBLDRAFT_188440 MCMTRETCNMSLEVKCVYMVYVMGEKGRDLPKRQTMSQEEEEVE NRQTFDAGCCAADNVAVNGAVLRLLKNFRAREIQVGLSGSHGSLVLLLLSLVLLLLVS REGAIWTVVPAGADFRLEVRFFFVNAILALLNDPGALDCLRGACGTCTGRLWSVALER LEGAGVEPSAEMSRRDEFGELVVEGRWLCSAVGRNSESLWYGKGSNRGDCMTASILDG LRSRRRTGICDVENFSDFDKEDEEKDVDDVEVDEVDVETVAMPL PHYBLDRAFT_39633 MPPKEDWEKYDKSVDEKEEKKIVALDEGDIKLLKTYGQGPYAKQ LKTLEQDIKDAQKRVNEKIGVKESDTGLSAPNMWDIPADKQRMQEEQPLQVARCTKII EGGENEDAKYIINVKQIAKFVVELVRHVSPTDIEEGMRVGVDRTKYQIQVPLPPKIDP SVTMMQVEEKPDVTYSDVGGCKEQIERLREVVELPLLQPERFVNLGIDPPKGVLLYGP PGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMIRELFEMARTKKACIIFFD EVDAIGGARFDDGAGGDNEVQRTMLELINQLDGFDPRGNIKVLMATNRPDTLDPALLR PGRLDRRVEFGLPDLEGRAHILKIHAKSMSVERDIRYELIARLCPNATGAELRSVCTE AGMFAIRARRKVANEKDFLESVSKVIKGYQKFSSTPKYLMWN PHYBLDRAFT_22006 MIITDLFFDILTFYLFIFLKKKSVLLTVFRNPPELKQWCLDDFE IGKALGKGKFGHVFLAREKRSGYIVALKVLYKKELAKNGVEKQLQREVEIQSTLRHPN ILRLYGYFHDETRAFLILELAAKGELYKELQRQTMFPEAVASKYISQMANALIYLHSK RIIHRDIKPENLMLGIKGELKMGDFGWSVKTGVTESRRSTLCGTLDYLPPEMVEGRSH NENVDLWSLGILLYEMICGKPPFEDEQSHDVTYRRIVKVDIQMPAFVSKDAADLIKRL LQYKPSDRLPLRQIPNHPFITKYARPTKPKEQYHS PHYBLDRAFT_188442 MGDSFFGFNTKLPPLSNDERRQFGDDGNIIQGGNAQGQTDYGHG IRNTVGDVEVYDFGAMQEELEGELEEQDDGLGDQLIEEGDNFNDETFGGNSTGQDFDF AANTERFNSNLTEEEAAFFIKRPIKEDQSRRSNVRTPWTGTNGHTGSPLGSRPLSGDM RNSGNNHDNGRKYGESSPVTPSASIWGSFGGTLASDRGYGGGSLGGSPGGLSPFAGRP QSRQQPPQSYTPSPPPGLSPSSSFEHQHHHQQQQQQQQQHHQQQQQLRNQPVLLEDIE AELQRQATTGRFRQHEHQRLSNDIDNGKKMLSLAEVEASMLAAGHGVRGSPLQQHHAQ QLHRDQGVMHFGGEFLEQTLLERELNRRHIQRKSQYNGLMTQHDKDFINRIHLSQLAS DDPFAEDFYYQVYSSIHQHVGLTTWSATSGNSNNDATSRGRGRREESSTQRVQQQLQR IVNDTKRRPKQSQVSLEGALGKITSLTVRNPRQVLQVSDKKPSSTSNQDGSQSSQETQ TINRAKPIVSVVNDRRRILKIIENIYLIVLQIEQVRRQGAPQSKPGHEDEYEEAVEKW NEAYAEKIQKLWNTLRILDTPEGSLPLIISILSTGKGKKLVARIVRYLSSDQNLTMVT VIIANFEQLQVCRHVIYPGMTVSNAEEAKKQHFVTYEDVELFMNSAAPALLGFISDAP LRVINGLFQLLMEKNNVEVVAQSRPGLVFLAMLLSRAEILKQGGGASNGSAPPTAEEL AQWHEVYSKLFSALQGRYASIFPSLYYLVPIHPGMNLMQISHELDDVYVWQFLAAMAV GASMEQQHILVTEVRDRVMENIVLAHSNRLSAHQSTHMIANVNLFLRALGLDVSQVTK HR PHYBLDRAFT_149732 MSKTESIFTDTNDHQYFKPYPHCLKSNGRDGHSARDGHSARNGR SARNARSSRSGCNNCNNCNSHNRRNCLRILHNSASNKQYRKLMIVMVINGRIRCICRI RPDGRNGLNCLIIIHLGRKVVIVVIFVIVFIVLIVVTVVVVVTVYGRNRRNRRNRRNR RSGRNCCSGLIVVIVVFVIIVAIVAIVVIVVIVVIVVVVVIVAVKFNGRNGRYRRYRR KRRKRRNRRYRRNNCNGRNGRNGRNNPNVRFNRNRRNRRSLGSRCSRGNNIRPFHCSY GEVLHVMFMYQCPVSRFQSRFAFEHQYFLSSLRLSVSLAIEFAQGEKKPKGILSWTLL FEMEKLQCLNRSGLLAALDCELVNCFSRHTNKSVAFTPWKRLLEGAIPSPCLEFLSLF SSKAKLIYKKPTDHKYRQGKTLDIGSPDFVLWDMSRLSQKELDMRDEPEAKLRCLSKC YAVHLGVSDTCPEQFGIKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_149733 MQQPKRAEKFKKPSTKGHKQTDNTTRVIIVHESVKNGTSQANIS RKADTEKVE PHYBLDRAFT_149735 MSKTESIFTDTNDHQYFKPYPHCLVSVSYRYRHNRLNNINRRNR PNRLDLLVMVIVPAMVVVLVMLVVVVVVNSTCNKQYRNLMVVMVVMIVIVLIAEVVVV VAVVIIVITNSASNKQYRKLMIVMVINGRIRCICRIRPDGRNGLNCLIIIHLGRKVVI VVIFVIVFIVLIVVTVVVVVTVYGRNRRNRRNRRNRRSGRNCCSGLIVVIVVFVIIVA IVAIVVIVVIVVIVVVVVIVAVVVTVLEF PHYBLDRAFT_149736 MSLRAHFQLAHSLRSLASLAIEFAQGEKKPKGILSWTLLFEMEK LQCLNRSGLLAALDCELVNCFSRHTNKSVAFTPWKRLLEGAIPSPCLEFLSLFSSKAK LIYKKPTDHKYRQGKTLDIGSPDFVLWDMSRLSQKELDMRDEPEAKLRCLSKCYAVHL GVSDTCPEQFGIKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_172592 MVLQVQAQKESEIKGGFTSSGCLTVGCSGSLIQKTIGCDEASKI ENSIQKSNLIVGNLGIGTIFMSILIKRIVPMNFDIYERKHINQETLMVLNMVKLSLHL TVKHPELVKPPISKALCIENLIYYEKSIVLQSGLISPYF PHYBLDRAFT_149737 MAFVLEKIAKFAIPVSLAIGGIQASMYDVQGGHRAVIFDRIQGV KPVSVGEGTHFLMPWLQRAVQFDIRTKPRNISTTTGSKDMQMVSLTLRVLHRPELTQL PAIYQHLGQDYDERVLPSIGNEVLKATVAQFDASELITQREVVSAKIREDLYKRAKEF NIALEDVSITHMTFGKEFTNAVEQKQIAQQEAERAKFIVERAEQERQAAVIRAEGDAE AAILISDSLEKAGQGIIAFRRIEASKEIAQTLSKSSNVTYLPSSKGGSNMLYNLNV PHYBLDRAFT_182939 MVSLWWGQSALDEMIEKATSELLPVGQEDLGFHLEISDQLRSKR VNAKDCMHALKRRLGHKNPNVQLATLSLTDTCVKNGGDQFVREVASMEFMDEMVKLVA HSSTQNPDVTEKALSIIQTWGLAARGNPSLSYMADTYNLLKAKGYKFPAINEAINSSL LETAAAPEWTDSDVCERCRTPFTLTNRKHHCRQCGHTFCNQCSSKTMPLLHLAIEEEV RVCDGCYIKLKLAKVSKKDVAWANNNTSIISSPSPVAAAAVAAVPSNSQNHANGTPSG EDDLDEDMKRAIELSLKEEEQRKNGYGAGYVATQKPSAEPAQREQQRQSNDQNEEEED PELAAAIAASLRELQLSAPPPTQPGYQPSSREINSNDLTPVEMENVQLFSTLMERVHA SGGDVSNDPQVNRLYEQIGALQPKLIKSLDETIHKHRTFVSMHEKLAMAVKSYDRLLE ERVSGANARSEMNQASAYSYYPPMQSNGSYSSDPNGYPAQQQQQQQQQRGYYPYPETT QPATSAPEATQYYPSEPYYQTQQPSQSFPQQPYYEAQNYPQQHPQQHPQQSYPPYEQA SQHLQQPKQPVEEAPLIDL PHYBLDRAFT_172596 MSATRALPKITRVHEKRKMVNQFRSLASWSRATLTLFGKRIEAS YQGSQSDCLNSWVEEHSVGLSNGITECQSHYLMTTLSMDSVQDESQTTLTSNEPTIEA TNESSEIPTDHQPSTIENNTHDMTFTLGADGQVDIEMNVKKPPTTAAPGPCEQCHDQT WKYKCPRCDMRTCSLACVKQHKAEKECSGERSKTHFVPMKQYTERDMMSDYVYLEDTS RKSDTLTRERLRAFPENNGGKHIDSRIKHLVRQARQLGINFDVLPTGMSRAKSNTSNY SSNKKQIYWSIECIFCHKDRRERILDHSIPSGMPLRSFFENMLFSERPIGKTPYSLFR HQVQDFVDAGMGRYVIGLKKEGLPKKSFVNITSYLDKPINESLRGERIIEFPTLYIWL EGNVEPEIELLDKEEYTPKIADKPKGNRNGEKPAEEVAIQMPMEASTEEPPKSKTQDI PHYBLDRAFT_66687 MTCFARSTLALRRQFTSKASLRITDKDGLPLSATWSVRSLLEND HQTMSDEQFKSLFRLAQLRAPSSADAFEKLKKDVNQLSAFVGPIQRHEYGTIKPLSHI WQERIGMELRQDKPKNDPEEVRGRALLKEAKQISGHFYMVRGSLPSSDS PHYBLDRAFT_135921 MSSSEQSTLLLQRQLRDLQRNPVEGFSAGLVDDNIYEWEILVMG APDTLYEDGFFKTRLSFPSSYPVMPPTMRFITEMYHPNVYPDGTVCISILHPPGDDKY GYEDANERWSPVHTVETILLSVISMLSSPNDESPANIQAAKEYRDDYSQFKKKVQRLT RQSAEML PHYBLDRAFT_93875 LNVKDALTYLDQVKIKFSDQPEVYNKFLDIMKDFKSQAIDTPGV IERVSGLFKGHPSLISGFNTFLPPGYRIECSTDECSRDARRPPVEFNHAINYVNKIKN RFAGDPDTYKQFLEILQMYQKDQKPIQEVYTQVQVLFDGANDLLDEFKQFLP PHYBLDRAFT_78531 MSVFSIQNIRIEIAICDMNSDATAKTNGHKYTIEMIKKYTCIST PSVIVYLFANVTGLLLLKANVSTVSTMAHSSRSLSLLLAIFCVLSFFSPIASAVNCTD AFCVSPASGARIDPKCPDACPDNCYYIVNPCCVSQRAPYCNVTSSASASVFDPSSSIP ISLLPSSVPLSSAGPNPTLSSIGASGSQAPASSGSVQNTFSVSLYMASTASIVAIISL VLM PHYBLDRAFT_149746 MAPVTPRTPRSNARNSLTQVAVGRVEQHLVVPAVTQEQRMEEMS TRLDNMSAMLGSLDNRFGQFIDVQRRNTETVGAIAMSLASTSRQVLPAVAPSAAPYFD RISEEETKVAVLALIREKIWKKDFRSNDPTEIVENEARRRWNVDERVDHPDNVAVVAY LREYIQPQPQAVSFWPGMVVSMVKSNYRYCHRKAHTLPEQRVDNNRRARIASRMKEIH PRRQDIYTRYWRVVDKEMGLTVEEDSEMAFFGAIQKGAMSDGESDTEELFPGFPVRML KVARPSWRSDEFNKFLGLIDESMQSDYKAKGNAKPRMPRFLREEIYVAIPRWLISSLP PWAIKQ PHYBLDRAFT_178107 MEDYRKHKPVSGSPQLPPLDKNPRVPQNITKSRINNFMSGGGQF YSMGVHQALWKARYKGEPHVKLSVYSVPNLKRITFEEAMAGTYKEFKQNETTFGPSWS THWFKVTIVIPELIAGEEVVFQWDMQSEGMIWSTDGVPLQGLTGGTAQSRHEYVLTRN ANPGQDFTFYLEAACNGMFGVGDQNDAMVPDPNRYFRLIKADLVVPSHEIQDLYHDLD VVRGIAYNTNEDSVRARNALWTLNEVINQFIADDPKTWKQCKSLTSEFLSSRNGKGQH KVTALGNCHIDTAWLWPYEETKRKIARSWSTQLDLMNKYPDYIFTASQAQQYEWLKDL YPPLFKKIQKKEKDGQWEIIGGSWVEHDTNMPSGESLCRQMLLGQRFFEKYFGKRTKI FWLPDSFVLKQSGCDYFFTQKLSWNNINTFPLTTFWWTGIDNSRILAHLTPAETHGDG GGGPTPDMLERLKRMKDVYLEFHRGTYTTQALVKQGNRKGEVLLRDLEIISTMAAVLQ SNPDLAEKYIYPADSITHLWKLLCLNQFHDCLPGSAIGMAYTDVHKALLLRHKAQSYI INSNRREDNYNAVDQDEENGFIVFNTLSWKRSEVLAAPKKKFSDDNTADNEDDKYIIA YETEDGNFMLENSNLKAKFNSDGQLIELIDERVMRGNLVSPGERGNTLVLYEDVPTYW DAWDVEIYHFQKRKTIEGSKSVQIVSKGPIKAELKFRQNISDRSCIEQTISLTCIGER IEFNNVVEWNESHKFLKVEFPWDLVTDHATYDIQHGVVRRSNHYNSTQDSAKFEVCGH KFSDLSEANYGVALINNCKYGYATQDNVQRLSLLRSPKGPDEHADMGQHAFKYAIYPH QGHFNNSNVVREAFEFNVPLSIRYAKGNAFREGVIVPSLFEISTPGGVIIDTIKLAED DKGKAKTVILRLFEAYGGKQTVLLSSSLDIKSMEISNILEDNGEKLQPTFRSSFVISL TPFQILTLKATLN PHYBLDRAFT_172603 MSEQPQKKQKPTIPLEDIPVLKLKTNDKQANDSSVYANIHYFIY AFERKLIENAMLPFDGYWEEALKVSCKPPQLIWFDRVLANRGLTWETARAQLEKDYGD ETCLETKKQAFNKMTQRRDEQVSEFADRFLELMKTCCIHGSPELVNRFANSLHYIHRS KAHNIIHYHFGPNPTEDIERIFTTVKDVFDNGPTSSRPPSKKPRRA PHYBLDRAFT_127157 MHPQLIPHKHEGCYEAIQALDECHHANSFNRFIGLCNDAKKKVD KCLKEEFVANRAAQKAATDEKRARMKKIWKEMEEPPAGFEEKSQ PHYBLDRAFT_478 DLASSLAYELKTSTYECMVCWDVVRPAHTTWTCDCCWAVFHIHC VQKWATKSLSDISTNKMITSWRCPGCQHTRTVVPKDYFCFCGKQRNPEPNKYLTPHSC GQLCKKTRSCPHPCVLPCHPGPCPPCTAMGPVLTCFCGRHTRQTRCVDTDYATQAYKC EEVCGESLGCGKHDCKDTCHAGLCPPCTVEEIQLCYCGRHERTGRCGSGKAIEIKGHV GYYSCGDVCDSTYSCGIHRCEATCHPCEATPKKCPYDPSLVKTCPCGGESLESLGVAK RTSCTDPIPTCGRECSKVLPCGHECHETCHTGECPPCKEVVEVPCRCQSTVFSATCAS VCEVAGGEPPECGRVCKSMRQCGRHQCGNKCCPAMKQKGKKKQGTPENIHECPLVCGR TLGCGVHTCQDKCHKGRCNPCLEATFDEISCHCGRTRLEPPIRCGTQIPACPYPCQRP APCGHMRLLQHTCHPDDEPCPPCSILITRTCMCGKTELRNVPCYRESPRCGRICDKIL DCGHKCNKTCHSGPCLTETESCQQSCHGTRSCGHPCKRKCHSGPCPETDICPGRVKAS CECGQNSTEIPCHATADSSGSNHVLPCDSFCAKVQRNRKLALAFDINRDETTETLSTD DLGYYDDTLREFYRENTGWCKQVEVMLIEFCNSSRQTLNCKPMRSHFRKFIHRYATHF NLTTTAVDPEPKRSVIVQKTAGQSRVPPVLLSRA PHYBLDRAFT_149752 MANNRRSPSTSSLCSAGCGFYGSELYGNMCSKCFVLSIQKEQAK QDEPTKASQASNAHTSEMFPRRKHPRSPSPVLSDIISDTTELSTIVAIGDSPHLRNGP QERPVQVQQGRCFRCRVKVPLAKQTINKCRCEYVFCDAHRYPDRHDCEIDVCRLDRVT QFAVLLAKNNPKLHERPRGGRSFRRIDSP PHYBLDRAFT_172606 MSQHNSSTTSKEKSINYLQEKARVTPAMKAYYANEDNSAVEDQH TSSLLPFRKMKLSNLINQLKADKRLAKNIRKRRKYLTVDGHDFLGFKNQQCLIPVTES TEDNTWSSLYRLWNRAMVTTLNFRHILFDLRKDRRRPENFCRLSARSFTTLKRKKKL PHYBLDRAFT_172607 MKKGSKANQVSREKQDAHLPAIGETIFTIGDGNREDVWDDTELI AHWDKEVDKYRAMFTKEKDSPPPFGNSKTKEKRQKKIIKPIEKKKIKKRVNPETSSNR MPPNILKGVPTTPMSTPPCVAAHSEQNKDEDLTSLLMSWYYCGYYTGLYQVC PHYBLDRAFT_31841 MEQLTKNAIKNFFEDKGDLPENNLPVVQVINIKRIPTATGLRYR LVISDGNHFMQAMLSAAQGGLIESGQIDKNSIIKILKSNPNSLQGRRVLVLVNLEVVN TTVPDKIGQPVNVENAMNDTSATTSVNSASPSVQKPSYQPTAQAQPQSMGQSRGALNQ SAVNNSINPSVTSIKSLNPYQTKWTIKARVSQKSDIKTWHNAKSDGKLFSVNLLDSSG EIKATAFNDQVDRLYNLLEEDKVYYISRARITIARKQFSTIDNEYELSLDNGTEIEVC SDEAAVPQANYNFVKIKEIDNFDKGAVIDVVGVVKDDNGLQEIVSKTTGKPTKKRELV VVDETQKQIRLTLWDRVAESFDSSGFPVITAKGCRVGDFNGRTLSLSSGGALKKNPNI PEANSLKEWYNSDGQTTTYTSFSSQNQAFDNGGQGSNKKVSLLQAKRDNLGGSERPEF FTTRATVVYIKPENVAYPACPACKKKTSNIGDGWRCEKCEKVFASPDWRYILTLRIAD PTSQLFVNAFDDAGNVLVGKSANMAMDLKDNDNSSFMRMLGECLNKTYTLKIKARTET YNDAANVKYTIIDASPIDYVKEAHFLIEEIEKMNI PHYBLDRAFT_149756 MGKLQPSPTLKPDFQNPAHNMGGLPNHSLVIFNLTEFYVVPSFY VVATPDEELDKTFYRTDTTEKLALDHQLIFA PHYBLDRAFT_188451 MKQATGFFDSPFNSTDADIDPTSDTAKEVLKQPPATKYELWGYY LYYNGDNGFTINSYMPNIFQYLAYKGGFYSDTPDIKGCDTNDALRQCNIHWMGKPGGI PIASMLLYVQAIAFSLQFLLFTTFGSLADYGRWNRYILLGATVIGCAAQIIPITLVND DGSHWNAMMGIMIIALISYGTSLVFYAAAFPTLSDNLPAVRKAKADPNLSKDEKLQVT ELWRNHVSAVSTVWSNVGFLIMTGVLSGASFKSWENYDFPEDVSHVLGNVPLFNFIST VVCGGFWIINAIPYFIAQPSGRRGGELPEGTNHLTVGWKSIILALKEARKLRYLFMYI ISYFMFSDAVSTTNQMIGIIQGEITNFSAQQVTILNLASAVTSIIGCLFFLWIAKRFK VRTKTNLLIIIGLSGLVAVWGCFGIGIDNFGIKTNWELWVFYVWTGLFTAPIWAWQNT MLAELVPKGKENLFFGLFGIVNKASSWIGPVVIGAITEHTDNLWKGWPFVLALFVISI VLVYFIDVDKAKLEMITYIEENPEPSSITETPSTSVNEKTQLE PHYBLDRAFT_149758 MPLLVARFVLGYSRAIPRLAETAFPHQRQTISSFTRLYYSTFQS HSISSVKTAVQANVPRQVACPEFFKTTTSCTGSLLALAVGLRVSFDPSVLSFRKVHCE ARSLVVRESVTPAKVLAKIEQPRESLSSAIWELVKPDLFLLACIVLTAVAAAVVYLQT PVVTGELINVLQLSFTSGALSIQDLNGPAIRLLALLSAQGFFTFAHITLVSLFGEKVA VRLRRDLFSAMVRQDMSFFDDHKSGELVGRLTSDVADFKHTFKQVVTQGLKSITQTVG SAIHLFRISAPLTLTMLATMPILYVLLNVYGAYLRKLSKIGKSLDSVASGLAGEVLSN MKTVRAFASEEREMEHYGAACQEVAEANEYMGFHIGIFQGLTNVSIGCMVLTVLYYGG SLVVRNEMTCGELMTYMLSTQTAQQSLVSLGVLFSQTIKAAASASRVFEFIHLAPNVP LHGGLMLEHVGGDLEFTQVVFRYPTRLDQPVLDRFDLVVPQGTTVALCGPSVASLIER FYEPLEGSIRLDGQELRTLDPSWLRHNVGFINQEPVLFATSIAENIRYGRPEATMEEV KEAARKANAAYFIEGFTDGYDTMVGERGASLSGGQKQRIAIARAILKDPKILILDEAT SALDTHSEKMVQEALDLLMKGRTVVVIAHRLSTIRSADKIVVMGRVPGNVLEQGTHDE LMANQSAYFRLHSQLSHANPIL PHYBLDRAFT_116560 MRNTKIFSGSSHPALANMVCQRLGVSLAPASLQKFSNKETSVEM GVSVRNKDVFIIQSGCYHMNDAIIELCIMVQAARMGSAKRITAVLPYFPYCKQSKRKG RTSITAKLLTNMLSVAGVDHIITMDLHASQMQGFFRGPVDNLYAEPVIAQWIMRHVPQ WQNGVVVSKNAGGAKRVTSLADALCLDFALIHQDKACQEPGITLVGDVKNKIVFLTDD MVDSCGTFLNAADHLVNKCGAARVYLIATHGVLSGDAIERIEACESLVVTNTFPIPET KAQHSTKLVMIDIAMTLAEAIRRTHNGESVSYLFNHTD PHYBLDRAFT_78524 MKSKKKTGTRPDEDPHPHRTGPFSFLKSRLFSNPPKSTLDTQQP TTSASPRTSVSSHRSMTLPHRSPWSMDLPPESPSDAKARSYEDSIDNLLPRRRPSSTS EDQKRTSLIVLKEGYLYKKTDFRAFHKASRLDRGWKLYRVVLRGHKLYLYKTPTESPL KSLFPLPKDHQPTFSLSTSSVSSVNQGRASSSNSVLASSDSSITLSCDSFDRDAQGIF MHGPLRKSFVYGAAFGELDRQSLAPTHDVRLLLFASQVIVCRQSADSKESGVWQIDLR LPLQHLRLESLSCPKPTFALGLISQPAMRVVFESQTTERADQWIAAFKKTQQKYMETE WSEEESISLEASWLGISSHSPKNCVEKPYDGTQQHPGLLIDSTPAGKRIQGGSLEALV HELLLETQSTCQEQGAYLHCFLLTYTMFTTAVPMLDEIKAQLKRYGNQPALQNRLVDR TLDIFDVWCRSFGQDVVGEVATGMIAILDSLYIKTLANIQQRSKRVKTLVLATLAQNG AKNEQEAMSTWNDARLVYTIAGYSTEADVELSKIHPNGLVPSLFLAMNPEQFAEQIYM FHYSQQRTYRQSLTNPLSFLPGPQIPTQMLNSLLFTTASPHFLTRLIRRQILVDTQQH NKACRTERSMLIRTQLIEHWIRVGRHLLVLGDMSGWAAVAMGLCSVGVVRLKESWKSV DHRLVIAVIEDWVPLLADHGLFSQEVWVDGWEGDQSQVFGAILESPFKDRDNLPSLPF FGTLKQTVDRMRKHVKEHLAYGTIHFAKYWSIFDTIEHSLAGLKFNPESTLLTTPPFD VAVPLQTYFETSATDFTAVPHDYRYLQEASLGCEPRIFGQHYDRKTHDPFQSPEPGIL SAMHFPEILESHNLFKPSEALGLSLQASPLPERTLQSIGRSSEDRLSARMPGTIGFSV QGRSPRQTSSRALRRRTYSFPPGRITKELVDVDEGGSVGSGSIVGGGGGPLSDTVSST SSWLTTVGSGSRNEWVWSVLDDSLVLKATLHVSGTQPTPQTRHQKQQFRRSRPDTLGS TETLWNESRPVLQIQVKAGGLDRLLDGLFEGVDTYADLVRDQWQSLLKREEDYQLMMD EDEFIRVFFVTYRTFVSCVHLLDLFRKRFSMAKMVGYRVRHEKKKTALETVFMPNEAE ECDWQKVGEVQLRILDLLVYWVDEHFYDFVDEIEILRHIARFLKTAEEALDEWRVPLM QVSKQTPREEEALAIASKIQERMAELRTLFVRRFLSPCYDLKAIVHDTQGGRHVDELY RQLTNGMTQSFSTTAYKSKSDPIVFSLTMKPDGHPNVCDGADPAALLLQADRSVRPFF ASVTLQDWIQTFDVFEAQSTDIYAWLPARKASHTSLLSAALSPVTEAPSAQQTSYNMA PEDIIVSDIFTAIEGARRSIVSPSAFSADDLLLAFPSSIQNLYCMHFVIRSWVMSQIA QPNLDLKTRIDYIDTFLRVATLSKHANETRMIFPEIKDPAARRVPGFVEYAVASALVS PEVRLYSRAWQEVGLRHGQGSLDTLESMLCSVQESFQPPVDGLLVPSLGWIFERMLEL CAMVPNKYRQREEIIYFDKRRYVFHFLNMLMNAQVDLEITNDQPLLSFLVAPNRTKPS WRELKESAQRENKASAASRNSTYKHNLRSLVFGKSVGEQLEKLRRDIKERDRMDKECR EVQHKLQKKQMEQLRQSERQGKRSVKGQSSQPLQALQLQHHSQMMPKINSFLRGLRPH SMTSVTLGLFPTDYGDTTMLSFMTTKAATVINLIHSTVSVASAYTKRDYVFRIVTEEG GQYLFQGTNRDDMHDWMKQINNAAREGAAKRQSVLVAESLDDQTSQCRVDIAGNSNRR SGHLQSRSSVYGVDLALLMRGDRIPLVMEKCLKEIEMRGLEEIGIYRVAGTGSVVTKL KMAFNTQLATVNLGDPAWADINVVADALKQFLRELPEPLLTCSLYDEFINASASENHD ERVYLIRETIRKLPPANYIVLKRLIEHFVTVTDFEVVNHMYATNLAIVFGPTLLQPAP GPASFATTMSNLGHHQNIVKYLILKYHDLFDVESEEAEAEASTDLDEADEKKDCDSQS ETFTP PHYBLDRAFT_149761 MDFNTIFHPTDLVNLEKISCQPESPIQDAILSPPITPKVRAQFP SPPWSFGSAAEPGKLISSTLDPSKAMNSSRRKSSAYLYRRKHRTPSVGGSSDCDQDIT FIFPPLVSPLMACQSKDYRPLNSSQSSQISQISVPQQEQTIIQNDSIEKDELMSVKRR SCPIVRRSPSITREGSFGMDMSDMCRPNSYRRSSDISHDHYNHNLQNTQHNQHSQHSN FLPQRTPYSKQKKCAIKPQEPPLPLQVEEHVITSTPIVAKPVKTSCSVKPERYIKKKA KTDAAVAYDQVDIHLEDTSAYEPEWIPSLDVFDRTAHVRVVWKGSPVSLVGLAHYELL HPGEVHIASTLRLTPEQYLKCKRVLVLGAQEASKEHVPFRKSDAQRVCRIDVNKASTL WSVFGRLGWLGGKWPN PHYBLDRAFT_188454 MNTVRSFVRLAAKTSPLARQTVTRRTIHSSPAVLSDALFVHRDT PKNNAKIPFEFSPENKKKVEEIFKKYPPQYKKGAIMPLLDLGQRQHGFTSLSVMNEVA RLTEVPPMRVYEVATFYTMYNREPVGKYFLQVCTTTPCQLGGCGSTKILNTLTEELNI KPGQTTKDGLFTLVEVECAGACVNAPVMAINDDYYEDLTPETTKKLVENLQSGKPVTP GPQSGRHTCEFAPGVYTTLNEEPYGPGFGVRSDL PHYBLDRAFT_159934 MFPLASAELNRNLSTHLTVLGDVQRQMKELHEQQAQHDVLTLEH TIDEYIRIIGSIRLSFNARIKAYQTYQQDDSELQKKKITFEKLKSQSKSKSDRMTLAS QEISEMQQRVDERRKDFEDISKLIKSELDRFDKEKVEDFRDSVEKFLCSMIGHQKQVI ALWETYFEQTEGLDDDDYDEEFYTEHDENEPSEVAI PHYBLDRAFT_116611 MKQSESLEIPKSGIRPYFEVTVEDPQKVGDAINAHIVYKVKTKT NSPVFRSPECVVARRYRDFLWLYNQLTLGNPGVVVPPVPEKHALGK PHYBLDRAFT_135934 MREIIHVQVGQCGNQIGQKFWETISQEHGLDTNGNYCGDNDLQL ERINVFYNEGQKGQYVPRAVLADLEPATMDVLRGSLYGKLFRPDNFINGQSGAGNSWA RGYYTEGAELIEPILDIVRKEAEHTDCLQGFQLCHSLGGGTGSGLGSLLLSKIREEYP DRMLCTYSVVPSPKVSDTVVEPYNAVLSVHQLVENCDATFCIDNEALYDICFRTLKLT NPGYDELNQLVSAVMSGVSTSLRFPGQLNSDLRKLFVNMVPFPRLHFFMVGFAPLTAF GSQQYRNLSVPELTAQMFDARNMMAASDPRHGRYLTVATIFRGRLSTKEVENQMLAVQ QKNSSYFVEWIPNGVKTSLCDIPPVGLKMSGTFIGNSTAIQELFKRVNEQFTVMFRRK AFLHWYTSEGMDEMEFTEAESNMNDLVSEYQQYQEATAEDDLLDEEEYLEEEGELDEE PHYBLDRAFT_172617 MPQSDLRSNMRAKFKKLYRIISRYGVSDTHIGHIIDVIYSNKLV ESDSIKLVKLLLPREPVREKYAIQIFGNLSCRKHTPNLMARLLKWVITIYDLLETREH IDQMYPVLFHYLTVETLRPQLCHILYYMTKRVHVKPYRIRTIIKLIGNIALEPHLYAL LFVFKTYYPDIVMPSNHYHKKFIFAHPDPDSRELIQEIWTRWNTIEPGETIGLHKPTL ENSLVKRRRRVGDNGIIPDIVTTRAKRDSTTIQEIYDVAEFAENVDRLELPDQLASVL DNRILQHVVICSPKATTIARISLWLSQNLHDLVKWNNHTEATKERFRQLLQKSLTMAR FAKSLLPVMESFLRDYLKQWNGVEFEKEIFELISYVKPVSFEELYSFFLKPLYRLFCL SDVTWKTGLVLCYTNWLKNWSLLDWHGHVNRRQENSISETDVDKLIWLLDGLSLDVDY FAMLHEFVSHVDQISVLGLALEEDHPLLQHGALSFYEQVSTLSTQHNVPEIILPEEPF ITRLFFSTSAMSVSRICGIIYQYKLAFESTEDSIGDWTPRHTPEYLEHFNMYVMDICN ALWRNLAFSQADDSTRSFSLPTETIEACRALCAARGDRIDLAISVTHSVSLASFSKRF METKKKTSLTECVCQMQEQEQDFPVHHQGPITADSLSALEEIGGLPVSFGEYRIQYLD YLAGQGLRGVHDLLYASMVSLINHRQALEQGGAQY PHYBLDRAFT_57454 MESALNPEFLDHKQLANLRLYKYAAVDRSFTTKYVLRHYWDVAI RLFPMWMAPNLITLTGLMFMIINVILTVIYRPAMDAGGPSWIYFSYAAGLWLYSTFDN VDGRQARRTGTSSPLGELFDHGCDALNCSFAATLQAAGLGMGHSMGTASIYVIAMAGF YLSTAEEYHTGVLYLGYVNAPTEGVILSCVIFILSGIYGTSIWQTPLGNVLSVRWAWL EEQSLAHVLVGFIGCMLVFTHVPVCFYAMYKACVAHKKPFLRTMIVENLPIVSYTAAF LFWVTSPYSTIFSAQHFILFAITTGIVFGRMASKIILAHLTKSPFPNFTVLLIPLYIG AILVNLPRLSFVDPILTPSSEYYFLAGYFVFALVAYLRWAIIVINSFCSYLGIRCLVI PKTIKTQ PHYBLDRAFT_127170 MAFRLLTKGAAAAAISRPIVSASRKSLGATAVRHYSTPTKEVDP KTKANSIIDSLPGNSFVSKTGFVTLSTGAATFLISKEIYVLNEETLVLVASLGLLGVL LKYVREPFTTMANDHISRIKNILVQARDDHKSAVQERINEVGQMKDLVDVTKSLFAIS RETAELEAEAFVLKQQVAVAHELKTTLDSWVRHEASVREREQKQLAAYLIEKIQKDLQ DPKVQQQILDQAVLDVQKLAKTA PHYBLDRAFT_66664 MSLSDQVKKFKNTLTANTGLGVKRTANAAHLNENTPSVGYIANN TKASYELSSQEARKRAKKATVYSQPANTGTGHHAMSQLYTVIQFLKDCDNPQSVVSIG TRTKVDITRNQALWDKLVQNSKIEYNPVNKTFAYKPTYQIKSKDDLLSLLTSKKNEGG MDYKDLKDSYSKLANAVEELANEGRILVIRNKDGNPRVLFYNDMQYNTFIDQDFQKMW ADIAIPDETDLPKALEDAGLKTMEVFEKKVVSEARPKRSKTRNKKIKITNTHLSHIDL SKDYVPKK PHYBLDRAFT_188458 MCLVYHAGMQTHRRRSSKSVLLPCASPNVAGQIYARRMTVHLPE TLPDTTYLWGYFLLISTWLIFFITMYSLIGSHWMPVLDWIRDDDYYCYVVPVTAVVFI YFVVCNWMGMKFFRHN PHYBLDRAFT_135939 MALEGRDIRIGNKYKLGRKIGAGSFGEIYLGSNIVSGEDVAVKM ENIRAAHPQLEYEARVYKTLAGGVGIPFVRWYGKEVDHNVLVMDLLGPSMEDLFNYCK RRFSLKTVLLLADQLLSRVEYVHSKGFIHRDIKPDNFLMGIGKRGNQVNMIDFGLAKR FRDPKTNTHIPYRENKNLTGTPRYASVNTHLGIEQSRRDDLESLGYILVYFCRGQLPW QGIRARNKKEKYDKIMEKKMTTSADQLCRGLHHEFTVYLNYVRSLRFDDKPDYSYLRK LFRDLFVREGFQYDYVFDWTIKKIVSCNY PHYBLDRAFT_39607 MPISQVMDTILVHPALMQTVGRSVTQVSKLQNDCLAVVLISSNP KHPPPPFFFQFSSRSNTHAINIKNYSHKVLYIYYFFEEKFSNLYSLDFFFFFFSPSLS CYPFFFLSPATYFVGYFFVQANQ PHYBLDRAFT_178114 MYEEEPITQEDCWTVISSFFEEKGLVRQQLDSFDEFVQNTMQEL VDENSNLVLQHVGGGGDVTKRYIIDFGQIYLSKPTMTEADGSTQPMFPQEARIRNLTY ASPLYVDMSKRTQIAMPQDHNNPIRNPNDMFVDENGMDRAPMTKVFIGKVPIMLRSTY CILHDMPESQMHELNECSFDMGGYFVINGSEKVLIAQERMATNTVYVFAKAPPSNVQY TAEIRSQPEKGSKNASPLYIKMMRASTDRGGTGQCIRATLPYIRSDIPIVIVFRALGQ VADRDVLEHICYDRNDYEMLEMLKPSIEEAFVIQDQDVALDFIGKRGTTVGATRERRT KYATEILQKELLPHVGTAYKTETRKSYFFGYMIHRLLLAALERRELDDRDHYGKKRMD LAGPLMAGLFRLLFKKLTKDVAKYLQKCIESSREFNLTLAVKSNTITNGLKYSLATGN WGDQKKAMQARAGVSQVLNRYTFASTLSHLRRCNTPIGRDGKIAKPRQLHNTHWGLVC PAETPEGQACGLVKNLALMSYISVGSAATPLIMFLEEWAMENLEELSANNIPDATKVF VNGVWIGVHRDPGELVNSLKSMRRSVDISPEVSIVRDIREKELRMYTDAGRCCRPLFL VQDQQLRLTRDHLSRLQDPEDSYRWQDMISDGIVEYVDADEEETAMICMTPEDLVEAR MAAQFGAPLQEERDLASRVKSQTGAYSHTWTHCEIHPSMILGICASIIPFPDHNQSPR NTYQSAMGKQAMGVYLTNYQTRMDTMANILYYPQKPLTTTRSMEFLRFRELPAGQNAV VAILCYSGYNQEDSVIMNQSSIDRGLFRSLFFRTYMDAEKKAGMMFMEEFEKPTRDST LRLKHGTYEKLEEDGLIAPGTRVSGDDIIIGKTAPIPAESEELGQRTQTHNKRDASTP LRSTETGIVDQVMLTTNQDGLKFVKVRVRSTRVPQMGDKFASRHGQKGTIGMTYRQED FPFSAEGITPDLIINPHAIPSRMTIGHLIECLLGKVSTLSGNEGDATPFTEVTVEAIS HALTLEGYQQRGFEVMYNGFTGRKLNVQVFLGPTYYQRLKHMVDDKIHSRARGPVQIL TRQPVEGRSRDGGLRFGEMERDCMISHGVAQFLKERLFDASDAYRVHVCDICGLMAIA NLKKNNFECRSCKNKTRISQIHIPYACKLLFQELMAMNIAPRMFVETDN PHYBLDRAFT_149770 MSACDAFVKSFYKQCCNHSENAQEDKSKHIATHHLVEVFENRIK PKFLQETHHLLNPRAKGRYADPEKDSEVSINQAWKNDEDGYNSVDIIEWAVDSGTESS VKEIFSKVIPVILLIADDYDVLFKCLKYLSDDRDLGLLEVTYPCLIDLIVKTKKPESK ERIILLEKVLTHGVLLGNRHAGHKPAFLLVLLQPVSTLYKKIGLVGTRYLKEVLSIIC HGLSVLPHANGDNNHCVPKLIIWCSIPKYNGMILRALAEAWLVYKDLQGEETKAICNL LQKDYQILDAICHDLLKMPFYNLTTAYINWYLPVIYKQ PHYBLDRAFT_159938 MQQNSRFTVTRDRMSELRNTSDSNEEFGPPRIPDGYRSSSQLIG RHRLNEEEFAQPRSSVDLGHQGRKTESFEMQTRSRTVLPPADLSTTDGYLTEVNVLRE AIRDVSNNITLIGELHNASLVSINETQTARSSQQLEEFVADTSRQNNIIKARIKAMEQ SNFNLKNNSDLNIRRSHVDSLKKEFVRVIRSYQDVERTYSQKYRQRIERQIRIVKPDV TSEEIDAVIESDQQNTIFAQSLMQSSRTGQARAALNEVQTRHDDIKKIEKTIIELQQL FMDMQMLVENQGQVIDTIEQHAETTTGDLEQGVKHIDKAIKYAISTRAKKWCCFVIAI ILCVVIAILVWWFAFDHKGVGGN PHYBLDRAFT_66658 MPFAKVFQQKCYAPAKLAVDQCGVDVRQLPNEVISRVLSFLPVP DLLSVCLVNPRFYLLAIPILVSRLRDTRECHLRLFFDQESRWRYTVDMVLIKATTSKF TFVPIDASASLRLFSSKILRNPVLSKVAWAGKSFQSMSQPISQNFLSRSISLNIKQAG HCFKTTDPKNKKYSFTFTHSVFNTPENTVKARPGERWVVPQLFECDPLFVCQRKGGWT RLFETLHSRPTPRGLDGQTFTSSAKLPPKGQTGGLEEYGTLPNIDGAMAKSNLVSTTL NLVAY PHYBLDRAFT_149772 MYYHDSVGNNGNIDQKTFNQDRLDGSNSNSFQSQSYLQQQMNHD PSLTSSYLSDANDFSLHSSFLKDNLIQRFNINNNNSNNNSSSEWDFTTPTHNSQSYST PQNIRQQDSPRLGYPSQPFLERQEQEILFSDPSFIRQQQFLQNQQRAMQMRIDQEENE HKKDHIDLPPCSRSNLTAMFDSPVSSTAHAETSSLKALDSHQLSCQLSTSQSNAQIHD ESSNINDHFNSLGNNTIRISNGNGISNGNSNGNANINRRSQPPTSNNNRTNPIPIGTP PTGDSFQISSSVPTEIDHRRRFNELQARFRVNYPRKPQKKKGTTAPAHVSASFTDSPS NFGQVQSYTPDFSFGTSMPTLGQDTHPPPAQPQAHQLQGQDTQFFNSSTDAQQANMER RVSLDGRPFSEQTPRRGSVGEKGGIPIPFDAQGSQSIHATSFPSRTMPIQIQRIQRGG MSQPFDAEQHQRRLDDQLEKANFEDITVSELKDMLRQRGRPATGKKAVLLQRLQDERD NIQAARSGRAQRFSQPPPASRRIGEFSRPKSFQGTSPMMSANTPQSPLFSSSPSSVPN TSFLPGSPGLSFSLHRSIANMHIGSPPASLSVSVPSPQQSSSHTRRFSPYGAPSSPRL ASPSPKLQPQIQMSGVASLPDTASSPVSPSFGGFPSLGPSSTISSRRRAYPSSSPMTL SIGSQGGFSNRRRSYAPFTSSALATPDREDDNPFETMTEPINHVAESICDESVYDGTE QINMEGMEWLDPSLEYLVQQAYAQSDNTIGPDFLQGATHEDIMAFLADQDISYDLGTP VDHIKIEEPDSNHHHHHQNGFDFDSFGNMNQGSYQHGEDGQGHVVDEHGVEPMGLCVD EEPFTTEAMVSHTRKCLSASAAVRQLGISIRRRRILGEDQKQLILSYIDENPSAVLTE VCNLSIKQAQFHSVERNSEESIQQRYDWVQKWQQIDQDFQQSDGDNVSI PHYBLDRAFT_172628 MTHFGADYERTFWTIYDSVKQDHSMIDILKGIANTHTKSSFNTF LQTKVFGVHTIKTTIILSELQMDDEGKFIQGQFRVIDIPTRYKGRNKWFRIFDMLT PHYBLDRAFT_182959 MGSQASVASCNKQKSNYSRVSSRQGSNASKRSQEKAPTKKRYTI NDPAKSCFIPERVGERDRSNTQHFALKSLFEGNFFDSIKKYVDFEHHVQILDIGCGSG AWAMDTATEYPTASFIAIDRAAIFPTSIHPANVAFECLDIADVLPYKDNHFDFVHLGS LAFALQSSQWAKVLKEAYRVTKPGGCIQLMEAQLISPGNELVLYSQEKLKSILLQHGQ NPYLYENLGAMLSEAGFKIIQEEKRTVVLNNHILADEFMHILSESVDACMPFVMSVDG LESSEEFQAWKSAYLAARRDTSDVTWFGVAARKPTQS PHYBLDRAFT_172630 MEEVIKLESLFRSCEGSQQVANLLNKIKKVTSEFEGKTGHPSIN FQAPEKIKYPGRRKGGARPKYLPKDFGRANWRKISVSSGHAGLKAMVRLRAKMREGKP AATQKNKKQNKNKQEPLDPVDATKNKIKQIKQEPLDPGCRLTRICFLVDATKNKTTKI KQEPLDPVDAPQKNGFKRPATALEDYQYDNRTSVGKRVKFQPGFPVSHEIIDDVKGGF SPTADGWCGFRVLAHLIYKDQNKFSLVKRDMLAALPKYKTLYTNTFGTDTSQLEKIIQ HGSQLDYSNTSNTNTNTNTNFIPVCSDATRQSFLNLYKSYQFVSLRQHKLSITCGSND SPLCFFLEIIRGKYFTLRVTLITSELKIINIGWCYTDRAKLSSNMLYYAFASIYFINS SLGSL PHYBLDRAFT_66653 MPDNKSLFMRPVNGERRKFNKRSAPEKRRAREEKCLARIISDTK SQFLLVQHKKEKQFEELRFQCSSYPIITNIPTYEVSSTLCAPSSWSIISVVIQRASFD LGLIWYLQHNPLNDSNLFGFMYLEKDCKQKISCNSKLAKYLHHITMFDPKVPSKHTPK KIENLFFFLLKSRVFSLAHINDFTDLRKSLGLIYFIFLVNIHLGALFFTVMNSLSLIY FLTV PHYBLDRAFT_116575 QVLVNVGAKFTPCMRSLPEYSLSSTFASCFRPDATCTLETACGF GGFGGETPNQTFRFFTPIFLHAGVIHYLINMLTHLRLGADLERALGIPRYAALYFASG IWGVVLSSMLAPSTSASMGCSGALFGLIGYMFIDVIVNWKNIKSPVRELMSLLISTII SLVLGLLPGLDNFAHMGGFAVGIVMGVLISPMRTNASKSFRIGTWIARVVAFVLLIIM FVVTIKAFYSSEDPSKICPNCKYLSCLPVSNWCDL PHYBLDRAFT_172632 MSHNPQDSGDWQSEFLTFSLYRVSHSKMRKYLDIMDHILESDST NPKSHVNFPLLLKPRVGKMSSRESTPSSILMDRDDFDETTVSDGTLKSLLKQESELHL QIDALQIEIATLEEKLGKQEKGDELDEQDLEEFEAPEWCVPIKANVMNFDWDSLAAEV QFDVIVTDPPWQLATHAPTRGVAIAYQQLPDICIEDIPVPKLQKNGFIFIWVINNKYA KAFELMEKWGYTYVDDITWVKQTVNRRMAKGHGYYLQHAKETCLVGKKGEDPPGCRHS VGSDVIFSERRGQSQKPEELYELIEELVPDGKYLEIFGRKNNLRDYWVTIGNEL PHYBLDRAFT_149780 MKLNIATFPVPLMANIVANLLESIVTANDLLPCTDITHFHSRAV PNINIRAYLLRILKFTPFTNEVFLSLLVYFDRISRIEKNFTVSSLNIHRLLITSIVVA SKFTSDVFYPNARYAKVGGLPLCELNQLELEFLFLCNFELLVRLEDMQDYGNQLLAHA MTQPELQEEIHHYIIPSPTQSPILPPLVTRPDSALVTPAISSTVKPLVATYPLTPPTD ATTEAPCPVDRSSLVARHQKHRSARSHPYAKPGVFTR PHYBLDRAFT_188463 MVALGDSSARRPTQQSSQANHVLPRSVPDPNRGRIMARIGSSGP ASSTSWRPFLQYSYFLTMFDNLVSRMSFRKKRPILAQVDTKPSPRPTPPVETPKQEDS VVQAEPISSAPTSIAPSLPPLSDSLSPLPNSPLDSSIDVDHLEFSATRELVLLLSDEE WLSAGNKTPQKDILAFPAFEHLLHEPQTFNPGLQTKHRRGSTLSRRIGSALLSGINKK QQVPDMPQNQSLPRLTTDPKAALKKSRSTPNFVEAERV PHYBLDRAFT_78513 MFRAITLARTCRLQATSAHNIRSFSSSSWLLNATSPAGTKESSA PTTPARTVSAAPAGTPLKGLNYLKDRQDPVALEDSEYPDWLWNLLDERKQKQKTKKPV NRQFHRKQNRDAIKALNFMKDKKN PHYBLDRAFT_159940 MTGQNFAHSPYYQQPMNAVSVPLSSVLPQPLIPSPTAAGQHMQR TGYGSGLQRSQTMGSGIASQPTGVRNWQTATPENPFGSPSMSPLSPQMTGVQFSTPNP NAFNYNPVQSPQANYLQPQLTGFPVQQQPQQTGLFPNASPAHVFTPNGSMQHGQSGFS QQPMYTGMNQQQGQFGRGW PHYBLDRAFT_95682 STRVRTWTDRSGAFKVDAELLSYYDGKLRLHKTNGVKIDVPLEK MSMEDIRYVEAHTKHDILKNK PHYBLDRAFT_66646 MNQYKIYLDKYRINRAKLILVKREATNTTVVENYDIHGNLGSLT ACKIINEASQNIDGPQEYDISSVNSQDRTHLASCHPPRKVLYKYKRNEKKIKQINPQN RIRSAELAKVSVIHLSDNSFQLEIIWIQWYSSGAVRYQIETDLWIVTQPETIKIVYEA ATTNNRSNIIITGMLHTITPKLFMPPDDSPVVEDIHLHGMISNLIRSTRLDVCVGEFK PAKYSSSFLVSDSVKIGQQMNEIFLAYGSGLHLFFVNDACRNMFNPDNLSEAQLFEAA RIIENHELKQTK PHYBLDRAFT_66645 MVPLYTNYIQVGLSWTQGLRSTTAIIKSDTSVGLKYTIKFASSY SNNLINSQGFEESIIIWRMSQAGYLIQFSSTAAVYEASEAYGATHNIVFITRSSSSTR IKMLCKHGGEYRDSYTAATIASDAAVNKDNPLPGWERKRVKYIKKHGCQCFVYFSKKK DGKVAMHSCKARHNHTIEEDQVHYNVSALFNYLKKCEYTNVIRQGIENINQHFRKSYK AKEMFGFITTLNDLDFYVRYTVDNTDDKRINMVLFIHKNAIDEGQNIFETYNRRKI PHYBLDRAFT_188465 MFLRNNLLILCILLVEFVHRCRAPPVEQEEADPFAIPPALEILK TIVLGYMAHVLTVRPETGIGPYSTESRRLGCFAFPVMGIGFAAQSIYFAIYGDNILGI SKFKQMLKKYDEETRNSYAKGGENINVNPGSSLFSETFKSNNQKEFNATHQTSNVESF LERTSSLRDWLVESMRANGVYTKEYDNAPYLAALLHTMDPKDAKKVKQCILNENILLG FDSSTYKPLKEHFVKVTKDLSVSGPGSLAEYQTTVRPSYVRYLSISMLNQLTASYNVD DTSYFEVCVTLGQIFFTVVECMEIDGDKWAKVVMIIYTAMSVFQVTSLFALHKQSMPF TVYYDQDLSWQKLIEDRGIYVIKDVKRILFPHKYAPKPLTPTGIVYSGWVYPTSCVLF ILERREFELDRRRLLIDIYGNRVHGIFSILGMGVSPLVCIWADYKSQSITKWLVIGWM VAPVVFHCGIAIQNGTIGYNFLVRSTWFIFISLLSLGCLLTATVFGYIPDYANSFL PHYBLDRAFT_172639 MAISAVSAIGAFNLGLRKSRNMKTNKGLYADATFNHLMILCQLW MSSMRAIQLSNFYLRSPEINSIERFKGIMKEKNQAKCSKWYAITSFYCLKSPTIYFSS VG PHYBLDRAFT_66642 MTQFSSSHQSAYSVPHIGIAAIKHILVLFTFVLFYMQPLRFMFL IWIASRKFIKKNYVGSPKTLINLTFNPIFIVALFRFVFYYLVVLKEQIYKGGPIGYLN KHR PHYBLDRAFT_172641 MGSIKHALQSRLLWKPPVEGDPRLLSPRTKGIIIFCLAICASTS GFSSTIYFPGLPLITEELKAPSIATTLTAALFVLFMGLAPVVWASISDHYQIRRLLFL VSMIIFSVASLGATFVQNIWPLVVLRCIQSIGSSCGNSVGAGVIADCYPIEKRGAAFG KYFFGTFFGPLLVFLVFNLSFYICYTGPIIGGFLILSDKTWRATFWFCFAYSLFIALT LFFILPETYRVNSLYDNPKEAVDVPSSSKEDTEHRESFEHQPTIAAGELEKGDKDRSL NYDNNTDPAHQSPKPRQKMNIIAPFLLLRHPFVFMISFVGGIAFGCMFSIETVIPDLY EKNYGFNSWQTGLSYLGGGVGNMMGSIVGGMLSDRLLMRARSKRGGQPLVEDRLTANL WPAGLIIVPFGLLLFGWTITYKTSVWASIVAFGIHTFGMNQISTSTAAYLVDAMPGQG ASVTAAANLMRMIIACALTLVANPMVTALGAGWMSVLLAGLTWLSMAILFVVKLRGER LRKYSGF PHYBLDRAFT_31818 MAAFPPLKNDLIIRASRGEKVERVPVWIMRQAGRYLPEFHEVRK HHSFFEICRTPELACELTLQPIDRYGSLLDASIIFSDILVIPQAMGLEVQMVPGRGPV FPAPLVTPADFERMHKHVDVDKELDYVYKAITLTRHKLEGRVPLYGFTGAPWTLMAYM IEGGGSKTLSKAKAWLWQHPAETHDLLQRITDVAVTFLVGQVRAGAQMLQVFDSWAGE LGPYDFKKYSLPYIKQISTRVKKELKEAGLDVVPMTIFAKGAWYALEDLTNIEYDVVS LDWTIDPEYARKVTKDQVVLQGNMDPNTLYAPFDTIRETATRMVKAFGKDSKHIGNLG HGILPTVDPEALRVYLETIQTVSAEIRK PHYBLDRAFT_13419 IGGEYQLIEVLGNGAFGCLFLGQAQSDNNYVAVKVLTKSGLDHE QLKLQQLEIDIQSALNHPYLLRLHRVIQDHQYIFMVMELCDQGDLFDYVIRDQQDSDF RDESLVKKAFLQILEGVEYMHSQNIYHRDIKLENILLKYDDNDVEFVCKVADFGLATR ERYSLEYGCGSSTYLAPEHFDDDFPLDGGELMPYDAAASDCWSLGILLLAFLFGRNPW EEASVSDPSFAEFKRDPSMLRQLFPELSSSCFAFIKSALTTDPTQR PHYBLDRAFT_156229 MSKRSFIIEHMEDDVHEWCVLEYKHMLMNIGPDHLYFSGLTQKC LESGMPEELKKANCHQEDVLHLPNVDPSEICLLDPAGTSELAPEDGDKFKYFLFGGIL GDDPPKDRTKELRKLGFAGRRLGPVQMTTDTAVNVTKRVVEDRIPLDKIPYIDYPELK FSRHESVTMPFRYIANLKTIVTKDGKEKVIKKPLMPPGMLELIKKDNDESLEF PHYBLDRAFT_116441 MAPADQASYEHYLVARQAVQEHAVNALTPTQDQKTILIIIGVYT AAILVLWNMPIIKIILSPFKLLTVGLHEFSHAIVGCLTCAKIESIEIDPDEGGVTRMR GGIPMCTLPAGYLGSSLIGAVLVMCGFNILASKVASIILGVCLLFTLWWAKNWLTRCI GLLFIGVMVFLWWLAHGEGLKYFVLFVGVMSCLYCLWDILDDLVFRKAFESDASKFAK LCGSCMSSRVWGVLWFIISLLFFVAGILIGLAAFKGNIYIKKHNNWG PHYBLDRAFT_78507 MPVIIVTFAILPILFSFLFFYFNMSTINNSVNQNQNSLESKQNE ARRLEMEYDALVNECRDQEYDIEFEKKRQQELQKNHQSLSSNLTKLRQQLDTLRSQGT PETYCEYLRQELKANLELDDQLKAQLEPLTEQLESLEQECNNDPEVLRQKDEKIHELK AELERVQSKLRSLRQ PHYBLDRAFT_149793 MSNSNSEISLSTEDNHAIKNEYKTWYKHDGGKDGLTSMERLQQF MLMNCGENLNMYLGGDKEGRTFKSSKVTILNKCNQYFQEQGVYRTTAQIKSRLNNLLT KQYGEAYRVWKNSIKNNSNDEGSTSEKEGLESELNQICPAFFQMEKVMGNRKTGSPAV CDTTKPMEWIHDEDGEQSNGDDDNTDEESAENSHSSNNEEYDSQNDNMSVLCSPPPKS SSSRKRRRVDVEYKELGEEVTRQLNESYVSLEAKIERLYREKLEVLKDDLHIKREREF IHRKFENMMKAVAELAKVQNWSDEKVQEQTDDVYNKTYSS PHYBLDRAFT_178116 MARFSIPVLFVALLAFLSTVSYVSATKGPLVTNKVYFDIKQGDE DLGRIVIGLYGGSVPKTVENFRALATGEKGFGYKGSAFHRVIKNFMIQGGDFTRGDGT GGKSIYDGGKFDDENFKLRHTGPGVLSMANSGKNTNGSQFFITTVKTSWLDGRHVVFG KVIEGMDVVNKIENSPTGARDSPKKKIVIAESGELPVEAAEHIEL PHYBLDRAFT_188473 MSTFKGFLFHDPKKAENSTQQESDEPVTATRFENSKGSLNVEAL SERFEQVMQTNNDVNGDHLNSLSNRQEGFRSFRTPLMNRTLADNQEARRKKALELQKK VRDERISQARLKALAGVSDDEDEDEDEDEDEGEEKENGNQPYFSSKRMRDSDDEMGEN KLLCMSDKYTAKNKGKGKKNKKKNKNKNKNHKYKAPEEFTDLVMYAETMEDIPEDLNT SWSMVVCPVGKRCVVTAARGETIVRSRSGRIMKRFQSTLPNGSRGSRASSNSDYCILD CLYNVEGWTFYVLDILCWKGYSIVDCDTEFRHFWLQTKLDPSELDRPTSVNGFHKFIP LHRLPPTELPSLLGNVNEYVKQVLQREYAVDGLLFYHNAAKYTPGSTPLVCWAPLDQL GNLFLGQRPVANDTEMS PHYBLDRAFT_188474 MVVKTPSGDISAKVHVLMTTGDIPALGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMPSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLDSFSGPFF FALDEMHGICHGIGKQVWGLVCGKYGKDHPLSLSLAAQKEIGTAMVSTRRSIPTSFHG AWINIATRSGYFRAVDWSDFILFVIPTLVAERVRDQAARKALLDLVQTCNLLMSWELS AEEKTLIKTNLVAWNAYLEASLAKGEVQLKVFTINQHLLQHYPAMIEAYGPPRAYSAR SVERAIGEYSRAIKSNSAIGINAGNIMLGLTQIRQMRVENSITTTATVTATTLLQYDD PSAGWPIDREGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFYESKGEECSM IEAVIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGQSYSPVYKDFFG KVVVFFEHKLNNKRCPLVLVNVNNWYTIYQTNCINNGQMKPKVVHLADVKELVGLVKS DATINITTTATTTYIVWPELNHGPKLSLGSLADL PHYBLDRAFT_66630 MSSIQKTDYLNLHPIPANNINKTIKDTLHQLHTNTPYTPRAYFQ ITLLYSVLDPDYHISIAMKNLESNPLPNIRITLQTVDISLFLLNSCMYILASISILFL PFFFSDSRKIFTIMPTNQSNNTLLCWSVYQVCEHPKVIPSVSSRVKPTIRIINYLMRD RKIGLVFNLSFQQRQNTDLSQVCPTKVLGEVFGTAGILSPIHRRVPSFAFEFRTNLLN LAQKVDLFLAAITRAKRFNEPPLGNIGNMDFTSSRQRMAARKHCFCTETESPDLLQSD ARFENFIIGLDKSLFRPTRGLTTSLYVSCDTLEDKVQRINPEYTSFRGVMGSFYYLRC CTSEMYRPASKEVCSLMLAWSTLVIKQNSLLLYGNTMSKAFINASYLSVTNTMPSALL FIRNPDKGNWKRSEDKKRKSLFFEKVDKKQIDHLKLNLLIDKINVDCN PHYBLDRAFT_182972 MGKRKAKRKVVKKLKEKLDVQFNCLFCNHEKSVDVKLDQANKVG HLTCKICDMTWQCPINVLDEPVDVYSAWIDACEDVNKSKRNARVRDRERERAEEDVRP SSSNYSSGRLDPYDEDEDDEDGDY PHYBLDRAFT_116638 MKPNYAFAFDIDGVLIKGKQTIPEAKRALRLLNGDNAAKRHVPF VLLTNGGGVTEAKKAEQISQMLDIEIRPEQVILSHSPMRLLVEKYKDKQVLIVGGVGN SCRDVAKGYGFENILTPDDIQQWNPSVWPFTPPKFDNPVKHDVPKMPIDAVMMFHDAR DWGRDLQIVLDAVCAQNGVIGTQKIDFTAQQIPLYFSNVSKIWSTDFPAPRLGQGSFK VALEQLYETLTEQKLRSTSFGKPHGTTYAYAEHVIKNLSPGGCAEDTKPRVYAVGDNP AADIKGANAYGWTSILVRTGVFTEPGNSSIYPADAVCKHVQEAVEWAIDQEENRANGT L PHYBLDRAFT_149801 MSVSAAFEQYVRNRLQRIHQQAQGGPPYCRPYIVPQKRPRISYN RLNLYWMQDSMCIYLFHFSLKEIQMISSTLGLPSRCEFGHLKVESDFGFAVLIHRYIS GRSLKDLSLLFGMSPTSISTVCTGMQNLIYDKIKWGIQFDTRQFSPANLEIFSEAILA KGASFPNIVGFIDGLVKENSYAKGEVDFTRDYYNGWKHFHSVKFQSIVTPDGITNTLI GPFVGRKKGLSHYTTDNAEKRIEKYLQLSPNREDWFAFYGSPAGIECANIFKPFDSET KDDIEIKSNRCMAKNMLHCVNRTKRHTSTFFNVPPPKLEDYIAGLMRGKIEGEDEDDP LIVKL PHYBLDRAFT_188476 MAEVQQIPAPIALKETKASTVSSVKSFMSGGFGGMASVMVGQPF DLVKVRLQTSEGVYKNTADCFKKIIAKDGILGLYRGMATPLASVTPIFAVSFFSYDLG KKIMFSLKSDKESKELTLAEITAAGAFSAVPTTLFMAPSERVKVLLQIQGQGGEQKYK GPLDVVRQLYKEGGVRSIFRGTGATLLRDSPGSAAYFVAYELVKKALTPAGTRPEDLN PGAVLFAGGMAGVAMWTIAIPPDVLKSRLQSAPAGTYSGVVDCLQKTLKADGPRALFK GLGPAMLRAFPANAATFLGVEYSMKAMNLLF PHYBLDRAFT_21884 MTGSGKGGPKRLRKINRQSIQSIGKPAIRRLARRGGVKRISNRI YEETRAAFSYFLRDILRDAITYTEHANRKTVTTLDIIYALKRQGHTLYGFGG PHYBLDRAFT_178119 MSVRALTSMTRMTSRVSLSPAATNTTRRVATKMAPKTPIDATAA GPMLRYQKNLPKLPVPALHNTLQKYLQSVRPLLSDEDFQKTQKAVSEFEAPGGIGEKL QERLLAKANNPKVVNWMEDWWLDQAYMGYRDPVVIYVSYFFLYKDDKLRKAPANRAAA ITTAALEFKKMVVEKTLEPEYAKGEPMCMDSYKYMFNNCRIPKKPSDFEAQFDPISNT HVVVVRKNKFFVVDTVHNGQQLSTQELQSQYERVIDAAGEAKGLPLGVLTAENRDTWT DYREMLLAADPANKDILHKIESSSFVVCLDDYSPVTRDEGSRACWHGDGRNRFFDKPL QFIVFENGKAGFTGEHSCMDGTATCRLNEYVTDGLSRNKINHGVTARTSLPAPVELSF KVNGQIEDAVKSAEKNFDSLISKHDLTVLAYNAFGKNQIKKFKSSPDGFAQMVIQLAY YKMFGVNRPTYESGQTRKFQRGRTETCRSVSTESIRFCKLMEDPTAPTEAKIGAYRAA LKSQGSYMADAVNAHGVDRHLFGLKNSLKAEEPKPALFTDPANAYSSHWYLSTSQLSS ELFDGYGWGQVVNDGFGVAYMIKANSLQFNVASVKDLEVHGKKYVNGTHQFKQCLEDA ADELRDILLTETPAEAKL PHYBLDRAFT_66625 MTDSHLKLRNPTQYHLLATQDTMTGSFYDALHASDSFSMSEDQI DYGDSFSQQFLSPMTEPFHEFDDLDLDQDREKEKKRGHQLKNYSISQTLLGSSSAMDY TEQSPSDYSLASADFLSQPSCVGPMDIIHSNKTLAQDSYGQQQNQQQKHPMMMMSRSL FQRDPSSNFHGQQQDQQFQMDQLDQMDQLDQSTMFPMSAPANLGCQFGSHKLTLNPVP LNLSVTKSNPRDTPQSYEDDYNTQLVLQTMMEKRRRRRESHNAGNLSLINNLLIHLVE RRRRENINDRIQELGTLLPDSMLEEINNSINSTNAGINSVNNKPNKGAILRKSVDHIR LLQEEVSAHVRRIKELEAILAQQANKLFFFRATDTTKSVTD PHYBLDRAFT_116656 MLGIQNRYAVVKLFEPFGKITFLDYMFHWSGPKKGQPRGYCFLE YEKKEEALKAMSALHGRIVKGRPLVVSFAHMVRTGFKREYDYDYSLFIYFDLDKSRG PHYBLDRAFT_135969 MESVQNLLPYIIPALAAVGSAYFFINRKQAVLHPKDYKNFKLIE KITLSHNTALYRFGLPSKDAILGLPIGQHISVMAEINGKQISRSYTPTSSDDDVGHFD LLIKSYPTGNISKLFGELTVGDSVAVRGPKGNFSYTPNMVKAFGMIAGGTGITPMLQI IRAICKNPADKTKVSLIFANVNEEDILLKKDLDELAAKHDNLSVYYVLNTPPAGWTGG VGFVTPEMISAQCPAPADDVKILLCGPPPMISAMTKATTELGYEKPRAVSKLEDQVFK F PHYBLDRAFT_89576 VQIKPLLLSLTLAQVASFVSAAASTTNGQDYWQSFKSNINPLNV TLPKITQTVSINPTQECTYYDPDTALFNIDPTEWPSIWEVATTNGMNTSAEFTALYNS IDWTKAPNIPVRTLTSSGSLDFTGYDANTDPDCWWSSSVCTVPKIADVNPDIYYCPEP ETWGLTYDDGPNCSHNAFYDYLEEQKLTASMFYIGSNVVDWPYGAMRGVKDGHHIASH TWSHPMVTTLTNQEVLAEFYYTQKAIKLITGLTPRYWRPPYGDVDDRVRWIASQLGLT AIIWNLDTDDWAAGVTTTVAAVEESYTDFITMGKNGTFANSGNIVLTHEINNETMSLA LQNLPAIRQNYQHVINVATCQNITYPYFENTVSFQSFSEYLGLNTTSSASSSASSSAS SVASSIPISAASGSSPSSGVASKVSSLSSTSS PHYBLDRAFT_172662 MLTNNYIESWHKQLKTVFMKRSRNKRLDKLVFVLVYDVEYYLTQ EYECVMSNNGLMSSFTRQQRIREMEAEEVDDDDREMMIVASGTAEDVNWQVRSFVNEN TAYVIQVAEPNLIISCICFDYQQRYKPCKHMYLLKMHTNRSLYFFPPSVTSTNVIQSV STSETATITPTISRTSAFIQQCIDINQTLWYANQDLLTMQQYITEDDGQTLVDAYQCS LQVFQSIKNKYEVHLRRSHTRE PHYBLDRAFT_172663 MSINNTQSNETIYTLATISQAFECSSVPGVMTLRLENSIRIICN TNKQPTNITAEEAKATGIKLCFSQEYSCHRWGTYESKAALHVVQKQTKKNKCPALLRV KGFFKTSEFYEFVVIKDYAEHTPGDMCSDICTLPLAKKYLHELAQQLEQSKSERKVNY YDIWNLMNKINKKLYHLDKDQMTSFLIWMNNKLPALNFNIFKANTSYSPDPSAFAYGF MSLVHNVNEILYTLLMRDEDIGRGWSVAFMLSVSVKIPGSLSSEARILRGVMMKSLQE IIYEDIDEFHHKIVQFKEDFDDQESFLDYFERN PHYBLDRAFT_66617 MFNIIFTMFNIQNALVNSLIKEVKMLPLDTVVSFKANQWLDCLS RIQTLCSTKWIKKKDHKIRGLVFGETRLCHHAGEYTLDWSVCLAQKDSKHCNYAVILY IKQYVDNPNVVDFCMKKDHTNHVPGESSNIRTLPLTSETVKMIENQLRGGSNFRNTRI SVLRQIEEWGTGVRKLNYEDVYNRMRKVFTIKNVSTSEPKKCMREIMACIQHATAPQ PHYBLDRAFT_66616 MQDANSSENTHGSYEKTDPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGLPEPRKGSGRPRKIDERTERHLVQIVCKDPFASFSRLRASLK NMEIFVCRKTIISCLKHLGFGSYIAAHITSSLGSKIFCGNKTGASCSKRTTPAVILGR TQGSGKIAMRSEVLTTGPLKAQISTPSSISGGLSRGT PHYBLDRAFT_149815 MFLSDEDRSLVIWINEKLPSQNYCIFTAELSSFNLVLSSFAFGF QSLSQIALMTISKSVCLDATHGISVRSAEVLYSLVIWHPETGKGISIAYMITNDHTFL RSMLSQQHHLKQQSIFVSFMFFVLEFKQQIQQEQQFLNYFEQRWVGSDEVIRRWGRPY VTQQHL PHYBLDRAFT_172667 MSYNTNNTIYDISNVRQFLINSSLEEIKVLLLNTTISVKASEQE QCLTRINKLCSTKWNKKHKYIKTNLVFRKTRKCHRARKYESQCQTYIAQKDIKTCSCT AALQIKQYISNHNVVTFCQTRAYVYHVPGERKEIRTLSLPSETIRIIKEKLKSDSSYR STRISVLRQINDWGVGARKPNYEEIYNTMRKMTTLLYVFASDENASISIWLNMKLVSM NYCIFDINLSVYNNVKKQFAIGFKSPAQVSIMRIKIKLNTSFTSKQLGNYKIALKNYL SQILIKSDKETFLKAINDFKQLIQDQPQFLQYFEKKWTKNEELKLDRLIFSLTNDIEF FYEQKVERIYFNNSKMGPTENELSRNSFAVSKAQDDMLPSIILNPLNEISNSMDDYNS ECQIKSFITQDKCIHSCLNATVILNFGFFKGREIARVELSRQDYTNENEGKTLKTVSN LDQTDAEEMKRALAHAIQLMDKYRSKNPLYFRSLNTQR PHYBLDRAFT_172668 MSTLTKLSFLIWDGLPFTIAKSKGQTHWGSESIYVTVVNLYKTL LRFVIYEVSLTYRTAAFASIQFYYQSIQNITSMISSIVKHDASTLPTTASIVKKIFPI VPSILSPIFTFSLLIAAISRSLPNISQLLSTNCMQSLSAKLVTFLTSMQSQFNALNEC ITHLESLAAENFQLHAQLANVQQENADLRSQLLQNNVTGSVSSSASLPAPQSTADLGT AASTWATKTSLILPAKTSQVPSACQIATSQRLFSDKTGSNGFEYVYIPCSHRIMHSEV CRSLRILVVDTGCLLDINFPACEVIGVLVHMQYLEEFKSQLVSAKISLVNNFDTLDSK NVADLKFANLSVSGLETQALILQNARCLQARKFLHSHLVLPVAHFFVQSGWIGLEEIP VRLVAEHFGDAPNKKCALDALTAMIE PHYBLDRAFT_172669 MYFDDISARLRPLEDFKVGNPNIYQPSIYTQLSTFSESSFFALD KLHLIARGIRKLVYDLITVTLTKETKFYYTHLDNTLNTTKYPFHIPRADLVTIGNCIT SSRKYIPTLFQGSFDNIFAKIDDTRAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLV KGCALALQWTLTSELLDEMESYFKHWHSFLYQQQQGPLRCYSTRSMERVIGVFSKLIK SKSKGGRNASFLVEQFAIHNYTSMAISICDEINLIWPKPYGRESYMDLPNDPSGAQLW EPFHQFVNLNDDLVEGVGGPSVKEALLKYYWRTTGLTGHEFGDSVVVVAARLWMDLTV YSSCIKCNIIVHSWLVSTVQFYFQHVDFYGFPHFFAFVEVMKEYDAAGHDSSVPIVKQ KSQSTHTLGHQTQPTYAVISINDICHQAGLVQYPPNRNQFYVIVPYYIFNNNMHITKG NLSIL PHYBLDRAFT_172670 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYNSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEPGAKISHGKTVDALLKSKSSVKSHEYDVCSSGCRLYGINDDQESCVDCGKPQTPAA SMKLMSVGDMLSQMLADLATIELLCYRANRESVAGQLTDIFDGDNYKQLVQQGLFSNP DDIAIGLYTNGFVNQKKGKNSYTIIYCIIFNLDPSIR PHYBLDRAFT_66610 MSDINTTLLNSIQKIEIDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQRSLERIAKSVKRAQLTECPDQLGKQVINTGGEFKEKNEAQKYNLLL QILHEQDWKAHCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQMHKRRM AEKNKTQQDISDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPACKRSRRSVNAYF TEQVSILYKEIEYPKYMKYNLNSTVLLHMFCSS PHYBLDRAFT_172672 MLIVFCMLLVPFVQVKHQGSNQSPRLSVSIPSRSSFKVQVKVHR FSIHSIQVKLQGSRQGPRFSDQGPRFSGISKLDEQLLPCFCIFLVSIPSSFYLLLVSA IQVKCQGPDFLAMGIAIDVWRQGCDLPAKCSIIQVNQQDSDLPSMCSANHVKHPGSHL PAMVIDCSVIQVKHLGSDRQSMVIAIQDKHQGSDLPAMAIVSSYPGQASRFPSPRHGY SSSLWLSRSSFKVLISHYMVIVLNPSRSCFTDPDSVRGYRPNSKDQNSNLDYSSNIKD LISNQGYGYTLFSLSLHAFFRIGLVCLRSGYTMKNLFSFQCDRYIHLVWPLVTVGFIS LLASQSKPVIMDPISVQCYSVLAVKVPLLSMPMIPNPSPIQSDRATIKDSISIQYDSQ GQSILVYCPLGQTELPKFYYLPSARVEANDQSFDILLGSQQAFNAQLYIKGRRYTRIF KQFTKN PHYBLDRAFT_149822 MKHLFSDENNIAISLSMDGFNPHNVPGSDDPNSNASWLHWSFLE PMLRDLCLLQTESMGVKTPTTTIRAKVHVLMATGDIPALAKLACHVGHTMGQTPKHDQ YFRMLPGTQTRSLESFRNYNLTSSEDRKGLNDEMHGLCHGIGKQVWGLVCRKYGIKHP LCLSLATQREIGAAMVAAKSTIPTSLYGAWRDVTKNAGFFRAVDWADFLLFVVPTLVA ECVQDLVAQNALLGLVQTCNLLMSWDNLVEWNLFLESLLSTADIDISVFTINQHIIQH YPQMIDLYGPPRAYSTRSMERAIGEYSRSIKSNSQVSVNAGNIMIRLAQSRHVAELTT IANTKTLPANLLVYSAYTNGWPVTEGGDPANAESEIEFWGPLKNLTIFDSFEDRSHLS LLLKTFYDLKGEECSMLEPSIKTSRKTYLNGCVLDAAFNQSSTREACHVHVQLQVDMN SRRSCSYLKPKTIVIHASDIVELVGLVPSNVNGSHYIIWPSLKRGPKLTLGALSDI PHYBLDRAFT_149823 MTNRAELLANGKVSQTVSLSDQSQFTSAMVPNLAFGENINTSSS EDIDIIDSTEDDESIYNFGEECKNVIDEIEGTTPSLVFDFSQPLPVPSNDDKKNLAFM QLIQEFGISHRAHKRILLERFLGIKADRYDICIRGCMQFSNENDIACVKCGEARYKNG QTSKSDTRVPVRSIVQLPLARQLALCLADDKTRAEMLYCHNH PHYBLDRAFT_66918 MQLQMTSFYNEFKDQEFSDRTIVTSTSTLTDIIPRPVSKINDIT LKHIYKIITDNLRIELTEETKRIVNTCTKIICDQLAALPSVQDLGTNPGWSLLPQEDK NRLCINHSIILRDNGIDFTRCYRNWASIARVSQLWRGRKKREYSEILASTIHE PHYBLDRAFT_149825 MTKHIPTAPRRPNLHINAVLNSIIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAVNNVLMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNLV LQLMTKNAKIKKAMTSPSSVMPSVVPVNYSSSMDDDLDLGAKYHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRLQRCCTNVSKSVIMNI IKNHYQNQLLDRHIITYQTYTEAIHEGMNRYDCGNILSIDVMSDGESDGDNKVQAYRP SWRTDELQTFISTIDELTVIRLKKNSESLKKRIPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_66921 MPTPNRRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITGNATAINGIDALSALPASAHVPTSVASTSAALPITESSDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKPKWAIDVRFDRSPNRELVKQLLYYLEKKFAGTDMRTRDLRK CIYTNFCSRCHQQRELPETRRALNTNSRRSGHETDNYTRRRLAYDAYKADIDLKMGQN CSGLIQKSVMSEGKSDDDMSPSQPRNEICVARLSWRSDELNKFITEVDSFVVKQLGAN SRQLLKRVYGRTVESTVPIDLDPALPQWALKYRS PHYBLDRAFT_172677 MPSIPHRRNVVCRCAQCSRNSQGYSLVTSRTAEHHIRKDELERI ERLDMAERLANTVQEEQMMDVDTQYDQADSPDSNAATMADNVSVDDEISEVNGNDSDI ERDMNSDSGSGEEEGVETDVEEFVNEDPFDAPNMPENPVHRFIATFAVLFISRYVVNK GAAVLIEFINQLLKIYGKDFQLPTSLIGLQKMTGFSNYANGIKKSVVCEDCHKVYEQD VPLPTHCDFKKHGSRSACNCELMKVSSSGAMVAKRSYVYNSIQRSLQLHCLGYFDLVR GTIIDPMHNLFLGTPKRMMERWIKEGLIDDRKLATMQTMAETMVVPMDYVVLKSKIGK GFPYMKADEWKSWVLVYSPILLKAVLPIEMFRNWISFVDACRQLVKPSITFSDIDDGH KFFQEFCTECQRIYTPTILTCNMHLHLHLRETICDFGPVYGYWLFRFERYNGLLKNVN TNRKDSFEVTYMNSFVQDTFKGDIVHAALTCPSQVPFLPLLAKLTATAQPSTSKNTIT FSQCPFRLSAFIQAYSNPSLPFLGNEPLPPSTFPLHIEPPSAMSDVNYPHLLDYYKVA YCMPNLEGYQHPCSSFSFVNNQIIKLKSINLLGQVYKGCKYASGRGSFVQSLFLGSQG NNRLAYTDQIQYLFLHSFTSPVYNTELQTCVIYQAKHFQIEHDHSRELESVDICSADF IACDFECILPVHRISSVVTTCDYKTSTNNKKILVNALLHKQYN PHYBLDRAFT_66923 MHLHFHLRETVLNFGPVYGYWLFSFERCNGILKNYINFDIVKGN KPLPPSALPLALKGEISMDESEYEHLLEYYGETYNDQTLVHYRQAGHSDNFVNNWIQK FESIDLLGQIYKSKTKNQRGLFMQALFETSDGRSAKPYAGQIQYLFVNTTVNSFAGHA SQHVFAYPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAVGEYLGLEGEVQMCVVPL PRKIYI PHYBLDRAFT_102330 RYKKENVVLVGLMPGPKEAKTSEINHYLRPLVAELNQLYGGVVM PTVQCPSGALVRAALLLVACDIPAARKTCGFTSHSSTCACNKCNQQFPCLPDSNAVDY SGFVFSEWVPRTDDKNHHDAELWRMASSDAQRKRLERENGVRWSELHDLVYFNLVECT VIDPMHNLYLGTAK PHYBLDRAFT_66925 MNEIGVAATNGKFDKNIKKDKKDKVVFKSEISYFLLLVRGVRHI SVTMTEARVDFIPTRTNKTPSATLSRELQEEELSSLGEAESSVAASPPRRNMRSHHV PHYBLDRAFT_149831 MQNENVDCMEIDYNSDVDVDFNVNEEEDAGLQSFVIDGNDIEEG GTSFGFKKDKAFENPALSSYPLSNMPVYIRFMAIFIIIFHFVFLMDNGGSILIKFCNI LFSLFDLTNALPLTIDSLKHITGFNTATGGIMVYVTCSKCYTIYSPNASTKNCTFKLF THSNTCNNTLFKSTSGNRSSPVMVYPYSSLKHTLQQYFLTPNFEYRINLWRNCQTLAE TKMDIYDSAMWNEIKDNNGKRFVDDPQSLMLTLDID PHYBLDRAFT_159954 MISETTQIDFSGFEYSTWTKRTKARNLGYANKWKEAKNAAEYTQ LERRNGTQWSQLHLLTYFDPVWCTIIDPMHNLFLGTAKCMVQIWKELEYFDNQALLAM QDLANGVVVSPDYAYINKKIADRFSSIKADKWKLWCLIYSPFVLKHILLVKHLSNWMF FVNACHLPTKPSVTSDKISSAHAHLQLFCKGFEKLY PHYBLDRAFT_66927 MLAKIFALMRKKSQGINNSDADLSLKRSCLNTVQASLGDGHTIG KVYKKISEVNTFLRSGKTIITSEDIEAEASKAVEQALSPDSYPVLNQLLQSHIQEEQL YEKYDKTQSAYFEANRCIIKSVVDYLCNQAADKLITPGKIRRKVLHYISSQKLKEKKT DNQTAEMNQVECLSQRHVQIISAYFLS PHYBLDRAFT_66929 MKDMVIIYIQNIFDIRISINTSCILSVPLSSLFTLARFYETHSS AHSDAPSSQQSSGLARMNIPLMNVPCLKNFHLMLPSLPCRDDITTLQIISWSAKKLFA FVKEIIISCFTINVLFLCPFVLGTSNKIFHPKYNKPAEQEVAEDIE PHYBLDRAFT_149834 MTNTRRECAIALCPVEITNQQLMEILSTVRADMSTIKGQIGNVE QTLTNMNGRIGVLATISTNTISVIDSLARAPLAASVRAESTVAAPVVISNHEPTLEES NAVYAHIYNLMWKSKLSLRTPENILANNLKPRWDTNIAFNKSLNREIAKTLLFNLERR FSSSSMRQSDLRKRVARADDNECRRVLAYKNNKEGIDLVMLRDCANTLQKAVLSDGES ANEMDKDGIKHVIHIAMESSANQRICRITTSVSNSAVQNNISSNFTQWALRDRL PHYBLDRAFT_149835 MLMPDAMPKKLTWSLQSKVTQLSTRLFNLKGGNVVYTPQLSEEQ TPQPNTGSFLLMNLAKDTYKSNLVCPLLPKIQPTHATLLVDLSSASASASASASFSSN SGRPQFNLDVFINAANRSIDIIKENDTGKIRTRVSQVSRRTAQHHNKRARFEAEKRSM KVDTEIIPTYQSDSVEAMDGQTNSPILDTVSTFDNDVFVGNDYNGDESDTTDDNDSDD NGEEDTAKIYVGEFNNEDPFAASGIPENPVHRFIATFTVLFASCYVVNKGSVVLIEFI NELLKIYGQDFQLPKSLAGLYKMTGFLSITKDIKRFVSCPDCHCIYEENMSVPSHCVF TNVGAHSPCGCKLMKEPTSGALNMELNIVNTMCDIYNGAIWKELKDASGVSFVACHCS LMLTLNIDWFQLFDGVSCSSSAIYLVVNNLPQEAWFKPENTILAGLMPDEMKQLYIGM QVPTYECPSSANIHAALLMVACDIFAARKTSGFTAHNSTCACYNSVENRLHAEKWKSA CTPLERHQLEVEYGVRWSQLTIIDPMHNLFLATLKKMMDQWDDKKTIGAEEFAVIEKI AETIVLSRDYTKLTSKIGKGFPYMKADDWKSWVLVYSPVLLHGPSIIFDEVNSAHDYL EMFCKKATKLYTPTILTCNMHLCLNLCKTIYNFEATYMRSFVQNPFKGDYGNTVLKSS GHVPLFNILSKLSPKFTPTTTVITLSSCSFQLQSFLLALSNSHLPPKGNEFLPPLTFL LQIKKSSLMDEINSAHLLQHYKTSYDLPDLVSYQYATLTNFFVDNEITKLKFIDLLDQ QYRDKNGSASCGSLVYVIFVGRDGRNTIVYAGKIHYLFTYCFTHPSNRNLHLTRMVHN H PHYBLDRAFT_172685 MNNYIANASIASSNDESQKSELQKDTREDSQSNNEDFEMEEEER NQEINILAIGIEIDACRKKAAEKLIKVLEKPEAKLDDIRKAQEEVANTKERWVAFCDA QEMMSSPSAVEVFRANIEKKAGKYRDNNKADSFIPPNLPAFQLRGGPVRQTNKALRAH NLDFDQHWECLFWLTCDERQHVSFEKTRAGRGLKWKEVRQQLESEHGNPYHLWIKKHE VHCMLQKPGELVRAYAERFLDSVHAANLDSSDELVWLFTSKLLRPVREKAWQTLTQHY GLVVSKNIHQVIPLIVATSGEETDSLFQEERTTSGTKRYQEDNHEYTRSKRGRGEFRR ERRGNNHNNGGSCPLHPKGRHSKDECHILKSITSTENKTFTPPAPRPAPLCRYCHKVP YFNGHKCPEFQLAKAKKPVFANHSTRTVNSEDTLNSRIELDLSQLNLQAQGKHLNIHH LTAPPHNDGSLYVPIIVQSSRVWALVDSRANTSFISPDLVSSLSLPITKCSSKKQHIY LASENSLAEHLGTVENLSLSYMSRKLNHNFVVMSLALGTQMSIGTDLMPRLGMAITNL ATTWDDQKSDSLRENTPDDMPEPNKSPAGTSEEQKQFMEAIEQSIRSNKLIPKTSFCT IPESIIHIDTPEGVTSYRRQYPLPVVYEPRIQETVNTWLADVPSLTEDELQKRIKTMA DVVFPAIAERAKAVTNAQKGKFDKKYRMIQFPPNSQDKLVSLDEVQKIGKEYFTVRAV VAHRELAKGKYEYRVRWEGYEEKDDTWQTPESFSSPKPIADYWDRLANAALRSPHLHA EANAQDANAQYAYVQHDNVHNANARCYAQEANMVPSV PHYBLDRAFT_149837 MLSNPTHTFIAYFAAFFISKYVVNSEGVVLIKFINEVLGHFGQL FHLPLSMSSLYFMTGLTALTKGVQRFIVCSQCNMTYHESLLFLESSRIMTILKKVYQY NSIINSLSMPFYHPGFEEKINEWRS PHYBLDRAFT_66934 MSISNNNQKNTNAQFAQFITKNLLCYNNNNNTLQTEEEPVVNDI DMYIDMDIDVNNVKDQIESDSRFGSESDNEVESTESIDSDSSDDSDNFEDEFEGIED PHYBLDRAFT_149840 MPSIPNLTMKTISTHQSGITEEAYVQTSSPFWEDASMSDDNNVL VGNKEIHNSENNSDVSSNDEESSGDDENSNDKENKDDDKDVVEIKVEEFVDEDPFATP NMSESLVHRFIAKFVVMFASRYVINKGTVVLIEFINKLLTIYKQDFQLPLSLPGLQCM TGFSAMTKGVKKFVVCQDCYKVYEESVSAPFHCDFVKLDKDSVQFVQDSRSLMLTINI DWFQPLNNVSHLSGAIYIAIDNLLQNKQFESENIILVRLMLSPKKPKPEEINHYLKPL VDELETLYVGMKIPTFECPSGINVCAALLMVVCYIPAAWKTSWLCDISDTKQ PHYBLDRAFT_149841 MATNTVLDELKQTELIVKNTILDANAFDYSSDEDDSSSIGDEED NIVDEKNSIVNNFFDIEMNGNPVFNAFSDMFSSAAAADEVSMTDDNSEIPEEVFETIG VVNDSTSCYPFCNLQTMILFAFINGDNDMIFQQMLKKILLAMNLIIKIQQETPIGRTF KLSHLDAFLNYQARKKSKTPVFPSQRISVPGSNGNAFTHINLPSNHLRFLMANPKKSK LISSMPNHTPNQSICLEQDVWFGNIVYLKTNDCRICFLVESFYTANKTFLQESIWSER FRLVEQISHVGTTPVERDHYYSISSSLTRLSPAHDFLLFGVDPMKKSMPLSVLPSNVD CNAVFYKVRVVSIILFTDDTFSNRSKQYNPFESWLMRCTALPFKDRNLIANIQFLSTI PKKDGTDGMSLLPAIVDDFKKLEKGVKMFSAADNEYVLAVAPILWIEADMPCHLELCR LLGPAIIFPCRRCYIELRRAKDFVKDLSYFQRYVLANSTSDRDTAISNAPKIGMNTPA NEISFRDCLTGRLLELQLFDSEKDTSVEIFHMILFVVAKYMVIDLVKVMLKNDTATIA RLSEFLTDYIGSFLSRDFKVLLQILPVILITEFSENYELDLVTSDFDNYIIRVDNAVK HLIRALFNYDKGTKNELHKAYCTKPKVHYLTHLKQDIIRFGPALNYETEKGKQFNKHI CKHLFHTNRQNTSRDVCLKFAKQVALQHVIDSGSWINSSSNQEKSGTGIERFIKDNNE SLFYYTFFDGSRELKDNNNSGDIEDDAIQNNSFDAFVFRDDPISHPCIGLVSGSVVKF LSIVSRTDNDRNNNYAKAVMTELLMMSIEEEAEDREMFASIYNELVSTTKRQFSGIDT EEKRQKKTCITIFRDRLAGEGIKEYDGYFEQKVNAAHTLGG PHYBLDRAFT_149842 MKDKKNWVNMHVYKHAHFGNCTSNCAESVHASLKHSLGTSLSKL KTVTLKVKKWYDELIADRKHRLIVKSLGERTKIVFNKVNAARLNDIHLKVCRFAMDQI KLELSKSILPEKLAKECRCLIQYNYLLPCYHMLVKFNTIPTFCIPRFWRKNYLEGENH LTIQNATPVPPNINNIKPITPEFNYALELICKHFANAQSKQEQINIYQLIERTLKQID AQKLENLRGPTVVEVIKGRPKNTKHKRIALEHCINTKNEKITKKIKTEKEQKKQKISS AKEQKAIKNIINLGSPCDPTLLTNLTIVPKHISTIFSLEADRNCGYRAIAMEAYQNQK EMKHGNMSASNNPLIRSLQDKLLPLPQQYWFGTINYP PHYBLDRAFT_172691 MTKSLVLLMLLMLLMLNGSDIIGNLEVLQWGSLRTAWRDSLKGQ PESVLRHLVTCLGKCHIGGTAWAAWAAWAAWKKMHDKENWVNTYVFKHPHFGNRTSNH AESSHASLKHVLGTSSGKLKTVTMKVVKWYEALVDDRKRRLTMECFGESTTIVFDKIN SSRLNDICHKVYRFAMDHIKLKLAKSIISEKLTKECECLINYNYLLPCYHQLAHITKI STITPQLAYKLERVTQILTNAQSKQQQIHFEEYINKIIELDSKQKLENLNGPTVVEAI KGRPKNTKRKMIALEYCPEAEKEETIKKTKTEKKQKKFNSFDFFRFSRHELSLEKQQK AFKKIINLGSPCDYTLLTNLTIAPHQISQIFSPEADGNCGYRAIAMEVYQNQKRWPEV KDKMLENYLKYQHTHYQGRMEHGHMPASTNPLIISLQDKRSPLPQQHWFGTIDHSQLL ANAYNRTVAVYWNTSRETGDCLFVPFITTPDRFEPIILILDINHFLLAKRKPTRNFNW RQINPFHKAIAVQAVQAVETAQAAQAVPPI PHYBLDRAFT_172692 MDFPLYYSIFYYLSWNGVFPSGTTDIVKRKVQRQVDKYVAFNKK LFQKDTTDLLGQELLHEGIAMEVIVRVHEEGPLTVTYVNEHGTYYLAEPNYCKLSGAV NGDMLVAFHHYKSMISDIQKERAMRQFNAWLKRSNPEATVDLSVAHPSKGTMTQLWCN D PHYBLDRAFT_66945 MNINELSPEQILELIKLGQQAQQRQRDYNGDNLPEEILKDLDEP SAKGLKSNIIRFTKDTLQFEGRKWTKSGAINQIFVPDLKKYTVDAHQIVQGKYKDGDK LQIAGRAASEVFNDLKYIKSQQSSNKDAADFDELIEKVRHLAVYAFASGKTLDEDAKE LSIRAIKLPTRARYLEDEDDNDKDMAFDQEWVEKIQQARYEESVLQSAVSNKRGGYST RGYSNGGHRGRGRGRGDNFFSREQSTCTQKPFFGRGKSNPTGFNTHQSPSTNNNSLNQ Q PHYBLDRAFT_172694 MTRKFEFIEEKYDKSTEFEFTTLQLRYSPSQSNSNSSIHGALSE LAKEFKALKIHLVDTKPQFQQRVMTCFGCGEEGHKRPDYPKANQQESSEKDSGRQTSQ RVSPIPTTGNQVPTPMMVDTAPPVAKKTCRPPRYLPVQLRKKTIWDRLQTLDSGLSMA DWLAMDKLAAQDIKDGLRFIFGRKKKVPVNINLVRVEEETSKSDSESFKGTESSYFKS DEGERGSDTESEGYGSDDTVYDNKYDYKKISTSQPLQAPILINGHLILAIFDSGASVS VISKTLADKLQLLPNAFPFQVWMVKPMSPAKSQNDLVQVLWHPIKSIVGEEESVTKGE GLLSYGEEVLSEGSLDDETHEVLEEVRKLLADNHDVFAEVSGLDRVNLLEHEIPTTSI VPIRSRPYRLIWEEDLSLKKELVLLLLYVVPNTIGADSVMTTDVV PHYBLDRAFT_149847 MRQSGGRAKDIIFILLLLQQLLCVLPTMSTTTSANRSQITKFLA TPESFTGVNESQITTWSAFNEAFKKQFIAKHLEDVWWQELEGIQQSPFNQSMMLRFVY RSYSALSV PHYBLDRAFT_188480 MREYRRKRRQAALLKAREEEARQRIETTKTSRKFYMREYRRKRQ QMALVEVEDTKARQCEEIYENVRKECTKNEPRNGQQATQAEMKKIEEEGENSRTQYMQ ENRRNPRRRRITGIKGQWSLNNLKANVETKSIHSGICKSDTVVVQLPTEPRNKGNGVS VRKCPIRLLYLCTKDRFQFFTSFYHFLYSSPNYPTLLLSPLLLSPLSYADFKYDSIFF TFPLLSFAG PHYBLDRAFT_149849 MRMDLNAEFITPHIFSCCQEGDRQETQPPLAQHFPSLPRPVTRK WAICSWICISALTPPPQVLPSTEALENTQNPTDIGVYGKADFDPLDHQIIAELAHAHK LEHEYQQFK PHYBLDRAFT_149850 MHEESTVETPHTSGRANNIADFTFHTSVKAANSSTLNSFYSNMN FLFGKPLTLNHIVALECQLRHTIVKYRLWSQDLAVALNFRHILNHLSHTVRIPERLAE IIKIGRTRSVLLHKKTTTLHVIRILPIITADIGRIRSEVIRFFAHGRYLFVRFGSCTR PIREGGFGVLNPQTQYYLLQTRWILPLLDQATPLEFAGPILSYLLRQYYDTSDPLLPV VFPELRSSRINSFSIFPTFFRAMKILQLSLEWSTINRATAQELPLSAVFDPSYPLPIY VSCGKLRVNDAFSYYYTIGCIRRRHTNKELYLPEWFSLLTVSPPRDSNSRMASFFPTS PRHLQNFLSSGIGDVPQLHNLSAKVIRAYVRPPLAQMTHTSSGLASIKGQYDTKLQLG SWTLLSSSHLTTFFSALPCSRLRSAIPLYPHILFWDALSVQFGEAHFQYIFNDVPFVN SRVAAIAVLHTRGLELEEHIISTT PHYBLDRAFT_149851 MDEATPFLVLTIKALIKTTEWNPELLRPLQGLVIKVYIIVPYIF LTELARDPFFGLNGCSNQPFFAKVFLSFVDRNTDSSGIIERIRQRELIQHLRRRGATE ERMKKILTEHIFEPARMFKEGVSSHQIEDIFTEERYRNVFMAVSPILTAYPKIYGLKQ DFIYYDSKNLFPITSSNSCILPSSLNILDIDPPTFSLFKVLRVTLRISMNKLELWGKV LGLDSKNFFEQQNGTIKFRGAIQIDGVGISTLKQAQDTTK PHYBLDRAFT_66951 MMEAAYTTASFHFASSIIVLFPTKALEAGLSKKGTDIQSVSGFE LVAQRECKSIGLEFALRLKGRTYSRGAKTLLCVSVETQGSETKRNKVLAYCTELVLLV ITYDEFKTFRIYSQPCCYSKERETDRRYQYTAHLSIFLKEQRGSITAKVDKDFTVCFW FLVF PHYBLDRAFT_172699 MYRKVPCRQQLLYHGIVGISYHNCAPCGVLKNDHSLGPALSKHK FEVEFVFDFLMHPTFLTFAHLHQQFPPCSIVVTNFPIDITIIIGYTPNAKWGAPFQFV FDDVAFVLIRIADPSALHIRRLESESKPALVIF PHYBLDRAFT_172700 MGEYEKLLKRRPAMFDGPSSSASTATATTTATTNLNSNNGPAPM EFIIENPQDTYGHEISDEDEYSDDYILSDSSDDYDETTDDEDTDTRVEYDSQDHIARV AAEMRTFQSLSHAMNAYSNEDSSRQTLYRPNDFADIFTGPTHPFKSKVEFILHALFYG DEDLASERSIKKIMFAMKMVLDVREESGVALDFPTPNAVINYHKQKKNQIPVFPTASF DVVNQDNERHVLWMNKPSDYIKFTMTCPGKSSQISALPDFTENQRLNLNQGEKWKENP LLQHPMITSNGMDYWVGDVVEVQGSPNRYLLEKFFTKDGSILANAFQVYGGHDPRLNH PDDTHFLRFGNSTNFAVSTLKYTIEVDRIMSTVQKDSDLFLGRGFSVSYCPAEIVTYA LTGVQSDLWLNKSRVEEFKRRLPGSGLMKVVVCPLNLYPDDTSGNSTKQYNKYDSYLM YFAALPLETRNKRENALFICTSNHTLNAVEMLPPIVDDLVRLEKGIEMYSEDYGEVVL VVAPLLLFMGDNPRQSQLAMHKGTSAKKFCRKCLIPSPRIEQGSIPDAPPYSPVDHHG SEERTRDFLCAFANADSQSELYLNGCELSYIKNGSEEFLRLEAFDPTKDMPVEILHII PLGLTKYLMTFLWKQKMLTTSEKGRLQEALNSYKSCKSYSRTFRNKLRHTGSFVGRDF KELIQVLPGIMSKLFSDKPSASLFIKALHALGRLSSLVYMRGVDRCLDYYIAQIKHAV TDVTDLLFQLDVQILQKGFSKQDFTFKPKVHLLHHITDDIVRFGSVLQYETENGEQFN KFIREHLFKTNRHSTSRDVATRFGKQFICRHLCNGGSYVVEKPAGNGTRSVRSSIGDF VKLAPVNFPGFNLHFFGSRVNSDNSGLSTPTLCDTLAGVFQSNGQLFLGQVKIVQARD SADRMRKAFFMQKYQIVPNSNVNCIYTPAVVTDNYNNIVVLPLGGLVEVNKDVINIVQ AVDIHLSVGSSNNQKFLNVAKFGMFWWMLMNIAKIY PHYBLDRAFT_156239 MNPIKNIEYRERFTKAIDESLKNIRKQHSENHPLVEEDGRLYFK GVNPRVWLVNEVDDEPLRHFTYLTGLILSDGVTKPDESFLEACDCNIRSTCCRKDNEP CHDTQAYTSRGTLAIPPGTAIYECTSVCRCTTSCRNRVVQRGRQMELEVFKTFNKGWG VRALTTIQPNTFVEEYIGEVIGEEEGQFRGSLYDSISLSYLFDMDFAIRHGLENKYVI DAFHLGNVSRFINHSCAPNLKVHSVFYDSADVYYHRIAFFSNRRIEKGEELTLDYGGG YLGVNDLEGDQEITRNRMECHCKATTCRKWIY PHYBLDRAFT_149855 MNTTFINTNSIHQNVLNMISLQGITISQDVSQLETTLTIDVVHY AVSPLNSGRTGCGGSPPQERYIHFDNMKKITLSNPSFFLLMLMETNFVADKAVAKAVI SICQDNSVTYENTQLTTPFFVSER PHYBLDRAFT_172702 MNHGSQLRVMMEELELLEKLVKGSSKKILSKEPSGERFAIKNAF GTIVISQEYLDDMLQQDINNSQYEEPAIYEFAHTNNMNNTTLNIVNNDKSNNNGSDND NDIIITPVLSTQLRARLSIEKKLRRDEKKNSLYIVS PHYBLDRAFT_172703 MFSFKFMYKDSQGKNINKNGSQALSHIIDENCHRFKTIEKRLTN EYKNCIKEFVAKDASVYVKDMIDYLVLVFGKINMSKETFKTFIVNECNLTFEKIYKQP IAKNSKKNIKVRYSWIM PHYBLDRAFT_66958 MFLIGYILNNCLRINWFSGQRFNNDQRSNIFLGIVNVYEQDSES YTSFMVFLPKKRDLLFEHCTIYLIVICDQTECEDERQIKHFDRAQLWRVYQKYLITIP FELDSPEKNYLQNLKFHKLT PHYBLDRAFT_66959 MQMSHVYVFSKLWITQILLVVDMNLSAVRHIPLGELIIQNYQSQ SNTKETRAIRVGERFKKNIIDKISRRKIFNKNRRDTSCFKKCCKKITMIFEFLTTDLL KAQIEILLRIPGNILRDIWVTRGSVLRMLKI PHYBLDRAFT_66961 MLYEIIIIIEMKLQSVQKIKKFIQIYGNLLSCKTTGALRKVDEK SKRHLKRIIQNSFILYNQASMIWSAESCFCLKNLDESKCFFQKVGERYAEKYFIAAFK WGNGSTFIFSSQLSQNIIKDFPSFLKMEQAVTQRHMLNSGRELIILVDLTSSLSKV PHYBLDRAFT_66962 MLYATKIKALMMIHMLLCLKLNFGIEIAIWDINLDTAAKTNRHI HYRNSYGCKLRLEETRSVKYKPLGGDLRSIIEEHHKCFILDVIAENSTITLEELRLEV ISHFDDVKNVSVSTLCKYLRHFVRITLKRATPIEEKRNNEITLAKRHDFILSLQPEGI LYYQNCIFIDEAGFNFNLIKGRARTKAGQPALVMTSQIFKNFVQQLVEKLDRANAGPY HLVVDNARIHYNSSLREWLEQINKHTLRFLPPYSLFLNSVEKCFSKLFFFVKKHPLDS QKTLMGRIKDGSHSITKRDCEGWFSIQFIIT PHYBLDRAFT_149859 MEEEAIKRKERLEALRKRKLGSSVNNRTTEDSEKKLSFRSYTPA DEKLKQHVKIATPDDIGETVETETKEITKEVLAKAADLFNLAPKKANWDLKRDVEKKL EKLDRRTQRACMYAGMDIGTDIGVDTDVDGCVDGCVYVYMGVRVSQRLTEEDAAAGGN QSNLAEVVANAEAQQKLEAQDE PHYBLDRAFT_116791 DKDALKFPKNLEDLRRLNAILSVYINQHFGNVYVTFFITYVYLQ SFSVPGSMWLSILGGALFNFWTALFTVSLCSAIGSCVAFIISGSLGSVAVMRLIGDRI SKWNEQLVQHKQHMLNYMIVLRVAPLPPNWTVNLGAPHLNVPISAFFWGTFIGVAGPS FIHVQAGAALDRLSSSDELKLFTPINVACLVAVGVVALIPVFIRKRYEV PHYBLDRAFT_172707 MEEDNLAPLIPFEEKNFFCIEYPGYIKNVDRALETMGGEKNISK ALSKAEPLQLKFRPKDPFSHPINGDIVQSSKLLLKVTRRVKKGRPLSEATLETRILGQ INKTCRFGGLADFQYLVPKTTPSVQLKDAIISGGIDRIMNHRFAEDKIDLNNLQNIPP PLFSVSETPCSYGYRQCGTVVKVRVRQPDGSFKIKLINRNRRKGFDIIYINFADKPKK DITNGEEKYKATAIELRKLFNERYIWSRTALKAFLAPEHHEYIPL PHYBLDRAFT_172708 MIIIILIFFHRDISVLPSIAYTFRDGPWRFCWILYGIDPRKDSK YAKYQQVDTRKPVSPGTTVQPERNTRLTRGNNPFAVSVNTVNSTSASAKSQVFSAEAT EPSTRSALYQLCDIGNEDIKSIINNPAYIKEVPTKRSGYYYDCVAHFLRDAVRRKIED VKDRNDQESYESLVKSLPEKVEKEKEALLLGHSTEEIANDLGTMSNSFIDRIERGDIY ADDSDYEDIEDIEDIEEIEEDEDIEDNGNEDDDDEDDDDDIVRSINKLDKGKRVRLED LELDELLK PHYBLDRAFT_149862 MHKRKVAVQFSRLQARSTAVQQEHIDKVQELLDSHYVSAPEARW VNFSFKLHHAFPSHQRLVIYIKDFPTVSAFFFPYRDTENLNNMETSKCGLYVRYSKLA PLVELMSLHQNRPRTVHSLRDMVVLSLWCDDGEAWLFQYTRESDFLQESPDY PHYBLDRAFT_149863 MTDEFKDFNSRTGPRYSEDTMLSSIVGKFNRFVCCNACYDLTPE MLRSQVSHEFLKIHVERAGADLGYSP PHYBLDRAFT_182980 MFNKRTATWFGIGILGLAIHHLSPVIPLLGVGVSVPSIGSEGCV HITGPSDYQFCEDSARSTTEKGVTYSACDPIRDIMNPVMDFEVLHDLSEAKTGAIWRI NYTSENPQPEKLTVRGSPPVSLDFHPLGLAIDFNQENQKSLILTANLPILGGLAGVEI FGLEENAEDGSKELVYIRSINNPLLNAPNNIHIIHDPRFRGSDGVPSFYISNDHYYTH DLMKVLENYLLLPVSTVFFYDARTDTLKPVIKDLIFANGVTGDETSLFVAETNRMVVR QYEIRIKEDKDNEGSAPTISLQYVTKKSFPMAVDNLSYDSSIKEVIVAGHPKGLQFLQ YTFSENKENMTVPPSLVMSWDVQSGNVKKLFSDDGTVYGTSTTALRDSESETLFISGL LNRGVLVCPLKE PHYBLDRAFT_149865 MQSTDEKKLSAGHIEEAPSHTMDADELLLHSLGYKQDLSRSMSA FSNFAIAFSCCSVLSGLTPMWGDAMMDAGSMGVIWGWIITSFFTLLIAMSLAEICSAY PTTGGLYFWVSRLSTSEWVPLACWLTGWCNWIGFAFGITSIDLGLAQFVAGIINIWSP EVDTSVYMQYGIFVGILLLHGVINSVAVNLNGIMNQAAFWLNMLGIIFIVVVGLSITR PLSTGDFVFTQFFNGSGFSSDGYAFLLVILQSQYTLSGYDSAAHMSEETKNSQTGSPY AILVAVAANAFSGLIFLVAISFMVTDFMGQIVSDGAIQPQMIQVFYDGVGPAWTMVFL IFVMLSIFFCGSALTLGSSRMVYAFARDGAMPFSKQLHTLNPKTKSPVIAVWFNIVVA GIVGLLYIINDTAFEAIVSLNTIGAQMSYLIPIVLRITVSRTKFTPGPWHLGRFSIVI GYISSAWLLFTCALFICPTEAPVTADNMNYAVIPFVVIMAFSTGYYMIWGRKWFTGPV RVVDGEEVILEEDDYSVKAG PHYBLDRAFT_172711 MNRARRGLRVTATKWFEMGTKKYINKQGGLVNSKRRLPSKNTVH LGLAIFGNTFLLNEYVKTSYAKSINSNKTVLISRIPVLFNRPRLYRAIYYCNAFAKYI SYFLLNTATPKTQKYYIQGFWLGCTKIYRRRFMALIDVQEMFVQFRISVCICVCVVLG VLNERKILCLTFLRQALKKMIFGTPVYFLCGYVRKYCGKLK PHYBLDRAFT_172712 MLLISHADQYIGQCIVSHLVQHKPLRKNIRILCQDKTQCTHLEK KGIDVRQVDYQHPNQLSLAMRKIDCLLLSVGNESNRVENCKKLCQSAVKSGVKSIVLI SHIGALSLTHEGLCDYGLIEEEVFNASCQFTILRLDWIIQYFHLWAPFVERFRYFPLP ISAEVEMCPIDIKDVCEVVESLVVDKDTSTLLSNIPENYGGQIYILSGPDSLNGQQIA NIMAEATGYKPLSYRNSRAMDISHYLKTMSNDIWFDARLKQERNQAYHSALESYDYAS RAFAAPSASLIQEFIDYFDWISKTASSVCVPHAAVIRSHTSRSVEDFFKEYANSFKPR V PHYBLDRAFT_116771 MGLPLPTFNQTNDELATLVSEAQELIKQKDALERDLKDLGEELN FHGVGMDQPLIDASGFPRSDVDVAAVRVSRNQMYRLRNDYGTVMLQIEEVLHAIHDAK RQQDALNASTASTETPPKVTLANTTEDNTSQSGTTTTLIPFAVVNAVAPDSPAYSAGL RRGDKIIEFGSVNAENHARLQALNELVQQSLGVSIAVVVLREQDQLNLTLVPRQGWGG RGTLGCHIVPV PHYBLDRAFT_149869 MDNELGYSICSSKSSIHVTQEDIDHYQLHGYVVLTRGLTRSQLN ALHEEADILTNHLITEQVDLVHDLGCIIEPLTCGYLDPPSTLDYKIHSAEYRKRRNAI LETNDETSPATIVLETIARLAAELICPDNPKTLNEQYIIKPPQTASYSQFAWHRDSDY LDPSLQNESSVACWTALDPVNCKNGSLLLGDIKDNGSGKGNGVSLDLPAGSIVFMSNR LLHKSTGNASALFRRVFMPQYSLKPFYDTEKAGYVGLAIKCSF PHYBLDRAFT_188483 MLSIARQATKGLSSKTILSASAVHVKAGLLRPLGSVSAQKIRNY GSANNAAAEKETITKLLYNIGSRKEVEQYLRHFSSVESQKFAVIKVGGAVLTDELETL VSALTFLNRVGLYPIVLHGAGPQMNRLLEDAGVEPQYEEGIRITDAKTLEIARKVFAA ENLKLVDALERHGTRARPITGGVFVADYLDKEKYGLVGNIYSVNKEAIESSIRAGALP ILTSLAETPDGQILNVNADIAAAELATVLEPLKIIFLNEKNGIYNGDTGKRIETINLD EEYEDLLKEPWVRYGTKLKIRECKELLDKLPRSSSVAIISAGHLHKELFTDSGAGTLI RRGHKLFKFDDLSKIDSDKIRRILEAEDPAVKSGEVSVAQYLRDLKDKNVSLYTDEPG QVLAIVTSDPSDPSKPAVLEKILASKTAVLNNVADNIWNSIRKDYDALTWRVRSKVDE DTLDRSWHFERADGSLRNPEDGSTMFFYGIRDSDKAKQSLVGAGSKGGKRSFSTFSRP QRRGYASSTRQKNVGLIGARGYTGRELINLINQHPNLNLTHVSSRELEGKPLEGYTKS NLTYVNLKPEELKAQEEVDAWVLALPNGVCTPFVHQVEADVKDGKSSEKVLVDLSADY RFDPTWTYGLPEFNRKNISGATRIANPGCYATGAQMSIRPLLPFLDKNAPPTVFGVSG YSGAGTKPSPKNDPEFLRDNLIPYSLTGHIHEKEVSYQLGTPIGFIPHVAPFFQGISL TVNIPLAKTMTSRDIKSIYSAFYQGEKLVKVLENEEPYVRDNAGRHFVRVGGFAVHAE GRRAVVITNLDNLLKGAATQAVQNLNLALNFDEYAGIPIE PHYBLDRAFT_182982 MAGSKGKVLLAYSGGLDTSCILAWLIEQGYETLAYIADVGQEED FETIRAKALAVGASKVFVEDLKKEFVEELIFPAIQANAIYESVYLLGTSLARPVIARR QIEIATREGCKYVSHGCTGKGNDQVRFELAYYALNHDIEVIAPWRLPVFFNRFQGRPD LLAFAADKGIPVVQTAAKPWSTDENLFHISYEAGILEDPNVTPPKDMWKLTVDPEDAP NKPERITITFDKGIPVKVVNNDDKTVVTEPVALFTYLNTVARRNGVGRIDIVESRFIG VKSRGCYETPGGTILRTAHKDLEGLTLDGQLRALRDQSITIPYSRCLYNGQYFSPECE FLNKSIPLTQVNVTGDVKLKLYKGNTIIEGRVARGPGSKLYNIRESSMDEQGGYNPED ADGFIKVHSIRLKKWTPTEQF PHYBLDRAFT_156243 MKFENREQKFGEHIEEANIQAIDKDEKLLNSFGYKQDLIRSMSV FSSFAITFSSCSVLSGLAPMWGDAMVDAGSLGVIWGWVATSFFTVFISMSLAEICSAY PTTGGLYFWVSRLAPPKYIPFACWLTGWCNWLGYAFGITSVDLSLAQFVAEITIYMQY GVFVGILIFHGLINSLTVSLNGIMNQAAFWVNILGILFIVIVGLVFTRPLASGYVVFT QFYNGSGFSSNGYAFLLVILQAQYTLTGYDCAAYMSEETKNSQTGTPLAIVVAVLANA FTGLIFLIGVGFMVKNFMYQIVSEEAIQPQMVQVFHDGVGPGWTMVFLVFIILSTFFC GSSMILAGSRMAYAFARDGAMPFSKKLYSLNAQTKSPIIAVWFNIIVSGIIGILYIVN DTAFEAIVSINTIGAQTAYLIPIVLRITVSRTKFVPGPWNLGRFSIAIGYVSSFWLIF TCVLFICPTEAPVTASNMNYAIVPFGVIMGLSIGYYMIWGYKWFTGPVRIVNGEVVIE DDYSAQTMTC PHYBLDRAFT_127217 MTLDDSSYRALSIQSHMVSGYCGNKAAVFPLQTLGFDVDILNTV QFSNHTGYPSWTGGRLTANEVQDLFTGLERNGLTDDYTHVLTGYIGNYEILERIEAFV CHLKTKSPKLIFVCDPVMGDGGRLYVAPEIVPLYRDILRTADIVTPNQFEAETLAETT INSLESACEAAKALHALGTPNVIITSVSLPTHALYCLTSHKAITGVTEQHLIAFPTYP GYFTGTGDMFSGLVVARWQEAIDNKVVSPLAAAAFKVVSTVNAVTLKTFNRQKAFIHA ENRGQTGSIEKRPDSPKVIRQCELMVIKGKKEIEDPDSYSSAIKMAAV PHYBLDRAFT_116888 MADLDATFGESLQVIIPQDAQPSKRVLLAPVGSVSNDFDDVRRF KDAAYEAGLKAIKVGITSPLIYFADSPAQNQADWTFSAEQDYAYFIEVTLLGFLEASF EPIDVREHLERTNKPIPGFKELGLVSSLVRESADEVIQKVLAIEQGKRVTKDIGSPDP ERMSPINIVKYLEEQFKDSPHIKMTVIEDIEVIKQEYPLAHAVTRASLAVPRHHPRFV HFEYKSPDQSQVKENLYFVGKGVTYDTGGADIKCSGHMRDMSRDKCGAAAVAGFMKTV ELLQPKEVNVTAGLALVRNSIGSDAYVSDEIIYSRNGSRVLIGNTDAEGRMVMTDLLC EFKERAVAHKQAGDASSNAPSLMFTVATLTGHAIRAYDGYAIALDNGFARRNKVSQRL FDAGHILGDPFEISTLRREDLDVVQPGRSSEDVVQANDKPSTMTNRGHQYPAGFMLIA SGLNKHGLAHDQPIAYTHLDIAGSSETISAVGWSLPRTTGSPIAALTGAFLL PHYBLDRAFT_116715 MGGAEEVDPLEAYMMDVTAEARKINEEDKKRLQEIGKSENKRTN EEDEADFEPSAAAEEDMGSDPEDILALAAKKVKRKDLAPVDHSQMEYEPFRKNFYIEP PELKEMTSDQVDMLRIELDGIKIRGVNCPKPVLKWTHCGLPAGCLEVIRKLKFDKPTS IQAQAVPAIMNGRDVIGVAKTGSGKTIAFLLPMFRHIKDQRPLEIGEGPIAIIMTPTR ELATQIHRECKPFLKVLGLRAVCAYGGSPIKDQIADLKRGCEIIVCTPGRMIDLLCAN SGRVTNLRRVTYMVLDEADRMFDMGFEPQVMKIVNNVRPGRQTVLFSATFPRQMEALA RKVLKKPLEITVGGRSVVCDDVEQIVEVREDSTKFIRLLEIFGQFFNTEETEDSRALI FVDRQEAADNLLRDLIRRGYLCQSLHGGKDQADRDSTIADFKSGVCNILIATSVAARG LDVKQLKLVVNYECPNHMEDYVHRVGRTGRAGNKGTAYTFITPDQERYAVDILKALRL SGQSIPPDVQMLADRFQEKVHTGKERMASSGFGGKGLERFDKDRDMVKKIQKKAYGGD DLEESDEEDIELETRVVSNGGSGSNNIGLGKKDDSGHMSNVPNIPGQAAAAAAAAAAN AAEQGKGSNEQLSAAALAAKRAATEVAARINAMVGSKSRTAHDVVADINARFNVSTTG GGPPQVEEKKDEGNPVYAEEIVINDYPQKARWRVTNKEQISQITEISGAAITTRGTFF PPGKQPGPGDRKLYLFIEGDSETVVDRAKNEIKRILIEATVAQMEAEARTGGGTAGRY SVV PHYBLDRAFT_66980 MLSYQPRLSIHNSEKSDSVGRRLLRNFSSIKSRPSSTMLDNSIQ YHNQDNSDHDNSTSFPALSREHSIRSIKSTNESIRQFFSIRRTDHDKSASIKSTKSRA IKDFFSLTPSISKESTRSKHTPLHSQLFTKADDLQSPNEESPSIFFPPTLEVVSRKGL DCPTKTTSNRTSVQTLVSPFSRKTHVRMSDEDASHYKVLLFDSSDVDQADLNSASNDQ DTPSRRHPSTLLAPPILLTDHDHDNDHDNDHDNDHDNNRDRDRDLEHSTEHDTVDSQP THDWIRYGPPDKNLLSIKPNPKESLSLSLSLSSSSSSSTSSSLLSLSSSSSTGYTKRS SLGPFPIRMSRSSSNLNMHAMYIDNNRNKNDNDNDNDNDNDNNTSTGGTLEEPRSPSP LLSIHTEHAEPTFVRTTGHRCDYYPHGTMLQPPSTGIKHYRSESDLGRLRSRLFDPCP NPSEPLKHPKPDRGLSSILKPLWAHTTNEAINQESDILQSSRPDKDYLLTKPTDQR PHYBLDRAFT_66981 MEHVIDGRACKKTHREGNTLCISENGKDVLVMEMVNGKLQVVAG TNEKLFIKLADEASQVIIDLIDLDYVDTYLMNHACFTTSAELLENLIARFHIEALAGE TEYFDKWQRCIQLKVLNVVSRWIKIQYQDFNNSRQLLRRLESFVNNSIKQAGFSAEAK TLREALDIEMAKCTRSRHSLVALTSYSLVGSGQTTPPSTPCLSSFSIASHHQSHSQSR RPSLAPSLLSFVSSVTPPDSPISSSQQLQSQSQSQSYNAPPLLLLYEAKEIAKYLTLA DFYTFKCITAHDYLNGQWKNQSAEHKGPRNYIGTMTRRANMLTHWVAHELCVLKTPKQ RKTGLRKMIEIAKLCLEWNNFHTSMILTMGLTSRAVQKLDDWQALPSRDTHVFHGLQK YLDVSSNMATYRQAFNKVKSPAIPFLPLVLKDLTFFLDGNPTHTPPPPPPQPSQQVVV MQSETMTTCSSENLINFAKFQSLARFMNRLLSHTSENYSFAGELEHFPFFFGIKFYDE EKHALDGVAEVVEQRIEGVADCYHDSQCVPCCIDKIK PHYBLDRAFT_159960 MSLSSVPTTPFMKDMEDIRASSGPKWSTSGPMTPLTHSMHYPSP ATPQIPGAISLASTLGQPQALSGAINKPTPPLDFTNQQFPQRPLPFATPRYEEDTGPL PMTNNVRETDISSIQNEPQQNQYASVQLMQSVQPVQPTQQQQQKQQQKQQQQQEYPAF IQDIIRLKEENDRLRSFKQDVSDVFCALNFDLGGIEPKPSNIEEIVSGLKRMLLRNKP VSDGEKEKFIKIADSIVE PHYBLDRAFT_182985 MSLCIDNQPSPSTKPSQKSVQFDMQLDIVYTYSATDYDRGGILP FPVLYKLNPSVLSPTQHRPVQKPKLSLAIPAAEDIYCDSEEDSASSTGSLSSVGSVDS FTSSPRRQLRPKLKVDTSICAGPLFFTDLSTNHVRHKVLAEEQDSNTNQNIYLSDYLV PMSAHV PHYBLDRAFT_149879 MTFPLEILQTIVGFLQDDQDELARLCRVSRAFYCLAAPYLYSSP MFSTIHGFISFARHLTKDNARHVQYIELQSANNRWKKSIGPALQRVAALHPPVEVLDL ERCKFTASDMIGVVRNLPQVRHVNMHFAYYVDDDMMRLIGQSWPNLTTLKISSTPITN DGLVALGQCKSLETLFIDRCLMINETGLLGLADKAKSLKRVVARACTDLVLQPHDEEL MKKKIGLVLTDKDYAESGIDDMFDNIIL PHYBLDRAFT_172725 MADIITPRQLFSKYYDIDEETSPFGCTNLNCLENMDRSEVMHRL WNRDLAAVLNFRHILNNLRYNGTIPVRFTRVIRIGRIRRQAEEDLQEGRRLRQRLTRI QRR PHYBLDRAFT_135989 MSLQNQIIVVGGGLSGLAAAHTVVEQGGNVLLLDKNPFFGGNST KATSGINGALTRTQISHEIKDSVEQFYQDTLKSARDLARPDLIEVLTGRSSSAVEWLQ DKFELDLSLVSRLGGHTFPRTHRGKEQFPGMTITYALMEGFEELAEKSPDRAKIIKRA KVEKLIRDEKNSVIGVEYVLVGKGADGTVYKEYGPVILATGGYAADFGEDSLLRQYRP ELFDLSTTNGDHCTGDGIKMAALAGGNTIDLEKVQVHPTGLVDPKEPDAKVKFLAAEA LRGCGGLLLNADGDRFCDELGHRDYVTGEMWKNKFPIRLVLNTAASKEIEWHCRHYAG RGLMEKFENGAALAKNMGITPAKLEETFETYNAIAAGKNKDPWGKRFFQNVPIKMSDY FYVAHMQPVLHYTMGGVEITPTAEIKSTQGGSVPGLFAAGEMCGGVHGANRLGGSSLL GCVVFGRVAGQTASRYLFSQLVNSGQVAQQRLGAIAGHLGAGGLGINLTVNQSQPNRI LLDIAWPGAAGVAGGQQGSQIAAPSSTSQAQPPAPVAEKAAETKGEPKKVEGYTLEDV AKHSTAEDCWVVVNGQVLNVTDFLADHPGGKKAIMIYAGRDATEEFNMMHKADVVQKY APYAIIGDLKK PHYBLDRAFT_95993 EIARGAEGLIRMEGDQTIISRPSDQRTGKDSEDIKAFTFDKSYW SADKSDPTYADQQKVYNDLGEELLDHAFGGYNCCIFAYGQTGSGKSYSMMGYGEDKGI IPRTCLELFNRIQNNKDPDVTYRAEVSYIEIYNEKVRDLLSPKMKGNLKVREHPSTGP YVEDLSRLAVTSFENINDLMDEGNKARTVAATNMNETSSRSHAIFTVFLTQKRIDETS GQENEKVARISLVDLAGSERANSTGATGARLKEGANINRSLTTLGKVISGLAEQSIND ARKGKKLKEVFIPYRDSVLTWLLKDSLGGNSKTAMIAAIAPADYDETLSTLRYADQAK KIQNKAVVNEDPNAKMIRELKDELENLRTRLRVYAPDVVEQLTQEFEIVDSQGVTKKV TQKQILDQLQSSERLLADLNETWEEKLKKTEEIQKERENTLEELGIAVHRNTVGVYAP KKVPHLVNLNEDPLMSECLMYQLKPGTTRVGRNESKAPGDIRLTGSNIQDDHCTFVNT NGTVTVHPNPKSVTMVNGLRIEEPKRLKSGYRIILGGFHIFRFNHPEEVRRERDLQKI VHERNRAGGTSSPCTLLDDEGERPCSPTDSASLMGSEPMDWSDARLEAMKNYYSPDMS FTGVNDDELEKLYDEIARARNIRKIRCESRTDLLNDDDDASTGKDSAICSVATASVVI DNRRESVYTESPIDYTEIEEKQRMKDEFEKEMRSQKKHFEAEIKRMSLRYPSGIIPIY TDAQTALLKGVLERWKKLRYVTMAESVLTHAMMLKEANIYAREMNKRVTYQFAIIEEG QFSSPVSSWEPTSGFNEFNTDEDKSLTSSQKPCIGVRVIDRKHQTMYFWSLGRLKQRL HKMQELYKFIDKPNYCKHLNVEDIFYENPCPKYCFIGSASVSVRNLALHQPYESCVEV VCRSTGRVKGKLRVLISPMAELSALERDLDKEAGGEREREEENPLKASESKIQINQRI LFEIRMLELSGLSESEFSQVHVQFRLSSLGGIPAYSSAEKLFATDPASGFENGSIVLD YSQKISMTVTERVLDLFMHSMVSFEIYGTAQPRVLSQHERWDDEREKPSLEYLQSVKQ LSVQPSLVDQLSVRPEEELLASERHDIVAWVQVCELTPNGSYMPVQVVSQNTLDKGTF GLRQGLQRRISITLSHSSGKQFAWNRISKASIGRVRCLDDKGRIISSPAHEDVQIKLS VRQKVSYKSDGTSQLCSQGAWDSSQHDCTFLNRLTPTNSRILLQLKWEVEAEKCSKPI QFSMDIAVQVQGRDATGASRLRKLLGSSKHLTKCSGMFQVHLRPPMTRRVSQLWRLNT GARPIKGDECLGAWRPRGVSLVNDYRQICERIRRKEDNVFTSQKDGSDVPTDAQAQLL HKVLDLWTHKMGTHQEIVLSQDPPVPGLHDMERQDLCKVSYKLLAEVKLVVETDTVAK KGFMTYQEDPLNNKWVKRWFVMRRPYIYIYSNQSETDEQGVINISSVRVSNSRDMENV VHRSHIYALYTTNNAYILQATSKNDMIDWMAKLDPS PHYBLDRAFT_135995 MEFDSYQGVKAAFKVITTIFFREIKVSGVHQVPKDDPCIFIVAP HANQFLDPGMVIISNPRQFAPLMAKSSFKRKIIGAGARALHAIPVIRPQDLAVKGDGT LTANGTQRITGTNTSFTQQVHFRDLLSISKTIKLEVSEVVSDTELKLKAPLNEEAIEI LKKGAQFKVIPHIDQGVLFEKVHDRLEEGKCIVIFPEGGSHDRSEMLPIKAGFAIMAL GAMAEKEGLDVKIVPVGLNYFHPHRFRSRAVVSYGAPITIDPELVQKYKQGGLAKREA IATLIGAGYDGLKSVTVNAPSYDTLLIIAAARRLYKPVAHHKLRIDQVVELNRRFLLG YKHFENDPRLVEIADKVKAYNNTLKYFGLRDHQVERTSTPTISAAGLLTKRILMLLLL SVVGFPALLVNSPIVLVSSIISAKKQKEALAGSSVKIAARDVLATWKVLVVLVVAPTL YGFYSLLLFAYLYYKTNQTLASSIGFSIAFWLIQPFLQYAGVRLLESGLDMYKSLSPL IMSINNPDAAAHLRTMRDQLSDHITNFVNENGPSVFEDFDSHRFDSLAPKEKDASWRK RVLFDMRNIKSGVIKTWFDDRSLFNFSGHSDESEEETA PHYBLDRAFT_149884 MNACKITVKTVVSTPSSSKSDNKTYDKFKTTFNVASSRLVQKPD FIRFSELVNYIDLKTIRGGYNKVFAELNKEFDAEIDHSSTAAEEYTSDTGGRSSNTFP ETKDETICIQKTLYEDVYNKALQPIQKGVFRRKLHSNLDVLRKTWDSVYGTLSKDHSL FRIIDTHTHIIRPFFSAIYLSPSSPSASHDGSYGTFNPIPSSQSKFPPISPTHVTFPM KVLLIFDLVHLHPQNVGLDYEIEQHVLNGMLVAVWEAHWRTIFDDVPFAPANVSASVN T PHYBLDRAFT_159965 MRYRACQSMPRVFRRDLRVFCHACSMLDCSHLKSSAHDVAFVSG LNFSTSVGEEVLLHNLIVMSMADAAFFLLYKTWETLRVF PHYBLDRAFT_159966 MRYRACQSMPRVFRRDLRVFCHACSMLDCSHLKSSAHDVAFVSG LNFSTSVGEEVLLHNLIVMSMADAAFFLLYKTWETLRVF PHYBLDRAFT_188491 MKERKKKKGKGRVKKKQRVPAFPTETVHALFKKTWAGRNVKIHK NALLLSGEFLKLFTTEAVHRASSQVRRPGIPEEDSRQIDIEHLESILPQLVLDF PHYBLDRAFT_182990 MPENIRVDQKPTVSEKYEVHHHSYANSADTIAEGDVGVQGSYGE TEVNAVNIEAAMDQYEELKRELTTLSHKTSHSGKLEEGNAVSDAFDLDEFLHGVSSEA DKAGHTKKHLGVVWKNLLVKGVAAEANTIATVASFPLGFLRLLISFVIKSKVPERTIL RSLTGFCKDGQMLLILGRPGAGCTTLLKIISNLRKSYTTIEGQVSYGGIDPVTFANHY RGQVCYNEEEDQHYPTLTAKQTLQFALRTKTPGSRLPDETRHDFVNRVLYMLGNMLGL TKQMETMVGNAFVRGLSGGERKRLSIAEQMTTASTINCWDCSTRGLDAASALDFVRSL RIMTDVLHKTTVATFYQASNSIFNLFDNIILLDDGYCLYFGPVEQAKPYFEKMGFFCP PRKSTPDFLTGICNPLEREFQPGYEKSVPQFAHEFQDRYLASDIYRSMMTELEDYENK FTNDSQAQVFKQAMNEEHQKRASNKGPFIASFYQQVHALLIRQYHLIIKDQQALFSRY GTILIQSLITASCFFKLPLSGTGSFSRGGALFFAVIFNSFIAQSELVRFLMGRPVLEK HKQYALYRPSAFYIAQMIMDIPYAILQVTLYSVCSYFMIGLNLTAGKFFTFYLLLFFI NMTMNGFFRIFGAITRSFFVATQLSGVVLVSAITYVGYTIPYPKMHPWLYWLYWANPL SYGYKALLVNEMNGQEYTCEGPGNAVPYGPGYDNWDYKVCTMTGGVPGQNYVKGEDYL LKALTYRSWEAWAPDFIVVVAFFLLFTLMCALAMEYIDSSGGGNTTKFYIPGKAPKPR TDEEENERRRRLAKITDEMDEISTGTTFSWQNVNYTVPIPGGSLQLLDGINGIVKPGH LTALMGSSGAGKTTLLDVLARRKTTGVVEGSIFLNGEALMNDFERITGYCEQMDIHQP AVTVREALRFSAQLRQDESVPKAEKDEYVEKIIQLLEMEDIADAQIGMVEHGAGISVE ERKRLTIGMELVGKPQLLFLDEPTSGLDAQSSYNIIRFIRKLADAGWPVLCTIHQPSA ILFGHFDHLLLLVRGGKTAYYGEIGPDAHTMINYFQSNGGPICPPAANPAEYILEVVG AGTAGKATRDWSEVWAGSKEAKALENELADIDRSANKNPTREARTYAASHWTQFKLVH NRMALAYWRSPDYNFGRFLNIVLTALINGFTYWKLGSSSSDMQNKLFALFSTFIMAMT LIILSQPKFMVERLFFRREYASRYYSWLPWGVSTVLVEIPYVFVFAAFFLFCFYWTTG MTNTAEAGGYFYIMLVFLVLWAVTLGFVIAAASELPTMAAVINPLVMSLLILFCGLMQ PESAMPTFWRRWMYWIDPFHYYVEGLAVNELEHLTVVCTDDNLLKFTPPPGQTCGQYM TNYFSYGGLGYIANPDAVQPEQCGYCTYSSGEQYYSAVYGWSASHKWRNFGIIICFFA FNTIVFLLLVFWRRRGRR PHYBLDRAFT_172732 MSNIQNPYHHLLHKRLSDTQKELILELHRAGMVDAGISTNLDIP TRTISRLVTKYETTGFVEYKSCPGRPRLLTPRKEPFLLSIIKKDHQKILAELAQNLKE ETGKSVSNKTISKALDRMGVRYSTPSKLIKESTLTSEYSKI PHYBLDRAFT_182991 MYRLIKLQTPFSFQIQCLFYSPNKKPAIKQASQQASNSASQQFS YKSSKPESRKPEGQKAERQKAERQKARKLIMTAAMSSLIRGVGKTTMRSGGFDYWGAQ SSNKISLGNFSGIFRDV PHYBLDRAFT_159968 MYHTNLNTNNCHLSEHHLPAHDSPPLIDITITTNHHKLKHPLED CDNYDVIKHLEILKTRLGFARFKLKNGWEKNALPDVEGMWKQRQKQIVDQIPTPRMTQ RDIIEKRVYIQSPGARSAQTKKARLTRSLSNPTELLDSKDRTDSLTFACATAAYKTPV RAKDDSFL PHYBLDRAFT_9893 MTYSTRDIALFVVAFFFSPLAVLIKRGCGVDFLINICLFIFGAL PGIIHGFYIIHKY PHYBLDRAFT_172734 MSDTAIKESEAKQFGQKVESGTSDSSQMTRQKYEDAKMELQDLL GRKKQVDANLVNLETHIYLFEGSYLEDTQNTGNIIRGFDGYLSNRVDKKKQKHTELDR LFSLSSSTYQKALALADEKDQESSQDDRSTYSSESLSMRKDKKRKLKLIDGSRKKKRF DSIERDSEEEGE PHYBLDRAFT_149892 MSRRDKTIIPLLHSMQNEILALKSGQEDMRREIIKLRDELANRE LLPAQSTSSSVDTKVEINLNTNTNDRDDDNENIVLNISSGPICRPVSNIRDITLKHVY RMISQDLGIEVTKTEKSTLHICTKFICNEMAALPSVQALGSRPSWGSIPKEDKEMFCN RHASMLKDAGMDFTRCHGNWASIARVSHLWRDRQRRS PHYBLDRAFT_136009 MNDNLERQGLLENDRTSEDSVGLTLPKPADDEWTRLKNLMRPLS AVIYMSILVGLNDGNLGMVIPQFKKFYNIPDEAVATLFLYCSGGFLLSASVNGYLVKT IGQRATLYLGSVTVLLAYVLIMQGFPFQYLGILMVLQGAGVALIDSAMNVITANVPYA TVMLNVLHAVYGLGAMVSPIVGTWIIAHDMSWKGSYVFLIGAAIINLVLITVGFYKVP MEEEKTEDTEEGPIKEALLHKMTLTGAFYILIYVGLEVTLGSWGYIFLTEGRGGNPVP MGHVMSGYWLGLATGRIVLGYIASKYGEKYIITLSTILTAVLLVVLGYFKDIALDATV LIIIGFLLGPMFPTTIALASKVLPRWMHASAIGFMASLGAGGAAFFPFITGQISGSYG ILSLPLTCLAMAFVMQFLWVFVPSDRPMFPCLQRPHDT PHYBLDRAFT_182997 MSLVINESKAKKAVKALFKHELAKNQDSIRNVWLTINVFEPVDQ SKSNPTRILLKHSIQAPGTQRCLFTKDPTKPVKDLLISKHIKGIHKVIGLTKLKKDYP TPEKRKLLMEQYGEFVADLRVMDKMPKLLGKDVYKKRREPLPLDLKKKDIQKEVIRAV KSTFMDFHTGSCYSVKIASTMLNETQALENLLGCISNIVKETEGGAENIRSLMIKTAD SLSLPVYEAEEEEGVVYEDTDEEDE PHYBLDRAFT_182998 MSLKTAMFAHHNINININIKHIKNAQIYPFVKATKFQVDELVKE YLLFRGFTNTFRALETESRLDKDKGFQVDKIVEELIGYVIHGDVVYMLEYYRYLDVRF FSRLDSRFQRTVKKFELCLLRHYLVHAMQHKKKDKVIEFFDTYGPDLHGKPEWSQWFA LPYVKQPATDPTFETFFSKQWVENYTISLHNFLATTFQNMPLPSLLSFNIERIQRKAQ QTEIESLKSSVDNLKATVDARENEIAKLKHEVAETRREMTDGITLIRRRAASMTTDAK ANGAKAKVGSQEKKNEKTVPKGVITETVAELRDTVDKVHSMGDEEPFVIVSQEEFSEH ASAITHAKFSTEGNLIASCDMDNIVRIWSYKGQSFNPLKVNNNTSNILSMEWEARSDR FLFLGTDIGQIRVYNIENKSVVQEFTMDEKYPWVTQLSCSPVEPMFVCAGSGSKASAD DTRDGVLVAWSMKTMAAIGTFRLDSNETCADINTIKLNHNGQMLVAGDNDGFMRIFGN VDVRSMKSIMEWKTASGQPACMAQFSFDENSIYTVDHSGQLSQWSIHKPGDSLCNSSL QGFPPPAAFSSHKTAIHTDPFVTSPSSTALPPTSLQSITATIAASPILPATNILPPRP KSNPSTSSLSRLSISSNRSGRLPMITLDDSVTQSLLSFSPRSQMVAFSADTDYVLCAS SAYGRRTSNSPRPSSSTSSIFSMRSERNNYSTNSSFAGSTNSGTTQGSIYQVSDGQTA LQFGQQPAYQKITAVDWTNSSNACLLGNMDGSVKVTNLIKV PHYBLDRAFT_127246 MSTPTTNGEAVVTSNPALTIYVGNLDQRVTDGMLNEIFSTIGPV TSVKIMTMRRHGPYGAVNYGFVEFADRTVAEAALLQMNRRKIFNYEIKVNWAQPGQGT QVKEDTASHFHVFVGDLSPDINDEMLSKAFSAFTTMSDAHVMWDAVSGNSRGFGFVAF RDKTDAEQAIATMNGEWLGARAIRCNWATQKGQTATPPPQPGQQLPYEVVVQQTPAYV TTVYVGNLPPTTSQADLMQYFERFGYVVEVKLQAERGFAFVKMNTHENAANALVQLQN MSINGRQAKLSWGKDRPQPG PHYBLDRAFT_67001 MRIQWQSAIILAALITIVSLPSTHAAPPANSTSISTPTTTTTIV PTSSGCTPSNCATLCNPLCSSSEACIIGTMIKCGVCPQPTCVQRAVLGQSPTAANVTT LSDNGNKASNNSNNGNSNNGPLIGGLVGGLVGGALLFAGIGFAFLRHRRNQNRLGFAF QSPNLPRYDQSNEREPKPRVSQETVSTYTRAVPFSRADRLVIVHERIVPNAGETDTPG TDHNQQTEGQSQPQSQPQSPTPTDHRSRQGHPNQDRNSLYVQNEDFDDPYADDDDESR CSSVHSGQNIPIRMATMSQAAKIVYKKPQVMRVNTIKSIESNSQNSTNSPASSLQRSG SVRAILTKDRDHPTNDSSLARSKTMPLRPKKRMVSPTPNDKDMLSPPAHGSRSNNNTY AAKTFNRPLSAPLGATDDPFHDRHSIYSIQEAEDMPSRVASSISSYGTKKRTSYEPKL KDMNKYESTQSMGSEFGSDFPWPPMEMPSPTAEKANHYT PHYBLDRAFT_183000 MTERFVFEDAPPNVIVAMGPDHRSSTAAKTDIQLESGERTRWSC HKAVLAKHSPYFSSMFESEFRESRATIVFLPRGIFTVSALDGILHYMYTAELVANTPP LLGDIYSAADYLGMQLLCSLVADRIRALGHQFNCYCESCQTNVPQILEISRAQVQYQE DDQQMIQIAQAAIKVMTHDPDKTLQTFYTSPELADQLKNAPEVHDYFNQQILERINKG NAIESLYGCFVGLQALQKNDPNVSWSQPLHTTLQAAHSIVTRTIASHFYFYCSEYPSL LSCVDGISYTPEFLSYLLTKTLSNEMNENNTAVFYTGIVRQLMCRHAVQHGERVKEIL QVAKDTILAFMASHVDQLRTHHILDQLDKGLLETLAQDLSVLPKVLVHPEPEPPKNHH FFARSTQPPPPPPPPVRRRVIAVQEVESSKKPIGKVSWFLKHVFVVPFSSKTAKQESK RTFVEKTKEMEKKEAAFSAQNSLRREDICQ PHYBLDRAFT_188499 MGDLDDQLQANTYIWSQSDDQVTLSFLVPETCHSKDFDIVIKAQ YVKAGLKGQPPVIQGKLFAPVNHFASLWQIEKNSMSPVSSMTTSPSLSIASSYAFMSS PNHSPNSSMILPAPALAESSTLDHSAANLAELLQQTAALGSSSPSPDVSQPSSPIMAT PPHIETPPLQGQSHCQPTTKYRILTLHLEKEEEGLTWAVPISGSLEPNTMELDPTSAF HLGVWLEARMGHMEKALDFYLSAAQRNYTRAMIKVAALYEFSFINSNLQADSEVVGSG KTTHSVPRDPVKAFEWYKRAADMPEQAVGANSSSGPDPLACYIVGSTYGSGSTEAGVE KSYVDALAYFNRCMAITSPKIDIDFGVLDGKIPKSALRNHAPHTRDERYFCSAAFQTG LMYLYGSSPAGEQVHSVTTVESDPQLAIRYWQEAGVLGHAQACYNLGILYANSMGVEQ DLFEAGKWFGRAGKLDPTGKLVVPEGVSPVMDWDAIPETTKTKPVELNSKPRRKKRRA VRKAKDTETDSNVLSIVLALSSVLTVAGIAWWYYRRHRN PHYBLDRAFT_172743 MDRTRDMPPCARLKVGHACYTCRTKKIKCDGIKPCMQCKARGRI CVFSKDGVIDAGCEESEEDKDHEMENEDSDSFLFSTTMSTEQEQKQQATKNCETITSH EMALRAKVLQTKDPNHPNYTCFSSQNASKSQNSGHLSHIDLFGDFIKWSTEPLPPSKY CGSTTMPPVDIQLRLLEIFFAECCELLPILPKQHFYQQLNSKGPLITPFLLNTLYMSS CRFAKCLGEGYTPELFYNRARRLLDDFMDIPRVSTVIGLVLLSIYEPEPSSNQSATNH CRAWMYSGMANRMCLELGLNSEKNVDADLPSQELEMRRRVFWGCFCLDKYQSSAWQRP WMICDTVAQVSMPRRLDEEDEDTAKFIQGLGVKIDLTRLCEAGIKLRASIGSMAGQIS SLSSIESRLRDQRSKMTNWLDTLPRSMKWTVPLIVNNRMATTEDIDQLNFKGSIVSDL HLVYNINLLDCLLSMKEDTFIRYHRFITAAHLTHLARQLTSHPAKVMKFEMVGHAAIM AIKVYTCYLYDVTVDISRKAWLMYDQCIAVLFELQKYVTIPKCTQVLEHFLHFKPGFT QATQNIVPDTLFPDNNIVWNISQENIADFSRESLRQSSSCQTNSVRTSESNNENVRRP VSEPWGITSSNALQNTPIVLSQQSSQEHIPINSIGTEGETHALFTTNATTLRTPIYGT HSEMLNNQLPISVPNLSSPYSLTQVLDPIGSYTNYSPV PHYBLDRAFT_172744 MLSTERKDKNRLAQAAFRARQANYSRQRDNALLKLEAKISSLEK SHQEAINRAHHAEQNYINLANELYNVQQQLSLSMIENEYWRSCLTDLQQSLEQPCAVP DYNPDFLIVDSLTEHPD PHYBLDRAFT_116798 PISSPLVDTFNRKHNYLRISLTEKCNLRCTYCMPAEGVPLTPKE NLLTTDEILKLARLFVSQGTTKIRLTGGEPTVRPDLLEIIQGLGELKSQGLESIGMTS NGIALKRKLPALKKAGLDTLNISLDTLDRDMFGIMTRRQGNGFDKVLGAIDEAVRINV ERVKINCVVIRGTNDDQVLHFAGYTKDHPVNVRFIEYMPFDGNRWKSEKMVPYRELLT RIESIYGKLTKLTDDKNDTTKAYQVPGYQGKLGFISSMTDHFCDTCNRLRITADGSIK VCLFGNSEVSLRDLLRQDQSDEKIVQVIGNAVRRKKRKHAGMFELAKQKNRPMILIGG PHYBLDRAFT_116799 MVAVEGKKDSQREARAVGRIVLPSEAFKLLKKNEIHTLKGNVLV VAQIAGIQASKQTSQLIPLCHPLALTFINVNLWLDDEKSSVECESTVRTVGKTGVEME ALMATSTALLTVYDMCKAASKYMVIQDIHVVSKEGGKSGSWQWENK PHYBLDRAFT_172746 MAKKDVAAKPSSSSGGKKAKKKWSAKKVKDKSNNLVVLDKPTHE RLFKEVPTYKLISQSVLVDRLRLNGSLARIAIRELEAQGLIKPLSRHHAQVIYTRATG DEKKPEVQAEAQSDEE PHYBLDRAFT_172747 MCAFFLNTVPFRGEAGVLTPVTINLDRLWFDSSGDQDIKMVKRS NSLNIPSTAMESISYLKYFDILIIFGQLLPLVTIVGYSLTRLDSTRLDLTRLGLSQLD KWFRKYKIVPKSRRILKRAFPLAH PHYBLDRAFT_67008 MTTLNNCELTDTSRKRKQEFNDPKYLTPWSDYMDSNSLQQPSID LFGMPGILQDSAMTDITQANDHSRRHSVAVGELNYHSFPVKQEPATTTTPEQWDPDLS QLFGSSLPSSWSSSSSQGTHRERPNSVHRRTLTLIPDVARITPGPSTPVFFPPNFLDA LNSEEEEHDTFQDTFQDTFPLNLNIDPLGLYDPISSYIPQTFVPTDVDEMTHWVLNAS IKQEALTPPPMQSSPSTSPSPPIPSLQSMSYAQQSDSLKSSIQHYLLQNSPENCYTAM LLTSKVAQKSYGTEKRFLCPPPSTILQGPNWWTHNCKAPADSRVPSPPRLTVHVSGEA ASQTGILDWYSSGTMLDAEGAGAAIAADDPSMLGKCVSKHLHISDVDEKRKRVEVQVN IQLSSGFNLGNFCSQSIKVISKPSKKRQSARNMELCIHHGTPISLFNRIRSQTVSTKY LGVSAGEKDVTGACFVARTGSWDPFIIWIADTTRTQETNNRPPPDTPGMPPPPAIALQ LQTNQPTAILYNQAIVLQCATTGLVSPVMIIRKADRGSLVQGGNRVARPLGSGGECGD EALGDPVSQLHKIAFQIVHDPLRQTAPIENCPEWSLPQSTDPITYLACLSEVVGMHRT TAPRTLLPVTDPAWPYEYDLLSSAVSQENGRVVRKRRVSCDVTKPVTLPAKFQSAMSA KNRRRVNSLNDALPDTTQRRASQSSVTGSRRPSTDSLQGINGGCWTEDVSDAAIWTIV GTDCATYTFWTPSPLANSSDLRPVTPFPRLTHKICRSLDTSDNLVLSGEGLSAKLSVW LADIQLKTEYHKDTLSCIVPPAEELVNNPFVEYSEHSSRRSLPLLLVRDDGVVYKTES VYDF PHYBLDRAFT_67009 MDLCISNLKALGAFDYGAANKLVTRPIRIYPPLNTIFFKLITCE TLYTQLSYMKPKWFTRKDTLLNSQYAHLADEITREISLIDSNPSITQERASVTLLLQS LSEFCRCRQLLISIYHSMATQTTPSGTEAMIQELLLLSNLCTESSLPDHLYILGLGVE KEINILLSLLRARTAIVNYAFQDACISLFVCKQDLSDWKSTCEEQDFLEKSPMHCEQS RDAASTWRLSIFGNTSEKQGKQKQGHVWPQTLRWQARYLDNLAAKMTLYFSTILISKE SILTEDDPEKALWKGLQVDYHDHILVIPPVPPRICTFRRRFNAFSISLVYEVTSTPFH PQGYVCAGTPYEPPQGIHSFPFIYCHPKQAPKDHLPNIISIIQGCRHKLGDPKGSPVY FFDNRISSTYYFMRVDEHVVLVIIYLDKHLHREPTTSDFMTSLVTSLRGSSVIGELVR ME PHYBLDRAFT_78641 MRFSLAAIAVLSLSNLTFALPSFPEEAASASAYEVASASAAAYS PASASVQGSYAKVGSKRNIIEFFKNLMYFEEPTALESSLAEENVLEEVSEASASAYQS ASAYAKRGFLNDPFEFFPAEASAAYGAIPLASASQAAGSMVAYAEDFSVATNTDSVPF AASNDQILNKRGIILNFLDSLFDDLSPSSSSSSSEPAPSSDSSLLKKRGIFLDILEDL VDDLSPSSSSSSSEPAPSSDNSQPKKRGIFLDILNDLVDDLSPSSSSSSSEPAPSSDS SLLKKRGIFLDILNDLVDDLSPSSSSSSSEPAPSSDNSQPKKRGIFLDILGDIVDDLS PSSSSSSSEPAPSSDNSQPKKRGIFLDILNDLVDDLSPSSSSSSSEPAPSSDNSQPKK RGIFLDILGDIVDDLSPSSSSSSSEPAPSSDNSQPKKRGIFLDVLEDLVDDLSPSSSS SSDSSEPSSSEGGLLKKRDALEDFLREFFSDIDFDFPTESASSSSDESAAPTDDSASA PADDSAPPPPPTDDSVPPPADDSVPPPADDSVPPPADDSVPPPADDSAPAAAPALNKR GIIINFLDELFGEAAPEASVSASASAYSVDNARFEKRDFFMDALDDIINDVLPIPLSS SASESESASPASASPASASAYYADAVYKRDLFELIEDLFEDDSSSSQSESASASEPES SASAYAYPAEAYYKREIIGDLIDAVTDDKPSDSSEDEEADTEEAQPAEEDNSAPAEEE ESEAPADSASESASSPATEASEAFVDYGVMEESYQDNLDAQMMAEDVAYLDTLPSMNF EKRAHHNMNRMASASASASVASFASAAYADSDVYMEALERMNF PHYBLDRAFT_188501 MVLPVTSANNVKVYTVSEYRTRVDLIQDFEFPEASNRIKTTRDG KFAMATGVYKPQMRVFEFAEVSIKFERHMDAETVNFEILSDDWTKVALLQNDRSVELH TQGGIHYRTRIPKFGRDLAYHYPSCDLMLGASGTEVYRLNLDQGRFMNPIITDATLGV NCVEINPAHQLFGFGTANGTVEFWDPRSRSRVGLLSQPQVPTTFGTESNLEISALKFR NDGLTMGLGTSTGHTLLYDLRASVPFMMKDHQYGFPIKTINFHDGGAGSGDIDNGNDK VIVSDCKIIKIWDRTNGKHFTSIEPETDINDVCVVENSGMIFTAQEGVQMGAYYIPEL GPAPRWASFLDNITEEMEENPNRDVYDEYKFVTRKELAALGLEHLMGTNVLKAYMHGF FVDLRLYEKARLIANPFAYDDFKERAVREKLEKERGSRIRANNKLPSVNKNLAKKLRE EGNKKNKKKEKETVLDDNRFSELFADPDFQVDEASKEYQLLHPSAPKKAVADSEDEDD EMEDVPSRNTHVDDSDSDRLDGDSDSEDDIVGKIRKERGLFMRDKKKPQTTVRNVTDK KFKTARRGPEMRMGGETGRASGRGDARKSFGTRLKSNESKHKVEGHKMSRTAMGGMEM SFKPKAKASNKKERRPRS PHYBLDRAFT_188502 MSSKTSSNVDLDPIMTRCRDLTTSTQKKIEDLYMLTKDMNVCML TTHTVDSGALVSRAMHACKPQSSDTPADIWFFANVVSKKFEEIKKNPSVNISYVKQST MEWISIAGHATHVTDRSKIKELYRPELKIWYGDLGDGVHDSGPDDPRINLIHVKADTV SYSIKDVEEGSEAFDMAKAMLTGQVPKLVSFRTLSSEELSKSRSSA PHYBLDRAFT_183007 MSALQKFLKLSGSKPEIFPLFGIMGIALGGAGFMAVHQARAPDV VWDHKTNASPWQDVKEGEQVKLYALNQKYTGRYERPRF PHYBLDRAFT_159977 MGKQFVATVVFTALQAVSIGGSVDQLDSSSGAHHFQRRSIEVEE ELTDNEKVFIYKTFLICGGFILVINSFIKLLNTKVTDIYGKIIVTSRVINAIVLWSMC ALSFASLDAFVLLSVMMGSLIFQAAVDLLD PHYBLDRAFT_67014 MSFTRSRSSSIPVPENAIQQRAWISDAFQPYRFPPQLGQDTIDP VDGLVHRIHSRFLGVSLLHSSTNHSANVAFYQVTKYEITEIASSDKQAKINDPSTAIN DLTKNVGINYFGSDQIQTDHSVVLSDSVDTLLSLSEAPVIARSDSHNNHNSNNNNNSS NNSNMMMTDGILLGSEKPLAALPPKKRKRRRIVRFDVVMCLCSPEEVLARDSLEHISA EQEYYLFPHDAVLETLNNAPPFEVIFSFYLPKTSDPSGHHSLCSCHDHILETSQVSYK TKLEEFAAVCSNSCRLTSPTYPSHPQRRWTDPGLERQFRPECHILNVRFSNASRELYM AVKQAVSGFEAAYERMRDLRTLHTQLVASQRADSVLRSETRVDFTIPTEEDTGYIHRT TLLDAWSSSDDNSWEKDSHVYEEDRQQTEFLHSDTALSPKPALGTPFDSPPLITTSVS TNNTKRAAHQCGAEDHKVPELSVMHVASSGSTEKSFVAAAAALETTMAMVIMVNDNSN SNSNFNGGGGGGENPQLLPKDYRGTKKAEKKRKKSGSGAKKDKRAKVKDARKPMIHTT DEELEYGNTYTEDDEMESNIDAARNLSIYEDDESLRALRLSRSSSAAATATAAAAAVF AMSGSREYGARMRSSFEAHSWSSSISDSYSPPLASYITPSLSTPPRQRRHTADISIAE KMGLSSSSFTMSAGVDAVEAAAVLTLLKRS PHYBLDRAFT_183009 MFRSAFSTATVGINASVRSFATQANQAKSSIHLASLADNAGAVS QRIRLGRGPGSGKGKTAGRGHKGQKARSGNGQPVPWFEGGQTPLVKRLPKRGFFNQHG KEYQEVNLDRLQHWIVSGRIDASKPITMKDLLDSRCIHKIEDGVKILSVGAEQFNIPI TIEVSRASQKAIEAIEKAGGTVSLRYFNAIGLRALIHPEKFTKTPKAAAPIRKEDIKY YSQERAQVTV PHYBLDRAFT_57491 MRNFTVNFGPQHPAAHGVLRLILELNGEEIIRADPHVGLLHRGT EKLIEYKTYLQALPYFDRLDYVSMMCNEQAYSLAVEKLLNVEIPERAKYIRTMFGEVT RILNHIMSIMSHAMDVGALTPFLWMFEEREKLMEFYERVSGARLHSAYVRPGGVALDL PMGLLTDIHLWAEQFADRVDEIEELLTGNRIWKVRTQGVGVVTAQEALDWGFSGVMSR GSGIKYDIRKAAPYDAYDKVEFDVPVGMNGDCYDRYMCRTEEMRQSLRIISQCINTIP EGPIKVDDWKIAPPPRAAMKENMEALIHHFKLYSEGYSVPPGETYTVIEAPKGEFGVF LVSDGTNRPYRCKIKAPGFLHLQGSDFMSRGHLLPDMVTIIGTMDIVFGEVDR PHYBLDRAFT_183011 MPPLIQSNWDSVQTRAWASSTVDSFLCDPQPISFEPHNQPNKKT NAGRRSMSIIEQSLLRPTKSLEGTRSKPRTLFTSNSQFVIDQHICQTATNTSTTSSSS SLSQQPSPPRTKRGWTLDRLVFHFFTPTHSLRRKVAQKEQQGLVLWQDAFYESLRLPI SKSSQHPRSAQLKRFILDELLTTESTYLQHLQMAKMLYMDPLLKAAQATPPLVNLRLV ESIFAYLPQLIVLSTNLVDWLTECICICSSGKGVGQVFCEMEGRLEIYIGYAANYATC QRSLAKADRSSAYRQVIEDSRHKKESHRMELSDYLIAPIQRITRYCLLFKDLLKHTPS IEQDYPNLDRSLKCLTALALAMNNIQ PHYBLDRAFT_156252 MLRSLLSQRTSVARIARPALNQTFLNERLSQNDPEMFDIIEKEK KRQRESVVLIPSENFTSRAVMDALGSVMQNKYSEGYPNARYYGGNEFIDMSELLCQKR ALEAFNLSTEEWGVNVQALSGAPANLYVYGAIMKPHDRLMGLDLPHGGHLSHGYQTPT KKISAVSTYFETLPYRLDESTGRIDYDALEANALLYRPKVIVAGASAYSRNIDYARMK QIADKAGAYLMADMAHISGMVAADLLPSPFKYADIVTTTTHKSLRGPRGSMIFFRKGI RSVDKKGKEILYDLENPINQSVFPGHQGGPHNHTISALSVALKQVKSPLYKEYQQQVL VNNQAFATAFLDLGYDLVSGGTDNHLLLVNLKAKGIDGARVERILELVNIAANKNTVP GDKSALIPGGLRVGTPAMTSRGFKPEDFGKVVSFIDRAVTIAINEKAKVKGTKLANFK EHIGDGSQLEDVQALKNEVAEFSRAFPTVGFYENEMKYE PHYBLDRAFT_67019 MGTKDTNVDLSHTHDPEQEATKTRTAVISIDDHSDYVVEWALEN FIRPETDMVVLIHVRHLDVPVAPYINPTGYIEDNDEVGRERSHRLLRGFAKELKKRNI LCRAISIIGEPKTEILRKTREVKADVLIMGSRKLGTIKRTLLGSVSDHCVHHCTCSII IASPKEAEEAPQKSHSFFSK PHYBLDRAFT_149919 MPSKKESCDSTPLQQCLLANNNDQTKCRKEWDDFQRKCREKQKK ESNKPCETCKLPEAQ PHYBLDRAFT_156253 MWRKRTELFLAFFFLIFFFVVVDWIWIPFFETCLATNSDTAKIV HVVAKDAKSGKQIKLNYVNKKITGNGSFGVVYQTRLTDTDEDAAIKKVLQDRRFKNRE LQIMRLVDHPNVCRLKSYFYNQVENKANEVYLNLVMEYVPDTLYRATRHYAKMKQPMP MFRVQIYMYQVIRSLAYIHSLGICHRDIKPQNVLLNVDSAVCKMCDFGSAKILVPGEP NVSYICSRYYRAPELIFGATNYAITIDLWSTGCVMAELILGQPFFPGDSGIDQLVEII KILGTPSKQEIAAMNASYVEHKFPQIKPHPLQKIFSSATIEAVDLLSRLLQYHPQRRI SAIEALCHPFFDPIRDPTTRLENGGPLPPVLDFSKHELSIRPDLIRRLVPPHCEQELL ERGIDVNCFEPIPTEDLKIPPL PHYBLDRAFT_87680 TKIQTAIAQAKQSGKLIISNRGLERIPEEVLTMYHVDPNKIVVD FTSSADAWYDSVELTKFMASDNQLVVLDERVGEEFGALTLLDLRNNQLQDLPHSLTRL KNLTHVQMPHNHLEYMPNVLLEMPQLRELDMSHNRLTELPPMVNLGRLEILLLGNNKL ESLPESIGQLSHLRKLHVNDNQITELPAMEAWQRLEELLVFQNRLTILFSDYPLPSLT RVDARHNQLKELSESSLELPKLLEIFLSHNTLGNADLRMLTCAPQLQTLDLSWNDLDD LPPAVFEVQSLRRLDLGSNRFMSLPSRIGSLRNLQVLTWEGNPMRSIPKGTSATELIE ILRSQLAAENGDLGKDDNEDEGVEGDYETNHASAKTLDLANQNLDDFPQSFVSQIDFE PVNIQLHHNLLSSFPLNLSSFATTLVNLHLQYNEFSDFSLCLPDQIVLPSLKTLNLAN NSIANLVTGNQVSFPNLEELNLNNNCLASLPETLPSAVPQLKILLLNGNRLDQISAKS FGRLTVLDLGNNNIGLLPPEIGLIDTITELTVYGNRFRVPRQAVLEKGTKAVMEYLRQ RV PHYBLDRAFT_97160 SPWYNDVRKALTKTFGLSSFRLNQLEAINSTLNGEDVFVLMPTG GGKSLCYQLPATVQGYKRQGVTLVVSPLLSLMHDQVDTLVNRRGIRAAMLNGEIPLIE KQRVYDCLKVVPPALELLYVTPEQLHRSDVLQNVLKRLHRNNMLARFVVDEAHCVSQW GHDFRPDYKLLGNLKDIYPGVPIMALTATANKMVQEDVLHNMRMKNCRVFKQSFNRSN LSYEVVPKTSKTILGDISNFISRFRHQTGIIYCSTRKHCENVAEKLKSQYGVSTEHYH AGLSTEDRIDIQNKWQEGTVRVIVATIAFGMGIDKPDVRFVIHYTLPQSLEGYYQETG RAGRDGLPAVCRLYYTYADTKTYQKLIDGGDGDYDQKKRQKDNLNHMVKYCENKTDCR RKQILWYFGEKFDPSGCSNTCDNCTSQKHGKRVQRDMTKEASLAIQLVRHTQGDNVTL IQAIELFRGSRAKRFIERGYETLPGFGAGKDLSRNDADRLLKHLATHDVFTERTECNS KGFISSYIQV PHYBLDRAFT_172763 MPIKTIYKFHQACLNHSGLSSVKMDNLMIWLDSEDIEDVEVHVP VGTPPEAATRTKPKIRNERRQRLIHRSLAYQVQEFNQTLIEQAKIVLPNANLHPIPFL IEQIDKKDRLAPHSLTYANNAQLKYSGEDDNSDKVVIKPTSRLKVLLEKTRNIEDIHF KRINSLLEYFADSENISYPEYKKYISPQPRPNVPPPLNTKLPTSPTTQTMSPTQNRFT PRPTTNTAQTIPQSQKNPNVPSIPKVSSSYDRARDPRLKPAHPPKPPTPSQTSTQKFQ DNQPASAPNGSNVPNVSNVSNVSNGSNVSNGSNVSNGSNVSSGSNVSNGSISPVSSSF KSSNINNGPKTSNFPKNANPQGLSNTQNKNISRSLGVDARLPTNTPQQLQKQLGTIPK PNPYSNLPPPIPMPTQPANQFAIQKEAAARKIVNNPLKRKFEFGFTQNSPYSSSSASS YSAISSSGIPMVITSKTNPQNNKQSSSYSSSGVGVGSISGPGGGSGGGSGSGSGSDGG GTNPNPSKKAATAKTKSSGLPPDQPESLSDRGRIIILNVEPTSKKPRVICRTPAGDIV LGHPNEFDEACHSQHVNRSDDLHVRNYNKVPYFVCRDGNHWKIIGPVSRIEPVVRDFI LKKGSSQVPEL PHYBLDRAFT_159981 MLDESIISEIQQTVCECTRDTPPQEPICTLKSCLKKLPEPPVAQ KKKQKKSNKKRKRTLSKSENTYKDMQQAHSRLTPPSLSVH PHYBLDRAFT_22165 MTADKAAIRRVVSVPNGADLLNITSLAQQQKNQENPPLHFLRLH DLHTQQTNHHHDHDHDHDLAPPPTYDSVSALTKHSLCRRTYSTSSIKLRQVEVGPASF QKIRMLGKGDVGKVYMVRQKNTDKLFAMKVLSKREMLKRNKIKRALAEQEILTTSNHP FIVTLYHSFQSQDYLYFVMEYCMGGEFFRALQMRPGKCLTEQAARFYAAEVTAALEYL HLQGHIYRDLKPENILLHQSGHIMLTDFDLSKGSRPPGNPGVIKSSWPYQPLIINTRS CVDGIRTNSFVGTEEYIAPEVVKGCGHTSAVDWWTLGILIYEMLYGTTPFKGDHRNET FSRIVYQDPSFPNQPAPHQTPISSACKNLLRKLLHKDEFKRLGSRAGAADIKQHAFFK TTNFALLRNATPPIQPLIYQSNGIDAVNFRRMPPESFSLDLNTDNVLITEKAGTNPFE NFSSVTLHHDGDSDTEDFY PHYBLDRAFT_67026 MKGYSGQYDSFTINVLIFKKTNIRSNLILKQELDKPSNAIFIYI SNFYLFLFIFEKTLFEIAGAILQKSMHLLKRLTYIHIESRALTKNSQPSGSFSTSGYL IKDPDLTL PHYBLDRAFT_32013 MLRNVVRVARPLSLAARGLAPAARLNLGAVAQAARYASSKPSTA EVSSILEQRILGASAEADLQETGRVLSIGDGIARVYGLKNVQAEEMVEFSSGLKGMAL NLEADNVGIVVFGNDRLIKEGDTVKRTGAIVDVPVGPGILGRVVDALGNPIDGKGPLE TVGRSRVQVKAPGILPRHSVNEPMQTGIKSVDSMVPIGRGQRELIIGDRQTGKTAVAL DTILNQKNWNNGSDESKKLYCIYVAVGQKRSTVAQLVRTLEENDAMKYTIVVAATASE AAPLQYLAPFSGAAFGEWFRDNGRHSLIIYDDLSKQAVAYRQMSLLLRRPPGREAYPG DVFYLHSRLLERAAKMNKAFGYGSMTALPIIETQGGDVSAYIPTNVISITDGQIFLEA ELFFKGVRPAINVGLSVSRVGSAAQTKAMKQVAGSLKLFLAQYREVAAFAQFGSDLDA STQFLLNRGARLTELLKQPQYTPLSIEVQVPIVFAGVNGFLDKLPVGKVVDWEKDFVS YVKTQHQAELDEIRTKGVISKELDAKLRNICETHVKTFL PHYBLDRAFT_149927 MLQGDDDLTAGFLDTSSTKFLFTTRTVSPVYTNQFDNPWGGETS FEPETHTNILTPRDAVEDALLSSGITPAMGVDVPELYDTAYIRSGPTGDRVSLESLER VMGLGGVTIIGIVVPPGSQYVTRNEFNTALALVACAQKHMDVSLETVQQHRNDLPELI LANIDTMYTQRTPISSRVNGQRLQQTRLELQKQQQQQRIVDPWVTTRPLIQNENTPNH YNPEDLRPTIPTNPQELIRSRGINPSSTATTINNNNNNNNNNFITSNNNNNTTTTTTT TTTSATTLSNKRHLADKLPSEAHQWFAQMDRVRVDLMEEKEGFLFKHANYKIESEQHN CSVLRRYSDFCWLAEMLLKRYPLRMLPTLPPKQSSHTTERFLEDEVFLERRRKGLERF LGSIVRHPILAKDELVAVFLTESSALRTWLRSHSPLIEEEFMHQHLSFEEVERRVPDQ LDLQLETLRKRLEPLTQLYHTLCSQMERMIRLEEAQAAEFDHYSATLNAMCTLEQACY VPECLPCGFVIHGYESVSKHMQRAGQIQNDQTLVTRYTTLENLRRQRDLLISFKEMLD RRDRLVPSVPTSKAKRDPDRESESYLGTPRVSAQQQRRTFVQYCLASEISFLHKHQAF VSQLYQDYAFEQIKYERKLSDNWKILQVLASEMPSEPDDFA PHYBLDRAFT_136038 MLEARLNQARLLKSLLEAIKELVTECNFDCNDTGISLQAMDNSH VALVSMLLRCDGFDPYRCDRNIPLGINLVNLSKILKCARNDDTLTLKADDGGDVLSMV FESKDSEKISEYDLRLMDIDSEHLGIPETTYDAVVHMSSAKFSEICREMQVLSDSVTV ECTKEGIKFSGDGEVGKGGITLKASSSVDSDDDSTVIELQQTVCMTFALKYLVNFTKA TPLSPRVSLNLSAEVPLLVEYKLDNLGHVRYYLAPKIGEDN PHYBLDRAFT_97119 IFYSGLTGTAKGFATQLEDQIKAVSEAVKVKQIQVLDITDYDNE DLLTETSVCVFVLSSYNIEGPLDWFTKWLRDIRYDFRVDRIALNKLRYAVCGLGDSAY GDEFNVASQDIDKWLGQLGATRIYPLGACDKNADQKTQFETWAHQFVSELQDSHSLEY APTNIEYDSEGEEAEEAEGEDGSPGSGDEMVDLEDMGNMAAKLKAAKQDPLNDQPKEV REMVSPMLHKSLTKQGYKIVGSHSGVKICRWTKSALRGRGFCYKHAFYGIQSHLCMET TPSLACANKCVFCWRHHTNPVGTVWRWKVDDPKFILDGAMENHYKMIKQLKGVPGVKA ERFQEAKTIRHCALSLVGEPIFYPHINEFVTMLHERNISSFLVTNAQFPEAITNMVPI TQLYVSVDAGTKESLKKIDRPLFRDFWERFLGSLESLAEKGQRTVYRLTLVKDHNTEE IDNYVDLIRRGKPSFIEVKGVTYCGYSGASNLTMANVPYHVEVVDFCKKVIAKLGSGY EISCEHAHSCSILIASTDFKVNGEWHTHIDYERFFALVKSGQPFTSLDYMAKTPDWAI FGTDEAGFDPEETRFYRKNRK PHYBLDRAFT_183019 MTPSSLSPHYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_172770 MAIISILRNTCDPVINTVERWVRWVAGELPLDTDWTQSTKGSWY IPPRQHAIEILCLSTAFACSSFYFLERTILRSGTWIQTLLATFVPLGPATLTEKLLLT SLVASLGLTLSHKIKRKNVLFMLQPCHVSALLLILVMAWPDKRSAIPQLLFNVYLHTQ WGGLAALMFPDLRDHKLVGETFNFFAEHILILVAPFYMIYSGRYLVLPSTPSMALLSF SIYGFFHSPLLHFCALRSGLNLNYLFTPPPLKVLLKLGPMYRVALYSTAFVAMFATRY LIVDGIMMVLPRKPLTVFSMGL PHYBLDRAFT_136044 MAPKTDKPVAGKAPAKVVEKKVKADGKKKRVTRKETYSSYVYKV LKQVHPDTGISNKAMSILNSFVNDIFERIATEASKLASYNKRSTISSREIQTAVRLIL PGELAKHAVSEGTKAVTKYTSSK PHYBLDRAFT_56099 MSGGKSGGKSAAGAKSQTRSAKAGLQFPVGRIHRLLRRGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKSRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHATLLPTKTKKAGASQEV PHYBLDRAFT_39809 MARTKQTARKSTGGKAPRKQLATKAARKSAPSTGGVKKPHRYRP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQEASEAYLV SLFEDTNLAAIHAKRVTIQPKDIQLARRLRGERS PHYBLDRAFT_188516 MTGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG ALVALA PHYBLDRAFT_188518 MSGGKSGGKSAAGAKSQTRSAKAGLQFPVGRIHRLLRRGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKSRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHATLLPTKTKKAGASQEV PHYBLDRAFT_178141 MAPKTDAPASASAPAKVVEKKVKADGKKKRVTRKETYSSYVYKV LKQVHPDTGISNKAMSILNSFVNDIFERIATEASKLASYNKRSTISSREIQTAVRLIL PGELAKHAVSEGTKAVTKYTSSNK PHYBLDRAFT_183027 MTWMDACPTRLHHNRKKRGHVSAGHGRVGKHRKHPGGRGLAGGQ HHHRINMDKYHPGYFGKVGMRHFHLKQNQYWRPIVNLDSLWSLAGEGVREKYKNTEKV PVIDALQHGYGKVLAKGDISQAAIVRTRFVSRRAEEKIKAAGGVVELIA PHYBLDRAFT_159993 MEAVQTFGRKKTATAVAHCKRGRGLVRVNGSPLALLEPEILKFK VYEVILLLGEERFSNVDIRIRVNGGGHTSQIYAIRQALAKAIVAFYQKYVDEASKKEI KDILVQYDRTLLVADPRRCEPKKFGGPGARARFQKSYR PHYBLDRAFT_127300 MSPVRIGYVPEHFSTPLYIARDNGFFKDNKVDVELVCCPGGTGE MTQKLKDKELDIAIALTEGLVAGIAKGQDWYKIVGTYVDAPLCWAISTGAESKHRSID TLSKSDAAISRIGSGSHIMAYVLADQQGWLNNNNNKTATGEPFDFTVLNNFKAMRDAV NDLSSDFFMWETFTTKPYHDSGEVKRIGQITPPWPAFLFAAHTDLLESSNSDQIKQAI LAIQKATELFIDQKENESVERIMSILDYPEQDVRSWFKTVHYAADPTLVSRQAIQVTV STLIKAGVIERPGPAPEDVCDLKVAHLGD PHYBLDRAFT_127304 MTCITLTETRPSTLFSPKLISPEVQNSLPNGYLLRPLKRDDYKK GVLEILAQLSTVGEITQKQFEERFDLMQNLGSYYLIVIEDNDRIVACATLIIEYKLLH GCGKTGHIEDVVVHDSQRGKRLGQRLIEQINHMAKSLGCYKSILNCNQHNVPFYEKCN LSLANVQMAHYFDRD PHYBLDRAFT_116847 KYSYLVIAKDFTDPGCLERRMAVRNQHLVEITEINKQGLVYVGG ATLDSHESGKMTGSAIIAWSDSVEQLKDRIENDPYYKGKVWEKYEIYPYRIAIHGETK K PHYBLDRAFT_116724 MDASNVEAGITTSEEFKLDEFLNGVTSKLDEAGRRLKHIGVIWK NLVVKGVGAEANTIATVASFPLSILGILKNMFNKTKISEKVILRDLTGFCKDSEMLLI LGRPGSGCTTLLKIISNMRKSYTDIEGEVSYGGIDPRTFAEQYRGQVCYNEEEDQHYP TLTTKQTLQFALRTKTPRARLPNETKREFVNHLLYMLGNMLGLTKQMNTMVGNAFLRG LSGGERKRLSIAEQMAASSTINCWDCSTRGLDAASALDFVRSLRVMTDVLHRTTVATL YQASNSIYDLFDKVILLDDGYCLYFGPVAQAKPYFENMGFFCPLRKSTPDFLTGICNP LEREFQPGCENSVPQFAHEFHERYLSSDIYQYMMNELEEYDALFKNDGQAQEFKEAIN EEHQKRAPKKSPFIATFNQQVMALLIRQYHLLIKDKEALISRYGTILIQSLITASCFF KLPLTGTGAFSRGGALFFSVIFNAFVSQTELVRFLTGRPVLEKHKQYALYRPSAFYIA QMIMDIPYALAQVALFAVCSYFMMGLNLTAGRFFTYFIVLFFINMAMNGFFRFFGTIT TSFFLATQLSGVVLVSAVTYIGYTIPYPKMHPWLYWIYWANPLAYGYKSLLINEMNGQ RYSCEGPGNAVPYGPGYDNWDYKVCTMTGGVPGQNYVKGESYLLQELTYRPWEAWAPD FIVVVAFFLLFTAMCCLAMEFIDSGGVGNTTKYYKPGKAPRPRTDEEENERRKRLAKI TDEMDAISTGTTFSWQGVNYTVPIKGGSLQLLNSVNGIVKPGHLTALMGSSGAGKTTL LDVLARRKTTGVVEGNIFLNGEALMNDFERITGYCEQMDVHQPAVTVREALRFSAYLR QDESVPKAEKDDYVEKIIQLLEMEDISDAQIGMVERGSGISVEERKRLTIGMELVGKP QLLFLDEPTSGLDAQSSYNIVRFIRKLADAGWPVLCTIHQPSAILFGHFDHLLLLVRG GRTAYYGEIGPDAHTMINYFQSNGGPTCPPEANPAEYILEVVGAGTAGKANRDWAEVW DGSNESKALVAELEEIDKTADKNPTREARTYAASAWTQFRLVHNRMALAYWRSPDYNF GRFINIILTALINGFTFWKLGSSSSDMQNKLFALFSTFIMAMTIVILSQPKFMTERLF FRREYASRYYSWFPWGISSVLVEIPYVFVFSAFFTFSFYWTSGMVNIAEAGGYFYIIV IAIVIWAVTLGFVIAAASEQQTMAAVLNPLIMSLLILFCGLMQPESAMPTFWRRWMYW IDPFHYYIEGLAVNELEHLKVVCTDRDLLRFSPPPGKTCGQYMSNFFAYGGLGYIDNP DAAQPKQCGYCNYNSGKEYYTKVYGWDASHKWRNFGIIICYVAFNILVFLALVYWKRK AKR PHYBLDRAFT_67046 MQDANSSENTHGSYEKADPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSPEPRKGSGRPRKIDERTERHLVQIVLERNDGGARAIRKVGE RFKEENIIEGTKWGKGSVMIWSCFWAGGFGPLVFLDGNVNQDQYVNTLAQNFLGSKIF RGNKPGASCSKRTTPAVILGRTRGGGKIAMRSEVLTTGPLKARISTPSSISGGLSRGA PHYBLDRAFT_149946 MSTASNRASNILRDPLKYMVAPLLCWFVDFFTTLYNYAVRPWLS KWHELKDNKPENRLLNDLRNATSHDEWESRAIELDKLLKNDVWKAQPHSRLYDYKLIS ARLERLRRAREADDVDSMTYLLRGGLLRNFGGVCDRKLFTHSYMGTKDLIEDYMNEVE TQIEYIETKTDFDAQAKLKFLTDTRQGFGCSALVLQGGTGFALYHIGVVKALNEQGLL PRIISGTAVGALIAALICIHTDAELPHILQPEGINLSAFSKKSDKGHFKRRVTRLLKY GYLMDMKVLQQCVRTNVGDITFEEAYARSKRVLNISVSSSRTQEVPQLLNHLTAPNVL IWSAACCSTASIGLFGSCDLLAKDKNGNIVKWSSSSVKWNHWSEASPAESEAPLYRLS ELFNVNHFIVSQASPYAIPFIAKAQNLRQETPLNKIAYLVASEFKHRLYQLDQMHILP RFLRGVIEEKMSGNVNIVPHLHLSDFNMLFSNPTHSSLAYWILHGERSTWPLLSFIRT RCMIELALDRALLRIKSSTAEREAIVAQTKNIELKKRARSMH PHYBLDRAFT_183032 MPFWSSLSQNSKKIAQTWIKQPHTFKYSQTAHYGTSSKWLPWEE AMLQDYVKHNGKHWTGLVQHCLPHRSTKACQERWREALDPALKLGPFTSQECEIINLH VKKHGVGHWALISKRHLPHRSPHSIASTWKNVLNSSIKKNTSWTPEEDTLILKGMMDY GENNWQSISRNLLPSRSAKQIRYRYRRFLDPNTNNNLWTEEELNLLLRRTIIYGTENW AKVAEGLPGRLPEMCSEKWVRKVDPSLKQEAWDPKETRLFWELTKRYGGNWVKVAENL PGRGRFMCYQKFWKGLNKELGVEYKDLIQCKPDEDDISWKKRMADLVCKQLDNITIRD HPDIYPNAKAREKNIPWAPEEDDLLKKLVDEHGTMWSVIEPHFPERSYTSCRNRWLNY LQYSDGPIPKKLNVRLSELEKKRIREGVHMFGHDWKAISTSYLPDRTPQQCMRWWNSQ NSLQENDSKRNYIQWSDEEDKNLRFAVTTQPGSKIIWGQVAKMVSGRSAQQCRKRWEE CIRPGISKGRWNYEESMRLVEIVQKNRLGSKNGKTNWKVVAEELNTGRVDASCRVKYN KMMLSGYSRFLL PHYBLDRAFT_100411 ILEALGYSSTEEPISRAYVSLGQNRRKINCAKVYSGFYETGRNR VPFMVVVKVGNAREASGTRVPGNRGKRDSMVLVLGFLERCMNLASNRMTPLEYELFNQ SYNVLGLDPRNFKYMLLTDADTQVQSDVVQKMVTRLENDRSMLAISGHIRPANPEENF VTMLQIFPLYLTMFSSLAYEACMGSVITVNGGFESYISLSPKNNVRPCCIHPTVLRGF ATPQADTLHMKNVLLLGEEQFFGIVLLRSHPHHRLGFEPEAIAYSTIPTNLFALQGLQ SRNMRAAFHN PHYBLDRAFT_149949 MALSTNTPEPPGVKNPSTTGSSPPLATSFTPISPTLTPLYSQVA TQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPPKTSPNFDPFWRALLAA YPREVNMGITLGSRYSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGTIVR RVFLTKLPRVPYPELATQLTKCMSPFGKVREIAVHETYGFFDGSGYVVLANTPTDEVP SDSLTYQIAYDATQKILGKWPSMGSHCTYCKEMGHEVTQCTKRPAETRTCFGCNKIGH LQANCPHSSDPSKTSKTSNKRSRHPHRNVKLDRPIREPKPLIPTALSLTYGGSEASKH NPHKPALLESAKLTLPATLPAITTISATTTSSGPRPRSRSVDTPVKGWDDEIDDDMIT DFTDRVEARTLRLQNASRLSHLRFSRTVRPIGRNTSLSPPRFTPPHSKKALDAEAKIN Q PHYBLDRAFT_159998 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ PLSPHLSPRQLHSFWSFALPHRARNVWFRGLHNKLSCRALLHHIMPFTVSSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTVPPPSALSSAFTSFLFPPTLNPSIPAAS VFGLTILAIWDHHWALHFNSAPFLPSLVLATARKSISRICSELELDSADSSLA PHYBLDRAFT_67051 MSNLPLSFLLALIKVQNTTNDLPLQHFVIYSLNAVKTYHTLPVV LVFGTNKISHVSLILDFHMVRIVDDPESSKSSDELDFPEQLPMEPPSKQRNLNSLTLS KRTKYSYELKVLSKIRLSSQSPCHLCYGFKKQKIRRINFVSYCIDYKVNT PHYBLDRAFT_67052 MYWKWSTEGYFLTPFNIFFIFLYSLYSVYKLYYKSYLNCEIGYL SRGGSDGDFGKYYKHLPKSPPEALLISHTLGHYSDPSIISWYLVPLLALKLSRDQWTL SVRISKTSTPKKIKAHSGRKLDERGVKGFRLVMDNRSIHNVTTLAEQRGYQWVHLPPY SPFLNSIEECWAKIKAGCRRKRLRIDKAITQRIFDSASLETHTDIKG PHYBLDRAFT_67053 MSNSGSTISYNEQTNFSVIGDNLQIPGYHFKSAPTPSLVHGESI DIIYGYRISNKKPVVAKVSTNSLRLEREFYIMKRLYQLTDGPSYLVRPLEYLNLPSGL TVVIYADEGQNYFDKRRYSDETGKSNTTTTTTTTTTTTTTTTTTTNNSNHNNHNNHDA NDLYTHEQKNHNDGRRSNRISNNTLSDTYSHGLQTGVSTVEPTYDLSTFLRFAIKCTD CLEFIHRHNVVHGEIRLSAFQWTGEDRSRVKLWNFGSGSKSLETYLTSEGWRKTANNK DSMSMLEKILVFMSPEQTGRTTYTPDHRSDIYSLGIVFFVILTGRSPFDGGPLEIINS VLSRKMPLVNDIQFNIPEVIARIIEKMTNKSPDDRYASAYGINSDLKECLKRLKSSSE SSTESVGMFPLAEHDIASVFTLPKHVYGRQNMIVEMTYIIHRVSGVYKCLRHRERSYA TSSSYPTTDMHPSNNSTIESTESVSIPEVVSATGGKSNATPSYCSGLDGSDVSSTTGR TISKSHKQGTEIIAVYGPGGVGKSTLLSAVQNVARQSSYVAMTKFDSRHKVPYGCILR SLSQILQQILSESGDEIEEFYKHLQTSLGSQFCNVELLANLVPELKPLLDPKINCTKE SFQIVHMDNVETRVRFHNLFVEVFRSLTHWRMVTLFLDDLHQADEPSLELIQSLITSR VKMLLLVTYRDQEITEKLESILKCDLATIHYMKIDALEFDSLVEYISDALHRPHDISR ESVLPLAEIVYKKTRGNAFYVSQLLLALEKKKLIFFNWDENEWDYNITDINQAIMSPD DSDQDAELDIGFLVARLRELPMDGQRLLKWASFVGDRFSWNTVKHLMITSDQESEFSD SDGETNESPKHPNIDQPLHPLLEKSNVTNSENSSNSSNKDHNQKKSDSSWKKDPINGL QAAIQEGYILPLESDEFKWTHDRYSQAAMELANPKTRERIHFKISQFLIQENKVDCFL VADHLLKCIDLITSIQEKHKYMRIFLSAANKARSSGAHSMAFSYYIGAIKLLGDDPWK EESYPVTHYIYVNAVSLSWIVGEYEHTESLLEEVFKHAVGSLDRVTAYRIKHKYYFAR QMHFEACNALHQCLSELGAEKVEGGNTRETLSKEFNAVKELIAQKGIEKVMQIPTCED EKLIAIMSILEELCTVAYWLGNQTDMFYLACRIVHLSLTKGMASVSGIGMVFMGICAV QAFEMFEYGEELGAAGVSITENHGNNAEKGRCIFLYSNFLLQWKYHYKESLTWYRSAL RLSLSGGERIYASFSQLHIATTMFFVGENLSECLREAEICFDDIHSWSSSVDSNILIM SVIRTIKALQGHTFNDNAVEIFDGDDGFNDEHFVIESCKQSANPAVPMNWYDCFRMIP LVLYGHYTKAIEVGCAMLKGIHNHPLHRHTRLMLTFLSLAILEKLRSETVSPEECTTL LDRVRDNQIALRLWSSHSIINYHAWYILVDAELKAQNNDFPKASMLYEEAINLAREGG WYLELSIAHEYAGGFYKRAGMNNVAYGFIKKAIDLYMSHGSYGKARHLGIKFNELLSL YDDNRHETQDVGIQTDPIPFMGPHNSWSTSSLENTNPVNEPYVSETIPPVTTEQTLLT LDILDMASILKSSQVMSSEVKFDGLLKSMMSIILENSGADCGAIIVKEERYGVYAYGS QQEGSMTFDPPRPLSDDDDLVSNRIINHTINTAESIFIHDIEQDPRFAVGPWFERAGA KSVICMPIIHKGSIAGCLFIEGSSGIFTQRHITVLSLLCQQMGISITNAFLFKSVQRV TMANMRMIEMQKQALEDARKSKEAAVRATRLREIFLANMSHEIRTPFSGFYGMISLLS ETNLDTEQSDLVRTAKESCEILLQIIDDLLNFSKLQAGKVSLDISPVILEDIIVDVVE MLVAMAIQKEIYISYQVDDDVPPVVMADGNRLRQIFINLLGNAIKFTHEGEISIRCSV NKKKPHSKCDDKVDILFEVIDTGIGISEDQRKVLFVPFSQVDGSTTRKYGGTGLGLSI CLQLVQLMSGFIDVSSVPGKGSNFFFTVKLAKARDQDGNLMVPAHVKEIDETMRAIRH VKILVAGKYESTITMIRHMLPGILVDGVDSVEKLSQRLESTQYDVVVLGLFLTKEFME SKGWIEDIIKNVRNGTLIIMNYPSGIVSELHQRGQWVEPITKALPPECIRMAVPLRRK KLIRTIADIMDKHSPRRNVKAIKPKGSDLVTEEERALYKTMHILVAEDNPVAQKLLFK QLTRFGFQVECANNGLEAVEAWTNHPTGFFIMAFFDHHMPKCDGVEATKRIRKIEEED GRTSRFPIVALTADVQESAKETCMNAGMDGYLTKPLNQKVLASTLRQYCFTMSNLAGV ISPILK PHYBLDRAFT_67054 MTKSTSSQNSSLSQILERSRLLASQVNPQELAPLERGLSQIATQ SQTLKANSAPSGNGLDIKAHYFLAQAGVNTQVLTRELGTIYLGEPTEYRQPIKDTDVE GYFKQKRTQTIVDLMESEKQEILQDMDNEFDSEIRETWDDIRVRLCGTEGQDGTDTID SASATMLKSRLNVLQTNRFQMD PHYBLDRAFT_149954 MFAQSSLTAISIPSASAIRQRSYSQESPTFPNESFMDIDSGYCS LDELEVLFTEHGDDEDDIEILLDPSELDLEDQFVYEMCNISIF PHYBLDRAFT_149955 MSTQNVSKHNSTSSIPAKRQRSYSQESISISPESSKYSNDSFMD VDFCNCSADKLKTSFSNCDEEDDDIEILLDPSQLDIEGQFVYEMCSISTF PHYBLDRAFT_149956 MTPSSLSPHYAAQSPSGHNNRVHPNCPISSLRRACERTRETYHK VAGSSVFDYQATPSVPKSFEQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_67055 MGSGKLLEEFTRFCVIFGWSCNFLSGSYSLVTLLKKGSGSYIPP FASKIQPVSRKKIDHVMPKLVSASTLRAKYLELLKSKILGTPIMLNTIIFKHLMVI PHYBLDRAFT_188528 MFAYARSLLNSVFPKKRSLEEDQDDQSLEHQTKKLKMEETTVAD TNPEAQPATVAVEPTLIYRVKVNNLPVRDVAGTKKFLTKLGYPRFKKAPEWNYAYISF NTKEEAEKAKEQLDGQKFKKDILEAEVVIATEDSYRKRFMSKASEKKQKEEEANDTRT PSEKLADQVTPLHKVPYEKQLQQKERVGIKHLSTLKRTIANLKDITEKGRKEIEWARQ PGALPCPISSIIPSPEINGYRTKCEFTIGKDLDGRRTVGFLLGLYRAGITAVLEPSEC LNVSTTAKRIAKAMGDYVRASEYDVYDRSTKTGVWRTLMTKTQRTGDNMVVVQMRSED LKPEQVEAEKANLIAYWDSFKDKPEDEKIVVTTLLFQIWNGDANGITDKAPTEVLTGD GYVYEELLGCRFRISSSAFFQVNTPATELLYAKCAEWCNIDKTKKTTLLDLCCGTGTI GITMAKSVDRVVGIEMVPEAIVDAKANAERNNITNVEYFASKVEDRIDIVTNATNEEV VAILDPPRNGVHASVIRAVRESSKINKVIFISCDAKQAMANFTALCRPQSNRFKGVPF KPTKAISIDLFPHSDHSELMIEFERIELPTEDEEKMKENEKEEETTTIKIETIETTVE ETIVEAKAASPVESVTEVETTVETVVETEVETQE PHYBLDRAFT_149958 MASTDTQSLLVKFDNQVAGHDTLMKMATDDLMVIKPCEAREVQF YEACHSHPTFCGWIPECYGTLRSSTASELHLLETSQDSVTPIIPTAEEQPDAKLPDDI LSLALIAHVNQPSYPSIYP PHYBLDRAFT_116824 VCLENILRGFVRPCVLDLKMGKNLFDHLADETKIDKMKLNAKDT TIESMAMRISGMKVYDTTQCVYRTYKKDFGRSFTKEDIINGFLAFFFPSSTYGSMGKQ ETIDDKEGNPTQRLPAKKIRWIIESFRDDLVDMREWIAKTPSLELIGSSLLFVYEGDR ETVDAVWKRMLEDDEPAEENEPILCDVRLIDFAHSRWDSQQTKQDPGLLEGFDNAILL LNQCMEVQKSEKL PHYBLDRAFT_172795 MWRRTLSKSLFPVQSTTRLLLPRYTAPRCISLPSHFSTSSRPRC LSIQARPQKSRIYSTPTFIRSFHASPSNKVPIIPLPAVIGALLKSGKLVSLVSLSSKT SMTLLPHSIGKGRAKWVVSLLAGIPIIGVALLLVVGLDQAPNTDRVRFVYLSEEEEAA QVKKEIGGLLDSISGLTVSKDSEYIEWLDTIMENLAKVAVDDIRDPVRDYSETLEGKT PKKFTVDVIVDGSTLNAMCAGTNVIVYDLMLQLYGFNTDQIAVILSHEMAHSLQRHFV EQNGLVSFLTMLVDITRGALWMVTESFGPYVNQKINDTLNGYIQLHTNLSYNRLLEKE ADLVGLKLLAKAGYDPRAAIEVWTTMADIEKTIKKTVEDGDNKEGTSTESLHADPPET FEDLSESLLSAWFGETHPASEDRVTYMKEHMDEAIAIYEESIKINGQPKAYILSQFRK EEELITIQTESLMQKLKSKVNGLFWWWSTPVTASTI PHYBLDRAFT_172796 MGHQLPQSPVHKRRLKRPLGHPDKQKKSVSPESTSPIIQSKTIV GEKREAENANSDEDDTPLFQNRFFNRQRRKRVCIEDELMDELTNDLSIAQATTIDSTP EKVKMPVAAVNFSTQSNVKMINLPISETEPISKESEPILQKLSDYMTMICNEQTEIRN SQSTINPIFPEADTNVIPLSVLRQFTILVVKTVQAKCFRNADQHQFGQILSRMEYAIG CIVDLDILEEYAKETDEAQKMSKTIAWLEKLSYGIEISVLVVDLLAVCRVNKQDVPKG LITTCLRLVKSQLESLIYPLIDLTSLEDDPTSLNNDLRRFLNSVEASPPARRNLSGIL PLITRFLRRSFVLLQQDEIDDHGILIVGYISMGPFFHDYTESNTSCLIRNSADSTVNP FEQMKFSALDMLTTLFGNYPQHRRWILDEILTGMGNLTTMDRSVKRYRLSDGRSLHVM SALWIQLVQSCCAPTTIVADRGWLRKWELKQQRFQKDNETKQLAGLEEKLVQKAAEVW KTGIEAAAQTATYFLDFLMAKCRSRKKDTYSVAEYRMIMESTVEDILVVLSDPSWPAA ELILRTFTKLLVAVIESGTSDIYLKSLAIEWLGTIACTIKMGCNRLSGEKGQFTPEWV YKLNEQLPTEITQDTALNSLEFLAQCQMKLYNHVENSNVDPSLTKFYLASWGYTDTMI CSFDFPELNREDIGLLSELLASRQPLYNSFQFLISHIMACLDNDSVSYRVKALRSMGR IATEVPTVLDEPRIRNPIIKRIHDASPSVRDAALEVMARYLGRQETLSLPIYNIVSER IMDTAMTVRKRLVKLLRELHAKCDDRAIKIDISAKLIQRIGDNEISISEAALKATQEI LFHPFREIDNDGNDAFGSSYQHFPKERKRQITELTLLITGATTRDISSLTQSSALIQI LQKTVAGCDSRTMVWYKMVFQWIVDALFEHMLLLDEQGNTEGFLCCLTTVYAFTKTSP DILRETHISMLEPYLSITEEDDWRIARYVLMIYRDVLPRRRYHDPDFVAQVEQALQQL LSRCPLDMIQSTVSCLCAIIDNISKRYNLLIKMLGACLGKLQQDRAQMSNGKPPARSI TAIRKMLLISSLLCRYFDFDEKRKTEPVKLRALDIVHKQSITKLAFDLILYFASSLDE TTPFNQIMATALQSLGHLYAAHPTFVIEKTSTELMDKLFESGSEPMKVQLLRVFVEFL AAEENRIEKQEEVAGQSLHTKLIDVETLMGNTEEFSELGVNGSLMQRYFRRILKCALE KTPDIRYAAFEVVSVVVNQGLAHPVLVKMNDLIISCVDNNSFGILLKCMPAVVAAETS SDITLRNKAYYLHRFAHDKYGPLLYSNFGEHISTAYEYQKLMADDKIEGYGKRGSDAK VDSLFALPFSVFRQKKKIKLDFLCALVKPFDFDMKVTKEEEVNVEFLKFLAESIITLD FSMLEEILCVLNGMDRILMTVGADLLSSIQIFRKKGLLSKPNDMNEFGRVPSGHNNVS MDPAYAMTAKTAIAMSILLYVRELLMDVYSISNDEIQSYSSTDQLKSRTISRDNETVR LVDWTELEYFKIDRLNHASAADGCRKFEDMMMESAGMDSRKSRKYKELT PHYBLDRAFT_116803 FSSTRRWFILLIVALQGFLGPLSSSIYVPAIQQVKLSLHASGTV INATISLYIFTLGVAPMMWAALSERYGRRFVYIGATFIYVGSTVGCALSSNIGLFVAM RALQAVGASAAQAVGAGTVSDLFMVHERGNAMGLFFLGPLVGPVVGPIAGGYISEYLG WNYIFWFLSIMGAFILILLVFFLPETSPIIIKKRVEAKQRLSESQSTTEYKSPPHEQL MTTFMRPFRFLCKPVVLLVSLPYALAYGFMYFMISSLPHQLAYKYGFSSSQIGLAYLA NGLGNVVGAVGSGKYADWLLKKLDTKQQERTPEARLSPMWLGISLLVVGELVYGWCVS KTIHIGVVLTGLFIFGLGVGVVQTPSNLYLVDAYQEYSASVISASNLLRCTMAACTPL LAPTILRSIGSGWAMTILASLTLMSTGCVFSVSRWGENMRYKYAKDHS PHYBLDRAFT_117023 MDEKTDLHEFEALSEIKPAADSKESEELDESGKKKKKKKVKEPA VQIWAIFKYSTTMDKIMVIIALICSTCIGAIQPISIIILGKFLTELQTALYHPETLVD KTMGLILVFVYMGTGIMIAAYVGNTCWVLSGENQARRIRQMYVHAILRQDMSWFDKAE DGSLNTRLATDTQLIQDGISEKFGLFTTAIAQFVTGFIVAFVKGWQLAVVMLATLPFM AGVGAIMGVYITKYTLKTQTSYAEAGSVAEQVFSGIRTVYSFSLQSRFSELYSIKLEK AKQTGIKRGLILGFGLGAFMFILFATYALSFWYGGQLVIKGKLNGPTVLVVFFAMIMG AMALLQLPPNLSAVSSACGAAYKIYDTIERVPEIDPDSSLGSQPANITGDIEFRNVKF TYPTRPDVPILKNLNIKIHAGQTVAFVGPSGSGKSTTVQLLQRFYDPIDGQVLLDGED LKSYNVAWLRTQIGVVSQEPVLFNMTIRQNLLMGAFRDVPKEEMIEACKKANCHSFIS ELPEGYNTLVGEHGGMLSGGQKQRVAIARAIIKNPSILLLDEATSALDTQSERLVQKA LDAAAADRTTIVIAHRLSTIRRADLIVVMDKGDLVEQGTHNELLALNGVYAGLVAKQQ IATTQIGHVEEEIDDEELLKQETLALKKEQEDQQEFRAALERVPSNSLSKISTNLSID AFEIKLRKEKEIKKAAKKQSAPVKRVIQMMKPEWRLLFTGVGGAAIAGAVFPCFALVF SRVINILSFEPDKIAPGPFQGANLYAFLFVVLGLAAFVGFSFQIISFEVAGERFTKRL RGQIFEAFMRQEIGFYDEPEHSLGALTSKLAIDSKNVNEMVTKTWGDITQIIVTAITG LAIAFSQSWLLTLIICCMGPFIIGATSYEARIHRGFEDKTKKANEQSGEVAGEAIKEI RTVAALNKQSYFEEKYFKATDRPHKLAQRKAVTSSVGYGLQQGITLYTNAVAFYAGMR LIADGRINFQQMFTTMMGIMITAQGVGRGSTFTSTFAKAKYSAIAAFEVLDRVPSIDP ELEGIEPSSSQIAGDVTFEDITFRYPARPDIAIFNGEFNLKGKAGHTIALVGASGCGK STTIGMLQRWYDPITGAVRLDDNNVKNYSLGNLRSHMSLVGQEPVLFDMTIGENIRFG VDEDKTISQDMVEDACRAANIHTFITSLPQGYDTRVGDKGSQLSGGQKQRIAIARALI RKPRVLLLDEATSALDSESEKLVQAAIDNILEEGGRTTITIAHRLSTIQGADHICVVK DGRIAEQGTHWELLKLNGVYSSLVHQQSLNAN PHYBLDRAFT_32052 MTASDESRRSTSTGRTVKGEVITEITSVEIDEKANIHELEALAE IKPAVNTEESEELDESGKKKKKKKVKEPSVKLWAMFKYSTPMDKFMVIIAIICSICIG AIQPISVIILGKFLTELQTALAHPETLLDKTMGLILVFVYMGTGVMIAAYVGNTFWVL SGENQARRIRQMYVHAILRQDMSWFDKAEDGSLNTRLATDTQLIQDGISEKFGLFVTA ISQFVTGFIVAFIKGWHLAVVMLATLPFMAGVGAVMGIFITKYTLKTQTSYAEAGSVA EQVFSGIRTVYSFSLQSRFSELYSIKLEKAKQTGIKRGMILGLGLGAFMFTLFATYSL SFWYGGQLVIQGKLNGPTVLVVFFAMIMGAMALLQLPPNLSAVSSACGAAYKVYQTIE RVPEIDPDSSLGSQPAKITGDIEFRNVKFTYPTRPDVPILKNLNLKIRPGQTVAFVGP SGSGKSTSVQLLQRFYDPIDGQVLLDGEDLKSYNVAWLRTQIGVVSQEPVLFNMTIRQ NLLMGAFRDVPKEEMIEACKKANCHSFISELPEGYNTLVGEHGGMLSGGQKQRVAIAR AIIKNPSILLLDEATSALDTQSERLVQKALDAAAADRTTIVIAHRLSTIRRADLIVVM DKGDLVEQGTHNELLALNGVYAGLVAKQQIATTQIGHVEEEIDDEELLKQETMELKKE QANQQEFRATLEKIPSNSLSKISTNISIDAFEVKLRKQKETKKAAKKQSAPVRRVIQM MKPEWHLLLTGVGGAAIAGAVFPCFALIFSRVTNILSFETDKIAPGPFQGANLYAFLF LVLGFAAFAGFSFQIISFEVAGERFTKRFRGQIFDAFMRQEIGFYDEPEHSLGALTSK LAIDSKNVNEMVTKTWGDITQIIVTAITGLAIAFSQSWLLTLIICCMAPFIITATSYE ARIHRGFEDKTKKANEQSGEVAGEAIKEIRTVAALNKQVHFEDRYFKATDHPHKLAQR KAVTSSVGYGLQQGITLYTNAVAFYAGMRLIADGRINFQQMFTTMMGIMITAQGVGRG STFTSTFAKAKYSAIAAFEVLDRVPSIDPELEGIEPSSSQIAGDVAFEDITFRYPARP DIAIFNGEFNLKGKAGHTIALVGASGCGKSTTIGMLQRWYDPITGAVRLDDNNVKNYS LGNLRSHMSLVGQEPVLFDMTIGENIRFGVDEDKTISQDMVEDACRAANIHTFITSLP QGYDTRVGDKGSQLSGGQKQRIAIARALIRKPRVLLLDEATSALDSESEKLVQAAIDN ILEEGGRTTITIAHRLSTIQGADHICVVKDGRIAEQGTHWELLKLNGAYSSLVHQQSL NAN PHYBLDRAFT_67063 MTDLSDSKQSTIASLDDKSEKITRITSIEMDKKCDLQEIETLPP VKSTDDSDQDTSDKKAAKKKKARSLPIWSLFRYATISDKFMIFIATIFSVCIGAIQPA SIIILGKFLTNLQTALLTPSTVLDSSMNLILVYVYLGTAVTIAAYVSNAFWVLSGENQ TRRIRLKYVHAILRQDMTWFDKAEDGSLTTRLAADTQMIQDGTSEKFGLFVKSIAQFL TGFIIAFTQGWRLAVVMLATVPVLVGVGSAMGFFISKYTLETQDSYAKAGSVAEQAFS GIRTVYSFSLQTRFSNLYNKKLQDARKGGIKSGIALGVGLGFFMFTIFATYALAFWYG GQLVIKGQINGPTVLVVFMSMITGAMSLLQMPPNLSAVSSACGAAYKIYSTIERVPEI DPDSPLGSQPAKITGDIEFRNVKFTYPTRPDVPILKNLNLKIRPGQTVAFVGPSGSGK STSVQLLQRFYDPIDGQVLLDGEDLKSYNVAWLRTQIGVVSQEPVLFNMTIRKNLLMG TFRDVPNEEMIEACKKANCHSFISELPEGYNTLVGEHGGMLSGGQKQRVAIARAIIKN PSILLLDEATSALDTQSERLVQKALDAAAADRTTIVIAHRLSTIRRADLIVVMDKGDL VEQGTHNELLALNGVYAGLVAKQQIATTQIGHVEEEIDDEELLKQETMELKKEQANQQ EFKATLEKVPSHFVTTLLSNSLSRVNTNISVDAFELKLKRHREGKKAVMQKSAPVWRV LKMMRPEWHLLFIGLWGAVFAGGVFPCFSIVFSRVTVILSFTPENIAPGPFQGANLYA FIFVVLGIIAFIGFTAQIVSFEVAGERFTERLRGQIFAGLLRQEIGYYDDPEHSLGAL TTKLAVDSKNVNQMITRAWGDIAQIIATIITGFSIAFSQSWLFALIICCMGPFIFGAA YYESELECGFEDKTKKANEQSGEVAGEAIKEIRTVAALNKQIHFEEKYYKATEHPHKL AQRKALTASLGYGLQQGISLFTNAVGYYAGMRLISEGRINFQQMFTTMLGIMLTAEGI GRGSVFIRTFAKAKYAAISSFEILDRIPSIDPELEGVEPASSQIAGDIKFEDITFRYP ARPNISIFDGEFNLKGKAGQTIALVGASGCGKSTTIGMLQRWYDPITGTVRLDDNNVK NYSLGNLRSHMSLVGQEPVLFDMTIGENIRFGVDEDKTISQDMVEEVCRAANIHTFIT SLPQGYDTRVGDKGSQLSGGQKQRIAIARALIRKPRVLLLDEATSALDSESEKLVQAA IDNILEEGGRTTITIAHRLSTIQGADLICVVKGGRVVEQGTHWELLKLNGAYSSLVHQ QSLNAN PHYBLDRAFT_67064 MTLLRKNKGYEEQDQLCCYTILLIPLQRLVYEHHFFNQVNLLLC KLISSMSVYHTDIQILRRISICTYENYNWSLSLEMWLLRNKNNRIKDNPTLEALILDN NSTNTQLRIHRQYSFYKSIMWISDLQLKKRVSFVNARHYRPCCSGNNPGTNFCTHTKG RPIKSILCIKNKCSWVQKLGFT PHYBLDRAFT_172802 MSLWYLMNCDPPVIPNLQNISQDNSCKAPFCNAKVSLNKWIIFQ KRVIECNVAYHNCVNVVHTDRPEYDIEYTSKNSIFTSQETIWKCENVETVGSLLKGFF NYFGREHNFSQYALSLRFGRLIPKWEWKDSHMAVEDPFIIGRNVAGTSTFLGTNTIRN EFKRAYDLLNSNHTFADITGPVDPNERIFDPDTIEQRIIQRNFQQMNRTRRNIGNYDY IHRQSEIVYNELHNPRRRRKPATNLNITKTGYFPQNDREANIPSKLTSTQSSMYYQEP TYSKTSKEDTEIDFEAIASIVEDHTPKKTVVSQYTPIDDNSNTKQKAVDVHNTTTGVK IPRSIIQSSSTNSTDSEETASRLYAKTPPEISRTIQQMFPKMPQEKEVQAGKYQPISN RSPSSTYAEITSPHGAPEALQNLQRPAFQNITPSFSSVVADNIKSPRPIGSGNQQKEI KPAKPAKPARTVKPVETANPVESVKYTKPVKPLNNIINFHDSVNTFKETTYKRVVGFK NKIAPEHPTHLAFTDKPSPKNTTASQIKSPTPTHMSIVNGALKALDPFQFSKTKNKKL FEIPEILEVSDSSVTTNSQYDDSINKEEDCGEGDDDEGGGDTCSLLDVPDFVDAFDIL DVLTQYGDVLSLESERLSKPESLYTFLHWRFTINLYQDIDALPKKIELADEYFTILNK PNSS PHYBLDRAFT_67066 MSIYTRNEKPDKNQILELCKEFTWSQSLVSPTDRHNISSPQVAL VSEMASYIRQSKGVHYRDIDAHGWLVDFLNDYKHEEYLVPTSRFSRWNYMLIPENEYF HRKNNPNQHHHHQQQQQQQQQLPLITYSLNPKKNDFLFVMFPPTTSGDRVHRSLPQTN RSNNCNFNTYYPSVSSSVSSSYQEGQDNLSIELYDFLKASKRMKVQNQRSNILRKISM MLQDVWPEKKLRLEVFGSSVNGLDFENSDLDLCIVVPKEDYKKDLYNMSRLMNEPFSV YNMRFVESKLRDIGMLNVKAITAATVPICKFNDPVSNICCDINTNNILGIDNSKLVKA YSFLDTRVRPFLYAIKYFVKCRDINECKY PHYBLDRAFT_156270 MSLSRIRRLDPTVVNRIAAGEIIQRPANALKELIENSLDAGSTR IDICVSDGGCKLLQIKDNGHGIRLEDMEIVCERFTTSKLSKFEDLSDIATHGFRGEAL ASISHVANVTITTKTADSPCAFSATYSDGKLVPPRPGQSAGPRPCSGTEGTQITAEDL FYNVPTRKKTLKSSSAEYKLIIDVVSQYAIHNSSVAFTCRKQGAVNADVQTASDSSVV DAISQIYGSNIASELIQVEQAFESQEFKMNAYISNANYNTKKMNLLLFINHRLVESKS IAQMIKEIYSEHLPIGTYPFVYLSLEINPRNVDVNIHPTKKSVRFLNEDRVVGSVRDA FEEKLKSASLSRSFKAKTVASNTAHATTDNDMSPYDSYSLLGKSPSATPSYNKIRTDN KARTLDSFLYQPGSSMPGPQNLAVEIVGGSQADIEKSKSTRPRVEVRLKSVKNLLKEL KDSESKELTNLLNEHTYIGSIDQTSALIQHETKIYLVNHNSLSEALFYQVALEEFCNF GSLRLDNPINISEYLKMAVQAEELCGCIPKDAPDKDSIIESITNMLLSRAELLNEYFN IIVSEDGMLHGIPMILRGYVPEMDKLPSFLLRLGTEVDWENEKKCFDGIARELAIFYS TEPLLTLEEDDEDQLKRYMWQIENIIFPSLKSYFIAPAILATQEDCIRKLASTDELYR IFERC PHYBLDRAFT_183041 MSHITSKQVVELENTYGAHNYHPLPVVFSKAKGIWVWDPEGRKY MDFLSAYSAVNQGHCHPKIIKALCDQAQNLTLSSRAFYNDIFGTYAKYVTTYFGYDMV LPMNTGAEAVETAIKLARRWGYVKKGIPENEAVVLSCEDNFHGRTTGIISMSTDPESY NNFGPYLPLVGPICPKTGTKIRYNHAEDLETVLEANGKNVAAFLVEPIQGEAGIMVPD EGYLKKCQELCKKHNVLFIADEIQTGLARTGKMLCTEHDNVRADIVLLGKALSGGVYP VSAVLADRDVMLTIKPGEHGSTYGGNPLGCAVSIAALEVIKEEDLVNKSERLGKKFRK ALEDIKSPLVKTVRGRGLLNAIVLDESKCARSGWELCLLLKSRGLLAKPTHVNIIRLA PPLCITEEELLKGAEIIGQALKDIATMKAEDIPNELGH PHYBLDRAFT_149969 MESLHEYLDQKIKVKEESMQESHEYKTSAIAWINLVAVVFVNIS CALTWMTVSSSPDVSAIWMEASLSQVNWLSNVSAIINSLLSLTTAWAYEMFGLKKVII VASIVNTLGCWIRCLAIVVSPDKRYYLVMLGQSIGAIGGPFVFSIATKFVAVWFAAKD RGVANAVLGKYNKALIFVNLGMMISPLIMPELADTPENTPRMLIAVAGIATITSIPPF FLPGKPKVQPSPSASEVRMPFKQGISLLLKNRNFWLSTFLCSTNIGMSYSVSVLVMQA IMPYNYSAQESGICAAVIVLGGFFGGIMTGYWVGRTGQYLMLLKMFAPMSAVSYIMLI FQIVPNGFGVIVSACLLIGFFSYAVFPVYLEFASEITYPVPESLSSSLTWALCTTFML IFSVVIDALREGPDANPPYNMHKATVVMAVIMCVTTIPVFWLKGDLNRLKLDKKEHTE ESNQNVLSNPEEEEEEEEEEEEEENPSFDAYEQIEISTSHDEQHSV PHYBLDRAFT_67070 MLAHLLFLSFANKKKSGYKVETSQRTRQDKWRKPKVKIKEVRVG YKKSRKEKVKSKSWAETWLDRAEPYWTKPYWTGLGLSVTKSQPFLCRAILSDIVIILI TISVSISVGGNTEIRGGVF PHYBLDRAFT_149970 MTTPYYGTKNPNESVKETEEIIFRVVDDLQIPSDDIEKSLEKDT VVNVPRLQESRIQWKIRTGITIFLILTLVIGAIIVSELIHTNEN PHYBLDRAFT_160003 MMKHVIDQVHEMKTSQQQQEAEQARARKALQAQLDEALGQIELL KKPKVLSSSKVLATSTNGSQHVSPRSKVPSTTLLRSATPPQRPKTAMNNNNVLLSSVR AGNAKAGQSARNITRPATSAAIINPRSTVTPRSNNNNNVASLPSPPQLETSARRTRVP TKAPLTTKSTAPVSRRTLISRK PHYBLDRAFT_172809 MSHRAATKSHYSHNSSTRNRNKSRNSRNDTNNESLYQELDHLLG VFCSEAQFNLCVSHRIASRQKLGKIRKVFKDIQQWLKCRAAHEVIKPSLYLSAEQYCN DLLAHYSIVSKQRHILPLQQQRMYHPLTQECTARLNHQWQALSEEFETATENNLLWQK YIFNIKQLVKAWKSPDLANQTLLSILTLREQPLPSPQQGKTPKNTLLSYTPKAASISS VSSISSSSSSLISPLSLPLSLSLSLSLSLSLSLSLSSSSFGRKRAAMSSYHITFTSQT NKEEQQRIIGRVCENFGSIFAHNATSNLSQVHSWIRHSQPSRVLKKVRQFKTNLYTEI GAESSHTKLATTKTETERKIKIKSAPPSAPPSAGPGKVLGSDAMMVNVNDNVDFNDSV NFDFNFNSNSNSNNNNVNSTPPQPTSVCTIGNTISQIENQDDNTDEENTEAEIVATSS KKGRKKDLLLPIPDFLLSLAEWESLRQIPGEKPRLKKYLKQPSLARLTGSFRALFSGA SEHRAPSAQHHRQRQRQRQHQHQHQCQHQNQNQQEQQEQVTLPRNNDDTNTNNTNNTN NKDDNCSISVSKLGCILGRTGCKFYSSVLAHAQWCSAQDAHRLHTAGRDLVDLYGVLL LVTSQTQVQRILVLLSQIVVP PHYBLDRAFT_116913 GKVHGSLARAGKVKSQTPKVAKQEKKKPKTGRAKKRQVYNRRFV NVTATIGGKRRMNPAPTAGP PHYBLDRAFT_172810 MQIKGNAFIVTGGASGLGEATVRELVQRGGNVAIFDMNEEGAKQ VAASLGEQVFSAGKVDVTSEEMVRVAIEKTVERFGRIAGVINCGGVASGARIARRGNN AYSMTMEIFEFTVRVNLIGTFNVCRQVAQIMVNQDTFEEGERGVIINTASIAFQDGQT GQVAYSASKGGVASMTLPMARDLAPAGIRVMTIAPGIFETSMTSSINDAAKAKIIKDA IFPNRMGRATEFSSLAAHILENTMLSGEIIRLDGASRLGKL PHYBLDRAFT_178146 MKVDYSLYLVTDSSLVPKNTTLLAQIESALQGGTTIVQLREKEL ETGPFIALGLQVKALTQRYHVPLIINDRLDVAQAIDADGVHIGQDDMPLVQARKILGP TKIIGVSVYNQKEANDAIANGADYLGIGAVWDTSTKKIKRSPLGIQGVQDVLRLMDVP IPTVAIGGIHVSNAQELMIGSASGTRHLDGLAIVSAIIASSDPKQTCQAFSGLLNNVF GSFEPPIALDTSSIVEKSIKLVDLLKQTGPMVHHITNYVVINDNANATLALGASPIMS TNTEEIDDLAAVNDALLLNMGTLNDIDAMILAAQTNTRHGHPVVLDPVGAGATQFRKD TVARFLKECNLSVIKGNGGEILSMANRGGRSRGVDSVGNNGGEANAVLAVSELAKNNG CVVVMTGPMDYISDGTRVFVVENGHPMMALITGSGCMASSVVACFQAVSEDPLIGAVA GMLTITIASELAMKRPEVKGPGTFRAALLDELYTISNSPELIRTHARLREIPLARSN PHYBLDRAFT_67074 MLLRKENRIRKYINVQAILYSGWIYYYSPSQFRSSWKKRYLVLT DTSLQFYKSSFTLEVADLSLPITQCKYIQPYESCKRSKHALRINTTDPQLSPIEFYCK LKPEYNTWKEVITLQIMTNCPTIKPPTNRRIKTISTLDKWLNRSYPLIGSSLSDPPIA SAPVPSQSTPVPQKPDSLSLSISIPQSTNSNTIRNIHSTNQVTNRRPMVRDIWQSPTN CITGKSGTTYTPLHPPPRRCMTIKSTHGRHRPPSVCQYSSRISASLTSPTFGCAQVK PHYBLDRAFT_13553 GCKLLDSFAIFIQICLGAVAFSTLIIKRQREQPQRPLLIWGLDI SKQLMGGAVVHALNVIASYFTGGNHEGQHSNPCVWYFLNILVDTTIGIGVVWAFIKIV HIIATRYRLSGLQSGVYGGPPFRKQVKRWGKQMVVYVCALLTMKIFVVLLFQLFPSIA VFGKWMLGWTMGNHKLQVVFVMLIFPLTMNTMQFWILDTIVK PHYBLDRAFT_172814 MRPQTLFRLPIRHMVRRSISDDASRPFPSPFPTRPAQHMEPTDS QTLADIVDASTPTKPDPTRPDAFLQQHHFDTYNLLQNLEQQGFSRAQAEVFMKGIKFK LRESAALVRQRLLLRSDLENSKEYKKETKRGEILRSYNQLVTVFRLQESYLLKAALSE LRTEVQIMRRNDTQMLQAEASMITREVEALGQRLNEDVALMKNEVTLDMNNRKNETRE EQKAIDMRIQEINNKFTIQLGDVRTDLEAVRWETIWKGMAGVAAAGLGIASLGYLLTR YAERKANSIYIEQQKKLKKLQEEARHAGTADMEVVY PHYBLDRAFT_149979 MPFHELVKNNYGIDRNIDLLTQENLLLHTKKLPKATDRVASFVR SQQLLVDDLLALEHQRCLEIQECVLDNQVIRITIKPPGNSWRRIIHKYSSFRFLFDRH HETKRQKNFVAYYYPPMKPRQST PHYBLDRAFT_127325 MSPVAISDAVKAQAEKIKGEANALFAAKHYKEAIEKYSAAIDLN PNVAAYFSNRAFCHLKLESYGYVISDADAALALDPNFTKANYRRATANMALGKFKEAL KDLRVVVKNAPGDKDAKLKLDECAKIVKRIEFEKAIEHDDHAPSAADSLDVDAIVVEA SYDGPKIAEDKKITEGFVKDLVARFKDQKKIHRKYAFMIILGVRKMMMESPSLIDIKV PAGGKLTVCGDVHGQFYDFINIFNTNGWPSEKHAYLFNGDFVDRGSFSLEVVLTLFAY KLLFPDRVFLARGNHETDNMNKVYGFEGEVKAKFSDMMMFKIFSETFNSLPLAHVIGN KILVVHGGLFSRDDVTLDDIRKIDRLALRQPGNDGLMCELLWSDPQPQPGREPNKRGV GIQFGPDVTKAFLERNGLDMLIRSHEVKEEGYVIEHDGKCVTVFSAPNYCDSVGNKGA YINITPDMVLDYVTFEAVPHPNVRPMQYASQFSGMLGM PHYBLDRAFT_172817 MLCSPEEHSFPRGDEQDDIVIIMQQEDVMFGAGHEITSSDKTSH MDNNLYVPIDLIAGFKRVQMWTTDREVIVDILKSSDVVQVDEQSQKVRPALSLQRTTI ILRDIPSGTEEKEILDFLDSLQSPPVKNIKADLGMWYIAYETEKNAVEMLKRVRNKIF KGHPVAVRMKSEPMLRRMQATLQSSQTVKESKQPQPQAQQQPQPQAQPQKEAEAETCV QTSQPEHQNLSIKIPQEVKSTTGLPLLSVTQPGSHTTPMPVCSYASILKRSPSASSDK CQDQDQGQTQTQN PHYBLDRAFT_160007 MSGFRKEIHGMLVALVMTKTALKEWFLEGSSGERTVNLDKTIDD SIKQLSSLILMLKGIANSHLNGNSNTLLAKKAFGIQSI PHYBLDRAFT_160008 MVNFTIEEIRELMDKVTNVRNMSVIAHVDHGKSTLTDSLVSKAG IISAGRAGETRFMDTRKDEQERGITIKSTAISMYFQMENPEDIKEIKGQKTEGSSFLI NLIDSPGHVDFSSEVTAALRVTDGALVVVDCIDGVCVQTETVLRQALTERIKPVIVIN KVDRALLELQLAKEELYTTLRSTIESVNVIISTYPDEALGDVQVYPERGTVAFASGLH GWGFTLRQFAVRYSKKFGVDKEKMMQKLWGENYFNPKTKKWTSKSTDAAGQPLERAFN MFILDPIYKIFDSVMNFKKDQVDTLLTKLEINLKAEERDLEGKQLLKVVMRKFLPAGD ALLEMICIHLPSPVTAQKYRVTSLYEGPMDDECAIGIRDCNPAGPLMLYVSKMVPTSD KGRFYAFGRVFSGTVRAGLKVRIQGPNYLPGSKSDLSVKSIQRTVLMMGRNVEAIDDC PAGNIIGLVGVDQFLVKSGTITTSEVAHNMKVMKFSVSPVVQVAVDVKNANDLPKLVE GLKRLAKSDPCVLTFTSDSGEHIVAGAGELHLEICLKDLEEDHAQVPLKFGDPVVQYR ETVTAESTIDCLSKSPNKHNRIFMRALPLNDELANDIDAGKIGPKDDFKIRARTLADK YEWDVTEARKIWCFGPDGTGPNLLIDVTKAVQYLNEIKDSCVAAFQWATKEGPVAEEN MRGIRFNILDVVLHADAIHRGGGQIIPTCRRVIYASVLTATPGIQEPVYLVEIQCPDS VIGGIYSCLNRRRGMVFSEEQRPGTPIMTVKAYLPINESFGFTADLRAATAGQAFPQA VFDHWQNMTGNPLEAGNKVYDIIRAVRKRKGLTEDIPGLDKYYDKL PHYBLDRAFT_172820 MSYHYVLSYNGSNVSQLFLDRHTLLQLKWIASRDHDDNLMLRII VDSGGCHGYQNKLELTTEVNPDDTVFEKEGVRVVVDEISLPFVRGSKVDFVEELIGST FQVVENPNAKNSCGCNISYDIDIDKISQTSS PHYBLDRAFT_172821 MNKERIEYGYAFWCEQHQHERQSAKEKSCKNTTRFDEYNSFGTF YLSFYILTFYFQPLDMFIFFIKPVLVVNNSVYINVMKLDKLECSRLAVGNPCVIFNID WLSMHFNMHIFEDGWDQLVTVFRMIPDTPQSEHHVDFRC PHYBLDRAFT_136096 MAAQDSNKFVIDFLMGGVSAAVSKTAAAPIERIKLLIQNQDEMI KQGRLASPYKGISDCFSRTVKDEGMAAMWRGNTANVIRYFPTQALNFAFKDKFKRMFN KDKKKDGYWPWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKSSKKGGERQFKGL VDVYRKTIASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSIKPIMPENLQSSFLATFL LGWAVTTGAGLASYPIDTVRRRMMMTSGAAVKYDSSLHALKEIVAKEGTKSLFKGAGA NILRAIAGAGVLSGYDQLQLIMFGKKFA PHYBLDRAFT_78679 MIQPKQELPTWKEEAKDLHTYICEARTPNSTIAPSQLRIHLRLN KPILLRLLDNYPKNNDHRSLLQTGKTYINGIENKVNEAFAKDAIFLSDHLDMNEFEAS SLLMKGINEAFSSETLALEAALVIYYNEREYLLTCLDVILRSAKDASVKDSIRLVFQQ FATELAEESIPLNQQNNSPKASLIAKILGTSKKITENINELSKPTPAPAPAPAPAPNP APANTSTQFTGFMTKPTTQPTAQQQQQPQPETKLTENLKKLGKEHLAEEQIYLVQILY HIGSLFWIKTGDLSSMIEALKQSHLLNATTPYLTLAIISAMSTVKYIDAQNGIYSADS IKKITALINDNTWKVVTLKQTVFFRWALLLTYIAKKHPEISSAGGITEAERETIFENA ISENVFEFMSDYLLYFQQKTLTTDVERKTVTDMGLKENDMIVDGLRIDPSDYTKFSAD IWPEFQLFIIYDLELLVLDFIELVPNILPKIRIREEDKASTNTTNLSERSSSKSEEKE RKPSDLEMFFKLLASVYRNRTGAGIRFWSHERSCFHSFLRWATDLRVPGTVRAVYEFL GTIASGEDCAESAFNFLEQGTNRTHLTSSSLFSWGKLFAAFHFYIPLLQKNTRSNPQY FPPEEEELLITFLYLLRQVVQYSQNARSVLWNDPIMRVFDCLVEMLSCPTSIMLRASL YHTLAAFCSPWGGGGDGNGKRIAVQVWNVIEESNFPSQNKQQTMTETVTSYGTHVNIM NVPKNTQTHYIHQYPTYLLKALEQEKKNEKCTETLAILDLLASMIHTQTRQEALSNGF TPNTPSVPSDLGQGTRTPGAGPYISLIIDNVFLELDKRTNLTKERQWELTEACLKILE NSVISFDVQPILSFPVDELIAYVKEHTKPFLEIRNIANQSHEIEILKKVVLIYMTHPG YEVIIRILTSTSLTSALFKIVQSVGENTKNKQAMRCVMRCLRIFNQIMEIQNVFVSLL VPCINALSTKLPNGNYKISDFIFMPFPSFTSLSKSMLYHPKIISEIALLINCTEEEEI CLLSIRILRALSRESSYNDLEKRNVKCNTLNKDMGGIGTQLALLLKESPDAESILYAF SDQLKVDQPEVTSAEDYEYDINNIPFWQATVEPEDSYRSADTFEPQAYSSTRINIMDM FIENTIHGKPVPSVAHFLLGYYVFQPSTQNKVQAIGHQDARLVCLQAILDMMQGSLSS QDDTNINSSFVTAPSTSFEITHPLIAEKCHRIIYQLCAKKTISLPILNYLRNRDNHIY SQLKALPARIEVNANVATPVFPGLIVCSDGSKVLTDFCTLRAQLHGRAWLLKLVALDI HMAVGTKKKSSIQPLLDLLFDQEKIASNSGEYSNSFFSSRTAEIHQSLPRLVETFCSL DFTWIDALDREPPKPSVYLSQFDPKQFEFTTEEGYVLYDIRAAYRHFRRIIQHKLTPQ AGLAELEAETTDRLGRLMSENHRREITHAKMHCLRAWRQVIQVVLLECFDEFSLAARK KITYTLLNNLLERIRKPAGLDQDVLENLCDIVLTLLIRSGQDNDTSGWVGLTPDSPLS DTHIRSLYQEMLQHIKIQPTTKEVQKEDTEKIALPQLSFLIHSTIN PHYBLDRAFT_22222 MHTCTSKEHKDDVETGQTEEVLTVTTYPEPLASEGLSNRGWLVV LSTFLVNFYAFGTVFSWGNYQRLYLEDVYKGSTDQFRIAFVGTSATAMLLSTGLFITP FIERLGYRGTMVIGSILTPLGLILASFATQLWHVYLSQGILFGFGAAFVFSPSITLPS QWFVRNRAFATGIAVSGSGIGGVALSPMTQYLISTVGYRNSLRVMGGMGFGILGIATC LAFSRWRPAPSKNSGIFSIFDRSIISKHYLILLLFGFLAPFGYIGPFFLAPTYASHIG ADSSTGASLVAIMSAMNAISRIVLGYMADYSGRFNTIFACTFFAGLFTMLIWQNSSTY GIYSAYTVLYGLTGGGFVSLFPVVTADIVGVQNIQRGLSLCYLATTLGNLLGTPIAGA LQSAYGWTAAIQFSGAPSVLAALVILTLRMMRSKGKIFVKI PHYBLDRAFT_116923 MFFLKKKHNLIKSILVDHAILNVLDEIKKIEGKYIDIYTWLEVP PTSSFSELNAILRRKTVEWHPSTNPRYTSTFKCLEKAAPLLRNPDSRERIDHFYIYGI PFWRGFKYHLNQCRKSFWVMSIVMIFITGMMEYMSVYLAYLKEAHILHQFIKSSQRLI DMSPQRVQFKNHKSYIDLGDRVLTCKIRSDRTIWVINEQGEEVLFGSDWVKRPSMIEN IFWMRWSSKLQKTILSKTYSVKDKKKSGLHQQVKG PHYBLDRAFT_116811 MPKYYCEYCDIFLTHDSSSVRKAHNAGKNHVLNVRNYYAEIGQD KAQAIIDEITKAYENSASGKNTRKGNQQFRKKGRKRDHNCINCV PHYBLDRAFT_136102 MSVTFGDVEAAWARINVTRTPVHTCATFDALASHTTPVELFFKC ELFQKTGSFKFRGASNAVAMLSDEEAINGVVAHSTGNHAQAIALAAKARNIPAYVVMP HNVPEIKKIAVRGYGGTVVECNPPQKAREKAASDIQASTGAVLIHPFENPRVMAGQGT IAYEFLEQVDLDALIVPVGGGGMISGCAVAAKGIKPTIKVFGAEPAAVDKCSRSYNSK THQTSLPGSTSVADGLLTTLGVNAFPILLSSVDGVFTVTEEEIVRAMKLVWTRMKLMI EPSSAVGVAVALYNNEFQERVKKDKLGRIGIVLGGGNVDPARAIELFERYK PHYBLDRAFT_116983 MHSKTDPNDYEKILSELDDSIQKAQIRLSDIKIRERRTGVLLVI YSAILWLVYVAYCFFTLHSHDSTYETVALTIAPVVVLPVVLYYTRILVRWVYTRRQSN EEARLTTLRAQQKLKVEELKKSTAYYTTKSLLERYDGKKEENRMPGNQGFPGQDLRPH QVQNLTNGPQSQPQQQQQQQQQQQQMTFRPQQPAQPQWYDKLVDALVGEDTPETKYAL ICGHCFAHNGLALAQEIEVIQYTCPNCKKFNPSRRSRQLHPGGPVMPTRSPSPDPFSQ RGSSPAPSIDSSHHDGTSSIPKVSHEVTEDHPNHEHSIEQNGS PHYBLDRAFT_160013 MTVWNTITIPETPSPNLVLVKNISPNSSEKTVKEFFLFCGKIKE FDLVFDEDRAHQTALINFERESAAKTAALLSNALIDDSHITAVPFFETTPSGESDKEV DAEGSEDHQSAKPKSRIAAEILAHGYLLQDHIVAKGLEYDSKYNLSSRLQGYLSTLQS NIKHFDEKYRIWDKAIEIDQKYKIQEKVQNAAQTAQHTAQAALQTPTGQKVHDLANQT LAQIAIVHYEAKRIQSEKGTHSPEGNEPAEHVEPIKQAA PHYBLDRAFT_149994 MSTPTRSLNLNRHSDDPLEGIRKKTCTSLHKSLQTSHEMQSVTM TTEQLAIAFIQLNEFSTASAEDLSRIARQICVLKRQLRFSSVDFVKTVLSNLDPSKSY LSVFHQLAGMTISETNTGRFDYVASLIAIEWKLTYRPTWNQDTTLDKILESSLKAFVV TSEPMRDGVYLEHFLQLLQDPNKLKIFSRQERQILVTTLLDRVLWQTDAIDCSVQSIM SAKELVCADGSKYFTTRRSILGYLTSWLKDEKQPSPAFYCPLLPCCALDKVHATTMNL SNSTIILSLCRAMCESLEGDQAIVRIVESVSAYTSLIFEELSNQADLGRLALLLSFIQ ITLHYKGDSVGLNYASWFQSALIDPNTTCLKTKKNGQVLIKCLEDMIPFESPAILQIH ARALYGCQALPSSHYISIVKTRLLDLGLDSSLKQLPLSINTPLVTEKGKVVRGHSALD IQELVQTYVNTNTVPKSLWEASIFQARWFKATFLPALVSWNHTDQQLSVAHKKLIKSL YEKGKMPDGLYREFQNMV PHYBLDRAFT_32084 MSPFKPPYAVPATGSIKKDGETAAMRHFKFADKLVDHPEGITTL WDMYVNGSKLGGDRPFLGARRIENGEAKEYVWESHPKVMKRIKNFGKGLVSLGLERQK VIGIYSVNRPEWTMTEQACYREAFIIVALYDTLGAEAIDYIVNQTDMEFIVSSADKLA NITQLKTQLPTIKHVIIMDETIEETLRTNAAAAGLIIHTYKEVEKLGEGGAETKLPTP EDIATICYTSGTTGLPKGAILTQANCVASITGIAAAGTAGTFAPISHTDVYISYLPLA HVFERAAQGIHVYRGAAIGYYQGDTAKLLDDIEELKPTVFCSVPRLFNRIYDKVLSSV KAKGGLSSMMFNSAYGQKRSHLKTTVNHWLWDRLVFATIRQKLGGRLRFILSGSAPVS PDVMDFMRICFSAQVYEGYGQTENFCAGCLTVKEDNTSGVVGIPFPCSEIKLVDVPDM DYLSIDKPYPRGEICIRGNSIFREYHNAPDKTAETIDEDGWLHTGDIGVFDSANRVMI IDRLKNIFKLSQGEYIAPEKIEGVYQKHELVSQAFVYGDSMQASLVGIIFPDAYALSA WASKTPEFASLSKAELYTSPEVKKSILKILNAFGKQSDLKGFEQIRAIHLTSDEFTVD NDLLTPTFKLKREIAKKVYKKEIDELYATLSNGRAFN PHYBLDRAFT_149996 MTIVTLLEDYLSQKLLSNELQVHLDEAIKRSLTDHVFRLELGAS QDFWSLALKTLPRLAEDPNGIHSLIGVVKLIRNCVAGQLENQKLACQNGAIESIETVL EKNRDGSEERVLLLQVGTQSICNIITGNPTGLEVWKVWMTTKRGTLWSTLVCMEDEKV VMSVLVLVLNSIRNSTENSKLLAMTENGHQIVHGILGDLERLHDNESKNFELGCTVIR QLVNGGYFVDLLNAVGDKSGLNGRQIILIKLVDSQTHTHKDSFPEFMRHRELEALCNL LKLLSQRAVLVIKQVQASEADQKSDLEVEDVSQVYTGLVLVLQILTTLNMAEVEQQKS LKRLLVQKETLDSVTDLLGQLETIPFTARKPTGSETVKNDDPEASKLGFDYLKRECVR FIGAMCFKDFDMQEKIRHIGGIPLILSQCRIDDNNPYIREYAVLAIRHILENNPENQR LIEEMKPIAAAQTPELDEMGLKATLVDGKVKLTKARLDDNE PHYBLDRAFT_67095 MDLCDVDEANSTVTKCNHCNRICLTNDEHKALVSQTDEIRDLKQ QLKSQTEAFERLQLDISTLNKKYVAAIERVADVQHEKDLVEHELEELSRKLFEEANGM VATEKREKWELENQLRQTQEYLVSEQSQLTELKERMQAMLVSEDLSPAQNQNDPRTRA QNDLQELYGVKRASTNPTLYRKNISSDPTQRVTSMPPLQSQPRIQRMVTIDEFQLNKF QEYINSSRSVSVKKLSQFAYLKYCQAEDIEPCLRFGPQSRLSVKKMMDYLMRQPCFIE QMPLDDNVAPKSTLVTPISITTQRPLWERFSNSKILDGGCSACGRSAGQDCALKYRFK LDSSDPWLPIDEYCRDRLVAVCEFYVFLRNIQLGLYSDRPVQDLYAENIRLRLQMFYS RMGALPVMLEGMGMSPDTIGKASVPLETLATIPDDAYTSDTSASSGPCTPIRTNLSIL SSVAYQNEPWALDSSQSQPP PHYBLDRAFT_149998 MESSSQEILTSKERQILNSQREIDWLQRQIQKYEKDFETPAPST SNVSHDVNEDLVRYKARVDELRSEVSAFSQFNLGKNHIVQNLEASHFTRQALYPDSSD HARLMQSQPVQVAIDKRDALVVEFMKEFEELRAIKEQLSVVERQVLALHQENRQQMES IEMVTGIPLTGPIGSSDMSSQLSSEGSQGRMRQDGLKDMQYHLEVTRNVLLKLIMESN IDWASDPHWLEVVLRIGTELN PHYBLDRAFT_67097 MKKVFGRNTKEKKSLALAKLLQEQEEKLKEDQIERKRLQELEDA AIAQALQEEWEQESQIPTTHSPVVNSPPHNRSPQLGTRTSSATIQTLQSERNRPSPSN AHSSPPIERSRNLNHTQPPPPLPSKPVAYNSADNNNGFYPVYMAMPEPSPMASTTSLN QSSPMNFGYLDPSNNNANNISNKSLPGQNSFRLSGYHHNHRHSHTNPVNHPIEPRERA QSQGATYPPPHHRLSHSFVVTPSTAPAAATSHNQFPFGYPPPQAAVPVQNYGYPPPIN ATQNLCTPDITGYPFTNATQNHSTSDISNSSTNITQNQSTFDMINSSTSTTQNPAPTP TPTLAPAPVPTSKTPSHRRRDQSIRISTQNHIYSQSHNASSMFEIDGFTSPILPMEMP TPQAKKQNAYVNTINNAYPPQDSFPPPPSHRHNHRHQTPSSQTIRPVSTVGDLPTPPA EIIFSKPHEHIETINKAGPSDSVLSLIEDLQGHELLGNHSPVQKSGSVTVIEPKLLSR PSVKPFSGEDSENPFADSFAAEEPFEEESYESLSKPVSTGTSEPLSDNAQPVTRRYSQ TKTILPAQLKQRQSTCATVVEPNFLSMAARSTTPVRRSENISSLEFTPTSWATKVPVS GSGSGSGLGPVSVPASGAILPPLKQKASGALVVEPRIFNVETQSPREHIPAIVYPTMN VRAGPPSHESYPTQNRQTITREVTLDDYYIKNIPFRSSVANKSLPSVPDGLLNPKY PHYBLDRAFT_172836 MASPTRKCCVPPGNVVPLRSAILFSKKKSKEKPYIAYIDTDDID KIYGQSTTYPVIISTEISTWVTELQNTGSFWGIQACCIQYVGRRRVRTNFANGLYRLI EMDNLELVR PHYBLDRAFT_67098 MRKEDTPFCPEGLEKVTRPRTETSWPTRKRRPCKIADIVYKAQK ILGIKTLLGRDIPLDDIPVFSDWSVVEKHQNGFHWEVEWDSVENPMMDVDEDNYDDDD NDNDDIDDIGLLAQRYY PHYBLDRAFT_136107 MPAFTTIDLPETPSPNYVIVKNISAQSSEKTVKEFFLFCGKIKE FELIKDDDEEHQVALINFERESAAKTASLLSNALIDDCHITAAAYFDSASSAEGAHSP ELETSETTQESKPKSRIAAEILANGYLLQDHIVAKGLEYDGKYSFSTRISGYLSSLQA NVKQLDTKYRIWDKAVEIDHKFGIQEKVHTAAQQAQHTAQVALQSPTGQKVHDLASQT FAQIAAVHYEAKKIQGEKIIASAESHPAEPAATAA PHYBLDRAFT_188547 MPSERKIKKLKNEDFKKKKLKVGKKKTVADNFTDTSFKSKTISL PNQSINEDKSRETTTTRNLTLADLLVKLKHYNSGVRKEALLNMRDLCSDNPQILVSSL STVVNSVLRLFMDDERDVRKALLSFLRDCFEPIDKIELQPFLPLLVIYTCSAMTHIFE DIRLDAIRLIDLWVDIAPEVLVSKFWDTVANNYLSLLSVDSNSIAQSRSNLSGTSAGH VSVASVKAAETKSHLHLHKSKLELLKSVSNFLEAGFYWFFMNFLESRHARESFRNRLE ETQASKDAKTLRWDQSGFTPLSAMIGSSVPFLSVNTLPGYSSLNLFESAGPKSTQAAT GSVGKGASTRNGVSVHRSECSLDERVSDVKQLIETFQPILVGSWLETAPSVFTSNNIS LTPALQLLETILRLSLVLWRSMVSKGGIGKLSSAWLDSHLQQFLKHISVYFPYGADAF GNRGGKVDSVLQEMNIMVCELTSLFLLARTMQTNSAAKEHLAVDFKIRKRSHSQVKDD ENVPEWADRIVDHVLGVLGYEEEEVENEDGVPNMTSMSTAFKPEHLTSLLPTVWGFLN SLEKDRRDTVLAAFMGFHGQSHPQSATRKITQNFITRVELIQVTPSYNGRYHTRK PHYBLDRAFT_22162 MGLDETNLHVLIESGTPDERENAKKVLRLLDRGKHWVLVTLLLS NVIVNETLPIVLHEVAGGGLQAVVISTALIVIFGEVIPQSICVRYGLAIGAKTAWMVL VLMYIMFPIAYPTARLLDYVLGESHGTVYKKAGLKSLVSLHQAVNPSDVDALTSDEVL IIGAVLDLRSKPVSQIMTPLENAFTLSTEDILDEKVVDEILSAGYSRIPIHTPENKIN FVGMLLTKRLITYDPEDALPVKDLPISTLPETGPETSCLDILNFFQEGKSHMALVSSD PGGQSGALGVITLEDVIEELIGEVKIKIKIKINMNK PHYBLDRAFT_172841 MSQEQEVTEKPKRKELSDYEKGIIVGMYMRIPKMSSIAKDLDIP YTTISSTIHRWKTTGTAQTKKRPGRPETLTERNKCAIQIALLRNPNISLQELTESIQK KVSARIGVNVVRKAIKEVKRRTLGALNEQPLDTELTTQSLLVGKNDR PHYBLDRAFT_156280 MAAQISKKRKFVADGVFYAELNEYFTRELAEDGYAGVEVRVTPA RTEIIIRATHTQNVLGEKGRRIRELTFLVQKRFKFAENAVELYAERVQNRGLCAIAQC ESVKYKLLNGLAVRRACYGVVRFVMESGAKGCEVVVSGKLRAARAKAMKFSDGFMIHS GQPARDFITTAVRHVMLRQGVLGIKVKIMLDSDPTGRNGPKNTLPDIVTILEPKEERD VEEPMAQDFSPAPAAPAVEAPAVEAN PHYBLDRAFT_96340 VKPVLKQSNKILSLKKTDDSIKYTPLESQVVKLKEEYPGVLLAV EVGYKYRFFGEDAVIASRTLRIAHWVDHNFTVASIPVHRLQVHLHRLVDAGYKVGVVC QTETAALKSAGPNKSAPFQRELKQLYTKSTFFDGFGVDQDENGSGPSHSNYLMTIIEE NRGKNKTSELVTMAIAVNPATGDVIYDVFEDGFMRSELETRMLHIEPCELLLPFKLSK PTEKIIGMVTSPKTTSPKERARIERIPENETISTDYNTALSFLTEFYAHGNQDNSESG KSAYRVFFVCSKALAATAQYLRQFRLDHSIKLTKYFINFSARGHMLLNGNTMTNLEIY RNSIDNSYKGSLLSILDKTVSPFGKRLLKKWVGRPLINVDQLKIRTDALEELVEADDY LKKDILNFLKHLPDIERDLCRIQYGRSSPKELLGTLEALVKISGALDPRKFRFNSRIL TKIIDTLPTARHAQDFKDCLNQNAIGEKNCKHNLFISENQWPDIPMHKQVCGFSTDTH IRAYVIQLKVKLKLVRSQLEEYLEQIKVDVNIPTLRFVDVSGIEYLLEVKNSMASKVP IEWVKMSATKAVSRFHTRFVSEKLKELELSRALLDIAAENAYQDFLRTVSDRYEVFRD VVQSLAELDCLLSLADTALRPDYVRPVFSDHVQIKVKNGRHPMVEQFTTTDYIPNDIN FDTDEYRTMILTGPNMGGKSSYIRQVALISIMGQIGSYVPAESAELGILDAVYTRMGA MDNALSGESTFMVELHETSDIMKQATPRSLVILDELGRGTSTHDGMAIAYAVLHRFIT KIQSITLFVTHYPSLGGLAKEYPESTTTGHMSFIEEDVNDIPSVIFLYKMVPGISLKS YGLNVARIAGLPKKILAKAKIKSEEME PHYBLDRAFT_67108 MVNIPTVPPSIYETGVFVQPLAPIGDSLIGSFFVGLIPLLLVFV LLGICRIPAHFASLAGIFIAIFGWGMPAAQAFSSIANGIVFANWPIMWIVVSSMFLYN ISVYSGIFDMFRRWMLVYTPPDKRVILLIIGYCFGSVLEGVAGFGVPGAICSPLMVSL GFDPMDALVYTLIFDTTPVAFGALGTPITTLATITGLPAMKLSAMIGRQLPLLSVFLP LYVLGMYAGVQAGVVECWPMALVSGLSFAATQAIFANLVGPELPDLIAGLVSLGCLVA FVQMWKPVYRSEYHAIMTQLPKKPDEKITELEKTNQCGKYIPTLPNTNLEKGSEISDT EAITRKQVILAWTPWTIIVVVVLIWTFAHVSSVGQVNVKWPALHQKVVYLTLYGKNYD AVWIFQPLGTGTAIFISAIIFGGVVLWNGSNPSIVYTIGLTFSSVGPAFPFLSAWLGW IACFLSGSDTSANSLFGNLQVVAAREIGLSGILMAATNSSGAIASKMISPQNMSTIAS TINLQGKEGLALRRTIFHSVFIVCIVGAIACIQQYCIPSMIPS PHYBLDRAFT_116889 MYLMYYLNTEGERVYTLKKTDPTGFPTKSAHPARFSPDDKFSRQ RITIKKRYNILLTQLPARAL PHYBLDRAFT_188549 MVRPDRRTENTQLRAFAASQNVLNRADGSAKFDFGNTSVLVSVV GPVEVQLRDEKLDEATVEVVVRPAVGYPSTKEKLMESMLQSAFEPVIMGGIMPRTLLQ IVVQIVKDDGAVLAAAVNAVTLGLLDAGIPMKYMASALTCMIHKDSHDLVLDPTADEL ENALSVHTFAFENLHNTPHVLLSDSTGRFSEEEYFTSHDVCFEAVDKVQGFLRTAVIS KKEKEQQQTIGQ PHYBLDRAFT_150011 MSGRPAKVGCKAKHHVNYYEISSEGLYQDVSQTNEEMTPQTSEQ VPETTLETPPLKTKVPKTKTPKSKEPKSKEPPKSRVTKKGAGKTTQSKSRPKKWTFDV LMHDPKSELGHADLQSKLNISRLQGFTEDELHELAAMLPICDRSYLTENGWIEECSIK KAQAYSESGTRMGLSSFALDQRNNVFWNNVRDWQNKLKDGEFSINNPKLKGAHNSVDS ADNQANMEAPWKDDEFEAYWGERLERNEKLKRELEAKEEVPSLVVKKPRGRTPKAKKV I PHYBLDRAFT_150012 MVLEDNSLEPDDDAIEFATYVESDDDDEDPFFMPEHTKKSSTLS FNNLTCSPVQVLRFTVPTVCIIIIITYTIVIAVLTHKRRLPGQSDT PHYBLDRAFT_188551 MSKASFLREYKLVIVGGGGVGKSALTIQFIQAQFVDEYDPTIED SYRKQCMIDEEIALLDVLDTAGQEEYSAMREQYMRNGEGFVLVYSITSGLSFEEVNTF YQQIRRVKDRDHFPMVLVGNKCDLEGERQVTLQEGRDRARQFNCPFRETSAKQKINVE DSFYEVVREIRRMNKEQEAQSKSTGFHRENFDMDQDRNNNGCCCVLM PHYBLDRAFT_172851 MKRNHPDNSLQVNFISPPPLGFPSSQLVQSLAKDILNDLSMVEA EIRQNTGASLVIKQFVTPGRKPKGYAINKGRRCDNGLTDDYQQLRPKDVFQLPPSPPQ MTQNAIERQTGVDRHGHFMGETSFYETSWETPEEIPYPTLPTSAFVPPQVSLEEQADL VHLYDRHVNSLFPLYSKPYLLYCLGLFQQNKPCPLSPLFFYCLFLCSTGYDENQSRFA DRTEAMITYVSQLIPFYLDCPRTTTLQALLMFSGYLEQTTRYQHHTMAWVWAGSAFRM AQEMGLHRQTPSDVCLESVQRSIRTFWFAFVKDRSMSLTYGRPFMFEEKDINLPLPVC LPDEDLDIQRYVTNFNSLIELSRLRGRIIKFNYLPQSSQGPTKHNQHMLSTLDSCICS MIKDTINHAKESPTKDSTSFERIMLFGLHSLLILLHRPFIEEEPLPKSTQNLSLEICS YAATIITHMALATSQKLSILHQHDGAMYAIITAMQIHLRNASIGSDQKLTAFGEINFE KSVRLFQLLIVHKDSMFVETLAALEQKYRDRENHVVAGYSSRLTCASEPSSPRSICQD SLSCSEGSPRKKHCEDPEEKPTTKPAAPFKIISFNPANQTRVSRQTKDKQRSSQQQKQ TNNYSQRQKEVLLQFTAPVVTDNSMVPIGMDPWATPQSQHQENLQLPPSMYSMESFLD FGVSQPWAIPYPNTYQDPNQPFPFVFHSDDRTPDPSQLHGYSWPKQ PHYBLDRAFT_127351 MTDIQTRPVHQAEETVVADNNTQEKSADAVADNSITTVFHDRSN YNVKHPLQNTWTLWFDNPGKKANTQSWSQNLKEIVSIDTVEDFWGVYNNISKVNHLEI SSNFHFFKKGVRPEWEDPMNAEGGKFGIQFPRNRTGEAINDYWLHLLLAMIGEQFAKE DEICGAIVSVRKFFFRVSLWVKHSEKNETLEALGRQVKEVMNVPDNIPVEFTPHGETP SENAVKFVVQ PHYBLDRAFT_127353 MKLNIANPATGCQKLIEIDDERRLRGFYDKRMSQEVSGDALGDE FKGYVFRISGGNDKQGFPMKQGVLLPYRVRLLLSKGHSCYRPRRTGERKRKSVRGCIV GSDLAVLSLVVVKQGEQDIPGLTDVTVPKRLGPKRASKIRKFFNLSKEDDVRKYVIRR EVQPKNAEKKAYTKAPKIQRLVTPLTLQRKRHRTAIKRRRAESSREAEAEYKQLLAKR VKETKDRKIARRRTSSIQKSASA PHYBLDRAFT_172854 MCSGKTKIVKRVSVTHVCHAIYIHKGNEVQTVYFIIDTLETLTR DVIYALGVCMIVYIYPLSISGIYFIMKPNFIGRRWNLLIQSILFASDNHDNDNDNYNY NAKLSKVGYSDILKTQV PHYBLDRAFT_178156 MTDRRSGMVQAYGYPSATGTTQIVSRAVAAAAANSHHSNRKAGR GSNRYSVSVLYSMAAEQDNEVEDELAQAQRQLRDLKSKISAQSKKNFVLERDVRYLDS RIALLIQNRIALAEQTEMASHLEEHGDAETDFYPDDRKLQQYGNLLFILQSEPRHIAT LCRLVSLSEIDTLLQTVMFTLYGNQYESREEHLLLTMFQNVLAAQFETSTEFGSLLRA NTPVSRMMTTYTRRGPGQSYLKSVLVDKVKNLVEHKDLNLEINPLKVYEEMVAQIEED KGALPANLPRSVTPEVAASNPDVQAIIQPRLKMLMEIAESFLTTIISSTPTVPYGIRW ICKQIRSLTKRKSPNASEFSVCSMIGGFFFLRFINPAIVTPRAYMLMDNVPGKHPRRT LTLIAKLLQNLANRPSYAKESYMLPTNPFVEANKQRINRFLNELCEVSDFYESLEMDQ YMALSKKNIELSITMNEIYSTHALLQEHLDMISPKEKDHIRILMNDLGPAPTQVARKE NKTIDLSLFSRWEIPIQDLTTALMSENNITQNDILYMETKAIYVQLIRSLPHLGAGPL DLEYIAETAATTKDAQLVRKGIKVKDMLRELEDAGVIDHRDRYKLLVEEITQELAHLG NLKEKVLQEMTSLESVYKAILDHNSYLRSQLESYKAYLQNVRIQNGGEKQTKSSVGIG VAVEGNRIKTQTRSAVQGPFKFTHSQLEKDGVIAESTVPESRRPNIYFSIKSPLPGTF IIAMYFKGREKAILEMDLKLDDLLEQQQNNVELLDLEIVRLNVLRILQLLNKTFVTRG KKLKR PHYBLDRAFT_117014 LLPPLLPEHSGRKCLVLDLDETLVHSSFKSIPNPDFVVPVEIDN QFHNVYVLKRPGVDEFMRKMGEKYEIVVFTASLSKYADPVLDILDIHKVVTHRLFRES CFNYRGSYVKDLSQLGRDLASTIILDNSPTSYLFHTTNAVPVSTWFNDPHDTELVDLV AFLEDLTAMDDVTVILDSSIGTHPEV PHYBLDRAFT_150020 MERFKLIIEGLRDEVAKANTRIEELLVERRQYLIEFRAKEEKIV SLENKIKELEAGTPESKTNG PHYBLDRAFT_67118 MDKMLHEIADIRAKAESANARANKLEIEIKSLESYSNFRDQNII TLKDQIAKLDIKYEDLYNVLTSKAEWHNEHKDDVEESVHMINGLESAIQSMNEKYIAC KAKLDELTHQSVKL PHYBLDRAFT_172858 MDSIKEKIRELQAETDKAIAKADDLESRARDLEYEIEIKELTIV SLERHLEKSNEDINEFSVFFTNQSACFDEYRADADEAARKITALQLELQDKEEKFIAC RAELDKLTHRSDKLQ PHYBLDRAFT_150023 MSSSTCEIDSQLLEKIKKFRFAKYSSGNAAFVLQIDRKQLKIVE EEVYDAVSLEELIEELPENSPRFIILSYERKYSDGRVNYPLLFIYWSPSSAKAEINML YASAKTFVQEKTDVTRGVDIRDPESFTDEYILKHLG PHYBLDRAFT_116927 MEPNSKRQRLEQDPETFEPLKEKVEPSRDLYLDTINRHMLDFDF EKVCSVSLSHLNVYACLVCGKYYQGRGKTSHAYFHSMHKDHHVFINLHTLKVYVLPEG YEVDDPSLSDIKYVLKPVLTKSQVMQLDKNLKPSYDLNNKKYLPGFVGLNNVKANDYV NVIIQALVHIPPLRDYFILEDFDKLGRSQLVTRFGALVRKMWNPKAFKGQVSPHELLQ EISNASGKRFKLTEQSNAIDFLSWFLNTLHRDLGGSKKKNSSIIHRIFQGEVSVDSQS IGATGGPGNKEALLIFDEDREIKSVRLPFLFLALDLPLAPLYQDETEKNIIPQVPLTT ILGKYDGKRVQEVADQKKRYHITLLPDYLIFHIKRFNKNNWTTEKNPTIVNFPIKNVD MANCNDPDAKNVGTHYDLLANICHEGKADNGQGTYKVHVRHRGTEQWYQIQDLIVEEI VPQMIFLSESYIQIWERKRSVEEPVSK PHYBLDRAFT_116693 MSVDDGNETPEPNPYGSKDAKPPYSYASLIAQAINSTNNKRMTL NGIYTYITTHYPYYQMAQNGWQNSIRHNLSLNKAFVKVPRGDAEPGKGAFWTIDTSAE PQFTNGIYKRNKR PHYBLDRAFT_183069 MSTEKLRGIGQLSAECQRLVRRLAQVDSIPLQKEVATLLKSDLR TFEHDIQQVKQLAEEEDSLASKESILGKLAEYETQFKQLQISSRQAIWQSKQRVEQQD RKQREELFGMRGKMDEKSFTEQYELKQRHTHGDQRLLQASSEVTEALGKTRKLMEQEL EKSSYSAIMLADSSKTLMATRSEYANLGSLMTISKRLISQLESADWFDRVSLLLGVFL FCGVVLYIIKKRTWDVGISWIGWLSGHSTTTKTIKDATVTATTALLSNPTATIAVVSE ATPLVASFIASTTTLEVLSEVSPLATLTIAKKATLDIVSEAVPAVASSIASKATLDIV SEAIPAVASSIASKAALEIVSEAAPSVASPITSKPTLEVVSEVIHLVASTIASTAPIR DEL PHYBLDRAFT_150027 MSSPEEINPYRSLSPLERRTRSLSPPLQSPSSFRNPDRRLSEEY EDKSDQPFAYGYLLGLFSSPDMGAFLTSTSFSDEIQALPFHWQTLISEAKDEVIQERT QHSPLKQHPAMKRLENVRQYVWMAAGNDSSNLPNTLTSILHQDDQLWPIIQSPHFFTS DNKS PHYBLDRAFT_183071 MYSTLCQYPFNKENEIHFVEVDLSDTNDTHDTPVLLPETPQDPI SSTIEMVESKPDISIWQAVELGDLTSLQYFLNHSSDTSLLVNTRDPATEYTLLHIAVS SHQNPYRL PHYBLDRAFT_90102 EEISTIFVVGFPEDMHEREFQNMFIFSSGFEAATLKIGFAKFRT RQAALEARDTLSGRRVDAEKGSVLKAEMAKKNLHTKRGLSNEQQGQLILAQSLQATQP SQTPPPVPVPAPTNSYPMLASKRFSLAPPGNSAAYEAFYSSHLMSPPPNVTSPTTHRV FNMIGSMNPSDQNPPCNTLYVGNLPPNTNEDELKILFSKCSGYKRMSFRNKSNGPMCF VEFDDVQCATQALQDLHGNMLSNSVKGGIRLSFSKNP PHYBLDRAFT_116781 GGKAGEVPTDLEQATGLERIELLAKLEGKELFDMEPLQVTHLGT PKNPIVVESHDPIRFVGCTGFPVESHDVIWINLDKSHEHDRCPECGSVFTMNFVGSED EHHH PHYBLDRAFT_78701 MYIVCVSFLFCLFSSALGMPTQVQDIAVARLFESEHPTQVCPID WNSLNLTHVLASPSVGPFNGCGGCLNVTDVPLTRHTYVLAIDRSPTAMLELGLGAFED LFGSSEIGTQKVIAWSITGQSFCSHLLYPQTQHLPTLTPSSSPTQFFPS PHYBLDRAFT_136132 MYQTHTLNQSILTTELWNQNINNWGYGEGGSGSTFHPIAIHIAF ICTIISTCISFTAVWFHWKNYRQPSLQRQVIRILLMVPVYGISTCISLVSLNTAFYVD TFRDIYEAFVLYAFFNLLLSKFGGERALFAKLHSRPPSKNVFPGSLWSREIFVGDPYT FLFVKRSILQFVYLKPILAILTMILKATGNFTEGEVSWSSSYFYITFIYNLSVCQSLW SLLVFFYATKEDLVGFRPFSKFLCVKSIIFFSFWQSVVITFLVSIGVIKDSGPGHISV AIQDILICVEMVPAAIGHFYSFTYKEYLNPDVHTARMPLRVAIRDSIGLKDVLMDSRD TFYGDRFCYRAFEPAVGLPPSGPTRDSRLMAGLRYSASSAKKYWVKPVQPSMYISTPK RGVNDEQMLEDEENEEALRFDDPSASEDVEELYEQSKKLPFGDSNYPVIDFCIPLSHQ ERRGFGYGSIGAISGKIQQHIIGSTERTQSTDNEAEESDSDEILPREGCIDVVVKGED EYVAQEALEPGSNNLQSPTVEHTFVLASESGEVEITTEPNTLISPTSSISETSINKNG QTSIIK PHYBLDRAFT_150035 MRFDVHRAIMCQSPFFESLMQKLTQIRKVKPNKSTLEEKTEFTT TLKIDLFKAFSNRGFVLSPFQHIVTRRWESPIELSPCSTRKSNNRSNEPLNHILTSHI RFAIQWLYSHDKSEMTQKLEDEDTLHILSIAILFDIDDLADECIKRYTTTQLSLATAV RDLETIGQLPRNHNAYLQLRDAVLLLLLRYGPEHPAGLAILPVDYMADVLSADILFVG SEFERYCLLKKTLVTFMQSVGKITWTASGPVDQHNKRLSGFVQPPKSLLAQKKHQRIK AFGNFPNEELSANTVRALKRKRIPSEELEDAQFSVGFNEVTKLQPVRISFSACVPFEK LMADVSSGGVIDKATVLSYLLRTTVIYSNMTFDQLTTVRHDKIVNEEIVFRALWQREA LERIIFPFHFQSSQPIPSEEDYNGKDPTQDRSEALNEYFDVDDTVDQERRRRILLGTP KFRFRKSIHLTPATVANGWKLIEKSNANSTNDKAPTETTHNDANESEDELENLLNSLE DEEDSFYESDQIVRCETSKDTSSDSVAVLTQTPLEGTDPLLANQYSPASYSKTFYSRP EKILGSSYSVAVEAQVMPRHLLYMNNTNNKKEGSNDEENPSDKNVLVCRFELYHDDKG LLRRELSEISSDKSDEIVNVEEAEEENNTPGLSDNEQILENEEERSEFIRYSLGTSKT SPKKALFSRRESSNVSRSSSYSMKRKYTFGSRYDSPIKRIAGNLHQRSQRANIRYSIY CLNTHENLTENQRVDQEDRVLVPVTESAELLQHNDSESGYVGQTIIHADMSEGVTIDL TVALEVFGFENV PHYBLDRAFT_156288 MASIQIDAKRFHKRARFLISQWKSPTNSELFQDTDAILLLVGDE DYENPYRKSVTAQTWLLGFPIFQSLILLTPEKITFVCSMKKGDVIETIKQGDKQVPVD IIRRGKNTEENVQLYIPLIEELSGKRVGVIAKDKFTGKNVDEWTKALKQSGKKFDEVD VGTAISACLAVKDEEEVRTMRTAAKLSSNVMKYYFMDEMATIVDEEKSVTHEKLSAQT EDVLENPKMAKVLRMPSEVDNKDDLDWCYSPIIQSGGVYDLKSSAASNSDTLHPGVII CSLAVRYKFYCSNIGRTFLIDPSKTQEKNYEFLVDLQSRVMDTIRDGVKIKDVYLKAL SHIKAKRPDLEKHFTKNVGFGMGIEFREASYVLTGKNVRELKNGMVLNFSMGFSDLEN PKPTDERSKKYSLLIIDTIRVTNDAPVVLTDCSKRLNEVSYFFKDEGGDGDETESAQN PKSSKKDSVSKTAILRSKFRSEEQEEESREQKRKEHQKQLFAQKLADGLAKFSETAEN DNDENKAAFKKFESYRSESKLPREVKDLRIVVDKRSESIILPIYGMAVPFHISTLKNA SKSDEGDYVMLRLNFLTPGQAGSKKEDMPFDDVNATFVRALTFRSANTGRMAEIFRSI TDLKKDASKKEAERKEMADVVEQDNLIQVKGRRPLRLPDVHVRPSVEAKRLPGELEIH TNGLRYQSIRSDNSFNVLFSNVKHLFFQPCDNELLVLIHIHFKNPVLIGKKKTKDIQF YREASDMQFDETGNKRRRQMYGDEDELEAEQEERRRRANLNREFKTFTEKIAEASDSR IEVDIPFRELGFQGVPFRSNVLLQPTTDCLVHLSDPPYLIITLSDIEIAHLERVQFGL KHFDMVFVFKDFHRTPIHINTIPISQLDNVKDWLDSVEVAFTEGAVNLNWTMIMKTVN EDPAEFYKNGGWNFLGPNSDDDGSGNDSDTASEFEISSEELDESSSEDESGFGSDASE DSEEEEELSDSGDDWDELEEKARQADERKLKRAGEFEEVPRKAPRR PHYBLDRAFT_74982 MVGYPESLTDPSYRGQILVLTFPLVGNYGVPDRKVMDEILEGIP KYFESSEIHVAGLIVGNYAADYSHFLASSSLSTWLKENDVPAIYGIDTRALTKKIRTQ GDVDWVDPNKRNLVAEVSIKEPKLYKPDPKKALKTPCGTRTIRVIAVDVGMKYNQIRC FVYRGVELKVVPWNYDFTAEPVDSYDGLFLSNGPGDPTTVQATINNVRTVLKNTKKPI FGICLGHQILALAANAKTIKMKYGNRGQNIPCTNSISGRCYITSQNHGYAVDTTTLPA DFEELFVNANDGSNEGIIHKTLPIFSVQFHPESTPGPRDTEFLFDVFINSIKDCLAEK KLVPVKMPGGLKADNIAKNPRVSVNKVLVLGSGGLSIGQAGEFDYSGSQAIKALKEEG IYTILINPNIATIQTSKGLADKVYFLPVTPDFVRKVIEFEKPDGIYVTFGGQTALNVG IKLKDEFESLGVRVLGTQIDTVITTEDRDLFAQALSEINEKSAPSASAVSIPEALAAA KQIGYPVITRAAYALGGLGSGFADNEQELIALCNKAFATSPQVLVEKSMKGWKEIEYE VVRDCQDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNVIRHLGV VGECNIQYALNPFSKEYCIIEVNARLSRSSALASKATGYPLAFVAAKLGLGIPLNEIS NSVTKVTCACFEPSLDYVVVKIPRWDLKKFNRVSTALSSSMKSVGEVMAVGRTFEETI QKAIRAIDYNFVGFSANDYVDNTNLDDELRNPSDQRLFAIANAMNDGYTVDRIWELTN IDKWFLNKLMRIVNLDKRLEGFSKANIPGNMIRSAKQLGFSDRQIANKINSNELAVRR LRQEYGVTPFVKQIDTVAAEFPAFTNYLYMTYNAVEHDITFDDNGIMVLGSGVYRIGS SVEFDWCAVRAIRTLREKGIKTVMVNYNPETVSTDYDEADRLYFENINMERVLDIYEI ERSSGVLMAMGGQTPNNIALPLYRQNVKVLGTSPEMIDNAENRYKFSRMCDQIGVDQP QWRELTSFDEAESFCNKVGYPVLVRPSYVLSGAAMNVVFSKDDLESYLKEAAAVSRDH PVVISKYIEEAKEIEMDAVALDGKMIMHVVSEHIENAGVHSGDATLVLPPQDLDPETV RKIEIATAKIGRALNVTGPFNIQFIAKDNEIKVIECNVRAARSFPFVSKVTGVDLIEM ATNAMLGLPVTPYPKVNIPKDYVGVKVPQFSFSRLSGADPVLGVEMASTGEVACFGKD KYSAYLKALVATGFTLPKKNILLSIGSYKEKQEMLPSVRKLHELGYTIFATAGTADFI QEHNIPVKYLEVLDGSGDDTLKAEYSLQQHLANNLIDLYINLPSRNRYRRPASYMSKG YRSRRMAVDYDIPLLTNVKCAKVFIEALARNPSGSYEILGIDYKTGHTTATLPGLFNI NAFLPKGQDFGAVSKASVCAGFTTLSAVAQDVINTSSFESTHVAAHKSAHTDYILNVI ATTENASQLASLAADAGAVYINTDKIGSGQVSVFDSVFSSWPNSHLIITDARGTDLAS ILLLASLHNSVIHVSNVINKSDLALIEMSKKKGLEVSCDVSVYSLFFASEDFNNTKLL PTKDDQAALWKSLDVVDCFSIGSTPSKLAQELGKPVTKIEGINETLPLLLDAVSKGRL QLKDISDRLYENPRRIFGLVAQPETFIEVEVDRQHVWATDASTWSPLSGRTLYGSISR VVMNEKTVFMDGTFSSDGTHGRNISAQVQAVKSSTKDSQNSKLENALSAPKKTEKAIR ASAEDSIKLAGSENQQLVSYEAQTYELSASLSRVVSRSPFYNKHILRAKQFDRNDLHL LFGVAQEMRTLVERYGSINLLQGRVMTTMFFEPSTRTSSSFEAAMYRLGGKVVAVSAT TSSVQKGESLADTVRTLGCYADAIILRHPQAGSAQIAAKYSKVPVINAGDGIGEHPTQ AFLDVFTIREELGTVNGLTITIVGDLKNGRTVHSLVKLLAYYQVTINYVSPESLSMPA DLMEEIKEAGVTQNVYRTLDDVIGTTDVLYMTRVQKERFSTEEEYNRVKDAFIINNDV LSKAQAHTLVMHPLPRINEIEPEVDFDQRAAYFRQMRYGLFVRMALLALVMGTLRD PHYBLDRAFT_150038 MSDSHQKLRSRYLSFWLNVTKEMPMATLEMHGNTTVQGKLCGTD SQNNRFRIDQLESPIGVYDHVVVRGSDGCKIIVTRLCPELLEVS PHYBLDRAFT_188564 MPAPIEYTPRRMSNILKQDISFEPYEFQLYHPQNNVKDVFESHD LEASFCRDFACCGLVLNDLHDLLQHYEECHVRLEEEDDNASLSDEDHESWSPPSYSLT SFDDSPTNPLSQDIQDIQLPKINSIKKKAAAYLSDLYNSSATVSPSSSSSTMSSENEF IDTQLVDEDSASKSQGKKRSICQTVGSANALDLLTQPTSKKFALSSSSTSDLPTPVLI DEDILAQAGALLATANTNSNADKPYKCPVFGCDKAYKNPNGLKYHNQHGHCNLVSDES ENVASKPYQCTIGECGKRYKNLNGLKYHIEHSHMAALNHTLATFGSTIFPPSVQPIGP NSYPFDNLTGDAQNNPPATPIALPELF PHYBLDRAFT_160031 MTPTLVAAAAPLNTYSTEKVAAQPIPAMDPYINDSENESLGIFT VMTTYMPTLSDELEIQPGDRVNMLMEFDDGWCRGINLSRGNELGVFPRYCVEQTSAPT QTAPKTFTEKENDRTKRVSSMYMG PHYBLDRAFT_67135 MYPITRPRLALLFKRPGPVACTRNSSSYSSSNSNSNSNSNNSDN RNQKSRKPFITTPSRSDKLNETHVQSEQQFMPIVDIPTNEFAHNVFFSMHMPLMGLEE NQRRSFMSQFFCTPEEQEANEANAEDAHGELVARHMMELEPFNPPAPPGTTTSHNCPS TTTTFTISMEELASMSSGRSSLFFLRENEEMIDYLTAMHDKLKAQEEQARAVSERMDK NRKKKKLRFFDSEKTKDEE PHYBLDRAFT_116905 VFVGNMSFKTTEETLSEFFKPCGNVLDATIITRGPRSLGYGFVT FSSLAEIEKATKDLNKKELDGREINVEAARPKAPKTGPTKARRTREVSKEKHISKPVA AAIVAATAGATAAGAAAVAAGISADHSKSETTDDETVRKSRPGRRARKNKNREGREIK PREPAEDSKTRIFVANLPFATTDEELVAIFKGYNIKSAAVARLKNGRSKGYGFVETES EEEQQKTLAKFQDIVLDGRTVSLKVARVERPRKVKEATSETDEQKSAPATEVKPTETA KAVEPKKTETKPTEVKKEEAKSVEVKKEVKPVEVKKEEGKPVEVKKEETKPVEVKKEE SKPVEVKKEEAKPVEVKKEVKPVEAKKEVKPVEVKKEEAPKASK PHYBLDRAFT_78707 MSNSTPSKAPYTNLDGNKLGLVHRPWSINQANSRLETNTLQAAL ANDLSYQQFAEKDRSIPLNDLSSTQNRQSNNPYNQPDLHAVPATLHHGLKHKTYGYTD PFAAAPMEAWQEMKDYNLKVNGLPTLDQMMHLNTLSPLTLSHFATFLRRRDVHQNLNF LMELETHDKLWRAHLSSVERKQGIRHSRFLLHAQKTSFGFNESSVLEENEQEIEMGAA KVQNQDSSLSRHDLVQNSTRIYRTFCSAMDAAQPIHLPDDHRLALEELIEKHHRPEPV VFESARSHVYEILNVFYYPQFVDRVLYTNIAIVSARLLMLTGVIFLTMAYALEFALIF LDKGTQTTRWWGILPFLVGWTGLIASVTEFAWWLAFTGKCEIRFMVYSEVLDNSIQQM HTKRGCFWLVLSVAVAFLNTLIFAFIPAHRLTA PHYBLDRAFT_160032 MSIYASAAPITTTINNQTQTVYPCLFPNCGKTFARLYNLKSHSR THTDDRPFMCQVCQAAFSRNHDLKRHVKIHDGDKPFRCMGCNKSFSRLDALKRHKSNQ RNKTACLPGT PHYBLDRAFT_78709 MEFDTPVPHKAASITSITSNSSWDNPPSLYSGRDSVSSLYDADE SPCLQEPGSFEEFHFSLGLDPALSIPVPFEAEAYMPLPQDFGEDVLLDEHDKKTFGEF LNGFFIEEDLDNDPFSLAKTTKAFMDNACSQVTQMQRNPSPDKPAEDDSIRKRPHDRS SPSPSPSPSLSKRTKPNRDLLTEDEKRANHIASEQKRRNTIRSGFKDLTDIIPTLKNI NNSKSTVLFKAVDYIRHLEKRNRGLKDRLAALQMRAEVKGRVSNLMNKHQNHNHHQHN NNSSNHNHHHHHHHHHNQQQQQQQHNHHQQQQSSHHFTRPTAFTTLPRRLSDAIPSNL PPEAVAALMVHKDQQRQLERLQEQLRVQQALLAKHNIHSPTSTSTSTSSLYNRLKQEQ RSANKHRFPGHHHHHSSYDSISIPSHTNVHSPPYHDTPALVMPVTEDDTPEDWSQPYD TMDAPSLNIPADEEYGKETAFRERLLSCGKLKYLHPPQQQ PHYBLDRAFT_136143 MTTSGRTDISRTIYLGSVPKEATPAEILTQVKTGAVDSFKILPD RNCAFLTFVDPASAQAFYQEYLSRKLTVQGQDLRVGWGKPSLVATQTQAALNVGATRN VYIGNLSTSSTEESLEEELSKFGQIEHVKILRDKNIAFVHFTSIVSAVKCVNALPSEP AWSSRRINFGKDRCAYVPKNGISATFGFDPYASGGMNTASMMSQAYGMSSNPMSAISV TLRTLYVGGIMPEATCEDLCNSIRGGVLFQIRFIPEKHFAFVTFVDANAALSVYNHAN TSGLVVKGRRVRVGWGKPSGIPSTVALAVQTGASRNVYVGGIEDEMTEERLREDFSEF GEIELINTLKEKNCAFVNFTCMMNAMKALAGIKENPVYANYKINYGKDRCGNPPKRQA NPNSANGNSSNGHTSITHSAGATGSTTGSLTHQNDSSGYTQDTSTSAVKYEE PHYBLDRAFT_32127 MTNIEDLNAPFDPFADLEEENAPKAANHIHLRIQQRNGRKTITT FQGLPKEYDSKKILKVFTKKFACSGTIVDDEELGQVLQLSGDQRTKIAEFLVSEEIAK KSEIKIHGF PHYBLDRAFT_178161 MSVPSLAQNPETNAPSDSSQSVGATPNAMNSKPVPVKLVLLGES AVGKSSLVIRFVDREFVQNREPTIGAAFLTQKCPLEDHTIKFEIWDTAGQERFHSLAP MYYRNAQAAIVVYDVTKAASLEKAKSWVKELQRQAHSQIVIALVGNKVDLVEATPARP TQSDEEAEEAEEEETVTRQVSTEEASAYAAETGLLFFETSARLGINVDRVFTEIAKSI PLEIIMYNRPGGRSGPGNTNQRINLQFESGNPTNKTSSGCAC PHYBLDRAFT_39914 MPELKFDEIKAGQGEDLHTLTRWVLSQQQHAPEATGDLTILLTA IQFGCKFVASKVKQAGLINLLGLTGAANVQGEEVKKLDVLANDIFRNALIASGKACLL VSEEDENAMIIQNPKQRGKYIVTFDPLDGSSNIDCGVSIGTIFGIFKVKDDKNPNSSD LIRSGREIVAAGYCMYGSYCEMILSLGNGVNGFTLDPSIGEFIMTHPNITIPPKGKIY SVNEGNYKYFDEPSKKYVDHVKSKYTARYVGSMVSDVHRTLLYGGIFGYPADSKSKRG KLRILYEVFPMAFLIEQAGGKATTGTKNALDIVPEHIHDRSGIWLGSKDDVAELEAFY KKK PHYBLDRAFT_172883 MSAYKVKGRDRDRDRDRQRLGGNMDSFIFLSFLNRQSIKKGFLF QKPSYFEGFFQAAYEMNIIHLLYVFYPEMLYAKGSATVFYCEVGLCVVSAKKYPENRR QTRPSGMRDFPGRRRSRKGKRHKIQLRINDIHSLTHYYLS PHYBLDRAFT_150050 MLRRQFYCITCSAIATYAVLTNMIPLGYAQTPTENYTPYNPSLG SVQITVPWRAAHASDYVDPYVILYGGVVDPNESSTGTLLGSSDVWAWDSRNGSWYNPI PTVQMQSGNDMLPQALIRAVSLPSSGQILAIVGNTTGTIYNGMLQKLDTNSWTWSFPT ASLEPPARAAGFTLSIVNNTVYQYGGLGVGSDGHPMIGAVMNGLSLMDTSAYTWTTGS NGLGVTDHSTCYIPACNCLVVFGGTPTGSASDATSNLHTYDLNLKTWSLQVVAGSTDG AAPGARRLHTATCLDDKMVVFGGGTTQPYDSDVWYLDASSYPTLAWNRVTVANITQGP NARMGHTASLDKTSQKIYIYGGWGVSATNDSNMYVLDTKAWSWTRVAVAGYPVAIPPS ISSSIPVSSATSDTTQPAATSSQTTTTATAATKSNNTPIIVGSVVGGLVFLGLIALLL FCCVRKRRQKASKESVPENMDGDGQLPKTDKFWTNNTKEKKLGSAELAYGNNPSDATL MTPFGNKRVSQAWTGTSSQRASLRPSELGDTDRVVTGVLEIMSTSPTIGDSPNHSNRG SRDYIGSIGGGYPSPLGPDSHRNSSLSALGSIPLVHAVRGPGQVPNEITPQKPNEFSF PTARFSAQSNTSSVPIDHLTQVSPSLISGDESGGGAPLSSSMEVLRSIKTNGSSMMSG GSRIVPVTHSYASTPWGLKNRDQNELEDDKWTLGDSLSVRGANAPPIRYIPPSGSGNQ FSVATTATTSASTWNNKGSKGSVLLTHHQYPSSNMSVPLAQKVTPAQPQPLTINTVPS MNLQSFGQQQNDMMLYDSVSPLEMLATLGHIHDTRGIDGSTVPSSSGSSSDNNNNNSN RNNTADTTAATVATDISTTDIQLSPSSSGVAVVASRRNYNSPAATAASSERNSLTTTE DDSGLSFRQTNQDSTIPFSSSSLEATEDPNDSFGVMGPLISMLPRRYQMEKTSPPILG PANNVIFVQKKDTSSDFGDARPVVIKAFGRREAWERECRTLIKLKGKHAVELLEVLTI QNEPNSLQEQNSRLSKSRGKKEKQDDGSDDIKYVTVMERLDETLAATIRRFRNKNTSN TEWADGPAREIARDVAECLVWCHANDIAFCDLKPSNIMHNKHRPWKLIDFEASRMIGQ ECVGVITPRYCPPEVARATTYGLEGANGVVATASVDLWALGCVIYELATQRPLFASSI KDETILHFVSHPSPSTPILNNGLRWNEHNELEIPHLGRLVPNEDTRHLLWILLSRDPS KRGRASSLLKHPYFNTQRST PHYBLDRAFT_188574 MLRAFGQFSGIAKRFSSTVATPAPYFISRTANQGLPVYTEIKNG GTQKLTIVRRIEGDAEVLMHEIRALFPKDAPKNIVRVNPTNNQVIIKGIHGNEIKQWL IEKGF PHYBLDRAFT_67146 MTSNITTRDEFDSISEGCFLVMPPRHTTTRKRKRVSIKDVKATT SRPKVTLSDGFQRLFRGDEPKENMELRRKGFEETWKKTEDLVEVPYLFSSCNYQYDKN NKDQYSTNTTRRTLGIMLDMNHTCLDNISQFVDRAYDTESTMKSLIALPYHEIPTGLV FAGINTPDHATPFEQLTSLLSLPATPENKRTKDNYVALLQSKDCATLQIMMARVIEQF LASNDPSQISDKMDIDNEENGDENESEEDGEEGESREKHEESSKSYTLTANSGDNTCR LQRYDLQMLEGWYKHQMDIRENKSAPRSNLVVILQDLESFAPDVLQNFITICSEYRLR IPIVFIIGIATSTEILHQSLSKSTLGLLRIEKFWLQQSEAWFNRVIETVFIDTPHTIK FGARPYKFMLDHFYLYDFSIGAVKSSLKYALMHCFYGSSLSIFLPLLGRSRPDTLKKL QEMRKRKLITQDHINTLRMQASFKTYIESICDTEPSKALRLVTDDDFFTTAAMADFLC GIETYRQKFKFGLDLIQVLQGQFSAFSTLRKSKRMLLLQSLETRDGLVGGDSTHSEVT RWLVSLVRKVEPDALESLLDALEGLMESNPDYKQRCMTVVDGESRYKISMWKERLVEL LAGDKTYVARMEKKAKRLEGMSLPDMEEKRSTETSKKVHAEAIAHMKRKGTEVSKLAM EISDWIHYIFYECLQSYTQVPMHELVYYVQSKLHEKSFSAQPRASVQTALTNSKHYLD CQCCQGGQDQLLPSEPDSCILYKLYLECGRMINLYDWFVAFGCIIEREKSPADNPLNE NEVQARFIRSVAELQFLGFIKPTQRKTDHVMRLTWSNI PHYBLDRAFT_116738 MKVRSYSTKTWNEHALHPKTADRSTVDWIFLVDLLNFSFWSDAD SQDASAPHPDRYSVVYKGKSYTGYWSLCAAVNRALDNGIPITDPKFYGQDATDEQLAT IFESDTKEKVPMVQERIRVMREAGNVLCDRFEGSFVNCIARAGGSAQTLLSTIVQNFG SFRDIHTFCGRPVSILKRAQILIADIWACFDGQTFGRFDDIDSITMFADYRQALYYLG VLRYSPELISRLKRRENLPTGCPEEVEIRGNSIWSVELVRRYIAEHNLQTSGPTINAI LIDFYIWDFAKEHQDDMLVPTHCTRSCFY PHYBLDRAFT_67148 MGYFGYYCTSILSFLPNYSMVNGFVVLLILFERNYYCNQGKKRN ESENIQTNLKPLYSVNSVKIKNEINEISSFQVLLFNSELCGKLVDDGRCLPYHLVVEI WVLTPLNLSIAPWIFVFY PHYBLDRAFT_160038 MLMCAFVVAQLVATFIAVYADWGFTSIEGCGWGWAGIAWIWNFV WFVPLDLVKFTMRYFYERNRVHDVVPTSRRPSAVSGTSSARYYANRTRSLQSMEGSHN NFGKRLLHKGKRMGMDPKEMRRFSSVQTSHAAHVLSAGSAGGAATGVGGTGTGVAGGP SVVPGAAPA PHYBLDRAFT_67150 MCIDESTVRTHFHLELEERRPRKITGEFIKDILEVIEKEVDVKL GQIVAVKELEQTLKANKYMHKVDNILPDLKSKEITLCFFESLGAYWLKEEIRMCKSLF YSMNFVLLSFGVFN PHYBLDRAFT_39921 MQIFVKTLTGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDSIDNV KQKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGVSRKQRCA FGSCSDKPVKIIGDCRYCQSKFCARHRLPEAHVCVNLLSCKQAAHERNSMKLLSERCV ASKV PHYBLDRAFT_172892 MQDANSSENTHGSYEKADPYKYGCIMYMHLANYGATQISLVVGM SLSTVKYIIKRVDETGSLEPRKGSGRPRKIDERTERHLVQIVPFITEEQLHVEYMP PHYBLDRAFT_172893 MVSLTDNLLDGARFTGCYNWFLVPLGRVQNPCLEVTDRILLKLY MRSFRSDGYDFQIRKYICGDIKYVDDECNSDMRTFFAKGSSIKEKLRVVWHGPIARTI SNKMSTLVKIKESKNPNSLPSIDIKCRFVKKTKNTDTYIQSSSGDSRLYFLFVTNPIV LLLNIFRYCFFRQNERSKSLSLILGSMARIITFYAGFFYSSVFNSRLLCQHWVSPRRF LLEAVLSQVFSMGCNRFKQYIPWL PHYBLDRAFT_78717 MNDTEDYLLMPSTDLYFEKDPLLANLFHDPLPSPTSESNFPSPQ SATDDSSIQSPLEQSPDGFFLQNLPVAVLESLSLLYQQQHQHQQQQQQQQQQQQQETQ AHETNTHHLNQISLDSAIEDFEQFVRFEEDGSNAQQQHNMNLNLNLNLNHIIQETETL LSQHQQEKEAKEAKEAQEEKEYLASFSALTLPAQQVPLAKKNKSSRPPRQLECFNCHV TKTPLWRRTPDRAHSLCNACGLYYKQYSTHRPLHIRQKQPTPASPSKSSLPTPPTSAA DLTRPLQPAPLHPSQQHSHCVSCLQKSSPVWRKNERGEPVCNTCGLYPKLSPAVSGIS SPLSQSLSTLTSTQRDRPLAIRKDSLKRERDWESTADQDNQEGGSEESSEKETAETET EAETEAEKKKNKKKKTDEKLSTNVEIQNTPSIGTPLATPVLACFQSSSSIALPSPPIQ SNKEWSEFDDSRFKGLLSRMNPQQMHGFLGGIYLRGKFFLTNPYN PHYBLDRAFT_67155 MYSVREGLARYAVAEVEFFNGMSPQDRKMITDNGSQTLEDCLLY GEIGFVVAGLKPCVLVQFSCPERMNGLYRQKVIDPLADELGLRTRVLGCLESEEMNLT GGLIVDLVECHENKDKNRIVDELWSCGSTIGEDRLARILDYPGSLPRSEQDILTMLEV AYVDSRRGCVVTTFAAQTREEQKVRTHFERYRMQCKDLFGIDLQLIIRRPQL PHYBLDRAFT_102842 LSVQLLTVPSVAALLVKDYRFFGMVCSILSGFFLTNNVQVIVPD EYRDMQVNCLTRAMTRHRYACTFFDLRYVLNADPVKIEVCHSPIYLRYFLDMIYQFQA MDPLKHQEDVHVEYESNSWTNAFNATLQISRLCRQFSDCF PHYBLDRAFT_103224 LIKSIKQTIEVVLDYILETFATSPEDVSPGKKENIIRDCRDSHK AHHIPYNAANTSYVCMLWNDEQHSFDEVINVVSSAIQCSKEHAMRVAEEVDTYGRHLV FSSNDLDEAIKVADQIYEIKLAVTIRSVQTSVREEISGLLLDWLKDL PHYBLDRAFT_127395 MPDATKYEFETIKVDIIDGNIAHVQLNRPKSLNAFNDCLVKEVR SVFELLAVDQNIRAVVVSGSGRMFTAGLDLAENSLKKLVSDSDGARIAYNNRAHIRDF QASFTAIENCEKPVIAAIHNGCFGAGVDMTTACDIRYCTKDAYFCVKEVDVGLAADVG SLQRLPKVIGNNSLVRELCFTGRNLYAPEALECGLVNKVLETKEEVLNEALKTARLIA TKSPVAITGTKHLLNFSRDHTVAESLAYTVTWNSAMLNTEDITKAVESYVTKKPAVFS KL PHYBLDRAFT_172899 MQVEQPKPESRLRRLFSKRPQSWFASSDLSETMPSNGRYSVDME NIQISQNTSPARAYSLNSLPRGSSRQPATNTKEKTLVEPFDSENQKISSLPTSIPARR TSRFYTQDPAAQAKLDEVLSSDRMILLSKQIRRSFSTGITMTYYFHIIRITEYQNTKV LEYQEYQNIKPQRIHYQVKEKQLVSSETYSQSCLFNCIMACE PHYBLDRAFT_150063 MSEIVHPTTNPSPMPSATHSSGGSSGANWKMSADGRIYHNVESS AYILPQDEEEQDRLNSQHFAAKALSNGNMLASVVEALPQEAKILDIGCGSGSWVMDVA IDNPCTHITGIDIADIFPAIIRPKNADFKLVNVLDGLPFPDATFDFVHMRLLIVAFRI NEWDKVIDEIYRVLKPGGLIQLVESQYTDTEQSPKIHRFVSALLSLMADKHQDPLIGS KLEGKVIAHGFELMQKERIRIPFSNPQNAVYAELLWGWGNAMKALKQALAPRLCPDNE SDYDQILNEYLKDCADFGWVVYIWSVAGRKPLTV PHYBLDRAFT_150064 MSTRLIYSNDLTSSKTHRSASSVWSKLTNIFHRHEQRRDSLDTV SSRSSDSADSSSSYSVPEYTSTRKKRQRKQLKEDANQTSTTVIVPPYSPTYCKPNEFP YSNFYVQLPDGTWMVRYRTGDRDILRTDAIESYMI PHYBLDRAFT_136168 MILDRRAKQRAKEAELARQSQEVYIPEIINVANLSRVLGVRIEQ IIQTMEELDMGSTSHDRMLNSDESSLIAMQLDMNPVVDSRQSLDLFPRPPTEDTSKLP GRPPIVTIMGHVDHGKTTLLDTLRQTSVAAGEAGGITQHIGAFSVQLPSKKQITFLDT PGHAAFSSMRARGAQVTDIIVLVVAADDGVMPQTQEAIQHAHNSGVPLVVAINKCDKP GVDSSKVKQELARYNVHLEEIGGDVPCVEVSGLTGLNLDQLEETISTLSEVLELKAER NAGAEGVVIESQMEKGRGNVATVLVRSGTLKVGSVVVAGQTWCKIRSMTDHTGKSIKE ALPGTPVKVIGWKEVPHAGDEMLPSPDENTAKTVVDNRLARQQRDQQLRDLEVINDKR RAQREQLEQEPTHTCTNTNLPRESELNCFGHPGDVSGTVEAVVDCLNGLQNKLIKVKV VQSGVGNITEGDVLLAAACEGQVIGFNVKADKKIQAEASRHGVNVRSYSVIYKLLEEV KDQLSDMLPPIVTTQVVGEASILQVFDISTKGRETKPVAGCRVTNGAINRNGRVRIVR NKETIWEGELDQLRQVKKDIAEAKKGLECGMSFEGFKEFQAGDIIQCIQAIETKQRL PHYBLDRAFT_150066 MFYDFNIPHPSSLERADLERLERILARVSSFQKATIALNQTITN NLKITEPLKPISPEKFKNVQQLTRVTLIIEDSKTNYQLSSSNSCNSLIDILAVKPTHV DICKHACQSYEVDLISIDLSQRKVLPGYVSAQVAITRGIFFEICYTQGNRDVKKRSTF YSNAKRLVETTRGKNLIISSEALRALEIKRPSDLRVLGLLIGMTEDQIEAAVSYNYVR LLRKGETRKNTINAAIAFTPSITITDGKKRKEDESSNEEPKTKKTKKSK PHYBLDRAFT_160042 MTTTPSERFGVLPKIELPACLEFLKLKNFPLKTYPSVYQPPRLT KDTLYIFGPGWRNTWGSFDAECLQFQIYLKFAGLEFDVINSNEPDASPSGKLPFLATV PGAIYHDQQIHKWIDDMGKSQVLAGEHSEDAKAFISLANTKLNAALIFSMWLEPLNYS KATSEAYFGHVPAPVDYILAYKKQNKVVQQLLADRDILVREEIYSDAVHALEALSVKL GEATYFFGSSDPTWVDAVIFSYIHIILGAPQFTDSSVSDEEKRQASTLRNLVLKHDNL VRFAKNIREKYL PHYBLDRAFT_127401 MSAPTPVAHMLSAPTPGNYLPTTPGISAQTPFMPTGGNYHHVED EDQDDVGDWPIEEIEVKVVSSHGNVNEGQLAAITAVNHGARTCTIQLSSNNTTAELPF ESLEPVRPSKKDDVKVILGEHRGELGSLIGVDSHDGIVRLRGDTSGFKIMGMSSVGKY IPSRT PHYBLDRAFT_127403 MAIVDDDDDDEEDDEDYGREDGFIEEETEELPSAAAIQRRHIEL DRRRQQETDGMNDEEVAAFFKSKYSARKSQGFRASEEVPQQLLLPSVNDPNLWMVKCK PGKERDVVFGLMKRYFDRLNGDDPLDTFSAFARESLKGYIYIEARRQAHVQQALLNIP YVYHSTLMLVPIKDMIDSISIQRKEVELPLGGWVRVKRGRYAGDLAQVLEVSDSQDSA RVKLVPRLDLESDEDDPQKKRKRGLVASRPPQKLFNPERLASRSISSLQKKGPYWFLG TDHFRDGYLEKNMKVATLQVEDVSPTLDEIAKFASGGELNGEDGDRALDLSKLSVQAV NSAQASTLFQGGDTVEVIEGDMIHSIGVVDSVEESSVVVMLDVDGMKKRVTLPAKQLR KKFREGDHVKVINGRYKDESGMIVNVMDSVVTILSDATLNEVKVFAKDLREAADITLT RTVIGNYELHDLVQLDFHTVGTIVKVDRDSFKVLTQESEIRTVAPHQITSKRDTNRAV ATDANGNSIRSGDSVVEIDGERRACTILHLYRNLVFLHSRENVENYGVWVNNTRSVVS VAAKRLAQGRDLSRQQQPFGNSHGMGRGAPDSRGRGRDNLVAKTVRIAQGPHKGYVGI VKDTTDDMARVELHTNSKVINVDKSKLVLLDAQGGTIGMAVTNDRFATPNDFAAPSST PRRYGDGGTTPMHFASGARTPAWNSGARTPNPYTMNGSKTPAWDSGSKTPNPHAFGSG SKSSAWDSGSKTPMWGAETPHRNSGMIAKTPGFVDPGSKTPMWS PHYBLDRAFT_89687 MGKDGFLTAKAIGNRIKSKGLLKLKFYCQVCEKACRDENGFKCH TMSESHQRQMLLVAENPAKYVDSYSDQFKSDFLSILSRRHGTKRIFANQVYQEYISDR EHLHMNATKWTSLSEFVKYLGRESICHVDETEKGWYITWIDNSPKALERQAAIQKLER AQKDDDDREQQQLQKQIDRASKLAEERGLLEQKSGELKREDEQGKIKLNM PHYBLDRAFT_172907 MLDKENERFYEFGENVENEREESGLYCEPVPSPTFGKFKHGLKF GSRQFSEENCKRFLAAIFAKVTVQYKRLLAQRKTRNRKLSYPLSNKRFVTSDVLKSSL LGPFAGTSHGAKMFDQSKTLEILIVHVGHMQPENDSDLKYALYGYQAYDKYMYIYWPF TTYEIAQDGESFKINPQKGIQYCNVKNKGSSGDGVREGCVVLQVPQLSFFNEGIVDSE ISTIVNVPRRIMSRLVTKYETMGSNAHKNSPKKPKLIKPRKFAIFYQILEKIDSTL PHYBLDRAFT_67168 MESLRALVKSTLLNAVPIPIVLKSMRLILALPPSVAQRLVADYT TPTQQEENWICRIKQDTWTGAWIAPGLGECNQQEVLERVKAADLIIYEVHGGGFRIGL APLHKYPTQIHECMDAYRYLLEELNVPASKIVLAGDSAGGALCMETLMRFYAPNVIND INSPREYMKATLPAGMALFSPLVSGDKDNWLWEANKHDIVSPQLAELVFKEYLDFPNK TKEEIPSFRLYGITSGFDRFFPKNVSVYVGEQEVMRDDILGTASRIMEDSKFNVDIHR EDLVHDWYFVQEIVPQEDRHLFEKYDHTFVDFMAKSIKEARETIEVTSQNADCLVSQA EIAQITSKLLFESSVLDPNIGLVEEDEKIAMIQNDTQLDAVQAALYATPQPVAA PHYBLDRAFT_67169 MTRNSVEDNGHDANPNYADQINHLEPLAIGDQRLERKIGWAMGA MINVSYIIGAGIFAGPAFTLQLVGSGGMNLVIWLAGAGIAMAGTWTFAELGTMLPRSG GEVGYLEYCYRKPKYLFAYLFTWWSLMNRGSGVAAQGIVFGGYMNYALFGSTFKSSWG ERGWAILCATILMATNIFSTKASMSMMAGLTGIKIGVLLLIATIGVLVLVKAIPSDVD AGENLSFHGTASTLGPYASALYYVMNSYSGWHNLNFILDEVKDPIRNLPKASAAALGS TALLYILTNIAYLVVLPMESMKNSQFIVAAAFFTKCFGESFASTVLPVFIGLSAFGCG AAINFSGGRVIMETARNGLLPFGRTFGHVHPLTSSPVNAYLLQYFLAIFFAVVPPPGA VYQFIVAFAAYPVYIFYTLIALSVLILRKREPLNKRPIVAPLVCVLVFLCFTIYALIF VFIPVKNPYYPYWVPYVSAIVFMLVCSVFWYYQIIVKDTPGKSYNQSIRNDGRAALEH EVFGGYVPLNNDEDEENSSGEAHGASQASVTIGNNLYLAVLFVPIMLGFFWLLL PHYBLDRAFT_22169 MSDAKYFQRGKIQELRAELNSEKRDPKHQRKKTVMKKIVANMTM GNDMSLLFPDILNVMNVPILEIKKMVYLYLINYARSKPDMAMMAITMFIKDVEDPNPL IRALAIRTMGYIQVEKIVDCLVDPLRHCLRDRDPYVRKTAAICVAKLYMYDNVLVESE HFIDMLRELLTDPNPTVVANAVAALTEISERSENTQLKLNHSIANKLAAALNECSEWG QTYILEALMYYVPQEAGDAEMLAERIAPRLQHANSAVVLTCVKVMMYLMNYMKNEADV NAFCRKLSPPLVTLLSSGPEVQYVALRNILLVIQRRPEVLRNDMKVFFCKYNDPIYVK LAKLEIIFRLANAQSVDQVLSELTEYAAEVDVDFVRKAVRSIGRLAVKIESAAERCIT ALLDLIHTKVNYVVQEAIVVIRDIFRKYPNQYESIIGTLCENLDNLDEPEAKAAMIWI IGQYADRIENADVLLDDFLYTFLEEPVEVQMALLTATVKLFIKRPTAGQDLVPKVLKW ATEEAVNPDLRDRGYIYWRLLSTDPAAAKAIVLSDKPSISTESDNMDPAFLNELLLHI SSLASIYHKSPTTFISHYKPRFLIPSPALQLPDSPSTFSLQPLQPQQPQQPQQTQMRM QQPGSFNDLGYNNVAANR PHYBLDRAFT_172911 MNDQRDVRDNQLDGAHNTNVCLFPPLGQDASIQPNTSLMIFTED VDHDHDYDSHGLHHSHQDSQSVSPHTIKSGTDPDFLWDNVKTFSIPHESDQDYTNSSP NEMPKNDFYETRPQTDFDRASRVPGSVPVVVDSTSFNISWGGNDTPPVDLHPQEEPET VVSTRPLPKPRGIRKLPEPEPGEDGMLDEDTLKRRKNASAARRSRMKKLLKIEHLEHR VNQLQAENAKLVLSNALLESDKRSMCAKEAEYKKRIKYYEDLTKMHGGQLSNSPNGGQ EQTQ PHYBLDRAFT_150073 MRDTSFCPEGLEKETSFPIKERRPRKITGEFIKDILEVIEKEVD VKLEQIAAVKELEQTLKANKYMHKVDNILPDLESKEKTLCFFESLGAYWLKEEIRLCK SLFYSMNFVLLSFGVFN PHYBLDRAFT_172913 MDPVKAALVRGIANFVVKLPTREVADMDSIGKTEFPQQNVVLRW ENKNEEVSDIRPDAAISTIVQSKYGRPLDFGEVKPDTLRLAILSKDTNDRYSQDVCFA FQVNGYRISFFMVYMQHQDLYIMVEVASFNFPSSLDDLDKLTTKKNLCTLARVSSSFW NNSINLLKSPISSSPRLPISTLYQLIEKSHKKSIRTTSCY PHYBLDRAFT_67174 MNKIKNTLFHSKNKDEKNRKAHHLGERSISDPVLSQASISEPEP STDVPEVGIENLTDIEQQIYTVWWNELDPYSLGHLDNKALLKFLVGCNLEYQKLGQIL ALFDKVTDGLTESQFYAVLRLVSHAQNGRAIHRDLCYLGVQMLTIDQQHLYPTLLRVL KAQILNRQGDHTTYDSLQWNSSINPVPYVPQNCHETYVGSYGGPASDAQRAYPQVIFQ PPNQSINHQISPVNGGLMNHPQEVFIPATIPIAELVHTPIITWTSGPNNNNNNNSDSS NNQHQKQEEEEEGEEGEPCMAYPSSAAIVALSEKNHQRHQAQHIRSKSVPDNMMAYES ELENDSYAPDHHSAQDPSGTPTSMSSPSERYYEFIEYTEPQSTPRNSMLLTQSYNPPP NADYDELGSPFKDPPESMTFGSFNENGLFPNQQPNVFDIPPPHPNQYDSK PHYBLDRAFT_150076 MNPFTLPPEIIAIILSNVSLKDLVRIERTSKKIQSFCLWEIGRR IKAGSSIDAWAILIHLGQTKAIPTRFDPKSKMVYYSVPMDPIEIHAMFDHRRQIPCFV FCKESSQGQPISDPANQDDFNITVEQGMVEGKTVEVDVKGKLCQIHAALTRVPTPLSS PTIEKTNQETMDVQPIAPSPLTYIVKVTEMCLPLSHLSAH PHYBLDRAFT_127411 MARWTLTGLLNGAEYAVQGIAVVIKNPNLRKQKFLRIFLYLSLV SIVLFCITKLLIAIPLFILRVVFWLFSSYDSESADAAYDKVTSILREIVSSVPFLTLL FMRYIYAKPLDDLFVESLAFVDSIHPERKPYTLPAAKREFRKEHWANMKDYVFRTWKK LRLGVAICLLSLLPVVGQFVFPAAGAYATFKSLGNTQGIAVGLCFFFLPRWAVMRLVR ALIGMRSLTRELLEPYFARMNMSHSEKRKWFSGRKDILFGFSAIAYIIIRIPYVGFIG YGIAQAAAAYMLTTVTDPPNDINTPEPVNDLAVAENTNDSKKDI PHYBLDRAFT_150078 MADHSGVTADSLKTAILQRLEAEHVEIIDLSAGCGQMYEVVIVS PLFREKRLLARHKLVNEKLKDEISKVHAFSQKSYTPEEWEKKTAQ PHYBLDRAFT_150079 MSNCGYNEVDISKGRTTMGKNEAAQNIKRNVVPACDQFFWSLAR RLMHKWNSRSPIKESLNLIDDDHEGTNINFTGYTANEDLIASLPSTPGFWSSYR PHYBLDRAFT_150080 MTASTQRVAVPAYQQYAAPVYSPPNHTTINIQDPPNPYYGQPYP DNRATATTTNPSSSTTPPAYKPQGTSVAIPPPSYQDYNKDPRLQGA PHYBLDRAFT_22175 DLPWIETMVVTSSEPTVVADVEDEMARELSFYQQALEAANIARD LVNQAGDAFTRPDDYFAEMLKSDEHMAKVRQRLLDETARLKASADAKRQRNLKKFGKK VQVEKQLERQKQKTDMLDKIKLLKRKRKDGAGGDLTLDNDFDIALENTTDKKRAKTDS SQKGSKKDAPQKGAKRAHKDTKYGMGGKKRHAKSNTAESSGALGGFNKMKGKPIYKAK GKTVGKAKR PHYBLDRAFT_183102 MGILEKIQDIENEIKRTQKNKATEYHLGLLKAKLAKYRVQLLEG SKTKSEKGEGFDVMKSGDARVVMIGFPSVGKSTLLNKLTTTESIAAAYEFTTLTCVPG KFTHNGANIQLLDLPGIIEGASQGKGRGRQVIAVARTADVVLMMLDATKSSQQRELLE AELEAVGIRLNQRFPNVSFKIKKAGGISFNATLKLHYIDQKMVHNILHDYKIFNADVV IREDITVEQFIDVVLGNRRYIDCIYCYNKIDQITMEEIDRLAHEPDTVVVSCEQDLNM DYLVERIWKKLNLMRVYTKKRGDLPDFEDGLIVRSGATIEHVCHAVHRSLAESFRYAL VWGTSTKHNPQRVGISHQVHDEDVVQVVKK PHYBLDRAFT_78730 MYFPDNSEIGAEVLSVVSITVLSTLFGLKCASIESRISYSQQLV LTVIGISIGFDLIACMLTSTNNRNYVACLLSVLHCTFIYTAAKIAVYLYFIEKIYILS ASKKPRFRSILYIISLGLLLPHVAIVILMVVYRVVDVSEQFPFVCTIGYEMPASIACT AYEAFINLYFLSIFAKFIIHPNHKQHTSPMSHIITIESKRNFVGVLSSFVASCANYAL MVGFDGRERGLVAMSISTADLSIVACIIFWISAHPDEEHNLIKGLGQFDENLPVKLAI KQHQEVVIETEPATHGL PHYBLDRAFT_150084 MQKNTRQETYKIVKTRPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQRMLKKILLAMNLIIKIQQETPIG PHYBLDRAFT_172922 MPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKKSKLISS MPDRTPNQSICLEQGEKWRTHHLFQQPMHTVNGIDVWFGNIVYLKTNDCSIRFLVESF HTANKNIFARGYLVRAISIVCYGVEVAVTDFRVEQISHVDTTPVERDHYYSISSSLTR LSPAHDFLLFGVHPMKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTNDTSGNRSKQYN PFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMF SAEDNEYVLVVAPILWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKVFVKDLFY FCECHERRTREHYVLANSSPGRDTEIPNAPKIGMNTPANKISFRDRSTGRLLELQSFD PEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTGLSRKFT RNLRHSGSFLGRDFKVLLQILPVILITEFSGNHELDLIIPCFVELGRLCSLVFVRQVT SDFDNYIIRVDNAVKCLIRALFDYDKGTKNELHKAYCTKPKVYYLTHLKEDIIRFGPA LNYETEKGEQFNKHIREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWINSSGNRE KSGTGIERFIKDNNESLFYYTFFGGSRELKDNNDTGDIEDDAVQNNSFGAFVFKNDPI SRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLDLHIFRN PFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_172923 MSNQINVLFVCLGNICRSPMAEAVFAETVKQKQLSDHFGKIDSA GTAGYHVGSSPDKRSAATCRKHGVPINSEARKVNKGDFAQFDYILCMDESNLEDLQHA APNGSKAVLKLFGEFDPKGERIIQDPYYGGSEGFEHNFKQVVRASEGFLKSLKLI PHYBLDRAFT_91526 AWKLREKMSEMLGIPLKTSQWRKIVGQLNQLASLPAPHPPAVEL VLQQYSRFDAAQQQTAKPKTLDDLGRAYAAGRRKESSARCWVVEGEGKVLVNGESLET YFKRPVDRDEVTLPLKVTENSDKFNIWVSVNGGGTTGQAQAIKLGVGKALLTHNMEWK PLLREAGCITRDPRVVERKKEGQRKARARYTW PHYBLDRAFT_101239 MRPKTLQAYKRTLHTYHVGFGLREPYQILVDGDFCKEALTQKIY IKDSLPEIMQAPTKLMVTECTIHELQSKGREFTNAMIVAKRFEHRRCAHKTPVKSAAC ISEIIGSTNQYHYCVASQDKKLRSHLRSVPGVPLLHIKKNLVILEPMSNESKEALKRH EIEKTLPMGKEASLLKGIGRAAGIIKDEEPKEKKTKKALKKKGPNPLSVKKKKKQQTT PKEAT PHYBLDRAFT_22406 MLPKPSRSRLPAIATARAETPDVANNGRQRQTKKDEAIRKKLEQ ELSKKRTGTTRVRQTRKIAGTVSALRPAQALTVKENMLVIEASQLMAAKRSDCVLVVD EDDHLSGIFTAKDLAYRVVAESLDARHTPVSQIMTPGPMCVTADTSATDALNLMVTRG FRHLPVCNEEGDIFGLLDITKCLYEALDKMERAFGSSRKLYDALEGVEREWSGSPVQL AQYMETLRDKMSCPDLNTVLDGSLPAQVSVKVNVRDVARLMKEYHTTAVLVSDREGLA GIFTTKDVVLRVIAAGLNPENCSVVRVMTPHPDTASPHMSIIDALRQMHDGHYLNLPV LEDGRVVGIVDVLKLTYATLEQINSIQGADGEGPMWSRFWDSFGATEHAENESQLSDP TSHHHHLPASQHPMEAISPEPSISFSQLHGFPEISPNESASMVAHNEDNRSANSSHNT RPTKTSGNGGDGSFAFKFTTKGGKTHRIASTPKHSQLLELVRQKVLSEHVPSAAEGEW LSISYLDDEDDEVLITSDADVQDAVRLARKLGQDRVKLFAHDSTSVTAHTAEPPAPVI SLSPPSDVSSKTMRQEDDDTSSMTSRSTRKRSSKRKSSRRNESEEPEEYESNTGFPQE LILPASIAFLGVVILGVFAFSRVSPKHR PHYBLDRAFT_150089 MAQAAALTEDISKLSVESKSVSQNVTPWEVEGAVVDGVQQAIDY NKLVDQFGTSRIDEALLKRFETLTGKTPHVLLRRGTFFSHREFTRILDRYEQKKPFFL YTGRGPSSASMHLGHMIPFVFCKWLQDVFDVPLVIQLTDDEKFLFKADLTVEKCNQFA YQNAKDIIACGFNPEKTFIFSNLDYMGGAFYHNVVRISRCITSNQSKATFGFNDSDNV GKLHFVSVQAAPSFSNSFPQIFGGAKDIPCLIPCAIDQDPYFRLTRDVAKRLKYPKPS LIHAKFFPALQGPQTKMSASIDSSAIFMTDTPNQIKNKINKYAFSGGGVTVEEHRANG GNPDVDVSYQYLSFFLEDDVELKRIHDSYKSGEMMTGELKKTCIELLQNFVGDFQKRK NAVTDETVAYFMDKTRKINPI PHYBLDRAFT_150090 MASLIFSSSLARVRSAVPLQRAIVVAQRDFKLSVGSIKKGQIVQ FKDKAWKVLNRDHSSSGRGGAVIKMELQDILNSAKINERFKSSDSLEILNLQDETHQY LYTDGNNVHLLHPDTFEEIEMTLDTCEGGEQAAALLEDGMPIAVSFLTTPELGRQPAT FKLPSSHVFTVESVVERAGQAAKGTVYMTARLTNGAKLQVPEFVNEGDRILVEVETMK YMKREL PHYBLDRAFT_160052 MVSTRRTIPTSFHGAWINVATRSGYFRAVDWADFILFVIPTLVA ERVRDQAARKALLDLVQTCNLLMSWELSAEEQTLIKTNLVAWNACLEASLAKRTGNWR VLSSETAPKLEAVFNCFFMLGAVFNCFFKLGAVFNCFFKLGGAVFNCFFKLGLSLIAS LSCRPEPA PHYBLDRAFT_67190 MAVQSKLTKVTARSGLPAELQPALATLLRAYGLGWALSSVPTFL GLLIKTILALIKGQGPAKVLSLFFNGIQKTLKDSVTKNGLPYVMAGAFGCHRFVAYLL NRIPYATSWFTKKNIQRISIFLSAATTMHFVRRSFKATKTMDLTLLAFSRALDVLAHR AYVSPIVRKHMPAWLLEHFSVVAFTAVTTEAVWTWMYEPSRLPRSYSTWIAGVAGVDD RILQTLRNIRNGTYTYGSAAIANGAGPIIEVCKEFGIDPALADPLHGRFPCSAVHNGL PFGCEVAGLDKFAYVFSKGFPLYFTVHALPPLLFSTKRLKSDPAQGLLQILQGSVMSA SFLASLIASIFYIICLIRTRIGHQLLGIPQKYLDSPWTPFVGCVLSGATIMVESKHRR AEMALYVAPRALQSFLDRLLEPYKRGRWWEKVGGNTVETMVFATSLTVILEALYTNDT MLRSSVKSLFSWILKDELREAKAVKEAAQAKEEDKTKASTHISNIPFHG PHYBLDRAFT_188593 MSPPLPLPPPLRRSPLQVLTSKSGLPVELQPALATAIKAYGLGW SFTTVPSLVAWLVKLVLCTIKRDLKGVSKLARALGPMLQKSITKNGMPVMMTGVLSGH SFLSYVLSRIPQPWWGRKRDPSVLPIKTLTGERVMMIISAATTMFFCRRAFKMHTLDL TLTVLTRAFDTIGFAFYASPWASHLPGWILDYGSVMAFTAVTAEVLYTWIYEPSRLPR SYAKWISNIGSFDERLFLVLRGFQDGSLMYGKDTGKNNVLDGLADDLGCPRDLCNPLN GRIPCFVVHNGQQYGCEVNALTKISTAFSKAYPLYFMVHVVLPLCISRKRLREDPVGT LSHSIRGSIRSTSFISALVGILMYSMCLTRTRIGHQLLRAPQKYLDHSWALVMSCTLS GLSVMLESKPRRAEMALYVAPRAMRSLTDRCLSMITKNRWWEAGVGESIETMVFSVSV AIILEAMYKYDSLVRSSTKSMMTWVLKEELEKDKK PHYBLDRAFT_172932 MNSYPKNRETLPMSLPSPPHSSCGDENPTHFDALTLQQLSTSLP ENYTIDHSIQRRQSETSLGSATSNSNINAKNDKLHCCDLCGKAYKHPACLAKHRWEHS DEWALTSQWLLTKHQQVQMLEAAAILVSMDKSPSFVPSVDAS PHYBLDRAFT_22394 PSAVASTGLLPGIFVILFSGGASAAGLYFLARCASRTEGRHASF FAISKLTWPSIAVLFDFAIAIKCFGVAVSYLIIIGDLMPQVVASFASDTQPLDLLMDR KFWITVFMAVAVLPLSFLRKLDSLKYTSGVALFAVMYLCIIVIYHYISPNFPPPPPED VEMIAFSTKFFAQLPVFVFAFTCHQNIFSVYNELRDNSQRSISTVIGTSIGSSAFIYE AIAILGYLSFGKHVRGNIIMEYPPSVFVAGGRLAIVVLVVFSYPLQAHPCRASLDKIL AWRTPEARGLKVPPPPSNLKYFCMTTAILIGSYLVAITVSQLDLVLAFVGSTGSTTIS FILPGLFYYKIHENDPWKAGKITAVCLAVYGILVMFVCLTFNIMRLINT PHYBLDRAFT_67194 MTSFENERSEDGPWNGLEIEQSRSTTTRWPCFSLETGNKPIEAE AIQGLLQLQNPVLLSNYTHPPLIRPQPQHRPPSWHMPSQKSQNISSSSSDQEPSQPSY SLDRSLSLPHLTLPRPISYLSDCNRPMPLVVVTDPSAETLIATDTNEGHMEKPEGSDD TEDTDDEMSKSKRQSHPSSQRNSTGLLKPRWKDAERLRLFQAIVKDKLLDDMASFHWD KIAVEVGRPKKACKDQWRRELLPNFMARMQASYQPEQGDDQDDE PHYBLDRAFT_150097 MRSKFKDEHPFEKRKAEADRIRQKYPDRIPVICEKVEKSDIPTI DKKKYLVPSDLTVGQFVYVIRKRIKLSPEQAIFVFVDEILPPAAALMSAIYEEHRDDD GFLYITYSGENTFGQTGLA PHYBLDRAFT_116955 PSNKSFRTKVKLGKAQKQNRPLPHWFRLKTDTKIRWNAKRRNWR HTKLGL PHYBLDRAFT_67196 MSDNQPSELSRMNAFVAKAPQDNYNIDQYKHQLCRQLPSGQEEC LKLKLECKYIRWFILVQVLVLVVLVKSYRLINKRVVLVVEMFAEMQKLGFFCALPMDP TKTHMECQRL PHYBLDRAFT_150099 MNTTVNDFKDSLEKLLQKQYRQESEIDFKELQTHVCFDNFMNPE DMRESSDFFNDPTLLSHIKRYKESKENKTPQSQVRRMNGADCVIKRKPQAKSVAPHRV LAKEDEAIYLETQKELDKDSYLNPQVPKRRVYLAQTHVPAFLLSLGSPQNQSSPQTSS LENRDTITNETTSTTNDRGSLDFEERSTGSAEKSHLDSTGSKVANNYNEDNIETIDNE ISMMENSSVPETELSRELIYMANRIKRSRLQTLSLDSNADLLPRQYKQLNYIAMKQRN NKVSNGGKKPKTKSKKTNASAGTKNTQPNYMHSDSAQSLIRIAVYHPKIPSKLLQEFS VLGSQTLATFKDAIYCINCAVSDVDPKDIFLNNSVSQNTKETSKSSSFFIEDTLYIDT RPEKLNLNSNDKDITKQEALYAPEVENAIPKGVILHPRQSMEDVTFGELRLQLNHPYL FTNHNSCSHMVMVRDIRLCDYIEAMEIEQGPRLEYTWKFVRYKCNMCLTYPAKYITFN DILSGTSPCYFCEKCFGPFHFDKDGNKTSSFQYIPYNGI PHYBLDRAFT_150100 MGLKATLSSLFVSKVWVVDCLPTICILVSSSTNHYSFLNHRHAA NADQEEDVLLLSSSSSNSSSSNSSVLDASLNISSDFLTIRLISAAFASERPIGESVFV VEDIFESSILYLLRTLREHLMPLLSLKLLPDLFSIHQFPRNYKWQSYILDQPWFTLSK TTSELSLILLTTSKLVDIAQKTEDNWRCFKVDAKMDFGLVGILA PHYBLDRAFT_172939 MMADNVSVDNEISEVNGNDSDIERDMNSDSGSGEEEGVKTDVEE FVNEDPFDAPNMPENPVHQFITTFAILFISRYVVNKGAAILIEFINQLLKIYGKDFQL PTSLIGLQRMTGFSNYANGIKKSVVCEDCHKVYEQDVPLPTHCDFKKHGSQSACNCEL MKVSSSGAMIAKRSYIYNSIQRSLQLHRLGYFDLVRGTIIDPMHNLFLGTPKRMMEWW IKEGLIDDRKLATMQTMAETMVVPMDYVVLKSKIGKGFPYIKAGEWKSWVLVYSPILL KAVLPIEMFRNWISFVDACRQLVKPSITFSDIDDGHKFLQEFCTECQRIYTPTILTCN MHLHLHLHETIRDFGPVYGYWLFGFEQYNGLLKNVNTNRKDSFEVTYMNSFVQDTFKG DFVHAALTCPSQVPFLPLLAKLTATTQPSTSKNTITFPQRPFRLSAFIQAYSNPSLPV LGNEPLPPSAFTLHIEPPLAMSDVDYHHLLDYYKVAYCMPNLEGYQHPSSPFSFADNQ IIKLKSINLLGQVYKGCKYASGRGSFVQSLFLGSQGNNRLAYTGQIQYLFLHSFTPPV DNTELQTRVVYQDKHVFAYVKWFQIEHDHSRELESVDICSADFIACDFKCILPVHRIS SVVATCDYKTSTNNKKILVNALSHKQYN PHYBLDRAFT_150102 MSEGESNDDMSPSQPRNEICVARPSWRSDELNKFITEVDSFVVK QLGANSRQLLKRVYGRTVESTVPIDLDPALPQWALKYGS PHYBLDRAFT_150103 MPTPNCRLAPSLASTDVQLLQALNAMKEEMKAMKDKITLMDTRI GVVITSNATAINGIDALSALPASAHVPTSVASTSAALPITESSDTNAVFGYIHGYMWN PKLKSRDQAEIQANAIKPKWAVDVRFDRSPNRELVKQLLYYLEKKFADTDMRTRNLSL NTNSRRSGRETDNYTHHRLAYDAYKADIDLKMG PHYBLDRAFT_172941 MNLVKNIKMNTIDPVFDFPYIIQLEMTLHVLSSVYKDNLSLLIY ILATVKDIIRNAMDYQYQHLFFARSFPIFTMYFFSVDNYSIQTVSSRPVEAITDIQ PHYBLDRAFT_172942 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHVQQDIVRQYQS RSSSSVIEVMSNDNDMEIDFEDNVDDEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPIHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSMSGVNSMTGLSDVTRGVQRFVACGDYNKVYEESDVVPECCNFERLSG RECGNALFFATSRALTIPKKIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVINP IHNLYLKTAKRIMEKWRSSGLITDAHLAEMQLDADKMVLPEDYTPLGTKIGHGFPFMN ANKWKSWYLVYFPVLLRGRLPEAHLSNWTTFVNACQYLSMPSISTAHLDEAHQSLEAF CRECEKLYKVPFLLPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYAANRKDG FERTYMKKYLDEAYQRDLICQTLLITQSEHLAIILELTASTANSITTSTSTSTATSIQ FDINAFLNSSEINFDIVKGNKPLPPFALPLALKWNISMDESKYEHLLEYFHESYNDQT LVHYCQSGHSNNCVNNRIQKFESINLLGAGEGVEVNEVGFEDDSMNSILPVHRICYPV AVGEHLGLEGEVQMCVVPLPRKIYI PHYBLDRAFT_67203 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDYVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSFMDDDLDLGAKHHPLISVTTYQ PHYBLDRAFT_160054 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_160055 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINKELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_172945 MSNTIFNLSNVQNTLVNSPTEGIKILSLDAVVKQYIKNPEVALI CMTNDHINHVPGNASEIRTLPLPSEVIKIIEDQLKGGSTCRNTRISVLQQIKEWGVGI RKPNYEDIYNRIRKIKNLLYRFHSDENKSLDIWMHEKLSSQNYCIFTGNLSVYSNNAQ HFAFGFQSPSQMMLMRISQSFCLDATHNISARNIEILYSLVTQHPDTGKGIAENNAIT AALSQTIIHFCKFHVLRAWQHNLDSKVKLDASYTSEQLGKYKYELKVNLKNILIESDE DEFLRKIQEFRLYVQSQQQFLAYFEHKWIGTEELLRRWGRSYVANDHQRYLTNNYIEL WHNKLKTIYFGRTRIRRLDHLVFILTNNINVSNNLIRNCTCPNFASRQIPCKHAHLLK RFIGLDFAYTAQRENNHLQLQRSLASEHEVAVINEEVKNETNTIVVSGRNNSVWLQRI MAQNITLHYQREDLEQLMDVPGIDEAE PHYBLDRAFT_172947 MLLAIKVIINDTAAIVYPGLSLLIDSDLETACVSPAQEEPVTTS VTTPDSSDIDGDCGRGGRGSHGSRSGCARHGGRGGHGSRGGSVVDRDETSTQTQVPSR VNISWDHQSAVILLNEVMKSNYMSLVDMPNTQLRGVGGGTGEERWPYYNHVFEILRDD PSENSGINIESMIRNQRHGVTILMTSRAEASIAKLQRNIEVAASASAVASVEPSLLNT FDTNIATATSNSRNSAGPSSRLPTRGTRRTREEYEAERDDMLFERLLSMHRESEEHTK EVLHSLAASMREESKALRRDQQQQHQQTLEMLERIARESDDRLLSVIGSFFNTRNRNG ENNNNDNNS PHYBLDRAFT_172948 MSMYFTVEAKADEVYFVETKTKFNLCYCFRILARHPKWKPSAPA KKKGRNSKVAASAPNPIGEGINEEEFPARPAGRKFAKEQEATRRKWEEKVERLIALHS ESIAKGDERKKLLKKTMESNVLVAKAIREKNAVLQRTQDIKVLLIDTSLIADPVSRQM MLDLKKEV PHYBLDRAFT_172949 MDGIYPTYAAFVKSFNDPQSAKHKVLVSLIFLKNFAKAQEAVRK DIECAFENGRGEKENVGEGNGVEREVVGERSEVDTSLTGTTSLMPRSEIVLPDGSFAS FIQRYIEIRNREHHFQLRHDLVESLWQRKGDSLIK PHYBLDRAFT_172950 MPVCLVAKSAKQREKPQTTDGIECTLKIVLLLCNLWKTSKLAIL NQKAHLRLDHCVPYQGDKKFYIRPDDTFSTTEYPFFIPRTSLVTIGNCITSSRPYIPV SFQGSFDNVFSKIDGTRAVDWLDFLLYIVPTLVVPFLSNRAVKTAVLSLVKGCALALQ WTLTSELLDEMDVVFRPVQHYLMHIPFIVKQLGPLRCYSTRSMERVIGVFSKLIKSKC KGGRNASFLVERFTLHNYVNTAISIQNEIDLIQPKPYGRESHMHLPNDFSGAQLWEPF HRFAHLNDDLVEGVSGPSVKDALTRYYQRTSGLMISNIGDFTIVVAGRLWMNPTVYSS CMYQQRRNERSRGNHYMMFTCPYRNWLVSVVIFFFQHEYSLVSLRFLAFVEVMKEHDA AAHDSSVPIVKQRSQNSSTGRQTQPTYAVISVNDIRHQVGLIQYPPNGNQFYIISSYY VFNNNMRITKGNLSIL PHYBLDRAFT_172951 MSSSNINQQRDRLSTQTHKCNQCVLSFNTYHQLQNHKRGHENNL ASANIISQSIAEDVEMQNDIRNDIIDDNGLVSDSDNNNQYYAVNAIEIDEVISYKCSC SFEDSEGEAHIYDSSIIGRNTFTKGELMSIHFSQLVLQHRISRAAYRDIVRFVNTIIL DHDEIMLEPEVKISHSKTVDALLKSKSSVKGHECDVCPNGCQLYGINDDQESCVDCGK PLYKTDTEQSQTPAASMKLMSVGDMFSQMLADPATRELLHYRANWESVASPLTNIFDG ENYKQLVQQGLFSNPDDIIIGI PHYBLDRAFT_160056 MFTCPYRNNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDSSVPIVKQRSQSTRTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFY VIAPYYIFNNNMRITKDNLSIL PHYBLDRAFT_172954 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAKSVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILYEQDWKAHCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGCTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQRDISDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSRRSVNAYF TEQKSTILLKLQRKNKRLC PHYBLDRAFT_63558 MSDINTTLINSVRKIEIDIAKIKQMVHMLQDQFSKQFAPAVSAE DLSTVQQSIIKQSGKNEAQKYNLLLQLLHEQDWKACCKEIPGGQPLPQLVLLSDNDLS MKRLQFKTLRRTIKHNLIDKDFSALSKEWKGIPAKHREYYMMQLERIAKDNGFH PHYBLDRAFT_150116 MSNRCISLLCHQNTRMRAVERSELATTTNIACTSGTVSLAVTAP NTELDIGQRDSILELLESTNEKIDSLSSEINKISRRINNVETGVHLSNETNAYLKKAV NNIIDAQTTLNSATTSNMTNRNTISVRDYASLIEEDTTVSDINLSGKRYPKISELIYG YIRNPNFTSLDRIKVAENNERDEYNNALAMQLVNYLRIQKDAVEVPTSDLIRIIKNHF WNQVREFRSSPSKKTSWQSSSRRRSRKKVLYDRRVLTYQIYKTNIDTLMKIPDCGRVL LRTVMSDGESDEEGKLQVYRPS PHYBLDRAFT_172958 MSTYSTIQVKCICSVCSPYGQAFEMVSKQTYRRHASADLIEKFK RDYLLPSTQTLHELNDNDMEIDAENNEVNEQIESTEDLPAFTKDLLFNGESDSDDDSI ESDAQNALNALDDLDDSEDIEENFSSSEMPTDSTNAFIASFAAFFISKYVVNFGGAIL IKLLNKVLAHFGQSFRLPLSSSGLSNMTGLNTMIKGVQRSVACGECHQTYLESEGVSQ CCNF PHYBLDRAFT_150118 MVEKNIAQQDANDSSLSSDNISEMDGGESPIMVDVLSPLAEMSV EPAHKRSRRL PHYBLDRAFT_150120 MFSDKTGPDGFEYVYISWSRCIMHSEVCCSLRTLGVDTGRLLDI NFPARGVIGVLVYVQYLEEFKSQIACAKVSLIDSFDPLDPKNVADPKFANLSLSGLET QTLVLQNACCSQALKFLCPHLVLPVAHFFIQSGWIDIKEISAHPIAENFGLWNANGLL PRAIKDVLNHCQSLHMLFITETWLLSPACLPTSWSQYHLYRSPVVGNYHGSMGVLLLV SPSCSYAVTQIPMPNNYALTVKIGTLRLICLYLPPSMPTHEALDILSHCKRRMHLI PHYBLDRAFT_74079 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQNAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WASKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVC SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNAAGGSLFKRQRSSSVTMSE PHYBLDRAFT_74080 MLDLAADHSLTLGYRWSPTKCEVIYPKTRASSPVPLLLYGQVLP ATDLFIYLGVPFANKGISSKSIATHRRSGTLATMATLNSVGACRSGFSLLLSSRLYKT FVRPKFEYGLAISTLLKQDIKVLESIQDKCLRMIVGGHATSSTIVLKHICNLPSMKFR ADVLIAKFCIRSRFLPAQCLLSLLHHHHTIYSSLASLGKTNLLSHLPPTLKLQSPSAV KNHFESIREAGFTTFLQSNTQVLIQACRPVLGVDPILFLPASRVERGRLIRWRMGWLP GKPKECPCGSDHTSRRHLLDCPLVPVALFEQLPQSDYDQIHRIDFAITSLPLSSQEPR PAYWIPLLTILWHIDVICNPDGDYSHETEHGALWI PHYBLDRAFT_150124 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLEQLAAEN ARLTTELDHARTTIANLQKQLGSQSTPEKNFSEISLSNSAGAVDPHINNKESGLEAST WASKASVSLPAIAPKVPTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDKIPT RTTLTNATGGSLFKRQRSSSVTMSE PHYBLDRAFT_150126 MSTLLPSSVMQGMSPDLASFLGNMQAQFMSLQQRTNELESLAAT NARLTAQLVNVEKLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTEASTWATTAA AAHNSVVVPTALSVCKTPRPPSVRQVAASARMFAIPTGPKGYQYVYIPRSRRLTHREV RNSLKTLGVDTGRILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCMKALKYLRPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGIHDFQSPSRRTSVAMSE PHYBLDRAFT_172962 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSASEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSAHRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVC LYLPPNMPTHDVLHVLSSIPLTYDTILCGDFNARLGSVTGDYASNSRGLALCSWIEER SLSVVNADLAPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLL SLSFTYDLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQDIVQNP PLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRRNQCYKKW RLAIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRR RQPQHTFQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPFASDDSPFT SPIVEEFMQFMPNRKAPGPDLIRAEMLKPIKTHFAGNGHMFQSIATHRRSGTLATMAT LNSVGACRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQDIKVLESIQDKCLRMIVG GHATSSTIVLKHICNLPSMKFRADALMAKFCIRSRFLPAQCLLSLLHRHHTVYSSLVS LGKTRLLSNLPPTLKLRSPSAVKNHFESIREAGFATFLQSNTQVLIQACRPVLGVDPI LFLPASRVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLLDCPLVPMALFEQLPQPD QDQIHRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICNPDGDYSHETEHGALWI PHYBLDRAFT_74147 MFPSTQIHTLDCHCIKCHNSHQKSSYAAKRTETCRNKRTRVEAA MRNMDVDTEVIPTSRSDSAEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPKNEVHQFIAIFMVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACN CNLTKFISSGALVAKREYVYQSIKNTLSVFFRRPSFEAKICQWNKELKMVDTLCDVYD GAIWKELMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWK SWVLIYSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNAYN IDYTATILTCNMHLHLHLHECIRDFRPVYGYWLFGFEQYNGILKNFKTNGKDGFEATY MKNFVQNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQ GYTDPYNPPKGNEPLPPSTFPLKYKKPSVMDDSDYLHLLEYYQVAYNLPDLASYQDTS YNRPALDNQIIKLKSINILGQHYRGTNNSTISCGSLVQAKFV PHYBLDRAFT_172964 MLILQKKILHYKFGFSVHRILHPITNQADILANESKPRWNTNVF FYNSPNKELVVRLLENLKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNT RSRRAGRARDNYTRRLLAYTDSKEAIDLQMKRDCDFTMQMAAMSDRESADEDFENRTK SIVKIVWPGWRSDEFNTLIKLVDEYVIKAMGSSASQMKERVFTSVSNTAVPDDITPKF PQWALRDGY PHYBLDRAFT_63552 MHNLFLGTAKRMMEKWVADGLIDNKKLVAMQKIVENMTLLPDYT MLRSKISKGFPFMKADEWKSWCLVYSPVVLQGVLPKQKFENWMFFVNACRFLTKPNVS EDDVQSAHIALEKFGKGCERLYSKDLLSPNMHLHLHLRDTIKDFGPVYGYWLFSFERY NSVLKNINTNRRSGFEMTYMKTFIEDTRKGDFVRNFLKTSGPFNFSGIFDKLVTGYSP ADSTTSTALYNWFSLPDFLDAAENPNLSIRGNEPLPPSALPLQKKAYEMMPRQEYDCL VGYYQAVYNDPTIFSCKDVIQDTAFVNDWIEMLKSVNLLGQTFKGSREGRNGAKYAYV REIQYLFVHSFSPLVSTPHHRTPQSSQHTFAYVKWYKASKETSRKIAGVEIWDVAFSL PDFQSILPVHRILLPVAIVDHTTLRNISKKLIVPLPRKLYF PHYBLDRAFT_172966 MPSNATRKSGRKGKQNAQGTLSRVAAGRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYNRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMHAIVLNLINGRMWARNFRSNDSELVAENESRRIDHPDNVEV INYLRQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPKQASSKRRNNRINSRRI EIHLRCVDTYINNWLAIDTKMGYKPGNPDEIAYLHLLEKSVMSDGESEDEDVTPIIRV RVLQVARPSWRSAELNRLIQFIDFLASENDKKIATPQSKQRMPRYLKTIAVTPVPGHL TAILPVWAIQNQ PHYBLDRAFT_63546 MKADLHLSDYKKQWLFKDVNLLFVPLRLMEILSTLKTNMTAMGT NMAADMSIVQEQIGTVEDTLLNMNSRIGVLATSSTEIITAIDSLSRAPLVSPTNTMAN VSQPAFNAPSEFSKKASNDVYTHIRNLMCTAKKCPIPIRDRMFSNNRPWIVGIWSGHL IQTFDIGSLFCILGFGFLILGTAILL PHYBLDRAFT_150133 MFLFPSDASSSQWPSGLAKDISPKIFSTAKHNHQHDEQSSPKHA MDQKLLSHAAVIDMHPPPTIPLVDSSHSTICEGHLQHNASVILPFPMSSNEEADVESV FSLDTFNMFDDEEVLMNGRQEIGKSSSSAQRRPFSATSHTA PHYBLDRAFT_63544 MKEEIDEIIIYNYKKKKILEGKAHFSNDQYLLGDLVYTPLIEIV SGYKKPPNGTLSANNVLFNQLHANLYVQTEHCIGILKSRFELLKGLHTSVYDKYNIEK IEYWIRCCCVLHNLLLDCGDDTFVEDSVRNTATSRNNERLESRTSSELGKNKRERIKQ IIIQ PHYBLDRAFT_150137 MRFDCPDKILTDCGANFMFKIFLHYTNQIKVHHKFTSAFHLRTN SKSCRIHKHSTTGFSPFFLICSRTPRLPSDSLRPFMSADLSDNPQVFADDALSYLHNL WKAREDAEIWVFTNSLQGKKHWDAVMKPHSFAVGAYVLMRHKNKFGLEYNWMSPYVVV DKNSDTDVYKLTMTEGVSYTYWVHADHLKLAKDDDYTEFSFENDNQSDFLLLTKELEG T PHYBLDRAFT_172971 MPNTIFNLSNVHNVLVNSPTKEIKMLPLDVVVKNISRKLCAREL KYGETKKHHRAELYISDRNVRLAQKDTKLCYCKATIFIKPYDNSPEVVLICTTNDYTN HVSGDASEIKTLPLSSEAIKIIEDQLKGGSICRNTRISVLKQIEEWA PHYBLDRAFT_150140 MKEEIKAMKYKITLMDTRIGVVITSNATAINDIDALSALPAPVD VPTSVASTSAALPTTESSDTNAVFGYIHGYMWNPKLKSRDQAEIQANAIKPKWAVDIR FDCSPNRELVKQLLYYLEKKFAGTDMRTRDPPLNTNSRRSDLETDNYTHRRLAYDAYK ADIDLKIGQNCSGLIQKSVMSEGESDDDMSLFQPRNEICVAHPSWRSDERVYGRTVES TVPIDLDPALPQWALKYGS PHYBLDRAFT_172973 MAETMVVPMDYVVLKLKIEKGFPYMKSDEWKSWVLVYSPIMLKA ILPIEMFRNWISFVDACHQLVKPSITFSDIDDGHKFLQEFCTECQHIYTPTILTCNMH LHLHLCKTICDFGPVYRYWLFGFEQYNGLLKNVNTNRKDSFEVTYMNSFVQDTFKAQP STSKNAITFPQRPFRLSAFIQAYSNPSLPVLGNESLPPSAFPLHIEPPSAISDVDYPH LLDYYKVAYCMPNLEGYQHPSSPFSFVDNQIIKLKSINLLGQVYKGCKYASGCSSFVQ SLFLGSQGNNRLAYTGQIQYLFLHSFTPPVNNTELQTRVVYQDKHVFAFVKWFQIEHD CSRELESVDICSADFIACDFECILPVHRISSVVATCNYKTSTNNKKILVNALPHKQYN PHYBLDRAFT_117121 NLPREERFKPENVILVGLMPGSKEPKTEEINHYLKSIVDELLQL FTRITIPTFECPAGVNVHAALHMVACDIPAARKTSGFTAHNSTCACPRCVRQFTRLPS TNQVDFSGFDYSTWKIHSGLESRLHAEEWKSASTPSERHQLEIENGVRWSQLHRLGYL DLVCGTIIDPMHNLFLGTPKRMMEW PHYBLDRAFT_150143 MDGSFCVKMLMDGYTLYVDSSKIKFWNQANKMLKRKSSAFLAQL AERLPTIVIPDLVHLPIHCKRSRIGQALITQNRNSQGYSLVTSRTAECHIRKDELERI ERLNTAERLANTVQEEQMMNVDTQYDQTDSPDSNAATMADNVSVDNEFSEVNGNNSDI ERDMNSDSSSSEEEGVETDVEEFVNEDPFDAPNMPENPVHWFIATFAVLFISRYVVNK DATVLIEFINQLLKIYGKDFQLPTSLIGLQRMTGFSNYTNDIKKSVVCEDCHKVYKQD VPLPTHYNFKKHGS PHYBLDRAFT_150144 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSASEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSAHRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKRQRSSSVTMSE PHYBLDRAFT_150146 MFDNVSICVLLGNFSSHSFSPATGVLQGSVLSPHLYSIYINSLP PLLRTVAHSQTTTHVPSPSPTSLDAYNALLAPSGVDGFGHIHFSTPINSLLFADDVAI FGSLSDVQAMLDLAADHSLTLGYRWSPTKCEVIYPKTRASSPVSLLLYGQVLPATDLF IYLGVPFANKGISSKSIATHRRSGTLATMATLNSVGACRSGFSLLLSSWLYKTFVRPK FEYGLAISTLLKQDIKVLESIQDKCLRMIVGGHATSSTIVLKHICNLPNMKFRADVLI AKFCIRSRFLPAQCLLSLLHRHHTIYSSLVSLGKTHLLSHLPPTLKLQSPSAVKNHFE SIREAGFTTFLQSNTQVLIQACRPVLGVDPILFLPASHVERGRLIRWRMGWLPGKPKE CPCGSDHTSRRHLLNCPLVPVALFEQLP PHYBLDRAFT_150147 MDKNKKLLSSLTPQLIIKTYQQQKFICTANISMYLIEWLVFENR FSLNNSQCALYLVLTKLLPSLTSEIP PHYBLDRAFT_150148 MPSNSCRKTDHKGKGKASAKIAPSFSSATIQDQQYAEIVEMFNK VNNSINGVKDDIAAVNSNMTAFKNRMGVVVDTSGKTHTAFADFATAYANDQTRMASLG PSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESYNPALVAENESKKKWNLNEKI NHHNNVAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHSHRTFHESPEQKAKKNSK GRANSRTLQSTYMDNWVAIDAAMRYKTGNSVEKAYLKLFQKDAMSDGESDIEIVDNLP RRCLHVACPTWRSEEFNRLLTMVDGIDRTHHVSNAGMGTKPRMNRYPATLLPCSVPAT LSQSLPR PHYBLDRAFT_63532 MLTLNIDWFQPFNGVIYSCGAIYLAINNLPRSEQFKENVILVGL MPGPKEVSTSDINNYLKPLVDELMELYKDIKIKTHQSLLMVACDILAARKVCGFTSHT STNACHKCKRQFLRLAGTSSIDYSGFDFSKWLLRTKNDNRKDAEIWRNATKPTERQCL EVAHGVRWSELHRLQYFDIVHCTIINPMHNPFLGTAKRMLERWVADGLIDDKKLVAMQ KTVEKSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCET LYNLDLLSPNMHLYLHLCQTMIDFGPVYDYWLFSFERYNSVLKNIQTNRRNRFELTFM RQFIEESWKRDFNLSMTICGNEPLPPSALPLKTRSLSFMPKHEYDCLVGYYQAAYKNP QISGCKDVIDDPPFVNDWIEMVKSVDLIGQSYKGCIGTNDHGSYIQAYFTERTGLEHA YIGDIQYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQDEF YKQDFQSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_172978 MVNELVDNAGEIIDHPNIVFPLASEVKAPGRPKYVKRKTALPKD FVHHKHRYLLVQKNKNDIRSILKEGLKEVMKEFLEGEPLKKIIKEIKKETQFAEKQEP LEEAKTTNFAKKQESLEEAEKYFSGIKRPKHLQDDYWYDLPSPKKQNKNVYDFALPAQ IEQAAILLTFNPKYNGWCGFRVFAHLKEGGEDQFPLVKKKMLATMATHGKLYEHNFGM DVAKVTEIIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIADTYNKPVCVYSDDWSV LSVTFLPLHDQKPLKRKSLPMVLHHVHGCHWTTIKVKPHVHRSWPEYKVCAFISNLIK IFIQSQT PHYBLDRAFT_63529 MIATMLSQYEYVLISYEDTCDTISSAVSEPVNQEEDSFEFEQED YTSDTYEEEEEYEDESDVEMDNDEDSSLESISELNLIHRFIIISVALFVSLYVVDEGA VILIAIISKILQFLFDPFRLPVSVAGLKLLAGFEALISGVKKYVVCSECHVIYDNEAA PLCCTSPNFDKTFLCGNSLFKSGPRSKTPKKTYVFHSVKKALKTFFQCPDFENKINSW NRGPKMDSTLFDVYDSAMWNEIVDVDGIPLVDQ PHYBLDRAFT_172980 MSQQSAVNSTIVSGEETAHVNSGVIPPPSAAGSANHDGDTVMTP LGSEDGAFDSEEGSSGSDAGRSGYEGANSSRIYLGNSGVRVNNNLVATMEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKYASKGAKEAQELFAEAEQILKDLKTSTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANCDVFDSVYDFCQEFITVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAKSILLDHYDTPFHKFLNMGRVWCMK QGKGESARSFGAKFQKFCRQALLDDGVQLVLCFWWNLRPEVQEACVIPLSASYSTKMP SKIEDIISLVSVSTSDSTALLHNPANGIAASSWSSFAAHNGASSLSAGSHKGKKRTFS REHGSQSPKNSWDFKKTMKDSICFSCKAPWVKGHSCPEREKYLTKMSRMTVRSSAGRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDIVIKRDFKNMSTNITFPILA NNSIRTISLLDCGATFSLVDKNFCLKNGIAISYINHINKDLLNKNNVYKYFIRLADSN TQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLHLSY DDADSSEECREADRCFNNKSDLLESIERENEEKENNLAVGPKQFEDAMDYIRPFIKDN QDIPKGSFCTIPESVKMLQRSEALIPFLTRCKV PHYBLDRAFT_172981 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGTLKELYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDNPFATPDMPQNPVHRFIATFVVMFASHYVVDKGAVVLIEFINKLLTIYQQD FQLPLSLPGLQRMTGFSAMTKGIKKFVVCQDCHKVYEESASVPSHCDFVKLGARSSCN CQLTKTSASGALVAKRSYLYQSVLHRLGYLDLVRGTIIDPMHNLFLGTPKRLMDRWIK DEDIQDGDFAAMQKTAETMIVPGGYTSLNSKIGKQFSYMKADEWKSWVLIYSPVLLKD VLAKDRFENWINFVDACRLLIKPTITFDEVNTAHQFLQTFCTRCDELYNAEILTCNMH LHLHLRDTIRDFGPVYGYWLFGFERFNGLLKNLKTNRKIGFEETFMKKFIEDVHKDDL VNSFLQSTCQTSAFPLLTKLTSSFTPATIPSIRQRTFRIQSFVEASEDPNVLVKGNEP LPPSAFPLSLKSATTMSDIHYVHLLQYYKVAYNNEQLVHFQQASESSYFVDNTITLLK YINIFGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFTHSFTPPPTSSSL TPLLRTHRRPTQLLHNSQHTFAFIKWYTPENDKSREYEHVETCFPTFSPDDFQCVLPV HRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_172982 MYNILLDSFQTMVNNHQSIAPAPFPEYTELLRRLTAMEESLKTM DSNIGTVIKGNKDSLEILDSVANASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSVAPSVAPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELK KHNFKSNKPELVAANGSKRSWDFNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADIS DCIYTNFCGTRRRVKESYEARKKTNSWSRKAGQETDHFDRRKLTYHTFKAEIDMKVGK SCDGLLQKEAMSEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDF NSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_172983 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMTILASSMYSLGLQINPFASGSVLS TMVRIHDAFSFCLCACGKNPYTENANTSYYPAVLTFSYVRKLVLPPMTPEGIKDINKA NKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_150157 MLGVSSETIKHLIASIHQLIQMDLTNNDMRIGGIDANSQSIIVE IDESKFGKRKYYRGH PHYBLDRAFT_172984 MADVVFPAIAERAKAVTNAQKGKFHNRYRMIQFTPNSQKIGKEY FTVRAVVTHRELAKEKYEYRVRWEGYKEKDNTWQTPEFFSSHKPIVDYWDRLGTTPID KTQNPHQTRTITAKYISKRHTMQPTPIC PHYBLDRAFT_73920 MENILFCKAEISHSLGSEIVTREPIDRRASNFEAASNNVAGPQL WSNPTRKTLVAVASKTDMSYHNLVCSLADLWGQNAVSMVEETNWVVCTKPGHPLAADM FEPMTLLCSNIHEGGILGGLLGGSFGKTSKKTIPIFGNIIRSPLTDRC PHYBLDRAFT_172986 MNNTDNTVIQLLQGIQAALISLKSGQEALLGRQEALEKKQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTGIIPRPVSKINDITLKHIYKMITDDLRIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHPIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGILASTIHE PHYBLDRAFT_150161 MSKITKAPCYQCRTCKSTFNNSQTYKRCLERCMTNRAELLANGE VSQTVSLPDQSQFTSAMVPNLAFGENINTSSSEDMDIIDSTEDDESMMPQYPRF PHYBLDRAFT_172987 MSSTQRRCAACHMLGHSRSTYKQCLMNPKNISLHIPQKRTNVDE YPAESSQTAALRIRSEPVQDQNLDIETSTFISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEVTGREEEVEEISTVNRGSILPHCPHSISEPAVDNRGDMDIECQFCGAMMW AHEKNSRSSLRSPMFSMCCNKGKHVLPQIEPTPTGIAELLNYRTRDGKKFLENIRSYN STMSFTSLGAKIDTSVGNNINRAYNFRIHGTICHRIGSILPVTESDIAHPKFAQIYIY DSAAQIDQRQYHSPQLERSVLEKIQSILMETNPFVHLFRTMDQISREKGQSIDLTLRL VAEGPRDQRRYNAPTASEIAVLIMNNEEGTSRDIVLHTRANFQQNINEYHQSYDALHY VLLFPHGEDGWTIDASSLSGEHVTVMQ PHYBLDRAFT_172988 MTINKSQGQTLESVGLYLPAPVFSHGQLYVALSRVRKPSTIKIM LDTPANSNETANTVFTDNVVFKEVFDIYQPLPVPSNDDKKNLAFMQLIQEFGISRRAH EKIVEHFNQILDFSINITYRACSPHLGNKLLERFSGIKADGYNICIRGCMQFNNENDI ACVKCSEARYKNGQTSKSDTRVPVRSIVQLPLYFRMLPGTQTRSLESFRNYNLASSED RKGLNDEMHGLCHGIGKQVWGLVRGKYGIKHPLCLSLATQREIGAAMVAAKSTIPTSL HGAWRDVTKNAGFFRAVDWADFLLFVVPTLVAERVQDLVARNTLLGLVQTCNLLMSWE LSAEDQTSIRSNLVEWNLFLESLLSTADIDIGVFTINQHIIQHYPQMIDLYGPPRAYS TRSVERDIGEYSRSIKSNSQVSVNAGNIMIRLAQSRCVAELTTVANTKTPPANLLVYS AYTNGWPVTEGGDPANAECEIEFWGPLKNLTIFDSFEDRSHLSLLLKTFYDLKGEECS MLEPSINTSRKAYLNGCVIDAAFNQSSTREACHVHVQLQVDMNSRRSRSYRSGYKHFF GKVVIFFQHVHNSKRWSLALITIYSVHLKNGLPITSVVKPKTIVIHASDIVELVGLVP SNVNGSHYIIWPSLKCGPKLTLGALSDI PHYBLDRAFT_172989 MTINNGFELEASLGNLSIRPKFKLKKDDLLLRRGDGLSASPTTS QLPVPLLSSPALFSFSEGGSGVLPSTSHFFVPVSDLSFSALPAPGSHEIFFQAPPTMI GSQKQVINSTGCPTPTALILSGLKADFKKLHNVLSCSRCQTTGKIVSNGSTSRTGSPQ FKCKCDATFTASSMQSLINAVQHKIPEVRSASEPVVSPSVSILGHSISMADIYEIESD VAPVFPTVMPTLQDIWDRFQVYDERLSALEAVQKENIELHKALATANATIARLTKENV DFSVGAAASKYATIAASVPVVSQADFPSLPASPTHHSTEPTKTFVSKTPRKRAPTARA IAAVVRGMTIKENADQGFQIVYVPNAIRLPISTQCQRLRKLKIDNACVLDLHYPDRKV MGMLVHNEYAPELKTILASYGVTTLDNFDPLDPVHLHDPALASLSLDDRATKAIHVHN DRMLRAIEFIRAPVKFAVARSFCSQGWISDDQLAEMVPPRPTKKDLDISIHTASITIP SFSDL PHYBLDRAFT_150163 MLALLQSLFHNVQVEVLLSGATSRSFQPLTGVMQGSVLSPHLYS VYINSLPVALRAAGELCHTVFNQTAATRLNDQLVNSLLFADDVAIIAIPEAMPVILNS AEQHSQELGYRWSPTKCMILHHSCSLSPLSFSLYNVPIPHAHSFPYLGVPFSAKGTID PSLLISRNCVSAINSMRALQSLGVNHTGLSRLLSIRLYHQFIRPQFEYGLAISCFNIK QVAVLEKAQNTCLRMIFGGHSTSSTSVFRHLGNLSSMRERILTLGFKFVYRAFWLPDE ALFTLLRPVLTNPAHQWFKLLANPIWLSLSNRQNADSKACKHAIRSFLNQGLFLQRSQ QILLSACRPSPGVDPILWLPMTNYERSRFIRWRMGWLPGRPQPCFCGLHTTSRHHIIE CTGAAIRLHLYSTVQPNPIDYVLNMLPMKKLKNNKNNAFWIFTWPILCRIMLDIEQIC LPGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_172991 MAPNCNADNKYKCYCSVCRVRYGGYNTVSAQTLKRHEKAEKIVE LMQNNIQNEIIEETFEVESNEDTMDYIFDKENYFFLFSCYFGLILIFWQNRMTMKKDL PLSESNAVFGIEGNEYTGRNDFDNEEYETDGEMSDDEGFFHHFIVVAIILFVSLYVVD EGAVILILIVNKVLELFNDSFRLPLSVSGLKQLAGFGDLTKRITKYTACGKCHTIYDN DKSVPLCCISPKFGGSSLCSTTLFKARSESRIPKKAYIYYSVISSLKIFFCRPNFENN IDSWNCGPKYFDVVCCTIVNPMHNLFLGTAKRMMERWVADGIIDNKKLVAMQKAVEKI VLPPDYISLGTKIAKGFPYMKADKWKSWCLACRILVMPSICESDIATAHKYLEDFCKK CETLYSLDLLSPNIHLHLHLQDTTRDFGPVYGYWLFSFE PHYBLDRAFT_63521 MSPMSTTEYNFLVEYYQVAYNDRRISSCKIGMTSSAFVNDQIEV IKSIDILGQVYKRCNGNGRGSYIQALFEENRTNAHYGYVGEIQYIFVHLFTPTNSPTT LYNHNHQHIFAFVKWYKTTSDILHYILLPVAIVDYKTTRYVNKKMAIPLPQKIYA PHYBLDRAFT_73741 MAPCTPRNTRRRSISSGVSTSLSQVIAGSIEQRAIASQLVSVSS SDECLDQMSIDLKNIMMQLASMDCKVGQLMDSQRRNIGAAARQESMPASLLALAPSSP LPVPAMSEQEIGSTLINGKVWKRNLKSRDSTVIAENEARRRWNVNEHIDHPKNAALVD YLHHYVLAQPCAGNFWSRMVILAHCTHTYLTNWRLIDNEMGLEVGLLSEMLFLHLLQK DVMLDGESDMEDMNVATLNNFLEIVDFVAVQTCSDKSMLKSRAKMPRLQSGKRMLLSL VT PHYBLDRAFT_150167 MQQSLKDMNSKIGCIVIGNADALEVLDTLIGTSDNVLEIAPAFA PTSAPTSAPTSASASASASAPSSAPSSAPTATSTDVNQEVYNRLFFLIQSQLRDPKFR SNDAALIAANDSKSSWNTEIHFNRSPNKELTLALMAYLKPKFAADGLKPSEIRSSIYT NFCGRCSAERKSPSALDAGRSRSRRASQATTNFDCCELAYSICKADIDMLLGKDCKGL INKTAMSEGELEDEIPGVPGNRVIHTVHPTWRSNEFLRHVNVAVLKCLNLNVHQMAKK TFGGDADLAVPSQLKRSLPQWAFRDEL PHYBLDRAFT_150168 MHPLHNVVSPKTYTTTKHDNQHELLSHATVIDMRLYWLLTRTIK VYKYNFKVVILGVMSNIPDILLIFPLVSDVFNRTGIFKQATHHWVF PHYBLDRAFT_150169 MSSHCQTTEILVHIDHYYNISCHYKKARMVKWYNLRLPREGPGF DSRLTNTTVYVDEGFRNILDTEQERSKMDYIIYIAPMVYLYYTSPLLTTYTDSSLKPP IINSKKTRL PHYBLDRAFT_172997 MKETHSLSHSDAPSSQQLSRLARVNEHPSYKRAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFVLGTSNKNFHPKYNKITKQEVADN IE PHYBLDRAFT_150170 MTNTRRERAIALRPVEITNQQLMEILSTVRADMPTIKGQIGNVE QTLTNMNDRIGVLATTSTNTISAIDSLARTPLAAPVRAELTVAAPVVISNHESTREES NAVYAHIYNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAERLLSNLERS KTRRERMSDDEIVETNALTRRAARADDNECRRVLAYKDNKEAIDLVMLRDCANTLQKA VMSDGESANEMDEDGIKHVIHICNRFIALVDTYAVQAMESSANQRIQRITTSVSNSAV PDNISPNFSR PHYBLDRAFT_63514 MFPSIQMHNTDCHCIRCNNNDQGVSRVSRCTAQHHNKRARFEAE KRSMEVDTEIIPMYQSDSVEAMDGQTNSPILDAVSTFDNDVFVGNDYNGDESDTTDDN DSNENGEEDTAEIYVEEFNNEDPFTASGMPENPVHRFIATFTVLFASCYVVNKGSVVL IEFINELLKIYGQDFQLPKSLAGIYKMTGFSSITKDIKRFVSCPNCHCIYEENMSVPP HCVFTNVGARSPCDCKLMKESTSGALVSKQAYLYQSLKQALSVLFLRPGFEEQIRHWN TELKIVDTMCDIYDGAMWKELKDASGVSFVACPRSLMLTLNIDWFQPFDGVSYSSGAI YLVVRFKPENTILVGLMPGPKEPRCEEINNYLKLMVDKMKQLYVGILPNTNQVDFSGF DYLLWNICSSVENRLHAEEWKSASTPSERHQLEVEYGVRWSQLQRLGYFDLVRGTIID LMHNLFLETPKRMMDWWVDKKTIGAKEFAAMEKIAETMVLLRDYTKLTSKTGKGFPYM KADDWKSWVLVYSPVLLHGVLPFEIITFDEVNSTHDYLEMFCKKATKLYTPTILTCNM HLHLHLRETIRVFGPMYGCWLFGFERYNGLLKHIKTNGKDSFEATYMRSFLQNAFKGD YANAVLKTSSNPHFPPKGNEPLPPSTFPLQLKKSLLMDETDCAHLLQHYKTSYDLPNL VSYQYATLTNSFVDNEITKLKFIDLLGQQYCGKNGSASCGSLVHVMFVGSDSTNTLAY AEQIQYLFTHSFTHPSYSNLYLTRMVHDH PHYBLDRAFT_73743 MSDTCKKVYISCMSTVNITPMNENIYTLARISEALECSSIPGVM ILRLNSAIREYLCHCSETYEYKAEIQVVQKRTKKNKCSALLYIRRFFKSPEWYEITLT KDHTNHTPGDIHENIHTLPLAKKYLHELSQQLEQSSKSASQICIDMLRAIDRYRRSSD RKFNYYNIWNLMNKGNMSYSPNTNAFAYSFMSPTQQIKMRNAVSFCLDATHAISGKID EILYTLLVCDKEIGRGWPVAFMVTNDRGVGPIVQWLQFLKSSLLLINPQQITIDCCSA EVHAIQTTFPSTQIQFCILHVTQAWNRKLSDFVKIPGALPSEAHLLCSEMMRYLQDIV YEDDLDQFRQKLVEFKSEFSDQELFLDYFEKNWCTEKKFKIWSHAYHECQFSHMLTNN YIELWHNQLKTVFMKRSRNKRLDKLVFILVHDVEYYLTQEYERVMSNNRTMSSFTRQQ RIREIEAEEVDDDARETMIVASVSAEDSSWQVQSFVDENTAYVVEKAKTMRAYVFVKN AHCLFFALLNHSFKPYL PHYBLDRAFT_73745 MSKNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGIVSN QRPLAPKRARLNLEGDLSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAKATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLRCQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGVL PHYBLDRAFT_173004 MDTAIPDDSSLVSDGAIPFLRNLQQACINADARVATNSQQDKER WDFIMKSHQFVIGEHLLLRHENKFGLEYNWMGPYIVIDKNADKNIYKLTTMEGVPYTS WVHADRLKIAKSDDFDCTWYHPTPARAQMHCDLALDSSSALPFSLVDSSGVDRGLSTV SGGGDVRHYFDESVKSEDS PHYBLDRAFT_150177 MSKYKTSPAGFEPARPKDNALAGHRVNHSAKATHMTIMCVVNKS RFNQFLVRDTIRWIPLVVWSGGTRVILPGFLGNLAPNTLIPKPPNIIPFLKIKIPIYI VTSETPVTH PHYBLDRAFT_63508 MSTQLNEFHSLLEKVYHNMGATKDQNSNSNYSPISQALTTEEYI KYHLAMVLRLICSQTRAVLATMLLMVNENAFLSSNHLIADIVQSYTYQQAYVKSVLST VIEEKAQRHVLYMLQRAKALPEKIAQLLWWLKLKKFLRERIEDLRLGTKICENLCRFY LLRKDLISKFDQVPTLNYYCYLYYFGSGKLYDWGQLRPYIISFRLILNYSSSQYSFTT STKLDFLMPVQKDKNYKAIHLADKTKLESKFDETVIDLLDYDMLSDIESNEKKNRTRY TSRNRHPLRLANKGPDVIGNSVYLIILRNTELSKEKNARVAACIHTH PHYBLDRAFT_150179 MDHYKFRSYMDNSQRVKFQFSFPVSHEIVDDVKGGFNPTADGWC GFRVLAYLIYKDQEKFPLVKRDMLATLPKYSSIYTSTFGTDVKQLEDIIKHGSDLCIT NSNSNSNINPVCLDASMWFSIPDYAQLAADTYKRPVCIYSDNPNTPSISFLPFALPKN VSKHQQPLIFNHVNNNHWTTVHLSHNVSRKWPTIPELFFLGCVRNQIPNNFDTYWNKF KEFNKYDCRNAMFSFLSNQEEHVDLTIT PHYBLDRAFT_63506 MSNTIFNLSNVQNALVNSPTEGIRMLPLDVVVKKDTKLCYCEAT IFIKQYIKNPEVALICMTNDHTNHVPGNASEIRTLPLPSEAIKIIEDQLKGGSTCRNT RISVLQQIKEWGVGIRKPNYEDIYNRIRKHFAFGFQSPSQMMLMRISQSFCFDATHNI SAHNIEILYSLVTQHPDTGKGIAENNAITAALPQTIIHFCKFHVLRAWQHNLDSKVKL DASYTSEQLGKYKYELKTNLKNILIESDEDEFLRKIQEFRLRVQSQQQFLAYFERKWI GTEELLRRWGRPYVANDHQRYLTNNYIESWHNQLKTIYFGHTRIRRLDRLVFILTNNV EFFYDKEVNVSNNLIRNCTCPNFASRQIPCKHAHLLKRFIGLDFAYTVQRENNHLQLQ RSLASEHEVAVINEEVENKINAVVVSGRNNSVWLQRIMAQNTTLHHQREDLEQLINVS GIDEAELQVISGLLGEAMNHRHS PHYBLDRAFT_150181 MDKNKKLLSSLTPQLIIKTYKQQKFICTANISIYLIEWLVFENR FSLNNSQYALYLVLTKLLPSLTSEIP PHYBLDRAFT_150182 MPSNSCRKTDHKGKGKASASISASANRVLAGCVGPQEIAPSFSS TTIQDQQYMEIVEMFNKVNNSSNGVKDDIAAVNSNMTAFKNRMGVVVDTSGKTHTAFA DFATAYANDQTRMASLGPSLMPSYVPQTSLSDAEVSVIISKFESYNPALVAENESKKK WNLNEKINHRNNVAVINYLKSYISTQTRLAGTHPWVISDKIKNRYKHSHRTFHESPEQ KAKKNSKGRANSRTLQISIDAAMRYKTGNSVEKAYLKLFQKDAMSDGESDIEIVDNLP QWCLHVACPTWRSEEFNRLLTMVDNIDHTHHVSNADVGTKPRMNRYPATLLPCVKFYR IKSTVKRLRRI PHYBLDRAFT_173011 MAPIRKPTVRKECRCSIYKSKTLRFDCVSVKTFKHHQEKDNHDI THVQMPHEDTCDTISSTVSEPVNQEEDSFEFEQEDVEMNSELRNLNDTNDILYIWTRN QPISETDCVFGPKDNVQYTSDTYKEEEEYEDESDVEMDNDEDSSLESILELNLIHQFI VISVALFVSLYVVDEGAVILIVIINKILQFLFDPFRLPVSVAGLKRLAEFEALTSGVK KYVACNECHAIYDNEAAPLCCTSPNFGKTSLCGNILFKSGPGSKAPKKTYYFDIVRCM IIDPMHNHLLGTAKRMLERWVADGLIDNKKFVAMQKAVEKVVLPPDYMSLGTKIAKGF PYMKADEWKSWCLVYSPVVLRDVLPLSEFKNWIEFVNVCRYFTKPSFSEEDIEKEHKC LEEFCKGCETLYNLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERNGFELTFMRQF IEELRKGDFVHRLLKPMHALACFEIFDKFTTNNNNTNTYLSHSFSISKYLEASQNLSM TIRGNEPLPPSALPLKTRPLPFMPKHEYDCLVGYYQAAYKNLQISGCKDVIDDSPFIN DWIEMVKSVDLLGQSYKGCIGTNGHGSYIQAYFTERTELEHAPTVSSLTYRNPHSSQH VFAFVKWFKSTLDKTRELEGVELLQNEFYKQDFQSILPVHQIHLTVAIVDYKTTKNVN KKLAIPLPKQIYY PHYBLDRAFT_63502 MSTNNIQSNKNIYTLATISEALECTSVPGVMTLRLDTTIKVRAS EWRDCLLEISESCAVKWIIHNSNKQPTDITAEEAKDSAMRVVQKRTKKNKCSALLHVR GFFKMPEWYEITLTKDHTEHTPGDVHKDIHTLPLAKKYLHELSQQLEQSSKSASQIRI DMLRAIDRYRRNSECKVNYYDIWNLMNKINKTLYHFDKDEMISFMIWINEKLPAMNFS IFKANTSYSPSLNVFACGFMSPIQQNKMKNAASFCLHATYGISEKIDKILYTLLIRDE EIGRGWPVAYMITNDRDRLQNKRLNKLVFILVYDVEYYLSQEYNRVISNNGAMSAFTR KQRIRKIEAEEVDDDEREARIVAPNSVDSRQWQVQSFVDQNTAYVVEVSDTNTIISCT CFDFKRRYRPCKHMYLLKMHTSNSIFFSTTSAITTNQIHTPQTPQNTENPSNRSRLFL TSV PHYBLDRAFT_150187 MNAKVTKRNGTAPYNLMFARTMNGFKSYANDPAVPSLTEDELQK GIKTMADVVFPAIAERAKAVTNAQKGKFHNKYRMIQFTPNSQVMIKELQQGKLEPAYQ GPYTIVREKQGGSYILMDEQGLLMPREYPPSQLKLIPQDKLVSLDEYRVRWEGYEEKD NTWQTPESFSSPKPIADYWDQLDTTPIDKTQTPHQTRTVTAAYISKRRTTQPTPIRQS KRSRC PHYBLDRAFT_150188 MSNINNINNTNDFVIVSETSKKYNAALTEFNSIFLVGREFSSVV AVQEAAKAYGVKHNIALTTEYASSSRIKLICKHSGEYRDTRKAKKVASKTSVMGETLP GWERKRKKDTQKHDCPCFMYANTKKGRKLTVRSREAEHNHPIEEDRRAYAMHHKLLPE AMALVVKHLENNDDVSTIFNSLKINGYTNIVHHDIANIKQHFGKLEKGKEMFDFITTL QDLDFHVRYSVGNTEDNQVNMVFFVYQDAINEA PHYBLDRAFT_173014 MKDKENWVNMYVYKHAHFGNRTSNRAESAHSSLKHSLDTSSGKL KTVTLKVKKWYDKLFADRKHRLMVESLGEGTKIVFDKVNAARLNDIRLKVYHLTIQNA TPVPPNINNIKPITPEFNYALELICEHFANAQSEQEQINIYQLIEKTLKQIDAQKLKN LKGPTVVEAIKGRPKNTKRKMIALEHCINTEKEKITKKIKTEKEQKKQKISSAKEQKA IKNIINLGSPCDSTLLTNLTIAPKHISTIFSPEADGNCGYRAIAMEVYQDQEEWSKVK DKMLETFLKHQNNYYHGRMEHGNMPASNNPLICSLQDKRSPLPQQHWFGTIDHPQLVA DTFSRAVAVYWNTPIETGDCLFVPFATLPEKVEPIIIILDVNHFLLAKRKNNRNFCWP KINPFHKRIIQKHGLEDYSLMY PHYBLDRAFT_178171 MTTQRKSELEWKTILSPEQFRVLRQKGTEPPGTGEYNKFNKKGI YNCAACNAPLYVSDTKFNSNCGWPAFFDAIPGAIIRHEDNSAGMRRIEICCAKCDGHL GHVFQGEGFPTPTDERHCVNSISLRFNDEEYK PHYBLDRAFT_103777 SFSGDGTFYAVGLGSCGTDDGNTDLVAALNAPQMQNGANPNNNP ICGRRAVVKGPKGSVTVRIVDTCPPCQKGDLDLSPAAFGKIADFAAGRVPITWNW PHYBLDRAFT_63497 MKLYWMTAVSGLFWCSWARPIIFSEDSIGSSSPLSTISASASDS ASSIGSGSGYNNAGGGGIGGAYDDSNNNNDGVASLNRAKFGLDILKGAHYTLESTKNT LPVISHD PHYBLDRAFT_183112 MTLASSSNDNQLDSYQDQFVEILRNISSLQWVRESLSTHSHHYQ ALQQRHKKDERQAKEKRLPNQEHQEQEHQQHEKEKEKEKEKEKEKDRDKEALDKIDRT LAGLEEQLSNADSMMHELTQEKQRLDTFCSRLNQLYQQIMPDTLQGPYDQIEHLLKEQ VESLSSKIPNIVSDIRDHTAAQTQLYEARESMETAMINLPGASTFLDRQGVVGRGNNS RLFGTSNFLLLQGSSEAATKEAEKLAQKAYECVRKASLECSQVSLIPITPLKKDEKVM VVLTTYRGYRLKIETLLRTRVNPRLHKLESELTISRFHYEQRSIEWVDRQVEMLGSVF WSNGCLENIDLNQEISALRMGSSATIAAIASQTGGRVTVDDVLEVGIIIGSGDGDVNN SNSNSNSNSNSNSNSNSNSNNSSGSGSGSGGNELAESSTQHQQTPNLNPNPNPSQSQS QSQQLQELPQYSATTINDSPSSNILPAYTLERVPDYQGSDPPPAYC PHYBLDRAFT_188599 MSDIQYARSIALIGSGIYAGLGMSVDMLSVPSIKVAANPTSVFR QVYKGGAKLALSSIIISSGAYFYHYYKTRESRSLYLGLLILSNAPYTKFFIMPTNNRL FALDDAVSHDIKTNHSTAVSPASLTSIYKTEQALALLNKWSKVQLYRTASGLVAFVVG ILYI PHYBLDRAFT_150196 MHRLWNRDLAAVLNFRHILNNMRYDGTIPVRFTRVIRIGRIRRQ AEEDLQEGRRPTQATTGKPLDLNNLVFSFVMG PHYBLDRAFT_127425 MMITARLGRIVLLIVLPLTLLVSFLLLAHHNGSISRFSSSYSSS SQTSSHSKPKPNLNGTRENAAFVVLVRNSELNGLRETLQNAEDRFNRKYNYPWVFLND EPFTDQFKEWTAGLVSGETFYGILDQQSWGYPDWVDQEKARLAREDMANRNIIYGGSE SYRHMCRFQSGFFFRHPLLAKYDYYWRVEPNVKFYCDVDYDPFQVMRERDLKYGWTLS IKEYAETIPTLWSTVKSFMAEHPEHIVPKGSPESLMDWITDDGGETYNLCHFWSNFEI GSIKWLNSKAYIDYFEALDKSGGFFYERWGDAPVHSIAAALMLKKSEVHWFYDIGYFH NPYSQCPREPAWLAAEKCSCDPQESFDTHWYSCTPQFLKVTGKKPTDFLITER PHYBLDRAFT_150198 MTYISSYPKFTKVLASTRLSFQKIEYTLLLHFPSPSTIQSFITK ATLFLAALLVPTFDPNSSANNSNRRLETSSGHTAPKTFSADKLKPLLLNKASGVDKNT GYDEPCEISYQRRERCNSASLRILSAEYTMIRASKLTRPRTYRYSLEQRSDPFIKGRK SRLH PHYBLDRAFT_63492 MELDAVGNTTAAGNFFEKHFQKAFKSGKTKFKCYCYGCSDSLVI LEAEFSVFYSLYCFITSPKIDPAQQYIEDAGEHLKKDLLLRCRITAAICGTKFGQLLP VDVALVTNQMPRRPWHLSGTYKAHQTYSPNIFFFYYDYTGTSIIVSVQFSYKDGKGTV VDELGRFKPMDYIVDREQYRLEALSSSTQHLPQLCQE PHYBLDRAFT_77419 MLALQKIFIDLPLSLLILGQMRRTANYSSTKAPSRKKQRVLAAD IQWCINNKDTITLKSFTENFGLLDKQYTVSRYTSIINSRHLAVDQKRLQSELDLSKKS EKFKLYWMERTRKLTQLDVDVKCTEYVHDAAERATRALSSFSAANDTTKTTKVESTVD TSATETVAATATILEDVETPAQLIPWIFKGVDVAELFTKFKKAVQRISTDRLFFIESS MHELLALSNIFLLCPDQYSTLLINIFTEDVLSDLGTRWSNVTSDESGEIRPDATISEI CQLDFGPSRGYGEVKLASHTTDNHALCHDLLRLATLAKDTIDMNKLQAALTFQINGFN ITFYLSRLRHDGITLLRVAEAFWRLCQPVNDEELWKTKRRPTYPTIYSLIDASKDRHR FCALHFE PHYBLDRAFT_150202 MVRYECKRMIQIAMIPSATVPADFWSFLEPTIKSLEILQADGMV IKKPTKTMRAKVHVLMATGDIPALAKLACHAGHTSKNGCRICNVVGQTPGHGQYFRTL PGTTIRTVESFRSFNPDSVLCKGLKGQSPFASLTSFTGPFFFALDEMHGLCHGIGKQV WGLVCGKYGSKHPLFLSAGAQKEIGAAMEGTRGSIPTSFHGAWRDVARHAGYFRAVDW ADFLLFVVPTLVAERVHDQDARKALLGLVQACTLLMSWELSVEEQTSIKRNLIKRNSC LEGHFQNGKVGIEIFTINQHLLQNYPAMMGAFGTPRAYSARSLERAIGEYSRSIKSNS AIGANAGNIMLRLARTRRVDVNGASVVKARTTARILQYDDKSAGWPMTEEGEHVGVDS DIEFWGPLGCRTIHDSIEEISCLPVLLAKFYESKGVECSTIDPALTTSRKAFVNGCVI DSAFAHKVQREAHHVRLQLQVNKATNARPGSSPALKDFFVKVVLFFEHVNEGKRWPLA LVLVYSTMLYNGVPVARNGQMKPKVVHLADVKELVGLVVSGATVNTTTATTTAYIVWP ELNRGPKLSLGSFADTRDLHAFWKSANYCFIRETFKIFQI PHYBLDRAFT_183117 MDKQTYLPSVENDKINTTGHLQDSGLGTAPGPFWKTYFPRYTVK TSGPVFIEERPTVFHCLLMGVQHVLAMFGSTVIAPMLMGLDTNTALFFSGIGTLIFFC ITGGRVPSYLGSSFGFIGVVASSTGYTYTPTAGPNPHIDVAAGGILICGLVLAAIGVI VLIAGHQWIEVIMPPVVTGTVVLTIGVHLSTSAFTSATQTSFDGWMAFTTIMIISLTS VYAPGAFRRLPILVGMVIGYLIHFACSRTGAAPEIDYTQVYNAKWFAAPTFVKPVFEA KAISTIVPVCVVLLAENLGHIKAVGAMAETSLDKYFGRAILGDAISTIVSSAGGGPGT TTYSENIGVMAVTKVFSTLIFLIAALIAVLLGFIQKFGAAINTIPSGVFGGLSIILFG LITVSGARIWVENGVDFKDSRNMLVAGIPVVIGASMQTTLKWGNFQLDGIGLPTFCCI LLYQILRGTDNLKALYQKVKDRKNRRKQQDSVSELEEKQIE PHYBLDRAFT_173026 MKYTMIYKCILHASNSIKYLPLATIQVQWLDFQRHGCIWFLRRN ESKRWDSLSKKNLKSMYLLWIYNSRKGSTKKRGIEETEKNIEHAPSCTDITSTMTLGL PHYBLDRAFT_77417 MFFLDLNDKQLVLTASHLCLHDVIQLSRVNKRLQNLVYNNTSVW TTDHLFPEGDPSITDRFVARLVPRITRFYGIQALRLVRLPLSWSGYLRIFDQFAHSIA YIDLVTSGVCLSDLIYHLSIFAGNLAMLQQDNRIPITFRQYAADSEEYCSALAATGYL GQQSWRNLTPLLSSLELDDPPFERLVQFRVSSTDHAEDENAQIHQLHFLTSFLAGKAL AFPPPQRTNTHSQAHHHHKRSRDEEPVIPIPYPKHRRHDLVSPSMHHRPYEASSGYT PHYBLDRAFT_117107 MARVWSLLFIWIAILSCYSSTANADQQSEVEGFFSQGGHTNNWA VLVCTSRFWFNYRHVANTLSMYRTVKRLGIPDSNIILMLADDVSCNARNKYPATVYNN AARFLDLYGDNVEVDYRGYEVTVENFIRMLTGRVSPDTPRSKRLLSDDRSNILVYMTG HGGDEFLKFQDAEEISAYDLADAFKQMSEKKRYNEIFFMIDTCQANTMYSKFDSPNIL ATGSSELGESSFSHHTDYEIGVAVIDSYTYYNLEFLENIDMTSNKTLQDLFGTYDPVQ ISSHPGIRSDLFHRPLDKVKVTDFFGNVQNVELTRYRYPLVEHDSWTEEVKTTTTTTT TTTIYF PHYBLDRAFT_188605 MSEGKAVGIDLGTTYSCVAVWQNDRVEIIANDQGNRTTPSYVAF TDSERLIGDAAKNQVAMNPYNTVFDAKRLIGRRFADSEVQSDMKHWPFKILDKEGKPI IQVEYKGEAKTFTPEEISSMILIKMKETAEAYLGTTVKNAVITVPAYFNDSQRQATKD AGLISGLNVQRIINEPTAAAIAYGLDKKVEGERNVLIFDLGGGTFDVSLLTIEDGIFE VKATAGDTHLGGEDFDNRLVNHFMQEFKRKFKKDISGNARAVRRLRTACERAKRTLSS SAQTSIEIDSLFEGVDFYTSLTRARFEELNQDLFRNTMEPVEKVLRDSKIDKGSVHDI VLVGGSTRIPKVQKMVSDFFNGKEPNRSINPDEAVAYGAAVQAAILSGDTSEKTQDLL LLDVAPLSLGIETAGGVMTALIKRNTTVPTKKSEIFSTYADNQPGVLIQVFEGERART KDNNLLGKFELTGIPPAPRGVPQVEVTFDVDANGILNVSALDKTTGKSNKITITNDKG RLSKEDIEKMVNDAEKYKAEDEAATARISARNGLESYAYNLRNTLSEEKVAAKLEAAD KEKLTAAVDAAIKWLDESQEASKEEYESHLKSLEEVSNPIMTKLYADAQGGAPGGMPG MPGGAPGGFPGGAPGGFPGAGGDAGPTVEEVD PHYBLDRAFT_77414 MNYVVQNMRSIIAISLTLLALASSIHASPNVAVNIGSGHNSDSD SAVLVKEKVRNDVWNEAPRINNDWSDNRHQRNGEYNQGGRDYDRGWGNDYGYGGGSRG HGRYKREADKPKKVWDGVVHENYEEWKKQQQQQEQQEQQGQQQQQKRGIHKRGQNKMA AKARRAAKN PHYBLDRAFT_63484 MRTILLLSIALLSLASSALASPKADPNPQHGRDYYDHGRGGYSH GPPPRRPPPYREPPHRGGGRGRGYDDHYYGGRNEGRRGNYRGGHYRRENLLDEAKNKI EIVADK PHYBLDRAFT_97722 LLFKARMSAFDMSNPETSNDTFRGFYTLFWIGMGIYVIQTVIRC YEQEGIPLSLGFFRLLSKDGLALLVSDLTMVSMTFFSVLFSKLLIWRVIEYERTGWII QHACQALFLFLNINWIFWRDWPWVQSGFFTMHTVVMMMKMHSYTSLNGDLSVKYRRLK QLKAQLPEWIASHQNPTPEDEATLKHMEEEVEFLEEELTHGKTTYPNNVTLANYLDYL IVPSLVYWMEYPRTERIRPWYVFEKTVATLGTFLLLYITTERYILPKLYDPNMSEARV IIELMCPFMINYLFIFYIIFECILNAFAELSRFADRNFYDDWWNSITYDEFARKWNKP VHHWLLRHVYAQSIESLKLTKQNATFVTFLLSSILHELVLVICTRRVRMYLFVLQMLQ LPLIVLGRHPLIRSHRVIGNLFFWLCMLFGPPLLGILY PHYBLDRAFT_183122 MAQLKTFTREEVAKHNTEKDCWIIIDGSVFDVTRFAPMHPGGGQ LLIEFGGKDVTDEFYGLHKHEVITKYTPRLKIGSIVDEKSAIAEANASYISKVPYAES SYLMGLKSPYYNESHTRFLTSIRQTYESIKDESILFEDQGKAPSAEVYNLLGQEGILA SQIGPGPWLKGLKLPGGVKPEEFNYFHELIAHQETARMGTPGYSDGISSGYSIGLPPV LYFGSPALKAKVVPEVLLGKKRICLAITEPYAGSDVARIRTTAKRTADGKHFIVNGVK KWITNGTACDYFTTAVVTEKGITMLFIERNENLETKAIKTSTSPAAGTAYITYENVKV PVENILGKEGQGFQVIMFNFNHERWYICGAMTGASRAVIEECFKWANQRKVFGKRLID QPVIRNKLAGMISQLEAVDNWLENITYQMCSMSYDEQAIKLAGPIALLKYQCTRVAHN ISDDACQIFGGRGITKTGMGRLVESFQRTYKFGAILGGSEEIMADLGIKMAMKQFPKH ARL PHYBLDRAFT_136212 MSSNQESVAVNKLNYGYGGPLILKDLSLHLTAGSRCILVGANGT GKTTLLRILAGKRMVEGDVRVLGKSAFIDGPANVTYLGTEWANNPVVRADLTVEYLLK SMGSNRWPERTKTLLDVLEVDTTWRLHQVSDGQRRRVQLVMGLLHPWKLLLLDEVTVD LDVWIRAEFLSFLKRETEERGATIIYATHIFDGLGEWPTHLAHVNEGTILSLNQMNDF KELEEIKAYYREHQLLDSPLMGLCYRWLRQDKMVRKSELPIDPATGLPHTRWDDLSEE MKKYGDKYYNYWK PHYBLDRAFT_37967 MRSTRVSAEAFDETLKKELNGTNKVFVLFFGTELPETDESWCPD CVIADPLVRKALLPVENSVLIEAAVGSRAEWRNASSNPYRSRTDFTIPAIPTLYKWTT SGAGERLVEEECADIEKLNAFVNSA PHYBLDRAFT_160068 MFILSSVFFWIGFLAVIPYLALVLYAAYACKEQNLKKKYNASWA LVTGGSSGIGAAIVRKFASQGLNVVVAALENPLMDSFKVAIQEEFPERKFRFVGCDLS DSEGQSYLSAIEKATDDIPIQILCNNAGFITTGAFADTAFKRNMANYHTNVTAPLVLT HHFVNRMLDKGQRGLVTFTSSSAGFIPNPMSALYSSTKIFLTTFAASLAAENAEDGID VLVVHPSPINSNFYDNAGKMSTLLSAQKMSSPPSVIADTICCNAGKVVVSDQGPTTVI MKIIMTKVLDWNVLTEVMKLGLRYSGDYKAMKVRREGKAA PHYBLDRAFT_117309 MQALGSNLGHPQTRNPDPVRARTPQDATLSMRSQLLEEFRNSKS KKYELKDIAGHVVEFSGDQYGSRFIQQKLEIANSEEKQMVFEEVLPNALQLMTDVFGN YVLQKFFEHGNQMQKTILAKQMEGHVLSLSLQMYGCRVVQKALEHVLTEQQAKIVGEL NGCVLKCIKDQNGNHVIQKAIERVPAQHIQFIMDAFHGQVYNLATHPYGCRVIQRMFE HCTSMQTGPLLEELHRCTGQLVQDQYGNYVIQHILERGRPEDKKVVIEKIRGQILQLS KHKFASNVVEKCVDYGTKRDRQLLIEEVLQNKPDGTYPLVAMMKDQYANYVVQKMLDV VDKDQRELLVNKIKPHLQSLKKYTYGKHLIQSKFDARILMIFFKATLY PHYBLDRAFT_173038 MMSLFITMATYGLFLVSVPLVASSTCIRTCLIPKILYKVPIYHL TANSTVICVGFATAYIYCILGFIIDLFESTCSFSPFGSPRVNASRTLGPVGFTTRLSL AQKELTRLFPGYAICSLLIWANTNQYDTLRDGFLFLQTIFLILLPWGLSCQSQAIQKS FQIAFRCCLFWGLLYAVMPRDTNYHIVELTRLLKHYRAVSHRSLGDAYLI PHYBLDRAFT_188611 MNHRQKWIDNVQKSIGGCTNTIQQVVDSIDQLQSVSGKIHLPQS KGVVLCGKPGTGKTALAITIAKESQLPYYIVNSPDMFMTEEGASEAKLKGLFDTALQH RLSILILDEIDMLAGTLDHKKTGLDSRLGSLLLSLIDKINQPNDDHLVYIIGITSRLH AVDPCFLRSGRLDKVEEIVIKDAKQRYEILLVLTQRLPFESQQDKTNILTRVSRATHG FVPSDLQSLCMQVVLQAVKEQSTGQEPACVTTHHFDNVLSFIHPSNFNEYTTKIPKVT FADMYGIDSIIEEIKVSVIHPFYHPEEYIKLGITPPRGILLHGPPGVGKTMLCSALAS EAGVNFMLVESSQVRSKVVGESEKNLAKLFAHARSNAPCILFIDQIDMLLPKRGTSQS SENTSDRIVTGFLTEMDGLLTKSNGPNAHIDVLVVAATNRIETIDPAVLRPGRFDECI AIPMPNQKQRCDIIRGISNKMPIVLNGQELLYLAQSTEGFSCAEIDNLLRESAMVCLR ENVNNEKITFSHIQAAQNRK PHYBLDRAFT_97808 LSHNESIAAACGIVSTSSILQYQNVPPKNKNNNPVFETARGYLK VKPRRNIPEAPVKILDSPYMVDDYYLNLLGWSCHNVVAVALERSVYLWNAETGTANVL DEDPKHMVTSVSWSADGTLLAVGDETGETQIWDVYRSELLRSMGGPTCRVGVLAWNKC TVSSGAYNGVIYNHDVRIEKHNISEFKGHDEEVCGLEWRSDGEYIASGGNDNILNVWD PRQHRNSIMSRQEHKSAVKAIAWCPWNLPLLATGGGRDDKKIHFWDATKNTKLNTINA GSQITSLRWSHHYKEIVSTHGLPNNNLTIWEYPTCNKIADIHAHESRILHSALSPDGE LLATSSADENLKFWNVFK PHYBLDRAFT_136225 MATSLPTPEPLKVVQIDGLVVLKIIKHCRESYPTDVTGQLLGLD DKGLLEVTNCFPFPLDGDDDTSAQYQLDMMRCLRAVNVDNNTVGWYRSAHLGNFVDAN LIETQYSYQNSLSANSVVIIHDVSKSAAQSNLSLRAFRLQDAFMKAYEAKKFTTESLA QAKLSFSNIFEELPVKVHNSHLVTLMLHNLEMPTLDSSRLRTLSTFASSQKEQSVADE ARPLAPNFDVLDLELDPFMQKNLEYLLDCSDLQQQEQNNYQYWQRSMAREQTKMQTWL TKRKQENVHRAQQGKPLLPEDEVNTLFKLPAEPSRLESMILSAQMHNFTKQLNQFAGP SLARLYSIQELQK PHYBLDRAFT_127459 MSIAAKLAQFGKQHIARGIGRSTELVISKGKGTYVWCVEGKKYL DLTTGIGVTNTGHCHPTVVKAVQEQAANLSHGQVNIFFQKPMLDLIEGLKNRMPSPKL DTFFFWNSGSEAVEAAIKLARQATKKQNIIVFQGSYHGRTFGTMALTTSKTTYSAGFG PLMPGVHVAPYPYFQQWAAHKADPTKFTPEWCGEEALRQLEILLKQRTSPEDTAAILI EPIQGEGGYVVPPKGYMKGLRALCDKHNILLICDEVQSGFGRTGKMFAVEHTGVVPDI LVMAKGIASGYPLSGIVANKALMDLQPPGSMGGTYSGNAISCAAANATLRVFDDEKLL ENCNARSEQFFSALRAKIPAALPKGVTVDVRGEGLMIGIEFMGVPYGFANSVAQEALK LDTIVLTTSIYETLRLIPPLNITKEETDLAIDRVVNSIAAASKAVKA PHYBLDRAFT_150220 MRKILSVIGTTGVGKSNLAVDLCRTLRGQAINSDAMQASQVYKG LDIITNKMPLEERHGVTHHLMDFLDPEDEYKVTEFKRDATQCIEKLSNENQLPVLVGG TNYYMQSLLWRNTLIKETNGESDEEEDRSRSRSPSPEIVPELEVLETPELYDRLKQVD PIMATKWHVSDRRKIMRSLQIFHATGKPQSEIIKEQKAQKETHGAQPRFKALVFWLYA DPVALYPRLDERVDKMIETGLFEEIKDLRRRVVAGSITLPGQELEKYQRGLWQAIGYK EFDPYFTAVEENTLEEPDTSPKFKLSELKKIKDECTERMKAATRRYARRQVQWIRNKF LPSVFDSKGDVLVYLLDAGDLDAWNTNVQQKAIDVARAFLEDRPMPDPASFGDVAAKM LSKSDAEDTHARVLNWKKHVCPVCITNKGEVAIMNGDLEWEQHKKSRWHRKSSKRKRM EELTANKPPL PHYBLDRAFT_188615 MEKLLQWAVNNTDQEDLQRKAEAVRRGELKVDPKRYDPAIIEAI LGKDDATRMKEAVACINDKEDTLKNKEIALDNLELLIEGIDNAMNIENMKLWPSIIAQ FSAEEPSLRKGAIWVAGTAVQNNPAAQKALLKNNGLEPLVAILKKKDEDKEVRSKAIY AISCFLKHFKEGVEGFESLDGFSALAGILKNEQDPSILRKVVFSFNSLMLENPQLPNI LLAHNIPEVLDGVLVKYTEEGDEDMVEKTLRTLHTLLTHSKTSPTTDIKKHIEAARTK FGAEYLGLDDAEWTELLK PHYBLDRAFT_173045 MGIVNSKDKNQLTIDHGSLVSHNAHCDIWDVKSVKKLILQGRLA PFYKGNLMPCNSILNNAGLSELPSLPASSSALVRRLYEDNVECPICLLYYPMSINTSR CCSKPICTECFLQLSQRQISPLLPIACPFCVQPKYGVIHRPAKWSIHYQSFFKRRADL IQGSEETWHRLGMDDPDVVFIDQVKSTIDQPSATYCSTSGTTRRTIVRPDQRPNINLP PESCPVFIPPDREEVAVMEALRSWRATLNNTEFRPCSI PHYBLDRAFT_173046 MSSPLLVVDVSVFSSAIYVFDITDVYEPAEERGLVVHDYRYNRW KKEKDIISAKPHFPKEGEVGFYGEIDEHRKCEDKVDTSFRHKGLEEDTGPEHAGTMN PHYBLDRAFT_63468 MTTLVFIFMVFILHFYDPITYFIVGCFYFNIIGMFSVKIFSSCG VTGQEIIQKALFTSLFSSSARNMASRKVWLTKSVKRLSLIEKHIPSRFTAPVISAVRS ILIYLEGNLFICFELVYQ PHYBLDRAFT_63467 MSHLYKNLTLDSSDSDEDSVYESAVEDVLDNVNSNVQLKKRSEK NEKPKAKARRGRPFRVGMSNRERIQPSIPKPITRVEPLEESEPLKFSFFSEKTGGTIL SASDVGFSDSEESYDYPTNSSTTKPDKHKSIIFNIKQEQKEEHKQEKDEEYELEESDN DVEKSIKNKPLSSESKRRRSTTPTGNLMYLDAQDPKKRIREDNQLPIPVPYNPEISEN TSNDSSKLSTKLLSQTSIGESSDSNITSNHPTSSNLSSNSRSLPASFLSGAKKTIKVP SKHSFYSDDEDVELKEIDLFPMRSTPSDACKVLSRRSMDSSGYRAFWTPEEEIALEEG LKIHGAGNWVEIKAEFPEALLNRNPTQLKDKVRTEHLKRKRLGLPLGIYKATGRKKQ PHYBLDRAFT_173048 MRPASIHSRSSSSSSSSAFSFGNEEKPISPSGSSGSSAFFSKLT STVRDTRSKVEAKKTELNATMQEKLPEWKSRSVMYGNIARETGIEWGRRGKVAVERWK KEPLTSPSTPHLEHSVFGLSLSLAVSRTRIDPEDPVPAVFRRCVEYVDKHGIMEVGIY RISGSTSTVNGLRTLFEDGSDVDLEVTQPDPHAVGALLKMYLRELPDPIIPTDLAHEY SQVISEAIRTQEDVGKDTLSLTPALLKTMRNMTASLPRDSFHLLRLLCAHLRRISAQA ATNRMTTSNLALIFIPTLGIGRHLFYCMVENPSLWDTSSSEIPPTPSTPPTPSSPSTP AGPVLTPPPLPQKPLHIKLHCSPSATTKPLPISTNPPPKPSRSPHHTKKGSPPISCSP PDRVWKRTHGTKVNAIGRQFETLMHN PHYBLDRAFT_117165 MIQYATKKGDIELVKKIYDSTQVPFKRLDKHSYFRSTQTIDNAM MIAYSRSKNFPMAKQFYNKIRQQTTFPNADAYGAYLVCVCENTADEFAESMNIYEEAK LNGVRPTVYFYNVIISKLAKCRKGDTALSLFDEMKNFGITPNSITYASVVSACVRCSF ETSAVKYFNEMLRSPNYQPRIGVFNSMIQFYVQQHPNREKALEYMRLLNQNMLKPTAH TYRLLIEAYANIPAYDMITAHGFIAEMQKHGVQPNASHYATLIQSYGCLHRDVSSAMA VYNEMKKAGVPADNTVHQVLLSTFIENNEVSRAEEYYQNMLASGKSSSPYIENLFITC YGEQKQLDKAEAVFGKMVDHAAGSKSVVREPSTYIAMVNAYQANNARDKAQEVVEQMR ARQLPVKLIKRVQELI PHYBLDRAFT_156320 MSVSSQTRRFIRTSCSQNTETESNLPVPSRQRQSRKDEAIRKKI EQELSRKKSGLPRARQTKKVAGTVSCLQPSQALTVKETMTVIEAAQLMAAKRCDCVLV VDEEERLSGLFTAKDITYRVVGEDKDPRAILVSEITTRNPMCVTSDTSAQDALQLMVS RGFRHLPVCNDEGDVFGLLDITQCLNESLDKLERSFSPPCKPIDTLSQEAADGNGSPS PLSPQPTNALAESGRPKRICSDLASVMTPSVPVQVSAKTHVDTVAKLMKEYQTTACVV VDSRGLAGIITSKDIVLRVIAAGLIPADCSVVRVMTPRPDIASSSLSLIEGLKKMKYG NYLHLPVIDGENTTVGLVDVLGLTGAVLEQIHTAVEGTEVPKFWRDRVSPLAALSTRT RIEGPTETSAVSDGIPQSGRSPMSPMPTAIVPLEPSRSYQSAYASVFQVSDLNYDLHS DLHSDLHSTVSSNTQGTFLFKFEWDSNVYRFRSGGANLSAIYDNVFRKISDGVPVEGL TLAYLDDENDKVLMTHDSDLVEAIVLGQKLGLDRVRLFVNYPRATNTNMNTNTDEVKS NDSVDCGALGLDKTELATHGRPSQPHDIKLNGVSSQQQQQQQQQQQQQQQQQQEQQQD KEHVTHKNCTTTGFVTQELFLPTAIMFLGFVILGVFSMVKVSTVHGRLR PHYBLDRAFT_188617 MFTPRNNQKSLPSIEHILTPATSPLTNSPLSPPATFPSPQKAIH SVRKASIASLLNSDPELRRLDEEENLSNYQSHFSNPVLLSIKRGRPCNSVDQPLKKRK SPPSSLDLPRATKGLRHFSKQVCDKVEAKGVTTYNEVADQLAKDIQALNPGDEHVYDQ KNIRRRVYDALNVLMAMNIITKNKKEIKWLGIPSSLKSSSEAGDRAKQLQQQIEQEEL LQAELISRKQQTTRQLTNKVGQYVKIRQLIHRNQKSPPPDQSIISELPINLTTGYNNE ISCEGKMSKYPIYDILDSIDLPFSSHPAIWLPDQSWYKYLPEPTPSVLSPVSLVS PHYBLDRAFT_178183 MTTNLRIACVMVGLPARGKTYIAQKVCRYLTWLGINTKVFNVGN YRRQLHGANLCHTFFDPHNEVGQQQRREAADAALHDMIRWFNEDQGIVAIYDATNSTH ERRQWIHDKLAKEDTQVFFIESICQDEELIVANIKDVKLSSPDYANVDPALAADDFKA RIEHYKEQYETITEKDFTYIKLINVGSQVVINLVKGYLESRIVYYLMNLHIVPRKIYM SRHGESAYNLSGKLGGDSYLSPRGEMYAIKLPDLINEHLGNKPLTVWTSTMKRTIQTA EGLMYPKLQWKALDELDAGVCDGMTYEEIEEKYPEDYANRDEDKFNYRYRGGESYRDV VLRLEPVIMDLERQENILIIGHQAILRCIYAYFMNHSHEDLPYLKIPLHTLIELTPKA YGCEEKRFKVDIEAVDTHRAKPTARANSIRKKPAGDTSSIEGKKGGLKLKLPPVGASG EIIKEPMSPLIPISPKLFPQPKGAKSSLLNSPIEPDMSTFNLEDKN PHYBLDRAFT_188619 MMPPMPHEQVMVAEKHEALRSTLADLGNDKMIKALDDLRMILQM IMQDCSRANIEAGKTWSFEYCCHPARAEALIDFILALSLSKPTFEERLHLLYLINDVL FHCIRRQLPWMKELILHRLVPLLRTVYHCPGANEMTKAKVNKVMTIWGDKNFFAPNII HSIRENVYIPPPPHMPVLGPPPGMPGMMPGFRPPFVPPGAIGPMGMPPMEGSNPAKMP TQMPPHLGVPPRPHPSRGMGVGVGGGSYLPPTSAISTPIPTPGLGPGPGLGLGLGSIP TSGKSSNPTTAPAPPPIPASPPKRYYELPAGWMVTAHKNTAEPYTPIDPVAIQQHHQQ SQKNPSIANYLKAPLEDFYDGLDLNDHSDTFEENTDGRVDRNGWEPDYL PHYBLDRAFT_188620 MDGSLTISKDFTSELKHGLHQDMGAMGKKRKASEKEEVVQGIRI ATADAQEDIQTNEVQVEEEVRINTKEILKREGTNIGHRVVVTVVVDLLAEVVAEVVAI VTVVAEAVATADLPGEVAAEATAVAAVTAAVAVAVAVAVVVAVVVLVAVEAIVAVVAV AVVRAVAEVIAVVERAGPTQVDAQCLQWDSGNFNHIRILKDSIVIHPHRSTVRWKRKQ VRGGGYHIDSNLQKKSQFGKITDLIGMGDTSKLSAKIASRLVTRQENVKAVGLLSILP VKEQSTIVLSHTLFQRTKGL PHYBLDRAFT_86588 ITFLGTGSAQPSATRNHQAMALRLNGDIWMFDCGEATQHQLQKS KLKMGRITRIFITHMHGDHCFGLAPLLCSMTENLNSGLPRANDPPIEIYGPRPLRHWL RTSLKSTYSHLGRPYRVHELLLDSDTPDTIVGVPIKHSIPSLGFLVQEPEFPGQLDIK TLTPILQRNSEALKAQGYKNPLAILGQLQRTLKPVTLPDGAILMPPVARPGRQIIILG DTSDASPIISLCQNKPHLLVHEATNALTIEEKALSHGHSTPQMAGKLAQQMGAKKMIL THFSVRY PHYBLDRAFT_173056 MPNNTSRLLGLDLPAFYQFGISDKSDPPMEREYKVTEASIGGDH FAAIFFGSTIWNNTVGYQSLEDNGIFRSSIKYRVQDRQVVGGFAESIWVVYTVIFFVL AVILLGRIRSSWLLVPFILFAILADTELILKLVQGHPDEDNALDTATRSSTIHRLPIL SVLLFDGIIISQLVFINHLAIAFSQRTRWASLRYFEPSEKSLIEPNMSVITKDDLTPS PVRPWVYWLNLVIVFLYVVITVVYLGFEILERTADISYALVVPICITGMAVLAVTNAL VVWGSNQFRSARHIRVLRQNHRNLSYLLLSPILFSVTLTTTATLSWVIYFAAFVNVTS DTPALLPITSTVWFILEGLLIYLPLFGILLMCFFVQKLDLLCIYQPKLSPSSSLTARK PVMTTPRKDSIQKDGEDGFVRLSLENNFPLSVPPAAAPHHHGMRT PHYBLDRAFT_150233 MDTREKTEYTSTEVYSSHSEEQIIQNTEISSLRDVQDAASTKTG STLQNNTPEDTKKTLSVGWTLVLFAGLAIAMFMVSLNSTIVAPAMNIIAADLDGLSSQ TWIATAYMVAFNASQPLAAKFSDIFGRKPVILFGGVLFFIGSIVNALAKNMNTLIPGR TVQGFGGGCVMAMAYIIVTDMGPVEMRPRLQASLAVIYGLASVVGPLIGGAFVDKLNW HWDFWLNVILSAISMIIIFFLLSESTKVENSSFIQKMKRIDWFGSLFTTSFICCLLLA LNWGPVYGWGDAHSIGPFVAAGVSLILLVGVEGFYAAEPIMPGRVVLNPAVAILYLCV ACLGVGFIGTLYFGPVLFQAVFGASSTASGIRLIPYMALLIVASVGGSIAIAKFPYPK FYIVLGAAFNVLGYGLFYTIKEHDSWGKQAGYLTLCGFAFGLSQQNIIISVQALVEKR DMAVATSLNNFFLMLASSVGVAVYQTLLKRFLTSEFAKVAPEILGIAVKFDALANYLA IRDMPVEAQAPIIHAYWAALNKVFILPMGAGAVGFICSLLVRNYRFGAPTSQADNENF AELASAKNRDSITESGPSEKI PHYBLDRAFT_150234 MNFDSTFSDYRVVVGIDFGTTYSGAAYSIISSDNEVCDIVAWPK QSAHRYPKAPTMSLYDIETKELTFWGNAARQERSKSNLTKKYLLLQQFKLYLDEGLEG VLKKPPGNLDPVVVIADYLRKFHEHVQSEMGRGYAQTLGSRYRYCLTVPAMWTDGAKK KMREAAILSGIINQDDDHDRLMLISEPEAAAIYCENTCEQFDMRDGDEFMICDAGGGT VDLIVFTVKMDANGNRRFKESTKGTGKSCGSMFIDKNMKKLLKMKLKKVVTTIPAKAM DTMMEHFIDIIKPSFDGSEDHSLQVPMNLGLVQSSNDSIGLSEGQLIFTVDELKQLVF EPVVRDVIGLIHQQKSQTKNLRAIFTVGGFGASNYLYHRIDQEFRPEEILVIKPNRPE MAVCRGAVYFGLNPNKVTTRVSRFWYGIDITNTFVDGVDPEEYRIRKSDGTYRCDHRF STYVRRGEPINTDEPVSRNYTTLYPRHTVCTMFASSNENEPRYTKEAGVKKIFDFQIP MPELPGARDGDIIDLNIKANVELKVEASIRDISFQVICNFGA PHYBLDRAFT_150235 MVTPNRASLLRKGLYTPTTPTSLPLGKTYNEDSPFYHDHAMSFT PLSQNRDHTQAIRHTRMRNKTQMPFTKDCLPVQGTTTNESLFESPKHRNNSHGYSRNQ IIFSPAYSHISTPDALHSDRTYDTSLLSPNRTPTNAEQLEECTTPRLNYRYNRTDLIS RLIDEDIRLERIEKNIQAIGEMASSISRESHKAISVFKCIRSSPIDKDILENHRDISL PDPFLSRPFLNNQTPILENTPEIRTMRSITIKDSTNKASSSYEHINNQNKEETSAESV ALQRTKVNNIYINDLLSQQLANRGSINHTPLINHLYAKITSQKNYVHQPSSEEYNLSA QNENQHHRKYALKRNSRARHNRPTPYARQTRKENYEESGHIRTLKEELDLAQEKDNKI RLEKQYRDIKNKCFSLEEKEFGQYKLDEEDPILVDLLSTDIIWKDNIGHISGDEWTFA GKHYLLHEK PHYBLDRAFT_160077 MGKPSVATVYGPVVFGKVPCMFYKETEDVNEPILVSTGMFMNTD VKRIVAKRIVLSGHPFKVNKKSAVVRYMFFNPEDVHWFKPVQLQTKYGRVGHIRESLG THGYMKCIFDGPLTQQDTVMMPLYKRIYPKWNTAIWTGGLDKTIKEAGESKAMVIDMD PHYBLDRAFT_188623 MAALFRSSVTATKFARSFSVSAARSDLNKIQLIGRIGADPTVHE ISENSKVVNYTVATSETRPDKEGNLIKRTQWHRVSAWKGSDWIVEKVKKGDLVYVEGK LRYSDYTDREGIQRTKAEINQTTLKVLTQGRGHGEEEIEE PHYBLDRAFT_74123 MSSLIAAQCFLVHVFFSVFYAQRPFHCSHGEVLHVKFMYQCPVS SAVLLLSTSTFLNPTQLSASVQCPVPSCFLAQLLTTILLMVPSYHVWSTECVQSMLME RCTENQLSILHPSQLTAR PHYBLDRAFT_183138 MVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSP DFVLWGMSRLSQKELDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVM VNLMPCRVGRNEGC PHYBLDRAFT_63450 MFDNCNQIGRECISIEEHKRAVVESIGANSSATIVEVTEHLLRR FSDLKVSHSTVYNFMRCECNLSIKKGYFNSIERDSPAKIEERYDWVCKGENTDINFLT NCGFLDKFAFDISLERSGAWPKKKGARCYCYQAYYKNKHSINIGCYFCRRFDHRRRKE KPRPVKNRNFDGYISSGTVIGHYISFLKSTLDEMYKNPHIKGGYIVIILSNEDIRVYI FLLILLKLIQLKNFEQ PHYBLDRAFT_63449 MAVSSNTSDGCNHYCSFIAIPHFMSLSYVLFHIGILFVQFMHHK LVGPLQLVVRDIASGAKLSDREKSALQQSVLSASINVLVIVAERVGKVGREVKMIQFI GDDGVVTCKNAEQTLSNDFSPRCCRLP PHYBLDRAFT_173065 MRIDQSIQYENRDQLSRPSPSCIHYGCSLFMICSDVGLFGEAYI REQKQRFCSFLIISSNNLVVFSSDYSVIDFRTHPTKTQSGPRLANSFRKADVVSLLTA FNMLAIHTCRRFSSKISVCNQFTESPKVFSRLRTGNSVVIVIIDFVFALVVADVTRSV VVIANTTDDKLLLLMPLIYWLLTIWGTLNALDLGRRHLSFTGPQIVTYFQAWNNYIWS LRIHYY PHYBLDRAFT_136235 MTKLHIFQRVANVLVYLFFLSATVYSVVAPPPDDEVGHEGLTYI TPSFWVSSIWTVIHFLLGGFVIYQWFEPAHAAALHGVGWHFVISVLLSAGWLALLKSG HFIIGFIFVLLTASSVSFVFYNLEKNYPTSSIYDKLFIHAPFSLWHGWIVFSAVVNLF QAFTGLKEDGPTVFLRILVIFGILFLTSTAVGYVEYKKTKGDLTGAFVIGLGLVAIFT NQSDPWIHWTALGAAIITFIYPARPYVFKLVGRDTDAENSPLLG PHYBLDRAFT_19284 MQARSQLNSSNNTNFVISQSDLNQKIRVCVRKRPLNKKELERSE KDIAPTAGARSININEPKMKVDLTRYIEQHCFTFDDVFDCDAANEQIYQRTAQPLVKY IFEGGRATCFAYGQTGSGKTYTMLDPKLGLYVLAARDVFSLLRQPQYQHLTAWIGFYE IYQGQLYDLLNSRKKLFAREDGKHNVVIVGLKEYVIKNVEDLMQVFEYGSQARSTGST GANSDSSRSHAVLQILLRPEKSRKKIAGKLSFIDLAGSERGADRGDADVKTRMEGAEI NKSLLALKECIRALDQDKRHTPFRQSKLTQVLKDSFVGNSRTCMIATISPNQSN PHYBLDRAFT_160079 MSRETSSHSLHRSHSSASLNDFMVPLSKPASAPPLPQSSQPQQQ QQQQQQQQQQQIVDLADESVLFDPRAIDDFIKVHRAQIREVTECSKRETKLLANFSLG MSSRKEMDDSGSRNSLMDDLKTSSEFIDYLDNLDEVLEMKMAAIEALRDRIRSVLGEE EL PHYBLDRAFT_173068 MSSQKQSLSQAQVFKYHFIAGAVGLSLSYSCMHPLDTIKTRIQA DPLNGWKSIFTRQTAQSLGKGFFVSALGAAGQGGARFSTYEFCKSYLLPTKDNGNTKL IPWLGNTPATALSAVIGDLASSVIKVPREVITAKLQTDHYNNILKGERATAGFVVRQT LLEEGPRGLFKGFWAIAARDSPFMIILLVSYENFKAFHHRSVRDNWLSAPRKAYDPVV ANAPFEELEADIPTFRSIMYGGISGFLAGYFTTPMDVLRTRMITQRATPNRQSATVTD VAKSMINRVMTQYKTPGQRAVQMSQAFFVGAIPRSFWWFGICGIFFPTYETLKSVMVP Q PHYBLDRAFT_173069 MLKEGAMSDGVSSRPLGLRGSKGRLYSLQNDRALGSFDFHFLKK NGRIPYNLKQLMFLQIYPTLGLNFLLLKQQKCHGLLFFERYRYSRFLCPNDDYCECFD HIFKFYAILCFL PHYBLDRAFT_160080 MSDKSSVKTRDPTLVKVYGYPKDLYSKVLNEFLKCGEVESHSQE GSVLFIRYKTSEAASQALRHHGDIMFDSCVIGAVPAEQAIDTKETEIEQPTTNQVAET TVTEPKVIETIDNSISSNVSHSGIQIHPPGPIEKAKDLLLTW PHYBLDRAFT_63442 MFTRVPIMDNDGEAYTIRMFDKLCELVKCLQRQLTKVQILLIGF RPFIPFKKASFEDNIAKEITFHFKHQEAYSLVKITSPRKNTVLNKGKVDSTNNSMTET TGTCKTNRSDGGTLLNLIITQPASILNPVISSVIGVFIFQLQYSLIAISPNDYGFGVK VMSTSKLAVMFLSTNNGCLNINNSLTQTTGSYVIRIEPENWKAIGLIGYSYDNHINKS LDQKAW PHYBLDRAFT_19436 MQEVSTPVAIFKALTEFVRQSTAPTMSEFMQTLENASRLIREDS ATNASSRRSLDLFMRFVTRNSHDFSLSEEARSFEDFKGNLLSRAALILDKASMARERA AEVGAQFVRDNAVILVQAYSRVIMSVLYHAANVQNKRFKVYVAEGRPNSDGIQAVDAL RAAGIPCRAVLDSAVGYIMDKVDMVFVGAEGVVENGGVINQIGTYQIALVANALSKPV YAVAESYKFVRVYPLNQYDLPSETPDIVSFASRRKSFSTSGPTGVDEIAASNPSVDYT PPQYLTLLLTDLGVLTPSGVSDELIKLYL PHYBLDRAFT_173073 MTSADADIPPEGSAPTEQDTMLDALCVLNLPDINTPALSHESSL EEFLDGFDAMAAEMNRWLETAHLTVFTIEQQVKQDIGHDTVRLEQTIDSVQPSIESLG DLVEVMQLKQDIPGLIERKRSVRVSITKLQSEWSGLQHFLSSVKKTIKDSTDRKDLLL LMETILLQVNDLSTLIFQFQERRHATAILYLPHEHETTDDGLMSSSSSVSSSSEQSRD YLQQSQQQQQQQQQRDDAILVEIDSKVVPLFHEVERVYGRMTSINNVDPNGVLSRKHR VVQERWESLRIEIDDLKYDLKEDRWLSVFSRVANQVESLIHKLEKTVVNCYAMIRQAR DWQAAQAVLAMQTQPTKGILKTPKTDQQGTSVSSTSSSGSANGLVPVDYNKFRAVEKA FENKFKNSSSTINRMMASLGDGISKRPIPNASVVERHEAALNQWNQLKITMDDLRLRD LPDTERLLMFERPVSPAWSRFSDLSDKSQTSWKDMRYRSPEPNHNELFDYHRSGSATE VRSRSPYSYLSKRNPSPTYQPPYEEVRRGRSATPNSGTGRDSAMWRLANSNPSPVFGR TQQRTASPLSHARDPPMHLVSSALRPSASDSSSVGSPARRQTKSPVGSRVSTIPNSTS LWPIPSPTKSNHRQDSRPRSSLVPVRRAGTPSMIPRAKTPLGDRSASPCMIPRPRSSM ARYPSGRPGQAQVVSPDHSPSHSSYLSVPDSSSHGPRVLHKKHSTPALMQRSASPFRQ RQPAHTRYSSDEDERPMEIAFRDYPSYTPNLKDPLDVEVATIVNGSPIAIKCQRGPHG GGYYFGNELNPSLGGGKKLYTCKLMNYADRDRRNATGKMARNKVLVRVGGGWQDLEIF LLEHSNLMASDVVVRSFVHNNSSRSVWR PHYBLDRAFT_37943 MPHAREHSVETIRRNSEVMGNLRKLMVVNRGEIAIRVFRTAHEL SMRTVAIFSHEDRLSMHRYKADESYQIGQLGEFTPVAAYLAQDEIVRIAKERGVSMIH PGYGFLSENSEFARKVEAAGMTFIGPSPTVIESLGDKTKARQIAMDCKVPVVPGTPGP VEKFGDAKAFIQEYGFPIIIKAAMGGGGRGMRVVREESELEDAFSRARSEALSAFGDG TVFIERFLDKPRHIEVQLLADRAGNVVHLFERDCSVQRRHQKVVEIAPAKNLDNACRE AILNDAIKIAKAVKYKNAGTAEFLVDSQNRHYFIEINPRIQVEHTITEEITGIDIVAA QIQIAAGALLPQLGLTQQRIRQRGFAIQCRVTTEDPEKNFQPDTGKIEVYRSSGGNGV RLDGGAGYAGAIITPHYDSLLVKVTCSGSTYEVARRKIVRALVEFRIRGVKTNIPFLQ RLLTHDTFMTGNCWTTFIDDTPDLFRLVQYQNRAQRLLGYLGDVVINGSQVKGQVGEP SFKQEIELPTLKSNSGNKVDVSVAPTEGWRKIIVEEGPAAFAKAVRAYPGVLIMDTTW RDAHQSLLATRVRTVDLLRVAPATSHALSNAFALECWGGATFDVAMRFLFEDPWDRLM QLRAAVPNIPFQMLLRGANAVGYTSYPDNVVYEFCDKAVKAGMDVFRIFDSLNYVDNM KLGIDAVKKAGGVVEATICYTGDVSNPKKTKYDIAYYLDLTQQLVNEGIHILSIKDMA GLLKPEAAKLLVSKIRERFPDLPIHVHTHDTAGTGVASMMAAAAAGADIVDVAIDSMS GMTSQPAMGAIVAGLEQTHLGTGIRMEDIQALNSYWEQCRLLYSCFEANVKSADSGVY DHEMPGGQYTNLMFQAQQLGLGTQWKQIKKAYQEANMLCGDLVKVTPSSKVVGDFAQF MVSNNLTPEEVTERAASLSFPTSVVEFFQGYLGQPYGGFPEPLRTKIIRDLPRLDGRP GATMPALDLVKLKAELTEKYGKSIRDYDVISAALYPKVFAEYRDTVEQYGDLSVVPTR YFLAKPEIGEEFHVEIEEGKTLIIKLLAVGPINNNGKRDVYFELNGEARVVGILDKNA AVESITREKANLANPGDVPAPMSGVVVEVRAKSGAEIKAGDPICVLSAMKMETVVSAP VAGRIEYVPIQEGDSLSSGDLVARIVKEA PHYBLDRAFT_127474 MGSSAYPQEANEAGSTKSSISSTPTENSPLLPNKVEGETTSKNE FWWLLTNSLPIVGTYLLQNSLQMASIFTLGHLGPTQLAASALASMFASVSAWSVAFGT ATALDTLCSQAWTGAHDKKLLGVHLQRALIILAMLFIPIAVIWWNATRILLALGQEEE LALHAGMFLRVLIIGAPAFIAFEAVKKFLQAQGIMRASTYVLFIAAPLNLIMNYAFVY QEPFNLGFIGAPLATSVSYWVMLLLLLGYIRWFSKASEAWGGWTRECLTGWWPFLRLA IPGVLMVCSEWWAFELAALAASYLGTVDLAAQSIVLTSGSAAYTIPYGISIAASNRVG NALGEGNAYKAKTASKMAMVFAAAFASINSLFFMSTRKHFGYMFTSDPEVVALVASIL PFCAFFQLADGLSGVCGGVIRGLGRQKVAAWINLFSYYVIALPIGAFLTFTAGWRLYG LWTGLSLALFIAALGEIIFLRIINWDAEVERILERVHHEEDEIHEHEEAALHED PHYBLDRAFT_127477 MEALVKDLELKTEKIKLGGGEVARQRHLSRKKMLPRDRINRLLD PQSPFLELSPLAGYELYDDEVAAGGIITGIGRVNGVECMVVANDPTVKGGSYYPITVK KHLRAQEVAKENNLPCIYLVDSGGANLPRQADVFPDREHFGRIFYNQSNMSAQGIPQI AAVMGSCTAGGAYVPAMADESIIVRKQGTIFLAGPPLVKAAIGEDVSAEDLGGADLHC RTSGVTDHYALDDEHALVLARRIVGTLNRVKSPQIALRTPEEPLYSVDEIGGIVGDNL RKPFDSKNIIARIVDGSRFSEFKEHYGTSLVTGFAHIHGHPVGIVANNGILFSESALK GAHFIELCSQRGIPLVFLQNITGFMVGSSAEAGGIAKNGAKLVTAVSCARVPKFTVIT GGSFGAGNYGMCGRAYSPRFLWMWPNARISVMGGEQSANVLAQITRESKAKKGLTWPE EEQEKFMAPIREKYEAEGHPYFSSARMWDDGVINPRDTRTVLGLGLSASMNAPIEKTK FGIFRM PHYBLDRAFT_183146 MGNKISSITAIAGIDSYVSELGDIYYERSIGNARFMKTIRGRHK DGMVVVKIFVKPELNMSLQNYVKRLQEEYDALIDVPNVFMSQRILETERAAYMVRQYF YSSLYDRISTRPFLTLIEKKWIAYQILKGISEAHKKNVYHGDIKTENVLVTSWNWAYI VDFASFKPTYLPEDNPADFSFFFDMSSRRSCYIAPERFYKAGTAIDQKMKNVDFEDNV SELTPEMDIFSVGCVIAELFLEGTSIFSLSQLFKYRSGEYKPDSNLNKIEDKHIRDMI RHMIQIDPTKRYSAKQYLQKWRGKAFPKYFDTFLHEYINSVTENNGSISIQQHSGIDT LSSLTQALPGTIASSKRKTDADEKIEHIHNDFDKITYNVSFKSEPDENLSDPYTKRLS GSSDSSNSSDEEPRFVGLRRRTISDLASTTASAIILPPTLNIPNYEPSNTSAIKPNNS NKTEEHGYLILLSFVCSLIRNTSYPSSKLKALDILLALGENLPDDVKLDRLVPYLMVL LSDETALVRANAIKTLTQVLCMVESISPINARIFPEYILPSVREFATDPDVLVRTTYA SCIALLAETALRFLEMTQLLKNDSAFPLTDMDTEDLDFESAYDSSLNDLHSVIQEQVT VLLIDNESSVKRALLTNITCLCGFFGRQKTNDVLLSHMITYLNDKDWMLRSAFFESIK GVGTFVGSRSLEEYILPLMIQALTDAEEFVVESVLNSLTSLSDLDLFEKMKSWELVGI IAPLICHPSVWIQYGAIGFISSTAKHLPQTDLWCIIYPLLKPFLRSDISEVNETCLLQ NIKTPLPRQVYEQAIIWANKASIRSLFWKPQQDKRNQSGSSSKSTIVGPAMKIRQGSL FSSSLSEDQIQKSEEDEMFIERLRKVGMSVEDERRLGYMRDYIYKVSQSKLSRPKASD DLVRDNGEIFLKNLGVTPMTVFLPDLSQEAHVWNVKSYKTILKAEPERETLPSKLSIP QHIKEDDCKLNRVASQPHVQALLYNSQGNDSDNDNKQSFSPIPSPTEYNFGMDIHNTN ANRKKGSKNKKKYVEDTESANTVSIGASDGVELRPVITTKNKSDPDLENVHGIVRTSA NTLSRGSSVGYGHLQGLLHSVAMDAFSPHIPEFIGDPAVMKRMRRLPQGTSPFRTISN WKPEGTLVAHFTEHTAAINQLAISWDNLLFASCSDDGSVKIWDCSRLERNQTYCIAAA SDNGSVNVFRVDIRNAASGLKFGKCITVREHQLVDEHALVIKHFTSNASNAMAGSKSF LMFATTKGNIYAMDLLTMEIVWKLQNPKSHGVITSMVTDRLHTWLLVGTVRGILTLYD LRFQIPLRSWLHPSKSRISTMMLNHDPKAESKQVIIAAGRNEVSVWDIVDLRCVEVFA VKSGDEKTAGVVMEAYKARNINSAQHTHFPPYFALEVPSDAEIMSQAFTSNEANLAEN SIRAVASPSDCRFIITGGSDRKLRFWDIPRVENSCVILGLEMEEPKPRYSVNTHESIK FHYEFTHVQRNNHGSSASLGARTGFSTTGQTGGNSAVIQQHHLMRNHTDAITDVILTE VPYPMVISGDRDGLNIANPATGCQKLIEIDDERRLRGFYDKRMSQEVSGDALGDEFKG YVFRISGGNDKQGFPMKQGVLLPYRVRLLLSKGHSCYRPRRTGERKRKSVRGCIVGSD LAVLSLVVVKQGEQDIPGLTDVTVPKRLGPKRASKIRKFFNLSKEDDVRKYVIRREVQ PKNAEKKSYTKAPKIQRLVTPLTLQRKRHRTAIKRRRAESSREAEAEYKQLLAKRVKE TKDRKIARRRTSSIQKSASA PHYBLDRAFT_117097 MSSSPITQVPDHPSHQDSNSLQKGNAIPTHLKLLLRGVPMYVKR DSLVSLPESLLVAIFPNGFVLTADKNPAPTDTSGLVGESDFDPKCFSYILEFFSEAAE TFAKENQDHSWEAFSAGLVGAYSRQFDSARYSLLTKQAIVVLREELEYFILPQDKSNP LTSTADIITLKQLKQTAGQLLKADDLVFHSMLQNVKRGNNIVERELVDMLCDCGFTRL DRWFYRELESKKTFITSVSLVKLKVEDKDNIMESAKKLMVFWKKPARKCWWDYTLTKL DNIQVRLWVRRTWILELSFV PHYBLDRAFT_160087 MSANVFVQTTPENQILDLASYISKLRGEEGKEEAYVKEIKALLA SDKKSEVYTKLAKDSSVLLTENDKEVEGAFNLLVVITLSAPENNLAASVQALVDTLSK TESQKTSLKQKILLNLYNALPVTSALRYNAFVGLVDVTAQADELDSLYAQLEHIDRWV KQWGIDIETERNLYSNLSDKLRQAREEKLSLDFLLKKLSTYSQETVSTDCAKEAVTRA VDTENFFAFEDLLQYSAIQKIKGTPEYELLNVFLNGNLSTYKTFAASNPTLVKDSENN LRKMRLLSLASLGSDNLARELTYAEVAKALDISEDEVEMWVIDVIRAGLVEAKLDQLN KNVIVHRSIYRVFGKEQWQQLGARLNTWKDSLNEILAIISNAKLIAGGSQGGSAVVIE DKSVEVATKN PHYBLDRAFT_173082 MKLAFLMVNLFLIARLSCACETNAMFRLCMKTGKAAVDACESNS MTSCGCSAQKQLLACYTECGDSYDMFAQEEGQLRYVKEACDFLGAEIESQNRVDGESG LTKQSQGGTDRRWRAASVNSTSENGNFGMSPIHLDEPRLANSHAEAAAAAVAMNPNLN YKGNRDLEDNIEKNPKASTASLSAYPVMCVSLLSGIVLVFTLLC PHYBLDRAFT_117185 MGLVQTGIILLSAIIRVILRLRAENRIKEMAEDVTDVSVLRDGE WKQNVSSATLVPGDVFIVCEHTKLPCDSVLLCGSVVVNESSLTGEAMPIRKFSVPKDD GLYLPDGPGKSSTLFAGTLVSQTMPSQGKDRVIAVVLRTGITSEKGTLIHKILFPAPI SFIFHEHLKLAISILLIWGAVAFALALYLMGRGNITSWYYGVFTMSQIFSPLLPAAFT INQSVCAARLRTKNILCIDLPRINLSGKVRIFCFDKTGTLTREGLDFYGSARAPLDIT MPFEGREEDPLNMQHILAMGIATCHAVTKVHGQYIGNPVDIESFNAMKWELLPPADPD YLDTLIPPSVKPDVKRAPVHVLQRFEFIHSRASQSVTVLDTSTYRVHVFLKGSFERIK QLSRPQSVPAYYDRTAAHYAREGCYVLALAHRNLGVLGEDITMEEIKTMSREDMESLC NFSGFILFRNKLKDDTTEAIAELKGGDVRTVMITGDTALTGIFIARQCGMISTGQRAI LGDVKNGSVVWTDIDSEKEVDIDQVLEDDFTRIEMKTVELAMTGRAFEFLFEQGVIRK FLLHTRVFARMTPNHKVECVQLHMEKGVTAMCGDGGNDCGALRAAHVGLALSEAEASI VSPFSTGNRSVLQCVELLRQGRSALATSFANYKFLILYGESMAFWELIMFYFTVIAPQ SIWITIDGFITTSMTFAITQAKPAHKLGPSRPTAKPLGPYTLASCLGVIFINFWFIVS R PHYBLDRAFT_63429 MSNTKLNAKRIDVFSEEQQDILKQKGSEIEQLQTSNILLLKKTQ KNEMQMNKIIDNCILDINLLSDPLSANAPVGWAHHISANTLSLFQGDSHWNTLSLDNN SQLLNNKNNSLNYNGSQWDKMTSVVNPDYKDMFDTDQQLGSASLLDSKDRRMFGVMPM KEEIVMVKCTHCERPILGSSFNEHADSCCARTNIPFAGPPTPIPSHYGNPKGFVANEL FSDDEDSDGSVRNRKHRNEVKKHESGRRNDSIGSLEEPETSQFKRALSPNQASEKAKK KTKKEKQKNKVISKPKGPLDLDRQCGVLQGPNSTPCTRSLTCKSHSMGAKRAVEGRSQ PYNELLAAYQKKGVGRPQVGPTGLIQTERPLSTSGIGYMKPRISQIDNSGIPPDVAVN DKQSIDSDEEVECVLRAIQNHCNFPLAQKPVNLYKRKQRAFRVGNILRVAITPKSSGI MGFSDAIDTTMLSHSM PHYBLDRAFT_77384 MQTPVQRQCTLPPISVMEDGLYSRSPYSPLTPSSSHSPTLQTPY SGRAYRAGENTPPTSILPDPYRSHPTYNRSFPPPSPHTSSFAPLTPPQPPLSDLSRQP YIYESDFNNPVGKEVEKIIHHCDGLSETMSLRKDHFKYSYSKVTYDPTMTRPVLDDMI GRANEVLNALLRLRKHQISEEQARTNSYQKKEINYPKYRVHTTTDDWSGGTNQRLSGT QRRRGKRAVFRGKCHSCNISETPEWRRGPDGARTLCNACGLHYAKLTRKQAAVQKLVS QKDNQSRNSGLDLDRPLMMTDSLLETSPID PHYBLDRAFT_63427 MANEPKPYTFKKVHFVAGANLERTTVEQEPNTSTNGNEIADLYK QMTKCNPSISSANDPQSIIYCSDCEMDILVGTYQQHIRGMAHMISRESQPPPDVLELN SANKGFQILRKQGWNYAEGLGVSEQGRRHPIATTFKYDRLCIGHKRSGPKAITHTWHA IERARMGSKSVRQIQKPMSGKVLAEQAQRDARKRAEMIHYMNQ PHYBLDRAFT_173087 MNEAYILYIYQIKNVNQRQTKNEYLVYLTIFQAWFERLIDCAYQ VNELEINQKCNEKLEMVTTGCGTMVPNTRDLEVYYWNNQKTVGKPALDIPKYILYKLQ N PHYBLDRAFT_63426 MYIRYPKSFFIILLLCLTISTQCVAQEVNQIHANHYHTPTPTHT HTTNCQSHRTHSQSHTPSATAAPVRKQETYECISSQNRCFPRVITVVKNLKNTPVEKS RSGHLLCPIVTEKPNLPELTEEMIYDIAIGSTFITFGVFLIVLAFPFFIVTMAICGTI FGGNTTFIIMSYMEPLEGYENPLMMYTSICFGVGLLFGVAAVVFWKVALFGLGFTAGF VLAVYVWTFSFDFIITDTNARLFASISVGMLGGVGIVLIEFLAVILSTTFLGSYLVML GVDIIVNFGMVSGPCQVFNYNTHLEEKIMSGLYVEYFPSDTIYEMLGGMFGLWLLGAF LGIKLNQGSRFGLQLKKNPILDLDIS PHYBLDRAFT_188637 MTVSKTEIKQQLATYVPNEKERLVIRSAMFSLITFATVGAASLG MSARMWSKSRTPVGKRSGIPTILGFFTGLTLGGALGMNKGMQTLRASLPSDSGLLTLI HEHDEIKQQEINQALLQNSDDTISSGLSIDNDNDPLPTLDILESPVASNDTLLLAAPS DE PHYBLDRAFT_127487 MAFRAVTDQLKTKWRRQSSGKLATLNQEPDTRTPVPPSPTQPPT TTREPKKPDRKSVPAKEYPPSPDTLLSGIGDYLFLDPLGHGKFSKVVLAYHYLTGEKY AVKIIDKRVHDYRVMSRLVREINLMEIIDHPNVVHLYETYETADSLYLVMEYVPGLNL DEYLQKHNGALQEEDARNIFRQMVAAVEYCHSRWVVHRDLKAPNVLLTPHGEVKLADF GLGNRFGLQRLKTICGNDDYYYYPEIISGQKYVGPEIDCWCLGVTLFRMTAGFEPFAH AHTVGELRKDVVHGNYPMPSHLSPGLQKTIRKCLSVDRRKRVAL PHYBLDRAFT_173092 MAGAFKWHSCSTKDFPLKRNKVTKACNACRTKKMRCDGITCLSE PRCARCTEHSLLCHYDEKTRRNSSPKKPDLLKRDGNHVATVEHDYKTQNLKNITFSNH YYTHLSAKTTNRPFLYITRLPPLLFGFFDMSSQPHTVWAGFLDLFTQYLQASPTQPGF SRLAKDLSLEILSLFSNYNLLYSPCIEISLINTVINSIQNPLDPSVAPTKGVEIVLTF SVFALVFQAANQALCSRYQKLSLEIERLAHIFYSEAHRRLLSAAFPFQPLGTDRDSLI VLIQSAILLTHYQCTTVCEEQAFITLQIGATFAQRCSFQDLIRQQKTDLIEKEKITLL FKLLHSWDVWFSVYMRRNCWTSRVQNPEELAEPKTIAANKFTNDQEGYQQWAVSVLDQ YTEFLSQLTTKQDNSRVDEIKAQLSTLSQLSNLSKDVSNFNDICGMKLSVSALELFHQ ILTIQIFNCQLKPSSDQLLEGLFDLAALDSCVSAARCIVQSSKTLASTSNTSPAIVYA LCVAKSIFDLKEQEQNSQSKRLKQSPLETKSLTKKVLHSEISTNSNEVSILHVQLLEV IKILSTYSEIANTLEMCINHKVSADHMFVHTAPERCGENPDLSVVPSNRLIDTDDNLH CIVSAQPRSANQAISSYLMLDVMSRADMDKEQLSKLSHTSIEREEAASVSSYYSGSIQ ASINKRRHMTPGQDENTNKSTRAPKRQLSSPGNNDSSPHHQLQQAQPHYSYKRTKTSN LGNNDQEMTVLVGPNSQNQQQQQKEKNEQNQKQEREQSFERGLSEQTVNSHNPYVSMH DVHEVHEDSSGALGICNEDYLWIDIPISDTLQAEQPQHHQHFYPSTQTVKHRSTRISE VSPTPELPSMSSTSTTPGSGIHAVMFEAVPSLEGLDSENSVIPPKLPLPPPKHRDAPQ KYWPSVHSRDWNECFTASMVETLHPNTSVIPHEPSFGTPIVQSIGLDTLSSSHEHHSS LVPQTAVETSVIPDADSSEMMYLLYGSSENSGSCGERSSAGSSDPSPRESVVCHPPTN WLSSPLKHTSPLSTLSEHPSQFNAMRQSLESSQQDKSVTKQWDTALLKAPEYKKKANR DFAMLSTNSMAPNHIYPLPIDLSPTPDQRSVVLQSRLTTSGNSSCQHTEDGSVMFSQE NPWIQIPQTKIAGDAISWH PHYBLDRAFT_188639 MMRKQESCCLDRVPQETMTEERLFHDKTLSRSPARPKPIPNGNK LMQHRDQIHSSPSNSLISSSPWSIVSNDAFNQDESGEVSTPLSETGSNSKGGSFEFMN SPRTTRSFGCFSNSPAGTKDRAIAVVPTPHSRQKISLGWTTPSNDAASMPISSATIHR PQNIDNPRQDKTGSYNSDKSIASFRSSHQKSTRQAPARSGIAIARAKQEEMEEDDGFQ LIRATFSNAPKESNSKNDASLEWISRPLTPSKNSPQKYSFITTATDIHINNGSPVISP KILYKPSRTSRQISSCETSCSSSSVKSHDENLDSDDPNFNEVFVHTSPSSFAPPIDSF LRVSNLDQCTIYSTDTDPKALSAHQKKHLKPTLRIATDACCTQILKPTLLQSLLPNTV ADGRYETLFDTIQDQGIVDGELVKALWRKSHIPDRILGHLWDQCDPSHQGLLDKQAFI QGMRAIDAFLLQHSQAVNL PHYBLDRAFT_173094 MYKAVSRFKQHQLAQTLIKAISLQKFTNSLNPTEIPTVHITVYI SNHTIVDNRGKLRNLANNIYEQDLKASFRKDYDAKFETSIENFCGISGYKIRIDNEGL MDTRAKLVRPAYFSESKVGQAYEVLTG PHYBLDRAFT_150264 MKPIQFYDLLLPALGEKAWSPNTYKTRFTLNIKKLPYETTWVTF KEIYTLIPDITKTGNPPTVPIIVDTEKEKAIQDSLKIAQYLEVTYPESPSLFHGDEEL HRSFQATFDTRLVLHLICIIVLKVVKACGDEAAQARFREVREAKYGKTVEQFAGDPED HIKQIHEVLKDTRKILAEKPYLTGSKVGWADITLASQLRTVDALDHELFDSRILDDTN GGKSLREWYERMSVYA PHYBLDRAFT_183152 MQIHSLAIVLVIFAAVLIQASPLPNQAHDDILIHESAVSVASSA KAAVNLAKKRRSDDSSAKVDARALAASNSQARVVSPAGILDKDIKDPLDGKRGPIGEK IHEDIEDGEKALKGN PHYBLDRAFT_150266 MGQVPRNFRLLEELEKGEKGIGDGNCSYGLADDDLLMHKWHATI LGPPVSVHENRIYSLKIYCGEDYPDQPPSVQFVSRINLPCVNPHNGVVDFNRLPCLAQ WKRSYTIETILLELRREMCTSGRKLPQPPEGSSF PHYBLDRAFT_183154 MARFLSAIVLFGLSLFSVQAMPLFDADLAASPLLYDVRLSYRAA PRMVSDKQARLI PHYBLDRAFT_160094 MSTFCESSQKLAKEAKRTADILVSPYNEDLVQTICREVRMLQAK ADTVITDMRQSNQGNPQPPTPDQLSELLLQYMAIKRNKRVLFAYHRQRMEKLKELSWD VGIQSEHQREINSCLGPNEQKFLDEYTDIVNSYKQNFYELDLGGNGGVDLEPPTDLII EVRVIKDAGELITESGRVLNISKGNQFYVRRTDVEGLIKSGHLKHIH PHYBLDRAFT_127497 MADDIENEIKATQAKRAQITDKDISAAVLNNEKHASLSGVSYDK DLYITDKYEGYSTSIAIDDEDADADEMYQETKRKLNSYTVSKDLINDTIGDTEGRDPF ADTMNNRTIAGRQDEYQQRRFNRMLSPSRKDAFAADQGTDDQESRSYSEVIREAELEK EQQRVYAAIAQKEKEREQQIKQGVADDSQQAKKKRRWDVATPLHDNNADATPVGGANK RSRWDATPVSGERSEWDATPKASSGKRSRWDATPVGGDVMGATPVGGMGMMTPTPSKL QVPMTPEAANSMRWERELDVRNRPLSDEELDSMFPTTGYKILDPPHGYVPIRTPARKL MATPTPMGDSGFMMQDDVRQPVMELPQEIPGVGSLPFFKDEDMQHFGKLLEQRDETAM SVEDLKERKIMRLLLRIKNGTPPMRKTALRQITDKARDFGPGPLFNQILPLLMSPTLE DQERHLLVKVIDRILYKLDDLVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKA AGLPTMITTMRPDIDHPDEYVRNTTARAFSVVASALGIPALLPFLKAVCRSKKSWQAR HTGIKIVQQIAILLGCAVLPHLKNLVEAIGHGLEDEQQKVRTITALAIAALAEAAAPY GIESFDSVLKPLWTGIRKHRGKGLAAFLKAIGYIIPLMDDEYANYYTKEVMLILIREF QSPDEEMKKIVLKVVKQCAATDGVQPQYIKEEILPEFFKHFWVRRMALDRRNYKQVVE TTVELANKVGVSEIVGRIVEDLKDESEPYRKMVMETIEKVVSNLGAADINPRLEELLI DGILYAFQEQTVEDVIMLNGFGTVVNALGMRIKPYLQQICYTILWRLNNKSAKVRQQA ADLISRIAVVMKTCGEEKLMSQLGQILYEYLGEEYPEVLGSILGALKSIVNVIGMASM TPPIKDLLPRLTPILRNRHEKVQENCIDLVGRIADRGAEYVSAREWMRVCFELLDLLK AHKKGIRRASVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCAP FTVLPAVMNEYRVPELNVQNGVLKSLSFIFEYVGEMGKDYINAVTPLLEDALMDRDLV HRQTACATIKHMSLGVVGLGCEEPLRHLLNFIWPNIFETSPHVINAVMESIEGMRVAL GPATILQYTLQGLFHPARKVREVYWKIYNTLYIGSQDALVPYYPRIDDDENNNYQRCE LDYFL PHYBLDRAFT_117128 MSTAYTSVDLTPGTFDDPYEFPTQYLPKVMKDDSKIPLVLVACG SFSPITYLHLRMFEMAQDHFKERNEYELLTGYYSPVSDSYMKEGLAMAEHRVSMCKLA VETTSNWLMVDSWEPRQKTYQRTAVVLDHFDNELNTIRGGILTSKGNRKIRIMLLAGG DLIASFGHPGVWAPDDLHHIVGYYGSVIIERTGTDVYGFLLSHDILYQHRMNVTVIKQ LIHNDISSTKIRLFVKRGMSIKYLLPNPVIDYIHAHRLYLPPK PHYBLDRAFT_77375 MKLSLILSCAIVMFSATLVQADSLQDEIDAATKKFCGGIKVTAP TTNKVFSDPKKVKVTVTRVPNSLAKVINGVDIYSINSKGKPTYLGTPWKGTYKLTKSA TLTVNVNKVKGLKFPSQFMFRVWVHNTSGPDCTLMSKVFKVKSGSHSNAAEEDEQINA LDANIDRGCFGVDITNPATGDHKSSGALDSVQIQRDSSSPVEVFNALTLFKVDLSTRE PVQVADAWAGNETVHSMFNIKSTLPTVDAADAAAFAYYYKLSTTTQHEESCDFYSHPF YLDA PHYBLDRAFT_77374 MSQDQPHDTHGVEEQARDTRARQLQSSRLRITLFKNLQVESVGE RREALEKIIQVVKSFMRVARSPFSPLAADLSPVEHTTADQTREEMRKEEEDDGGTDEQ LQYLLLTMLRLSLTCPFSDVRQACQEFLQITNVYVAAVAAAAAAKDTLLIPAPRASYP SPSSFIGLDALFSLESTSSYPLVSYPRPANLSFSPWSHEAESDGMDEPFDFVRSQFRA QRPLDGEEIGRPADEYVRQLMVKTFVDEGRLLNLFRLMVFFPTFYEIFNVTYTKTVKS AIGPLHRTWKCYLGIMTAAEQQCQYLVSILTLDFLQAGGDPLWLNGTSSCPLKLRKLV GLVQKMARQPWRLKSEDLAEWMSPSGSSLGQQWSKGELVQAMLVISTFLGLSSFVMGC GITPELDMRGGYMTRGHVRLDGVEHELDQRCPPPPPLLGHSEEREARGAASSATGWHD GSLSNSSSPSLPGTEEDAEEKNEKDERRKDTEDEKDEEDEQSLQDRLRTDQLISLLKS TKDTALKEELQESLEKLQMGELQESLPIVTPSLKPNLTITTNNMESSHQANMVYEDLS RFSDSGEPNEPAHEEFKTNHPQYGEFMLGEYCWEDHGCDLVNHFLPGIGDDLDDEFNE ALSITDWSIFHPVADGAVDTSPLRHAIWFYVQKLYGVTKEDYNYPDIALYLNTRTKEY IRKVCYEPHLIQRMDWNSIGISFRPEEKCHMNLLIASAKKQALLCYGLWLISEV PHYBLDRAFT_150274 MISAIQWIRKGAAQQHPEKYNLDEKEYERISHLAAEQLEDAQKD LNEAQGMSVDAAPKKAAVGTGKDDDLSEYNLDSYDAEIEADKNKKVGIFSNIKDLAYH ANESEDPYITLKNSVDEADERQELEVLPTDNMLLAAKTEDDISHLEIYVFEEKEDNLY VHHDIMLPSFPLCLEWLDFHTGPKAGRETTGNYVAIGTFEPDIEIWDLDTVDIMFPSA ILGHTDKTKKRMKKVNDKYHVDAIMDLSWNKNHRNFILSSSADTTVKLWDLTTSTCVQ SYKHHKDKVQSVSWHPVEPTVFLTGSYDKSVCVLDARSPGQQSKWKLSSDVESLRWDP HNPSNFYVAMEDGLIQYYDVRNVTNGVGNKALFTLQAHDGAVSALDVNPIVPGCIATG GTDKTIKVWNTTDNKPSMVTSRNFELGKIFSAQFCVDSPFQLAVAGSNGKLHVWDMST NAGVRNAFRGITGAVAGVEPVEEKAPITLPDDEEEESDDEEDIPMNDDDLDDEDDEMD EDHV PHYBLDRAFT_188647 MVGKVLSPAQEKECDRIAFNNGLKGAALGLGLGLVATVMTFRRS PEFRALSRPFQSIMAVSGASAGYLFAADRASSAYENRVLGYTDEEMLTSLNRRQNPNA APLSTTDHVLHYLNDNRWTVIGVSWAVSMVGALGLSFSNRFLTTQQKIVQARMYAQAV TIAVLMASAGISVYVGDDDKKNRKEQPDAQLRAVLDLPNNPAYYEPKAVKHEELKQKS S PHYBLDRAFT_136269 MPREIITLQAGQCGNQIGSEFWRQLCAEHGISSDGTLEKFATEG GDRKDVFFYQADDEHYIPRAILLDLEPRVINTIRESAFANLYNPENIYVSKNGGGAGN IWSSGYAQGETVSEDIIDMVDREADGSDSLEGFMFLHSIAGGTGSGLGSFLLERLNDR YPKKLIQTYSVFPNAEEVSDVVVQPYNSMLTLKRLVHNADSVIVLDNSALARIATDSL HIKNPTFEQTNQLVSTVMSASTTTLRYPGYMNNNLVGMIASLIPTPRCHFLTTAYTPF SSEQVEEAQSVRKTSVLDVMRRLLQPKNRMVSTVPSKTSCYISVLNIIQGEADPTDVH KSLLRIRDSRIAPFIPWGPASIQVALSKKSPYIQTPHRVSGLMLANHTGITSLLQRTC DQFDRLMRRNAFLESYRKDAIFSDDLDEFSDSREVVRDLVDEYKACETPDYVNYGSKK AQKRNDMEE PHYBLDRAFT_136272 MPASCKTILASRVSASFRDQIKQDIKERNIRPKLVGFLANEDPA ASKYAEWTAKTCAETGVEFELRKVDKNDLEEKITEANEDKSINGIMIYYPVYGGKQDL YLQSCVSTLKDVEGLCHKFVYNVYHNIRYVDDNETMKCIIPCTPLAIVKILEYIGVYN SVLPYGNRLYGRTITVVNRSEIVGRPLAALLANDGAKVFSVDVNGIQVFTRGTGIKLS AHKVEDVDLSVEEVVSQSDVVITGVPTPKYKLPTAYLKDGVIAINFSSFANFEDDVKT RASIFVPSVGKVTVAMLERNLLRLHDYQNNLTEKTV PHYBLDRAFT_183162 MPQKIEMIHAYIVALWAKIVYFISQNLLRFILPDPYIEAGNIRV NEKPMYESRVTMTEIISPAHANLKGLAYAGTILGWIDIAAGLAAKRHSASPSVTRSVD DVAFLHPVKVGDIITIQASVNKSWNTSMEVGVKVEAESPLTGERFFVAHAYLTFVALS PRPSPRTFLGRKLAEFHPTHVPTIVPLSDMEKKRFEMAETRRKARLERKLPGHAGIRE LMHAWSQGRKEHADDDAPIIPHPILNQLHHHDNDCDDESQADKEGESESHDLRSRALS ALKRVNRRFSSDPRMVQPKERRMERTFTEVVELVMPQHANTLSITFGGQIMAWMEICA IASANRLARAYLLTASIDSLTFLIPTRVGDVVTIRGIVSRSYNSSMEVYVSVESENLK TGERKFTNDGFFTIAAIDDAYVPVKVAKAIPQAEAEYALFEGSYERRAKRLAQRTELL QIINSKTPPRSPVAHPIDKAALESILSSVSI PHYBLDRAFT_117188 MSDIALFDHNLISPEVQAALPPNHTIRALRSGDYERGFLQVLEV LTEVGNHTKEEWLERFDYIKRHNHECTYNFTIVITDDVKDRVVAAGTVFVERKFVHKN GLVGHIEDIAVDASQQGKKLGLRIIHALMHIGAKRGCYKVILDCSAKNIPFYEKCGFT QKEYEMAWYVPVKKASL PHYBLDRAFT_63404 MPPDPLFISLGAASSTDRLIDTVDKRTPITTDSGMWTTNIGSKD KPSNQKLLPSSPLSTSPLAPSSPYSYSYSSLNDKRIKRSATERLAIMSRTALSLALSC PLLLVLLTISLYKTIHRAYFVESAIDDDEPEENQPKEDIIPDEIYYASRWGYDSTLHQ VVTKDGYILSMYRVSKKGVNPIDKPPVLVVHGLFQCSGAFVLNEEQSLAFALVDQGYD VWLGNNRSTGGLDHVSMSHKDPEYWNWGLKELGIYDCVGMVNYVRQTTGQPKVGYIGH SQGNAQAFIALSLQPEMSDCLSCFIALAPAVYSGDLVRSYPLKYLVDLDDQAFTVLFG NGCFLPIMNLSQALCNPKLFCFLAYSMFSYLFAWWDSNWISRRKPKYFQFTPRPVSSQ LILDWMHGWGKRGLLLHIPDNSMSLQSPPLQLLPDSLQQQQSHYHHEEQYEEEYDKGS EHNVSKKIPLAVFYGTADYLVDGEKLVRKLQKNHKQANLGKSTDPSIFLPMLELVHVE RIDDYEHMDTIWGHDNHITTYPGIFQMLATAEWHV PHYBLDRAFT_150280 MNKEDIDPASKTPPGQILEYDYRPTTSQTSLAPPFLKVIQWNIE RNYESQDILILLKNVDADVVILQEIDIGCKRSGSKNHMKDLCMTLEMKGGFVSEFHEL DDPCRKPRDAGGGVHGNAILSKYDISFEVLEHKHHPFNWDRDGGTLKEPRIGRRYTLV STVRPPSFPPVLCYSVHLEVFTGIIGRIECFSEILEHASRQDKYPHQLIFGDLNTMGH SIARLSAVYCRDRYRWASLGQTESGWWDDRFFGFHITQGPINSRLARACGLFVVVPSW LVELGYRHQPRLMDWLSRWVGSGFEEHTLRAARNPGFYDPWDPEEVTLENPAYGGLFK AKLDWTLVRGMSVLKRGRGNLDYKASDHAYLWVDVTPDKPTQPKTETETGTDLDTKTL TGVDNYDDNDGSNNCGQVGPIGQTETKSKQEPNEVGVGVEVVGVVGVKNGDDDRKLWQ ARRAAWGSTNEIDWMYYFMITALLGVSWHVMSKIY PHYBLDRAFT_173112 MPSTTKSQDLGFTSYMIMCACVAAISGFTVGWHISVPNVPQAVI TQCTDGTISATSLPACLPMSDFTWGYTIGAFPIGGFFGSIASMYLNNKYGRRANMLIA CAWMIAGGILSSCAINIVMYSFGRAFVGVASGMSGSSVAIYVSEISTTKSRGALGSLF ELFLNTGILITQICGMYMSTANAWRFLWAIPTFLAAIQAVLLVFFVVESPRYLCSTKE YDPACAALQRLRSDADIEEEFAEMLAARQREENGRPSMNIWDIIVLKDKTISWNTVIV MVLQAYNQVGGIGPISVYSVGFLTKIFNGDSSLATDISLAAAAGNIVAVFIAIGFMNR VGRKGFMLISTAGTCIASIMMVVGSSGGTKLAPLVITSVLLFTFTYSMGCGVIPWMIA PELLPLHALSAGSALGNGANWLCNFIINTVWPYMDANLKEYSFTVFVALNFVAFVFLL IFMPETTGRDIDFNEETTIKDDAEFTVDGRGSAIVNTNTNSDGTDELATFKTEHINHK H PHYBLDRAFT_183164 MSERQNRFEIIDGQKVEIDKLGNVKPVEEEVGEPKTRHEKRAAQ PRTAVSPPDIRRGRDRGVDAPSAHETTDSTPVSVSSHKSSPPHEKGHHESSGYHPHGR PTESFGNLGYGTTEKKVKQGWGDLVESELNVAVEPQDPKDPASAGSPLPKGNDDADDG KVETLDEYQASQHKLPEKFRKPEPRPPIEDPSDPLVKNAVPFKRD PHYBLDRAFT_92925 GPAFIMPFLYLGAESNAADLTQLRKYHIQCLLNLAAEKMNLNKD DEPKMSYKKMPWQHHQANLATELEVALEAIDRARETGQSILVHCQCGVARSATVIVAY VIKTLRLPLQEAYDYVKARAPAISPNLGLLYQLREF PHYBLDRAFT_160104 MRHKDDIKTAAVDQNQKTATGPSIMSDSSFQQQIQSLQSQMKDG LVLGSGTSDECSGSEADEQKFLKSRRASIASSGHNMTEEDLKEEESRRRRFLAQFSDR REVIDGQEIVADANRHLDSDSDSISSISSISSTSTSTA PHYBLDRAFT_127512 MPVRKKLPRKERRLAREQEAITPNGISQSLLRYPLIICIGFTIL VELLLYIGLRQIVRVWENSISWRGKRRQLRNRLREAGSYAEWCTAADALDNYMGKDQW KKAAPYGFYDYRLIQKVIQHLKKYRQSDDPEDAFNLKDVLYACLKQNFAGIENSKLYS NTYLGTKVLVEEYVNEVTRAIDALARSPHLSSKDKLLAFKLYSRNYGRSAFCLSGGAG FGYYHLGVIRALLDRNLLPSIITGTSAGSLLGAIVCTRTDEELRQVLVPGLAERINIV DGTFVDRLKNYFKTGAIFDSEKWCREAMWYTRGSMTFKEAYERTGRIYNVSVVPSDAH SPPKLLNYITAPDCVIWSAVLASAAIPGVLNAVVLMQKTKTGQLIPYNYGHRFKDGSL RTDIPSQTLHNYFNVNYTIVSQVNPHIHLFFYAPQGSPGRPVTHLNGKGWRGGFLAST MEQVLKLELSKWLKVLRDLDLLPKLLNQDWSSIWLQKFDGDVTILPKSKLSDWLHLLS DPNEERLKMLFRVGELRTWPKITMISNRMRIENAIELNRKALKNTI PHYBLDRAFT_188654 MVVKTPSGDISAKVHVLMTTGDIPALGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMPSAITMRTPESFKHFDEVASSSKKGLTGQSPFSLLDSFSGPFF FALDEMHGICHGIGKQVWGLVCGKYGKDHPLSLSLVAQKEIGTAMVSTRRSISTSFHG AWINIATRSGYFRAVDWADFILFVIPTLVAERVRDQAARKALLDLVQTCNLLMSWELS AEEKTLIKTNLVAWNAYLEVSLAKGEVQLKVFTINQHLLQHYPAMIEAYGPPRAYSAR SVERAIGEYSRAIKTTVTATTLLQYDDPSAGWPIDREGSNVGTDSDIEFWGPLRNRTI VDSFGGISCIPELLQKFYESKGEECSMIEAAIKTSRKAFVNGCVIDSALDHNCVREAH NVRLQVQVDENRNIGQSYSPVYKDFFGKVVVFFEHKLNNKRCPLVLVNVYAVRLVNSI PVVNNGQMKPKVVHLADVKELVGLVKSDATINITTTATTTYIVWPELNRGPKLSLGSL ADL PHYBLDRAFT_160106 MKPIQFYDLALKGLGEVPWSPNTYKTRFTLNIKELSYVTTWLSI DEIHTVIPKVTNTGETPTVPVIVDTEKDQVIQDSFKIAKYLEATYPQTPSLFHGNEQL HTSMQETFDTRLLRHLFCLSVLAIYRNCGDESMQAEFRKNKEQKFGVTLEQFAGNPED HIKEIGNILKDTKNTLTETLYLTGSKVGWADVVLASYLKMVDVLNHDVFESGILGSKE AEDSLRKWYERMSRYA PHYBLDRAFT_150288 MKPPNMLKLVGNYKKAFNEKTICWYLRHKQKQARGIEGCQRCCR SEDTAREEEFPAAYNMAVVRSAATQTSLAYSEEKEKKDEDHPKVEEKLPEFQATYNTE VLGISKQIL PHYBLDRAFT_117157 MVLVEYLDDFEANIKEVPAESDHKKKRKVSKSKDKNAPKRNYHS YVHFAVKMSPLIKAEHPELNQKEVYKEVGVKWNALTEEEKQPYIDLANKDKVRYSHEM EEY PHYBLDRAFT_150290 MSTKPIQFYDLRLPALGEKAWSPNTYKARYALNIKGLPYETKWV TFQEVHTIIPEITKTGEAPTVPIIVDVEKDKVIQDSFKIAKYLEATYPQTPSLFHGNE QLHTSMQEKFVTRLARPLFCLVVFKVIKATGDEDVQSWFQKTREAKYGMPIEQFAGNP EDRIKEIHEGLKDTRKTLTETPYLTGSKVGWADVVLFSQLKMIDSIDKALLESRILDG TNGEKSLREWYERMSQYE PHYBLDRAFT_173119 MQSIKLYDLPLRNFEGKCWSYNTLKARVSLNIKGIPFDTVWVPF SQISTVIPKLTKSTKTPTVPIIVDTSKNFVIQDSWKIAQYLEATYPDAPSLFHGNEAL HEAAEANFSSFSGAFFCLIVVTESKNIGDEDDNTAFRKSRESMFGTTIENFAGNPEDK IRIINEGLIDVRAKLAKSAYLSGSKVGWTDAVLVANFMMVDVINHDIFQSRILDGVPG DNTLREWYERMVKYI PHYBLDRAFT_77364 MTNNDTMSSLTRQQIIREMEAEEVDDDAREMMIVAPTSVEHSNW QVQSFVDENTAHVVEVTDRNLIIKCTCSDYERRQRPCKHMYLLKLHTTFQLHFSIAPS NTTYETYVNPNPKTAITANLSSFFFDQCIQTSQKLYQSHQDLDTLSQYTTNDEAKRIY DIQQQLLFSIQSSKDKYEVNFRKSNNQ PHYBLDRAFT_173121 MPKYSAKQQTVAALKKLHKIRKFVAKKKKSLVQSLSASADELLK NISEVLEEKVDAELKKIAVVKDLEQNLQANRYLHKGDNTLPKLKSKEEKLAFLEDLDA DGFKEEIRMFKSSFNKLYKIIKDCSLYKSLKGHKQTDVKLQLALVLERLGSDENAVSL LYF PHYBLDRAFT_150294 MNPNQTAIAKRRKRNKPQKVLLIHIKNMIVEKCHIEESFESDAT VEPKKQGGSHIESLKIINECSKFIQDFLIKCCTLTLDEADFNANLIREQGWSKKGKAS IVKTKLKRGLNISILAGISYQSVESVQAKLSPDGMIGPIFVEFVKMIMDLLDYSNSAP HNFIMNNVLIHRFYIVIELFANSQHHLHFSPPYSLLWWLKF PHYBLDRAFT_173123 MSTLLPSSVMQGMSPDLASFLRNMQAQFMSLQQRTNELESLAAT NARLTTQLVNAEKLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTEASTWATTAA AAHNSVVVPTALSVCKTPRPPSVRQVAASARMFAIPTGPKGYQYVYIPRSRRLTHREV RNSLKTLGVDTGRILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCMKALKYLRPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGLWNANGLQPRAIYDVLQHCHSLHMLFITETWLLPPSRLPTSWSQIHLY GSPVAGNYRGSMGVSVLISPSCPYPVTQIPMSSNYALAIKIGSLRIVCLYLPPSMSTH DALAVLSSIPLTNDTIICGDFNSRLGSLTGDYATNTRGLALCQWLEERALTVVNGQLS PCIPTFISFRQNVEISSIIDLFITNMSLTNATLNIHTDLSLNSDHRLLSLSFTYAINP TSHAPPPSRKTWNLSRLQEPDVLKLYAHTFVTNSINLKSTLQSTFEHPPSSHPPIDAL TDEFNSLIYNSLILQAAAEHRNFCYKKWRRACGIDRIHWWDKHLKAQAEFRHQVQSSK RQSWHAFCKSMEQDFSKATSKIKQLKRQWQPQHMFQHSDGPATAATIMCEHLASVYSG SILPDQRPPPPLHSTSLPFTSANSPFVSSVVEGCVQFMPNHKTPGPDHIRAEMLKPCR RVNSCRELKLNDIF PHYBLDRAFT_150297 MKTKIAKLWRSWAIPPDLNCSSRIKLSKHFESFRQEKFAHFRLT NTKILIQACRPLLEVDPVLFLPATRIERGRLVRWRMGWLPGKPKECACGFDHTSRRHL QFCITIPSQLFSQLPASPTDEDNIIDFAISALPISFTHPSPLYWKALLTILWHIDMLC NPNGNYTHETDHSSLWH PHYBLDRAFT_173126 MSTIPELYNKKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERAILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAISNGDNDDSGSNSNE ISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVMFASRYVVDKGTVVL IEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQDCHKVYEESASVPS HCDFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSVLHRLGYLDLVRGTIIDPMHNL FLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNSKIGKQFSYMKADEW KSWVLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEVNTAHQFLQTFCTRC DELYNAEILICNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLLKNLKTNRKIGFEET FMKKFIEDVHKDDLVNSFLQSTHQTSAFPLLTKLTSSFTPATIPSIRQRTFRIQSFVE ASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKVAYNNEQLVHFQQAS ESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYI FTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPENDKSREYEHVETCF PTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_173127 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSVAPSVGPSVAPSVAPSVGPSVAPSVGPSVAPSVGPVVLTGANA GELSKQDCTRVLALIRGELKKHNFKSNKPELVAANDSKRSWDVNVDYRLPPNRQLMHD LHAYLAPKADISDCIYTNFCGTRRQVKESYEARKKTNSRSRKAGRETDHFDRRELTYH TFKAEIDMKVGKSCDGLLQKEAMSEGESEDDMPGVSSNRAICTVRPSWRSDEYNHFLA VVDDFMRNRMDFNSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_173128 MSQQSAVNSTIVSGEETARVNSGVIPPPSAAGSADHDGDTVMTP LCSEDGAFDSEEGSSGSNAGRSGYEGANSPRIYLGNSGVRVNNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEQILKDLKASTA PASKPYDKRSTLVPSNLPFLQLCSEGPLVKANRDVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLDHYDTPFCKFLNMGRVWCMK QGKGESARSFGAKFQKFRRQALLDDGVQLVLCFWWNLRPEVWEACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSHKGKKRSFS RERGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEREKYLTKVSRMAVRSSAGRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFPILA NNSIRTISLLDCGATFSLVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFIRLADSN TQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSY DDADSSEERREADRRFNNKSDLLESIERENERKENNPAVGPTQFEDAMDYIRPFIKDN QDIPKGSFCTIPESIVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPEGIK DINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRNNILKIVKIIISYCQAI SPKLLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMTILASPMYSLGLQINPFALGS ILSTMVRIHDAFSFCLCACGKNPYTENANTSYYPAVLTFSYVRKLVLPPMSKPASYTC KNYLITL PHYBLDRAFT_63384 MAQWTRRLTTNQEIPGSTPGSLIFLVFNYVVLNFGDIVRVDIGN QNRDLQATITSARKEIPDRDLTISSSNLFLLCPNSCQPIRMTITQNSLLRTMTEVISF CLQKN PHYBLDRAFT_150303 MPIEFIIDLTIMRRTWSLLGSLLKIFSKTVVYLYDHAGKSQVKK TFQPAQKCIRTTKFIKIGCPASIYKHNITDDTVCIKYNWQHPNHDPFKIEEISLSRLP DELKQWVEGLVSQNMDWKSIKNMLGMSEDRLLELEQADNRSSFPSSLLINYQYVRNVI NAQIMKLSRKHVDHYKSVKL PHYBLDRAFT_150305 MNNGNNTTINDPSLQCMMDNTLAIYSSNQLVLAQNLDQRPTNTT KAYLAKQEEWRCLKKEFGDNELVNDQKLSSFMIDYVMNRGRKLKRDDNNSLIPLGKGS IAAYVKAVADICSKQKALGLNLNGVARGPLVRAFLDTANKASAQTVRKNFEDCGKNTL NNGYIKQELERISQYFMEKNDTRAC PHYBLDRAFT_150306 MTFLKHIIYEEHIVVFLDKIADVIGRYQQSQPDFVQYFETNWCT MTKYCVWSRAFHQLEFSHMPTNSYIKSWHNQLKTHFLGRKFYFKEESIRVNMCSSPMT AAQRQQRKIEMSAEAVPAYMHANMIVSLSKAMSLNVTLDDTNNILEDGVWFIDSFTED DIIYQVEVNNNVLLFCMCYFWARYMKPCKHMHLLRIHMSGFAFLSVPPANNVLSITIS GEQFVKDSTMSIDGTTESTRRGYDIEAFEYAKNCLLTARHNEQDLYQLIQYATEEEAE VIRAAYAAPIKAFQEIKAKYEAHFKTLNTQQNAKVHVLVITSDIPAVTKLTCHACHIS KSDCQICNVVGQTSGCDQYFRSLPDTTMCTLESFQYFDPASSFSKGLVEQSSLSSLTS FMSPLFFTLDKMYGLCHRIDKQVWGLISEKYGIKHSLFLLANILKEIDMVMAATRTIF SMAFHGSSRNISKYSGYFKAVN PHYBLDRAFT_150307 MSAGWPITSEGECAGADSDIEFWGPLGYKTIDDSFEDISCLLIL IQDFYKSKGVQVDLFTNVCHQYTFMVNNFLGKVILFFEHENSIMVNGQLKPKVVHMVE VNELVSLVVSDATGNTQSVSASATVLLHDLPNVKHSLLNQYLTISEVKYSPSATLPQT IKVFHKEDAQAMILHVEDTINNQCNKLAF PHYBLDRAFT_150308 MSSNTFREAIVHQRLTQKVLHNACPASCSHFRKHSASISNQTFQ PHQNHKFCTPHFCKSQGNHNYIFQSQQIQPSGTQSSNNPF PHYBLDRAFT_63378 MEDMKQVIHLMRSKNYMTSIDLFDTFLHILIHKHFLPIPDDPFR PIDCSVALHTTYQNSTQVGLFLKNLLDCIPQRLALTWKNPEISLQPDDCGPSAVEGSW LVSQRKDVSPNSNMPDRTPGLCLGYGFNDYQPLWQEDPQPPKVHSDAPNRYNDHSQKS SQPDHAHQGCDTGNFSGQPIYTTSTSIQEPVHSFTTLLEPPDSAPPVFPPRALMMVGQ PDEVEWQGPHYIQCSIPNSHKTHTEPTQCSSQLVHLSGLHIELMKDTTILLLPDSNKL GLPRRGSICRSSHSPSSSICFLEDQSRVNGNQCSLSVLDDLPEHLCTPTMELNLEVPT QDSYQENYSHHSSPLLAELNMVPITSIYGHSPTSPANSQDNRDHVTAHSAFIGQHKMK GLCLAIANKVTLLHFTATDMVNFFTFPEISVYNVNTLQTFCSAICQLHRNPASLSSDP RLVDLFKLLKRYAPPIAMS PHYBLDRAFT_173135 MTSDAALGTASRMVASSGSAISLGQSVLHRIFSHLSRSDKLQVA LVCTHWSRPALELLWSSFTFVREREFERVFAIIARHNTLRPYATYVRSLQLTHADREF QVSPNIILLITSLCSNLESIAISFHHIRPSAPVAFPAPNLPNTAIRPILPPILRPHHD HPSSASRHSHSLPLAHFAHNCPKLRSIRLVSYSPKTDDSVYEMAKYLKSGTLETVVFS GCTTLQSSTLCKLAITNPQLRHIEIAGATPVSDSALATIADRCGATLEHLSIGNAHHI SDKSMRYVARRCRALKQFCLFDNPDSERLSEECLVDVIKTCRSLEVVSISNARALGAL FFDTVIARVEHELASIDHKNKTLVGGGGCGGGGGLQRLCLGNVKRDIIQSPAVYKLIK MSATEAKQHNDDSEDDDDGEDDDDENAELSNQSASSIMNSPAAFMPKMTVIRGSSIWW QRRRPLSKPKTR PHYBLDRAFT_173136 MDETHERAIICVEWYDVMSYFKYRYAYTHVAYDVDNSFKDVHTC NTCTLAHLHICALLININFKIGSSETKDCHFKFLPFVADLLTYKVLVGGYVFKISDTD ICTLVTEIYYSCINHSTELRIIIVKVVILEQVWCDKETEKIQGNRDKRHLEHRNLKDN REQDKIKLMHIYLSKPHDYDDGIDDDDDDDDINVFQVD PHYBLDRAFT_150312 MTDSSVSLLIPTVYPSSGTDLNQSTKSCRICGDDEEESDTERLY QTNPLIRPCMCKGTMMYVHVECLEKWRQVSPRKQSWVSCDYCGYEYSTSRPFYASIVG SVWLVRVLSVVLVLLLILGMSYASMAVDVWALGHPIRPDDPSWVEFHGPSWHGLDRIY CLSGVIVVSVLGMIFLIVRGGCRGRCLDNCDCQSSGCFWLGVPDCGGDGEGVIVALIF YAILLAMMVIVVGLFGIMIGAYVFVRTGVEGLSNRIKERILEVHA PHYBLDRAFT_117274 HKTVQIFSKSYCPYCTRAKDIFDDLDVDYKALELNDHPEGPSIQ QALQELTSQKTVPNIFVNQKHIGGYDALKSALDSGKLQTILKNSPSAKL PHYBLDRAFT_19192 HLLPTTIGSFENDIEDGLTSSQFDLEANLEENDNRAGLKDKEEI LKIMKKNNVSFDEARLIRQKRLLRKNGIDPDTGLPLDPKFVSFGSSQ PHYBLDRAFT_173140 MSQESNTRLFSGVASSFSAFSQTFQKQTSQAFPGTLKRFTDFTQ TVQQHARELPSKIIQLPDALESERDAFIKNKTFDRQTTHKTEPVTPWEGYGVYEEEMK KHIIALSADQRNFLLAPPEGTSFQFDMAAYRQSAVAALKNDPGLEKMRFLLVPQQISE PMFWKNYFYRVTLVKQTVLDTPLETKTASKIDDDVLFDFAGTDEEDDHEPIEKKQTKD NKVSKAEVKIDEISPNVDVAATNAKVIETKKEEFGEMEEWERELRMAAGEMP PHYBLDRAFT_150316 MSLSPPVNKFPSGFFFIRCKAQPMAVDVNGGSMTNDATIIIWPQ KLVDSINQLWMHEDGFIINKKSGLVLDIRGGELKKDKLVIQYSRKPGLAQNQRWSYDD GFIFPTAAPHLVLDIKGGDYKETSGIYLNEKDTTIPTQRWLIEPFETPKSKEELALLR PSPLLHTNSFPRPEELFGFYRSFYREHRTDMTMEQIAGAIAFKAIKDYVELQKQNNES ITNDDARQALNKLVAEEIVSNAEAVDNKRKLLQIAEQAAVNYYVREYDVC PHYBLDRAFT_63369 MGRKNARSILTSKMARREGGKFCAKSPIITIHTEKEAGEKEPVE DQPVEEYDWELLDLDTDTMIAAYYNSFLTWRPDAGKNLRGIYRGDSRSSIMRNKRKMK EELEANKDKKVRTLADFGLSVPVAPVSPVTEALTIREAYEKISEMIKPPVSSDSELGK FALFEVSKHIVVKEYFRRLLNNCKNIKASEKAAEIFWTTPSKYRGEAVRGWAKEFLQF GKISEHQQGKHAKRSSIVDDEDLKKKAIVWLRAQKAERRTVVDLKKYLDEMLFPSCLG VKGNVAISTAWKCMRDWGYVHRKNNQDVYYDGHERQDVVQYRHAWATRMMGYKQCMSD FTGEDEEIEVTPLLLENQKKLVMVTHDESTFYAHDGKVDMWLEEGESHIRKKGQGRSL MVSEFQCACHGTMRVKGWVSRRIFNVGAAYDGYWTSEDMLDQLKNHAIPLFESLHEGC TGVFIFDQSSNHKAYATDALVATRMVLKPKVVSENDKFIFKDTTFLRDGRIIPQSFYE TVFEAGRKGKGPVEKRQFVGVQRILQERGLWMELNPSNLSRRWRMDCNGEGAKNHCCC ARHLLASQPDFSGQKTTIQEVVEEAGHIFELYPKFHCECNWIERYWGAAKRVARLNCD YSFKSLEKNLPSFLDSASPVAGSPSMIRRFYKKTWRYIEAYSKFLDAKDADAEVKKFT SRISKSHRSIGIHD PHYBLDRAFT_3537 VYTCLACQVAFPTSERQRAHYRTDWHKYNLKRKIAELVPVDAEQ FAQKVLAQQAVGREEEERSGLIYECPTCKKSYGSENSYHNHVQSRKHQEAEQKALQGA SALARVQNEGRLFSDHSDMEDEDTHMTTKTNQNPALDCMFCNHTSKDFDSNLGHMFKD HGFFLPDKEYLKDVEGLVVYLASKVNNGICLYCNGRGKEWKSVEAVRGHMHDKGHCKM AYDDTEDPEQLLAFYDFGPFDEESLSEADQQQVEQQGSDA PHYBLDRAFT_30142 MSLITRTLSSRLNRFALYECTADLSHLSPHEHVAIKKLIKAGQL INQVYLRQAWSGNEALQKKLEDNGNQELWTLFEIYKGPWACEDDDTPFIEGVPKRPEG ANFYPEDMTKAEFESWINTLSETEKAQAKSFYTVVKRQGSTLKSVPYSEEYADLLGPA AAYMREAADELSLVQHAEEPGTRLEDFLRSRADAFVSNDYLQSELDWLRLGKTNRLEV TAGPYEVYSDSLFSYKSAFEFYVHVRDEASSVLLEKFSDLQFVEDRLPVPPQYRNTEL IAAPIVVVNQLFGGGDVAVPMTAAYNLPNDEVAIKKGGSKLVLIKNVQEGKFVNVLLP IASQALAHDQMEYLTSDAFTTHILLHEVCHSNGPHHTLSGATVRSQLQEFYSAIEEAK ADIAGLFAAALLVNHGTIDNVTCQQFWVTFLASAFRSIRFGIQEAHGLGQACQFNYLY EKGGFTCDESTGRFRVDFDKIEQAVSDLTRDILILQGDGNKHIVASFVKRYGVIDPNT KLILDRIEQAGIPVDVRPFYPAEEY PHYBLDRAFT_91659 YAILKTLGKGNFGKVYLANDSLTGENVAVKVMSMSAFKNDDQQI HAHSEQTICDAFVTSLNHKNIVRVIEVTADDSNMCVVMEYVSGGELYDAIRKVRKLQE PKAQKWFRELVEAVGYIHKNKIVHRDLKPENVLIDQHGHIRLCDFGFGRKLDDEEGLL KTYCGSPYYAAPEMVTATPHKGPPVDMWSCGVILYAMLTGKLPFQSETMPQLFKKISL ASYTPPRDVSNQASNLISRLLCKNPNDRLTAHQCLSHPWL PHYBLDRAFT_63364 MSMRPFLQRSTQAVRSVHTSGTKAVYGWGHTHALPLKFDEERDD AYTTPTRLDTLSDYAIDNSEAVSHIAAGWAHSLIGTQSQVYGFGLNRSGQLGHGPDTL VTMSLGKANLKQLACGREHSHIVTEEQNSTSLYSFGNSMYGQLGIGKSKYTRPGTLSL EEFPVKVEGYQGAVTDIACGLDHTIFSTDHNSLYAMGWGSDGQLGLAPTFTGDKDVPS LLPRLGDSKIKLLSGSTDFTLMLTEDNVLWTWGNSEYGQGMQGKKIDRITEPIKVPVK GVKDIAAGGPFSVILTEDGRVHSCGYGALGHGKGTIESLVLREIEGLKDVERVFATTD YAAAITASGELFTWGLNGPSGRLGLDSVDHAFVPKRVDIDRPVTELALGTNHVLALCD PHYBLDRAFT_173148 MRIRNTDMEDRGQDTKRYHTDKRDQEMCIIITGRQAGRQTAHFQ INSSGSMITSNIQSCRYDSSLGLLTKKFVSLLQSSTHGDLDLNSAAAELNVQKRRIYD ITNVLEGICLIEKNSKNHVRWTGSTPSLNSSSNNSQKNNYQHHLNHDYNDNNDNNRRL DQIDEDTTELYRLEQRLLTLKSNNNSLLNEQNHLAQLTLEVNGRIERECSYHRDYCFL TSEDQARLEKQPMSQTDTLLAVHEPYDALIPCLDNIAPHTYNHHNHNHNHNHHHNNSH SNTNHNNHNNSRSSFDRHRRQTSHIYPNHPYLPSTIENKHTVRCVVRVPDHSDQSLFG RLSDGHRNQSLSRASSFYAS PHYBLDRAFT_160109 MAGSESSTLARHTKPNATNSNLVSRLSMRRKTVVGYETKRHTTI DTTDHMASRADNFSRKSRHPKTSIPNTSTAVGVEPALEPPQNYNHHGNYSQQQSRRLQ PDPVQRLSAIDRVSERRHSSYITSNSILEGRYSSPKPRRDYLMYVPKEDLSTIRPTPS ESRLPRRSSFLNEASNYRR PHYBLDRAFT_173151 MILIDNIKHACASCIKGHRSSSCQHKDRHLLPIRRKGRPISQCD QCREERKKRRVHQKCSCSSKKGMYTTENSRVDIANKSSCLVDFIDMPITYSNKDLNVI IEYHDISQMTIELKEWAFNLVKSNLYHLYRKSNDGWNALEKKAEMSTPEARYLIARSA SDPNILYGFLLFQMVTEETMDDDVMAEVAYCYELQLVESARNYGLGEYFMKLLAEIGN HWKMDKMMLTVFKSNKGAFRFYKKLGFELDEISPSACLSALQARKFDYEILSKKCS PHYBLDRAFT_30139 MSAPATKLRSEMTEEELRQQEEIEFNTGPLSVLQQSVKNNNQIL ISCRNNHKLLARVKAFDRHCNMVLENVKEMWSETPRTGKGTKAKPVTKDRFVSKMFLR GDTVVLVLRNTV PHYBLDRAFT_63358 MSFKLLPAELLLNIIEFIDDIGDKCQLSATCRLFHSLLHSHPWC WSPLNLSKYSGQITNIILVSILKHSSVPIVLPREAASKNITHSPQRKGRTLDRVDISG CWSLTADGVLTLACTLSSLVELGLNKYSPTRIGSTVSGPFEQRDHLYQVRPSHNLSSL AMDLSKEPSLGLSMPETTLRQLLVRIPLLEKFSLQYQSLSPEVCRGFRGLRYLRHLDI SSCVITQPVLQLLLRTLNPQLESLKMLNIDLSPLTLLCLQRFTSMLQCLHLSCMEPLL LSAIGRIVGLLNLKDFRLTRLRTGNLDVILDRLNGSALRHLDLSPKMDIHPKYTKVLP HMQSTTTFGDPGQRKFGPSRSVTTRNLTSGGIGNSSGSGSGNGSGSNSGGRITGYIGR ESQPRLLQSVSYLCRTEHELELDDRCLRLLGSFNQLSELRLCFPTITPDEFMNMLRSM SCLEILELRLSKTGEDYLGTYKPDLLPRLKVLCLYSVCLSERSARAIRDTHTIEHITL CQPGPISERYPGFVRDWFVNLERLKVLRLGKMRIPWNILKDIVSKRPPSYDQILPRIE PAIGPFPNELTGKKSLEEDVSFLNKAGRWEWVWG PHYBLDRAFT_136291 MSHVLPHDKKQLRACLVCSLVKNASQFRSSGCDNCEDVLRMRKN IDRVMECTSSKFEGMITMMNPKESWVARWQRIDTYVVGLYAIRVYGRIPEDVEDVLER RGIAYRPRDGSVKE PHYBLDRAFT_127528 MSCRLQEYQVVGRKLPSAKETSPKLYRMRIFAPNTVVAKSRFWY FLKKLRKVKKAAGEIVSINQISEKRPEQIKNFGIWLRYDSRSGTHNMYKEYREMSRCE AVATCYQDMAARHRARFRSVQIIRVADVKNADVRRQYIKQLLTPKLAFPLPHRVQRAE KGNRSLYLAKRPSTFY PHYBLDRAFT_150328 MPALDLKGCLDDSPKFRKRIAVYEDSIQHFEFSLKTLTKLTRLQ VDSSTEYSQRQQELATDFTSFAQSQDDPIIAHALEKFGKSMIEVEKCRTMFVSRMGQA AYNPDVKPVIQNSHVTETFISPLEKFVKETLFPIKELKKRFEKASDEVDSVLSRYMGK KPKDPTILETAKELADARKGFYQVYMEYVSKLNDIEAKKKVDYMENVLAYMYTKSAFH HQSYEILKDLEPYMRDLTGLLNDTRQRHDEETSESSEYQDLCAQSAVDIYNPLNAVSS STVSSPGITVPYHNTTENPNKSGYLFERKGGRVMQSWIRKYFSIEGEYLVCSMRNTKT SKDDDQSASYNLRVCSIKLSDSYDRRFCFELISPQRIIVLQAENEKDMHEWVNSLRMV NQKALNSDKAPPPLPSLHRRGVSDVTPVIKLNGFSGSSGTVSDSDKELLKMVREKPGN DKCADCQAREPEWASTNLGVIVCIDCSGIHRSLGVHVSKVRSVVLDKWETESIEVMLE LGNTIGNSIFEEHVPSDMETFRINPNSSPIERDLWITEKYVKRSFVAHKDDKAQETVN QTFWDAITEGNLAEGLRYLARGANVDYRNPNEHLQTPLHRSVQLGNEVSVEFLLQWSS NVNEIDENGWTNLHYAANSNNVRLVLGLLKRHAKADIKDESGKTSLDLAVEHQNVQAV TALRLFAFDKQHNSSPANSLDFGFREAMSSFKQNYSFERSHGTSHSALDLRQTKSHSP KESSSLHETGSKLLRSDSSQ PHYBLDRAFT_150330 MLERNHGMYSICNCSSTNISYGLLWSQLQPGIDAACFWSKLMKI FNVVNTGPLVTHAPLESPPEMLNGVEIQALSRPVVKTSDLMDIFPPPLVRPSMTAGVA LLEHETPVLFP PHYBLDRAFT_173158 MPDSTPMPTFHCGTTSSTLDYIFSSSDMFSHCISSSMDYIHPQW SDHFLVSASFLFDSGTVLGKGLWRANPRLSYNQHFCLQLDSHIHSLVNSLPTSLSVQE QWDSLKTNVIYFIHSYCHHPCRNLTTIEAQLQSKRAHLIQNSIAQRDAFCSSLLTTIQ SSCAIHLTQSLSIWGHATVLNTLILFHLWHALRVISVPVSFLDKVKLAMGQFLQHQMF SPIKLSTLCLPLRSGGLGVLDPSIQQGCFCNIFSAIDRLLHDFFSLAPNIAMCLALPL HSVCLPATSTTSFPPSWQHLRVEDAFLVDPSFDILCRRALADFPCNLFILRKFFKRVD SCNILLQPFLVRAFLPSHILQLNDPSIPSRSGSSINASPFVCGLLPGILWSKLKPHMY RSLCSSSVSSPLSSTLSSSQWQIFWNLPIHHHVCNIWYRGLHHKLSSRSLLHRILPGP FPTNSCPICEVSTDTPDHFLFFSPLKIDVWSTFWQDVFGSHPTLPILHDAFYNLSFPY IRPSDIHAASLFSCALLAIWQHHWSTVFDNTPFVSSTILSTASRLVAIFKAKKSLDDL ACSLAT PHYBLDRAFT_173159 MPFNSSRKTDRKGKGKASESISTSANRVLAGRVRPREIAPSFSS ATIQDQQYAEIVEMFNKVNNSINGVKDDIAAVNSNMTAFKNRMGVVVDTSGKTHMVFA DFATAYANDQTHMASLGPSLMLSYVPQTSLSDAKVSVIILEIFAEKLWDWKFESDNPA LVAENESKKKWNLNKKINHRNNVAVINYLKSYISTQTRLAGTHPRVISDKIKNRYKHS HHTFHESPEQKAKKNSKGRANSRTLQLTYMDNWVAIDAAMGYKTGNPVEKAYLKLFQK DAMSDGESDIEIVDNLPQRCLHVACPTWRSEEFNRLLTMVDNIDHTHHVSNAGVGTKP RMNRYPATLLPCSVPATLSQSLSRWAINNE PHYBLDRAFT_173160 MTIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAMYKNPQ ISGCKDVIDDSPFVNDWIKMVKSIDLLGQSYKGCIGTNGRGSYIQAYFTERTGLEHAM NSTSKIFKASCQYIRFS PHYBLDRAFT_117149 MLTLNIDWFQPFNGVTYSCGAIYLAINNLPCSERFKKENVILVG LMPEPKEASTSDINNYLKLLVNELMELYKGIKIKTHQCPNITSIQAALLMVACDIPAA KKVCRFTSNTSTNACHKCKHQFSRLAGTSSVDYSGFDFSKCLLRTKNDNHKNAEIWRN ATKPTERQCLEVTHGVRWSKLHRLQYFDIVCCTIIDPIHNLFLGTARRMLERWVANGL INNKKLIAMQKAVEKVVLPPNYMSLGTKIAKGFPYMKADEWKSWCIVYSPVVLRMCCH CQSSRTG PHYBLDRAFT_63350 MAPICKPTIRKECQCSICKSKRLGFNHVSVKTFKCHQEKDNHDI THVQTPHEDICDTISSTVSEPEDIEMNSELRRAVILIVIINKILQFLFDPFRLPVSVA GLKHLVRFEALISGVNVVVVEVEEVFKRKN PHYBLDRAFT_150334 MSTSLVPPVMAHCQTKPPKEPTVQSRMVKWYNLRLPREGPGFDS RSAHFTFLGCPNSCQPIGIYKQPVMVDFLSICRS PHYBLDRAFT_150335 MSPRSTPLSIYTPSCASLSQLSDKPSLKSPAPLVQELSSSRLKK TLFKKTLTNSFAIWIPSPHTGSISDEFDLYKLCYKEDIFLSLLKEIFDKVKKSNKTLK SMLQALIDKDTVGPTSLMASKHAPKNITNSSNNNNNNKNNNNNNNNKKTKTTILTIAA TVPKVLKQKLGVVVVEVEKVFKRKN PHYBLDRAFT_150336 MNMLLYVINPTSECLDHHSSSHPKYLPKDFGCPMWRETSILAGT AGIKAMY PHYBLDRAFT_173162 MSQQSAVNSTIISGEETARVNSGVIPPPSAAGSADHDGDTMMTP LCSEDSAFDSEEGSSGSDAGRSGYEGANSPRIYLGNSGVRVSNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEQILKDLKAFTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRDVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLDHYDTPFRKFLNMGRVWCMK QGKGESARSFGAKFQKFCRQASLDDGVQLVLCFWWNLRPEVQEACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSHKGKKRSFS CECGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEREKYLTKVSRMAVCSSAGRP ARASTVSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNSTFPILANNSIRTISL LDCGATFSSVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFIHLADSNTQIKRIGTC VISVTCNSKTIQCEFEVMNLTNSHEHDFSIGTDYMSSLGIGIYGLPLSYNDADSSEER REANRCFNNKSDLLESIERENERKENNPAVGPKQFEDAMDYIRPFIKDNQDIPKSSVC TIPESVVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPEGIKDINKANKKL LKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRSKI PHYBLDRAFT_63348 MAKIVILLSNCKRLRTSSIQEDAKAAVVFQFLKAFFLYYIWLLE CIYCLTSVGVTIMTSSHKSALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNI RVSGSPHYPHPGIN PHYBLDRAFT_173163 MQKNTRQETYKIVKTCPPKRQGELNFSINSGVFTCSECKKEFEK PWLLKRHSKVHHISNQMATNTVLDKPEQAELIVENTIPDANAFDYLSDEDDSSSIRDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDNDSEIPEEVFGT IGAINDPTSCYPFCNLQTMILFAFIDGDNDMISQLMLKKILLAMNLIIKIQQETPIER TFKLSRFDALLNYQARKKFKMPVFSSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPNHTPNQSICLEQGKKWRTHHLFQQSMHTVNGIDIWFGNIVYLKTNNCSIC FLVELFHMANKNIFARGYLVRAILIVCYDVEVAVADLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKSMPLSVLPSNVDRDAVFYKVRIVSIILFTDDTSGN HSKQYNPFESWLMRCTALPFKDRNSIANIQFLSTIPKKDGANDKKYVLVVAPILWIET DMSCHLELCGLLGLATTFPCRRCYIELRCAKDFVKDLSYFCECHERQTREHYVLANSS PGRDTEISNALKIGMNMPANKISFRDHSTGHLLELQSFDPEKNTLVEILHTILLGVAK YMVIDLVKVVLKNDIATIARLLEFLTDYTRSTGLSRKFTRNLRHSGSFLGRDFKVLLQ ILSVILITEFSGNHELDLVISCFVELGQLCSLVVVRQVTSDFDNYIIRVDNAVKHLIR ALFDYNKGTKNELHKAYCTKLKVHYLTHLKEDIIRFGPALNYETEKGEQFNKHICEHL FYTNCQNTSRNVCLKLAKQVALQHFNSSGNREKSGTGIESSRELKDNNDTGDIEDDAV QNNSFGAFVFKDDPISHPRIGLVSGSVVKFPSIVPHTDNDRNNNYAKAVMTGEHSDVA NMNLVCKLDLHIFRNLFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_173164 MSKDGCRICHIVSQSSGHGQYFRMPSAITMRTPESFKHFDEVTS SSKKGLTDQSPFSLLDSFSGPFFFALDEMHGICHGIGKQVWGLVCGKYGKDHPLSLSL AAQKEIGTAMVSTRRSIPTSFHSAWINIATRSGYFWAVDWADFILFVIPTLVAERVRD QAAHKALLDLVQTCNLLMNWELSAEEKTLIKINLVAWNAYLEASLAKGEVQLKVFTIN QYLLQHYPAMIEAYGPPERISNLAIGSNAGNIMLDLTQIRQMRVENSITATATVTATT LLQYDNPSAGSNVGTDSDIEFWGPLRNRTIVDSFGGISCLPELLQKFYESKGEECSMI EAAIKTSRKAFVNGCVIDSALDHNCVREAHNVRLQVQVDENRNIGIPAINNGQMKPMV VHLADVKELVGLVKSDATINTITTTATTYVVWPELNRSPKLSLGQKQSHKYKKPPLFV VVIEAEFCLKTISSKKQMTNYLLLTCRDLAAFYCKCLNRVKAFLEIQIFMLFGFIDIS GTRSLGLARHSSLQQTDHVIYSGMDILVCYCL PHYBLDRAFT_150341 MENHFTTKRNTFLTPMATYNQTFVCTICETKRVLESLQGLRRHY TKKHPNEMGEYEKLLKRRPAMFDGPSSASTATATTTATTNLNNDYDETTDNEDTDTRV EYDSQDHIARMTAEMRTFQSLSHAMNAYSNEDSSRQTSYWPNDFADIFTGPTRPFKSK VEFILHALFYGNEDLASERSIKKIMFAMKMVLDICEESGVALDFLIPNAVINYHKQKK NQISVFPTASFDVVNQDNEQHVLWMNKPSDYIKFTMTCPGKSSQILALPDFMENQRLN LNQGEKWKKNPLLQHPMITSNGMDYWVGNVVEVYGGHDPRLNHPDDTHFLRFGNSTNF AVSTLKYTIEVHRIMSTVQKDSNLFLGRGFSVSYCPAKIVTYALTGVQSDLWLNKSRV EELKRRLPDSGSMKVVVCPLNLYSDDTSENALFICTSNHTLNAIEMLPPIVNDLVRLE KGIEMYSEDHSEGVLVVAPLLLFMGDNPCQSQLAMHKGTSAKKFCQKCLIPLPRIEQG SIPDTPPYSPVDHHGSEERTRDFLCTFANANSQSELYLNGCKLSYIKNGSEEFLRLEA FDPTKDMPVKILHIIPLGLTKYLMTFLWKQKMLTTSEKGRLQEALNSYKTFLPGIMSK LFSDKPLASLFIKALHALGRLSSLVYMRGVDRCFDYYIAQIKHAVTDVTDLLFQLDVQ IHQKGFSKLDFTFKPKFSRILSSILYFALFSPHNTDDIVCFDSVLQYKTENGEQFNKF ICEHLFKTNHHFTSRDVATRFGKQFICWHLCNGGSYVVEKPAGNGTRSVRSSIGDFVK LAPVNFPGFNLHFFGSRVNSDNSGLLTPTLCNTLAGVFQSNGQLFLGQVKIVQARDSA DRMRKTFFMQKYQIVPNSNVNCIYTPAVIMDNYNNIVILPLGGLVEVNKDDINIVQAV DIHLSIGSSNNQKFLNVAKFGMFWWMLMNIAKIY PHYBLDRAFT_173166 MAFWLKMFSVYINTEFYINKALQEHLEKQLIDSIPCKRYEQYLK RLVKVINACFVHNICKENNDDANFENHVFVLGGRVIDVNGDIAADIEEKSKTFIEYIK YEIYSSITCTNILKNLSNIITDIISLPFCYIKTPNQSFTLRPKNSKVLLSLLHVSCIS FLNAIHDLIPSLIDSGSDSAAWDLLTAVLSCFFITASYCTSNKNNCGFLLQKRLPPLV PHIPDLCLDLHPAATVLHTLHSRNLEHFIIVVDKSLLINQGSSKTLNRICASCDLSKP LLELKSLLKLWIILPYKKGNHRQDLGPWWVLEKLTRRVLEGAGVTNYESTIRNDVRVK PHYBLDRAFT_173167 MYKTTVANSSIEIRLQFVRKVYSILTAQIFGTVIMSAFYLYNSN AKHFVQTNPWLLYTSMFGSFGVLLLLMWKARSAPLNYVLLGIFTLLESQLVGTVVTFY DQTIVLQALVITAGVFFGLTIFTLQSKWDFSGMGPILYAGIWVLLLAGIVQIFIPFSK GIELAMAIGGVVIFSGYIIFDTFLIFNRYSPEDYIMASTSLYMDIINLFLRILQILNA MQRD PHYBLDRAFT_183176 MPKAQRTKSKLRSKDSSIRSRKSAVDNKQVIEKPFDNVQITTKE SIVEELDNVASKNLKRKIRHDAWLEKLDTSYNAKQQQKKKADKRKNGLKTDLSSFSDI LNTIKIEPKIPVQEVEAATAPAPVKPAVLPSTSFKSKKARKKAEMQEILRFQKVMQHS AFQQNPMATIRQHVQNTFANEIA PHYBLDRAFT_173169 MVYSPWTFRCCGCIDNRIGSMLACILWAAFSFYLAILAFMGSSP FFSHLDKIPLIVFGVINIFFGLVSLSGFIILIVRSRYFAPRKSLVYLLVFSASAVLVD LFINLVLFGVDKSSFQEWCVEQSLYNFKVDFQKSTNITTVPINADSDFYNCNRLYEDE FKWSLMAVVGIFIVYIHWILVIMSYIQSYRALFSVGYPNGSIVPVPLEPVLPAPPMVE TMLNIPPKRKEILNYQNVKPGSLKKIIGRFRRENIPFAHERWDKLGQDDFTGDHKHES LTTLTNLTSNADHSTESYLEQEGHSITPKSSRTFVLY PHYBLDRAFT_136301 MKITLLTVFSLATICSSALAGSTSSTSSYKLRPDPEGQFPRLGA CPDAHACIFPPDVSQFIPGAYFDLRVELHAYDEDTSKPTPAPYTQFKTTVRKNNGPWS DVNRYFDLPNPKLEEWNFSWVNSIDAHLGTIKDGKPVSPVNVAVSSRAWRKLKFKEAG SYEIKVEYGPKQSYTVKYTVPKKPKQKAKNVILFISDGTNTGMITAARAVAKAHTSGK YHEFLSFESFDNLGHVITNSVDSLTTDSANSASAYSTGHKSSVNALSVYCDSSEDPFD DPKVESITELIRRRQPNKAIGIVTTAYGQDATPAAFVSHTRKRSTYQEISDQIINGVP DWTDPVIPDVWLTGGAEYFKGPLSLNGTDYYKEFSKKGYKVVQNKKDLNNYNGKDKLM GVFRQGSLDVWFERNLYVNNTVGNKAAPDMSGKDALGSDQPGLEDMTIKALETLKKRG GDDGFFLMSEAASVDKKLHVFDFPRAFAELVELDVTIKKTVEWLKKNGEYEDTLILVT ADHAHSFDVWGSVDRNYLSGHVDNTAKLNSIGVYGQSGWPGYFDTNGDGFPDNWTPNI VLAAGTSNGPDHYEAWNTSKDEIRNPSITGPDKLTYIPNPEDAAGDYQKGLKWNSNLP TEENQGVHSMSDVFIYSNGPGSDLFKKTFENWDLFFKMAEAMDLLRPTKKEN PHYBLDRAFT_183178 MSITHEQIHSHNIPNTPLSRQSPPLPSGKLRLQVLDCFLNVPVQ RPYVVITLGEQKYYTSVSEYEQGRWHETFELSVSFHAQLFGTIQLDLFDSYMLLPDRH IGRTEIRLRLLEGMPETFTSYYEIWDKKLSTGASSSVGQRKTLTANVGAIQVKIAYVY QSEQTQLSDGSEQKNNNGTSADSKDEPLVLRELRKTDTPVGSSNLLTEEQLATEFQRH LKFQRERKTAGIKFCKYEEGRDTHLGETLDYPDESESDSDSEDSNSLMRPLALGRIKS SSLQSQDSNLSKEDAKNATNKESTVHKVSSWFRFNKPSSSLSTASTVAVPDPSNTKPS NSKKAAFNNNELESVILSDDQENIKAYPLLETIGSWTVNKETNQVLRTIAKLLASFGQ GFELTNLQVLTGFTVLEKFYGELPRERTWDLVEDLSEIELASHFWKFSVASYGWKGLN FIGKGNGYLSDAIRNHSDALSIIEFLSIPKEDLLAYEFRTAEAFRPSYFITRDRTTNS IVLVIRGTMSVFDTMTDLVCEYEPWRGGLIHKGMKSSAMWFFRNVAPQLIAYSNEHST SALYIVGHSLGAATAAILTIMLIDYLDEFRKGTDVDFSLKCFGYAPACGLSLNLSEKY KDYIQSFVFADDVVSKLSYGSMMDVKELIIASAEAAKTLGVGQVLWVGKMEGEKWKTA YERIAECRQRCLDSMANPRLYVAGQVYQFWLDPTPSNETRIVIERTDAERVSREIIVR RSIVLDHLPTNFDIAFKRAREALMMEGSSHTEPSHSLRPEGILDPTEEEKAHSHVFPL SHSSSKETGAVLEGEKRTAASNAIWDAVANLGLKGTSKPSRNSGPLINRDEI PHYBLDRAFT_183180 MTDTFTDPQNSQLQNDQLDEEHEWKQHVPLMPNLPTLHYLRQAS AMLDLPVRTTATAIIYYHRFHKFMALYKGKKQPKNGQWVDDCLPLLTNEELLATTCLH LACKATDIPRKVRDLVNVGYRYYHPNESMLQVDETYFRMRSSLVTSELLLVRTLDYNL EVELPFAFCLNTLRGMGSVQFFGQSTTAFRRHSSKNGPVSAPMSAPGWQKEVWRRMEY EMDPELSAVARLSWMFLWDSICSPKLSMTHSVPGIALGCLYLALRTSNADLPMTMSEW VDMWGASENISVQAVRDVVTDLLALYEATSSSSLFQRST PHYBLDRAFT_188669 MSNSYSLANCSKQRVSYFYDHDVGNFNYGPGHYMKPKRMHMVHN MVVNYGLYKKLDIVKPDRTTAFQMTRFHSDEYINFLQNVTPDNLELLAMQHGSLEQGI ARFNVGEDCPVFEGLFEFCSISAGGTIGAANKLLNGEADIAVNWSGGLHHAKKTEASG FCYVNDIVLGILELLRYHQRVLYVDIDVHHGDGVEEAFYTTDRVMTCSFHKFGEFFPG TGDIKDTGLGKGKKYAVNVPLRDGIDDDTYKNVFQPIIQHIMNWYRPGAIVLQCGGDS LAGDRLGCFNLSMRGHAACVEFIKSFNVPMIMVGGGGYTIRNVARTWTFETGLAVGED LTQEELPYNNYFEYYGPEYKLEVPSNNMANHNTRDYLDRIIAKIIDNLREMPFAPSVG MQEVPRDFNADDFNDGEDSEMEDADARQTRLITNTHFYLLRTP PHYBLDRAFT_150351 MRLKKTPQGTFYQGVSTLPPSFLQSNTKRNLYESFSEESVNKIN RVEGLVKDLEECFFTLMRDRVEELVKQTKSQDKTNELKNKLLNVKTLPAAIITNAAQL AKCHRKVMRSHMEHRPELKNVEEWKKFVSQNFDKIAEAAVGSVVKAIAKEYSSATPTN KQPYEEMRTCTVQINSLFGSDFPPIVKTFVCTRLQDSMVTSTDYTLCFSALVNMIISE LRTSESFFDNNDIKVKNVPGFNLAELLPFGTNNEPKQTIQLWTRI PHYBLDRAFT_150352 MWDGKKIINKLLDKVVLVLLRHHLARNRESKRISTTTTRNPSGK KDMRNHARYVCRAEDKKLKKLIQRKEKAGELEGEKWATKINSAKQRLANLRHTFKKKI SQMLNDRKEASVEHKLVVQDLNITEEQQDFLEEEGTLDDDVPERRLNQLKSIIKHFVF RNDTPVCLEYVKRQSPETTTTEQSVCLLICNTLMKFLPPKKQYHVIAYQMYFCIFTND VLKYARYTKFTRASCLSTSFSSSSALHLDSVTLYQLLTQNIDQEKSEEPSSHTNQQKK KGYSRMILYGYDRDELIGSQDKARQNKDATFNAVFDMREIQKACESYGLSFVHRITCL PGMKTVRLLGSRIKAHGTVTEETNQSYEARILINPSIIQEGRKTKDALFSELQNLTKE VKALESVHKRELDLLKDSNFQRKIKECKSNWGTTDDKDQLYRTIGKYKESRYKSYLTM AIRSKSKLHNVKDVPQYSRSPIEKCGKGVTKVEDRTVVNPGDFNYAGTDNGLVNMTAS IPMSLQRMQFHLKLFNYYTALSKDSNEDKDSMRESESAPVASIDMAIRNFRAKYDQRR SLRDFYNSPKIINKKRHVEIQQHRYRHHLCRRERLELKHSENKLSSKKPLILFIGDRG TGTGSRIKGFRKYGGKWKQNINGEAVNVCITNECNTSQTCIFCFSPLTNPRIPGKKEE VTKSTKAPSFVSILGLSSVMFGAAPPPFYNLSQITAEHYTTIKSDFCTRRDDLAATL PHYBLDRAFT_178202 MCPELLYRIQHNQVEWISDKFTAKCLVNVDLAFFCDLDPNQAVT IASECRKHRVPLNVTDRNDLCDFFMTSNYRDQSLQIAVSTNGEASKLSNRVRRHIASS LPPRLGDAIRRVGVLRKKVRQSDPSDVSRVRRMRWLSQICEYWSMERLAQLSDKDMED LLESYHDDEGYESVEKGNTTLLGAPATPVNTVSKMGSIVLVGAGPGDPDLLTMSAHNA IKTADLVLADKIVPAEVVALVKCELKIARKFPGNADAAQEEFNDLALAAMKQGKKVVR LKQGDPFLFGRGGEEVLFFRKHGYIAQLIPGISSSVAAPLLAGIPLTHRSVASQFLVT TGTGMKNSAAALPMFDPHRTDVFLMAIHRLAALTQDLINTQKYPTDIPCAIVERASCA DQRVVWGVLGNIVQVLDSVGGSRPPGLLIVGHAINVLKHDTLELVLYNDIYLQSYNVA AKSSLRVQKSDFIVV PHYBLDRAFT_127542 MASVWTPRAGFKLLFINGGLFFHSTCVVLAQFLSTWVAFLAPSF YLRYISFTMRMWSQGLIGIVQLFAPSPLVITLDSSCSGENVFKRDSNGNVVGLSFPKR IIVTANHQIYADWLYIWCVSYLASAHGAMKIVLKDSLKNLPIYGMGMQYFDFIFLRRK LADDKDTIQDNLKRSKKAGQPMWLLLFPEGTVISKKMRNRSEAYAKKNDLKDNQYTLL PRSTGLRLSTEALDDSVEWLYDMTLGYSGVGPNDIPEDVYTIQGIFFFGKSPKKVHVH IRRFAVKTIPKEEEAYAKWVQERWVEKDELMSHFYTHGSFPSQENQTAEIPIRLRRPF LELLQVYVCILPYIPLTIMAWPAIKQYCKKYF PHYBLDRAFT_98305 SVYLSALVAAFGGFVCGFDTGAISGIMAIPVFKTYFFTPTNMVY FQGFILALFLMTAAIGSLLSGFCCDRFSRKYSIIASAAIFCAGIFFQILGQDVTILLI GRAIGGFGAGLMSNAIALYHSEIAPPDIRGRLISFFTLMSLLGQVAGYFFTFYTSHWT SNWSWRAPWMFQITVCASCAILLNVLPFSPRWLIDHGYSEDALLVLAELHSTDVDDIA VQKEYEGIKSEIEFEQSLGHRTYSELFHGSNRKRTFISFFITISTSFTGSVAIWYYAP QIFMDAGMTDVSSSIAATGGTGILSFFATAVSLRWFVDDWGRKPIFLSGSVLMGVSMF VIGTMFSQYTVLDTNTGKVIVTNTCARGTIIAFIYIFTATFSSTYGIATFVYPAEIFN MRTRAKGLALSYSLNWVFSILIAYSVPLFITYTVSGLYFFFGTCCIICFIGCCFIPET KGRTLEEMEILF PHYBLDRAFT_117063 MPKVSTEFIAIGANRTAHTASWGLDGLVAFGANKLIALYNPLDP TSRGITETLQGHKQRVICVEFIERGNLSSVALVSGSADNTAKIWKKNSLGQWINSATL TGHTGAVETVACMKGHCIMGDTDLLATGSADGTIRIWERRILDDSNVTCLQVIDCGNK YPLALALAYLPGTTVPILASGNTDKVISIYIYQHGVSCFKRAHTLQGHDNWVRSLAFA TYTGTDANINEEATHTLRTGNLMLASASQDKYIRLWKIAPEAHLVDVDVPGSERYLFF YQNNMRSNDTEIFYSKKQYSLMFEALLMGHDDWVYSVNWERPRYSGNTFIQPLRLISA SSDKSLMIWSPDTASGVWVNEVRMGDMGGNSYLGFCGALFSPDGKHVVSHGANGSFHM WRDISTKDEENRWAPEVSISGHFKPVESVAWDPHSRYLLSASLDQSTRLYAPWCRSTE GKKVVTWHEMGRPQVHGYDLKCIAFVNDWQFVSGADEKVLRVFDAPKSCVESLAVLTG EKGLTSEESRPIGANLPALGLSNKAVFEGDIETMVNSEENHATLQSYAQSSSTPTSLL ETMVHPPFEEHLLQHTLWPEIEKLYGHGYEIICTEATHDGKYVASACKAATPEHAVVR LFDTSNWKEVKTKINAHSLTVTRVRFSHNDRWLLTVSRDRVWSISERVEGNQDVAYRL TSKNQAHARIIWDCAWSHDDKFFVTGSRDKTVKFWEQKDGTQWTCVATIKCTEPITAL DAAPILVDGR PHYBLDRAFT_77351 MSAVVELYSRLVHKDPTKYKGRLGYACINTVLRKQKVPVFCSRT CRIDTLKQKGIEYVKDLALQNVKDLKTLIEWNNENKITFMRMSSDIFPFASHDDYGYS LEYAKDNLEEIGKLAHKYNHRLTTHPGQYNQLGSPTPKVVLRTIKDLNYHADMMDYMG LPPDSIMIMQVLSHMGGVYGDKCAAMARFEKVYNDLPENIKRRLVLENDEMCYSVSDL LPLCQRLNIPLVLDWHHHSINPGEVTDLVSLVPSINETWTRRGLKPKQHYSESRKGAS SMMERRAHSDSVKNLPPTGDDVDLMIEAKDKEQAVLQLYKDYNLCDVKDESFVPYHGN ETMETKGRKSNKKVKEEAKIKVESKIKEEDEDFKQGLSHTFDTKETIPHIRTRLQARL ENSETKEVKKEANADELVVSKKRKTKSKLWLKQTLYYQQMQKQIQIRTTSITLLTRAT ALCHKWAQYQTTSRALLGSLGNILAQRLASQDQYSRVVDMGADPIRLFYKQTIAMEDT MIQIHQIMNDFSDVIQDWQRLEADATKHFQKYISTLSTFGDKRVPLSNEALIQVAAIK PEEIHSNIVNLHSMYLTEYNYKQVLLDTLPMHTSRTELLDQLTDRWARQSHIQLDIPQ EMEERLKLYKTTKKTVESVD PHYBLDRAFT_77351 MSAVVELYSRLVHKDPTKYKGRLGYACINTVLRKQKVPVFCSRT CRIDTLKQKGIEYVKDLALQNVKDLKTLIEWNNENKITFMRMSSDIFPFASHDDYGYS LEYAKDNLEEIGKLAHKYNHRLTTHPGQYNQLGSPTPKVVLRTIKDLNYHADMMDYMG LPPDSIMIMQVLSHMGGVYGDKCAAMARFEKVYNDLPENIKRRLVLENDEMCYSVSDL LPLCQRLNIPLVLDWHHHSINPGEVTDLVSLVPSINETWTRRGLKPKQHYSESRKGAS SMMERRAHSDSVKNLPPTGDDVDLMIEAKDKEQAVLQLYKDYNLCDVKDESFVPYHGN ETMETKGRKSNKKVKEEAKIKVESKIKEEDEDFKQGLSHTFDTKETIPHIRTRLQARL ENSETKEVKKEANADELVVSKKRKTKSK PHYBLDRAFT_173182 MDDTFVTPQTKDAIVIYLRSTMFTMLYLGACLACTTWQTIVSAI MAWRSGKLIHWAVFAAIFLSFCSIAASLISPIFFLDCTMRFWVSIIAIDLGGCCVHTI LLYKAYVCYQRKLWVIMIGLIINMGYIALICIYGTFGRTKSSMDVAGNCTIEDMHWQA YAKLGLDLGSNSFLSLAFLLIIYQHYRLFGSYSLRTLLSNGIIFFVGVFFSNLVIAIL ISLEVFGTLSANLYAIDWAITGYLLIKQFRIENVKDEQDELEVGTQIGNQPLQEIPND NYSMDASQGILTISSPGPTVYVDYGQSNYCRNCHTSIDFTKSQFSHIEIK PHYBLDRAFT_127547 MINVVARSTYLRACLNPSRSFVKKSMLLNRASFHSAIGAVAIPK LSDPSLLRHRAFVNNEWVSGNTSDVFAVHDPATSKEIGLLPDMGTEETRDAIQHASKA FQEWSQTTGKTRHDLLKKWYDAVMENQEDLATILTWENGKTIGEARGEIAYGATFFEW FAEEAVRTYGTVIPSHMPSQRFVTIKQPVGVVGIVTPWNFPTAMITRKVGAALAAGCT VVIKPGAETPYSALALCELAQRVGIPAGVVNVVTTHKHVADVGKELCLNPTIKKISFT GSTQVGKLLMSQASSTMKKVSMELGGNAAFIVFDDADIDAAVEGALASKYRGTGQTCV CANRIFVQKGVYDKFAHRLAEKVREFKVGHGFSIETTHGPMINEKATEKVKHHIEDAL SKGAKLLVGGKHLGGSFFEPTVLTGMTKDMAVSDEETFGPIAALYEFDTEEEVIQAAN DTPFGLAGYFFSRDIGRVWRVAEKLETGMVGVNSGIISNCYAPFGGVKESGVGREGSL HGIDDYMNIKYINMGGI PHYBLDRAFT_127548 MSTAGIERSHRAILFPGQGSQFIGMGRDLYHLYPRSAKLVFDEV DEALGYGLRALIFQGQQEVLKLTENAQPAILTTSIAMLRVLEIEFGFDVAKACNYALG HSLGEYSALVATRSMSLTDAVRLVRLRGEAMTRTVSSKNIQTAMSALVVRKGRLPELE EAIKEINEKLPKDELVALANINSSFQVVISGTSKGVDEASRVLQERRFAARAVDLPVS APFHCSLMKEAAQVMEDALQNVIFKKPCVNVISNVTARPYANVEEIPKLLVNQITSTV EWQRSIHYCKEEDVEEFLCFGPGKVLANLLKKEYPLDCVKSITSADDILRHTEEYSSK PHYBLDRAFT_127551 MSAADRLSTIQGHLSGNYPKGLLAGEVAIITGSGQGIGKSCAEL FAREGAFVVVTDIDAAKSDQVSADINAAGGKAISIAGDITNPEFPEILVEGTVKAFGK INHIVNNAGFTYDGMIHKITDKQWDIMLAVHNTSPFKIVRAAAKYLRKKDGENKCVIN VSSTSGLHGNIGQANYATAKAGIVGLTKTIAKEWGVFGVRANTVAFGWVDTRLTRAKE SGAAIEIDGQKVALGIPTGAAKAAVNPFADIPLGRAGNADEVAGSVLMLCTPLASYIT GHTLEVTGGRGI PHYBLDRAFT_19333 DSDEEVYATAAAIDAQNNYDSDENVQVIRKEIEPLARVSHSSIT YPPIEKCFYEEHPDIARLTQEQVQRLRQELQLHVSGNRPAKPCVSFAHFGFDEDLIAS VLKAGYTEPSAIQKQAIPVTLEGRDIIGIAKTGSGKTAAFVLPMLVHIMDQEELAKGD GPIGLILAPTRELAVQIYSEAKRFAKAYGLKVAAVYGGASKMDQFKELRSGTVEIMVA TPGRLIDMIKMKATNLRRVSYLVLDEADRMFDLGFEPQVRSICDNVRPDRQTLLFSAT FQKRVEQLARQVTSDPIRISVGNAGQANEDITQVAIILEDDMYKWDWLMRYLAGFCVE GSVIIFVSRKGAVDILASNLQEAGFQCGALHGDLIQYDREKVLKDFRANKFNILVATD VAARGLDIKTVKTVVNYDLARDMDSHVHRVGRTGRAGEKGTAYTILTKKEDRFAGELV RHFEQSGQLVSQELQALAMQNGRFRGSREFKESRGRGRGRGKGRGQGKHSVLHIITMQ LYLNS PHYBLDRAFT_173187 MKDKENWVNMYVYKYPHFGNRTSNRAESAHVSLKHSLGTSSGKL MTVTLKVKKWYQELVDDRKCRLMTECLGENTEVVFDKVNGARLNDIRQKISCFAMDKI KLELSKSIIPEKLTKECKCLLHYNYLLPCYHKLAIFNTIPISLIPRRWRKDYLEGEEP VPANIAKITTISPQFDHDLELVHEGFHSTHSKQEQIDIHNLVKNILEKMTKQKLEDLN GPTIVEAIKGRPKNTKRKMIALEHCIEAEKEKDTKKIKIAKEQKNFALFSRKQISSTQ EQKALQNITNLGLPIDHTILTNLTIAPKHITEVFSPEADGNCGYRAIAMEIYQDQEKW PEVKENMLEAYLKYQHTYYQGRMENDNMPASTNPLIISLKDTRSSLPQQHWFGTIDHP QLVADAFNRSVAVYWNTPRETGDCLFIPLTTTPEKFKPIIIILDINHFLLAKRKPIRN FTWPKINPFHKVIVKRYGLSDNSIMY PHYBLDRAFT_150365 MIEQDLGISPTAEVKGTINTCIKHICDQLAALSSVQILRPNPSW TSIPQEDWTRMCVSHSHVLKNYGIDFTRCHKNWASITKVSQLWRSCRKQHLSANTINE PHYBLDRAFT_63318 MSNINNTNNTNDLVIVSETSSKKYNTALTEFNSIFLVGRQFSST VAVREAVKTYGATHNIAFSTMFSSETCIKIICKHAGKYRDTHKAAKIALETSTSKESP LPGWERKHVKDTQKHGCQCFVYASKKKDGRVAVHLCEAQHNHPIEEDRKAYAMHQKLL PEDMALVTRHLENNDDVSIIFNSLKIYGYTNVVCQDIENIKQHFGKDYEGKEMFGFII TLQDLDFYIHYTVDNTDDKRINMVFFVHKNAIALQEKKWGFSWGFSWRFGLGLSCLLM LAFIHIPLYLPICYTLILIGSKRIEKGFYWYE PHYBLDRAFT_173190 MNNTDNNSISLLHIMYNEILSLKAGQEKAKLEMKAQISELKLEM KMSIEDLNLEITALQSQLENRNIPNQHTSPSVSVISSANIIRKPVSIFHDITLKHIVV NEY PHYBLDRAFT_150368 MISEDLDIEITSNEKATLNMCTKLICDDMAAHPSLITLGPNPSW GSIPTSLDVSETGHQLQELHISEGTAIKDYSLGTYESKAALRVVQKRTKKNKCSALLC VKGFFKTFKFYEFVVTKDHAEHTPGDMPSDICTLPLAKKYLHELAQQLEQSSKSASQI RIDMLRAINEKLYHFDKDQMTSFLIWINNKLPALNFNIFKANTSYSPDPSAFAYGFMS PVQQEKMKTATSFCLDATHAISSNMNEILYTLLVRDEDIGRGWPVAFMVTNDQGVSPI VQWLQFLKRSSLLVDPKQFTIDCCAAEVHAIQTTFPATSIQFSIFHVTQAWNQKLSDS VKILGSLLSQAQILHGFKEDFDDQESFLDYFERNWCTEAKFKIWSRAYHEQQFSHMLT NNYIES PHYBLDRAFT_173192 MKRYRNKRLDKLVFVLVHDVEYYLTQEYKRVMSNNGPMSSFTRQ QRIREMEAEEVNDDDREMMIVAPGTAEDVNWQVSSFVNENTAYVVQIAEPNLIISCTC FDYQQRYKPSTITPTISCTSAFIQQCIDINQTLWYANQDLLTMQQYMTEDDGQTLFDA YQRSLQVFQSMKNKYEVHLRRSHTQESNYNYLAFFSKKCFVN PHYBLDRAFT_173193 MYDVGEECENDIDEIEDTIPLLVFDFSQPLPVLSNDEKKNLAFM QLIQEFGISCQAHKKIVEHFNQIFNCSINITYQACSPHLGNKLLERFLGIKADGYDIC IRGCMQFNNENDIACVKCGEARYKNGQTSESDTRVPYFRMLPGTQTRSLESFRNYNLA SSEDRKGLNGQSPLASMETFSGPFFFALDEMHGLCHRIGKQVWGLVRGKYGIKHPLCL SLATQREIGAAMVAAKSTIPTLLHGAWRDVTKNAGFFRAVDWADFLLFVVPTLVAERV QDLVARNALLGLVQTCNLLMSWELSAEDQTSIRSNLVEWNLFLESLLSTADIDIGVFT INQHIIQHYPQMIDLYGPPRAYSIRSLERAVVSVNAGNIMIRLAQSRRVAELTTVANT KTPPANLLVYSAYTNGWPVTEGGDPANAECEIEFWGPLKNLTIFDSFEDRSHLSLLLK TFYDLKAEEFSMLEPSIKTSRKAYLNGCVIDAAFNQSSTREACHVHVQLQVDMNSRRS RSYRPGYKHFFGKVVIFFQHVYNSKRWPLALITIYSVHLKNGLPITSVVKPKTIVIHA SDIVELVGLVPSNVNGSHYIIWPSLKRGPKLTLGALSDI PHYBLDRAFT_74217 TVTGIIPRPVSKINDITLKHIYKMITDNLGIELTKKTKRIVNTC TKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGIDFTRCHRNWAS IARVSQLWRGRKKREYSGILASTIHE PHYBLDRAFT_150373 MNNTDNTVIQLLQGIQAALISLKSGQEALLGRQEALEKRQDVMQ LQRTSFYNEFKDWEFTDRTIVTSTSTVTGIIPRPVSKINDITLKHIYKMITDNLGIEL TKKTKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGGILGGLLGGSFGKTSKKTIPIFGNIIRSP LTDGC PHYBLDRAFT_63313 MTNRAELLANGKVSQTVSLPDQSQFISAMIPNLAFGENINTSSN EDIDIIDSTEDDKPMYDVGEECENDIDEIEDTTPLLGFDFSQPLPVLSNNEKKNLAFM QLIQEFGISCQAHKKIVEHFNQIFNCSINITYQACSPHLENKLLEHFLGIKADGYNIC IRDCMQFNNKNDIACVKCGEARYRNGQTSKSDTRVPVRSIVQLPLARQLALCLADDKT RAEMLYHHNHQSSQDGQKADIFNGHVYQSMKHLFSGENNIAISLSIDGFNSHNVSGSV TIIHATVLNLSPTIHYEKNRMIQIAMLPGRTGPRDIWFFLEPMLRDPCLLQTEGIEVK TLTMTIRAKMHVLMATGDILALAKLACHVDHTMGQTPKHDQYFRMLLGTQTCSLESFR NYNLASSEDRKGLNGQLLLVVMETFSDPFFFVLDEIHGLCHGIDKQYGIKHPLYLSLA TQREIGAAMVAAKSTIPTSLHGVWRDVTKNASFFRTVDWADFLLFVVPTLVAEHVLDS VTQKALLGLVQTCNLLMSWELSAENQTLMRSHHWKNRVISSGSNVPKM PHYBLDRAFT_173197 MRHKVVFQDDGGHLLLEYYFLQPAFCQARYQICVSLFDYTLSDI SRMYLYSKTTGIVLIILKNMFISILCQVFSAFIPAIKRARSISDYNSYSIKTVAKLGF LSRKDFPIK PHYBLDRAFT_173198 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMVRNKAGRRRNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_173199 MSNNNNNSECKCSKCSPNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSISGVNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFERLSG RECGNALFFATSRALTIPKKIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDP MHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMK ADEWKSWCLVYSPVLLRGRLPEAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAF CRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDG FEGTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFD INAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYEHLLEYYRETYDDQTLV HYRQAGHSDNFVNNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAG QIQYLFVNTAVNSFAGHVSQHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSIL PVHRICYPVAVGEHLGLEGEVQMCVVPLPRKIYI PHYBLDRAFT_63311 MATSPKKKSRRHFLQKRYYPQIIDLYGLPRAYSTRSVERAIGEY SRSIKSNSQHVAELTTVANTKTLPANLLVYSEYTNGWPVTEGGNPANAECEIEFWGPL KNLTIFDSFEDRSHLSLLLKTFYDLKGEECSMLEPSIKTSRKAYLNGCVIDAAFNQSS TREACHVHVQLQVDMNSRRSYSYHPGYKHFFGKVCAFEKWIAYYICMIHASDIVELVG LVPSNVNGSHYIIWSSLKRGPKLALGALSDI PHYBLDRAFT_173201 MFKSSFNKLYEIIKDHSLYKSPKGHKQTDVKLQLALVLERLGSD GNAVSYSRLAQRSGVGEGSVLNFTVRFFKTDDMMTMTMKISWEKKEKEEIERISREGV TGTVDDYPAMSLSDENAKLKRIHIKEEILIKNGDGNLLKKIKNKEINYLKSRNDI PHYBLDRAFT_63309 MSNSNSKISLFTEDNHAIKNEYKTWYKHDDGKDGLISMKRLQQF MLMNGGENLNMYLGGDKEGRMFKSSKVTILNKCNQYFQKQGVYQTTAQIKSRLNNLLT KQYGEAYRVWKNSIKNNSNNEGSTSEKEGLESELNQICLAFFQMEKVMGNRNTGSPAV CDTTKPMEWIHDENSKQSNGDDDNTDKESAENSHSSNN PHYBLDRAFT_173203 MSFYVTYFPWKQFGECQKATLLVSPMASKLEFDTRQFSAENCKK FAEAIYEKSQVYKHIVGFMDGTMQKNCYPQEKADQKIIYSEWKHIHYLKYYAIVTPDD ITSSLLGPFTESRTLDCLILHLSTLTSERNSGLHYVIYGDQAYQKSLYVYRSFTVYEI KEHSSLKEVNRKMSQTRVQVKMEFGKISQYFKYCKYHYSFSIERATIAIFGLPPPKIE EYIARLMCEQQSRDTINNVNTILDNLSNLV PHYBLDRAFT_150380 MQEEDKFKEGKILKEALDLAKTILANDRGNEMMHIALYSHSEPE MLNTDDSSSGQQAVAETLTGNEEDEREDKRVKRDDDSSDVLFENSDDVYSDAIINRKI LYLLEKQDCHLEKQA PHYBLDRAFT_63305 MPCKEKWAGYLTSQLKHFDCVTTQRVESGHNALKRKISALQSLN SSFEQICSYLLQFEGDYQDLELNEATITDARIYHEPRLRGLIHHVSRIGLITIRAELL EEVVPGELCNCRVKVVFGLPCRHDLPRDRMLLLSDILERWILSSSLGERLKQLECDVS LQKIDVEKPAPWVKCITKLEQLFRQCEGNQQVQNLMAMVDELVDNAGEIIDHPNVVFP SASEVKAPGRPKHVKRKTALPKDFVCHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLE EEPLKKKLTSLKKQEPLEETKTTNFAKKQEPLEEAEKYSSGIKRPKHLQDDYWYDLPS PKKQNKNVHDFALPAQIDQAAISLTFNPKYDGWCGFRVFAHLKEGGEDQFPLVKKKML ATMATHSKRYEHNFGMDVAEVTEVIAFGSKIDPALGENIPSCPSSMWFSAPDCAQIIA DTYNKPVCVYSDDRSVLPVTFLPLHDWKPLKRKPLPMVLHHVHGCHWTTIKVKPHLHR SWPEVNALYFDAIRRGSIIDCFPQVGIIGVSSQRTSLIFYPPLLQLLQLLLLPLTLLQ ILP PHYBLDRAFT_63304 MSSQPSGSSSERIVVNWLTGQVNYDQWKRKPANAIIKATLCSEI QSILISNGITHESIHNIQGQIAHLHKNYKQRKGRAMFVYFNELDPSFLNDTDVEHVLN VRSGHASMTVLNLMHQEHENVEVAEDDDETGEESKDKDDKEIEEVDRQVVARYLSAAT TVGNNCPSKRAHTNIDKSLFEFMKRSSDLERKKIERVERQLKFETKIQSRWLEIEERQ IVVKEKNAEICKRKLDLDEREIALEQDKIQIDKSRTQIQHAEMLKGL PHYBLDRAFT_63303 MNPVFNNTAERQQVDISIQMMVALKCLEIYGTGVTAGSIAQTIG QQCRTGMTQENVDFNTVHSNICVSVEHCIRILKERFQSLKGLKTVIHTKKDIRKMCY PHYBLDRAFT_173207 MSVNSETESETLRISCQYNYVLQQSYLCTYSEYLRYNFEAYLDI KVKNEEKATLQVFTKIICDELAVHPLVKDLGSCPSWRSISVIIRKQICAKHTMLMKNV SINLTRCHES PHYBLDRAFT_150383 MDDIHVKTSPLIFDSSQPTPVPNNDDAKNLEFIKIIKNFGISCE AHEMIACHFNKILETSTDITYRACFSYLGDKLLERFSFVKGDKYDICHNDCKLYNGSH ETVCSNCGEARYKNNAKDKDGLIISVKTMIQISLTRQLALCLADNTIRNEMIYCHNHQ SSQNGNKSDVFDGQAYQSIKHLFSDENYIAILLSVDDFHCKNHWELL PHYBLDRAFT_150384 MAPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIAPRPENI SIPVSEFNDVVSLLATLNDKMTAVSSNVSELKVQCQVGAQSTGMQAVLDSDMDPQDII SSSRHPKISSIIRGRLRDINLKTDDLELIRENDDKPTWDVNIGLSDEFNKNLASDLML YIRCQPVAAMVPPKELCGIIVNSYYNRLAASKLTEEDRQTNTTSNRRGNRKTALNKRR KRTYTKHKDAVTEKFNWDYNGVFYRDAMSGDETETDTSVLNTVFDFLDELARDDLGKR ATQLKSRSHVLVHETIPHGSVTKMPTWSKRV PHYBLDRAFT_117270 NLPREERNKAENVILVGLMPGPKEASTDEINNYLRPLVDELMLL YKGITIDTYNCSGALVRVALLMVACDIPAARKTCGFTSHNSTCACYKCNRQFACVDGT TAVNYFGLKFSEWVGRTKEENCRHANLWKNAKTLTERKRLEIENGVCWSELHRLVYFE PVRATIIDPMHNLFLGTAKRMMDIWIANNLLDDKDFVEMQEEANRMVLPVGYTTLKIK IGKKFLFMKAYE PHYBLDRAFT_173211 MSQQSAVNSTIVSGEETARVNSGVIPPPSAAGSADHDGDTVMTP LCSEDGVFDSEEGSSGSDAGRSGYEGANSPRIYLGNSGVRVNNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEQILKDLKASTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRDVFDSVYDFCQEFTTVLEAHSLTLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLDHYDTPFRKFLNMGRVWCMK QGKGESARSFGAKFQKFRRQASLDDGVQLVLCFWWNLRPEVREACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSHKGKKRSFS CERGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEREKYLTKVSRMAVRSSAGRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFPILA NNSIRTISLLDCGATFSSVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFIRLADSN TQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSY DDADSSEERREADRRFNNKSDLLESIERENERKENNPAVGPKQFEDAMDYIRPFIKDN QDIPKGSFCTIPESVVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPEGIK DINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_150387 MFSIANPDEVRCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAEVNTGEADMDVDQIEEHIEYDNYSDGAPSPEQYVNTHLPLLVEESL FETEEYTSEYESEYESSDEFEQEQESTENLPENIWHRVIAVFTVIFISSFIVDEGAVI LITFINTILEHYGEDFRLPTSIPGWRKMTGYNDLTNGISKYVACSNCHTLYDYSNNTH VVIQTLGCWIYHI PHYBLDRAFT_68901 MTWVVIFWLLLSIIPGVFAVFASHKVLGLVTILHIIVLNLPSVV LYKCKLMIQIAMIPATTVPADFWSFLEPIIKSLEILQADKMVIKTPTKTMRAKVHVLM ATDNILALTKLACHAGHTSKNGCCICNVVRQTSGHGQYFRTLPSNIIRILGSFRSFNP DIVLCKGLKEQSLFASLTSFTDPFFFALDEMHGLCHRISKQI PHYBLDRAFT_150389 MHSTHELRVISGGTNLYKKHYPAMIDAFGPPRAYSTRLLEKAIG ECSQLIKGNSAIGANARNIMLRLAHTRRVDSAGWLITEKDEHVEVDSDIEFWGPLGCK TIHDSIEEISCLPVLLAKFYESKGVECSTINPALTTSCKAFVNGCVINSALAHKVQRE AHHVCLQLQVNKAINARPGLSPALKDFFGKVVLFFEHINERKRWSLALVLVYSTMLYN GVLVVRNGQMKPKVVHLAHVKKLVGLVVSDVTVNTTAAMTMAYIVWPELNRGPKLSLC SFADI PHYBLDRAFT_150391 MDIECRFCGAMMWAHEKNSRSSLRSSMFSMCCNKGKHVLPQIEP TPTGIAELLNYRTRDRKKFLENIQSYNSTMSFTSLGAKIDTSVGNNINGAYNFWIHGT ICHRIGSILPVTESDITHTKFAKIYIYDSAAQIDQRQYHSSQLERSVLEKIQSILMET NPFVHLFRTMNQISWEKRQSIDLTLHLVAEGPQDQRWYNAPTASEIAVLIMNNEEGTS RDIVLHTRANFQQNINEYHQSYDALHYVLLFPHGEDGWTIDASSLSEEHVTHLLYLFG HLFQQYIVDMYTKVEHNRLYFITSNQNCLHVDLYSGIQDAVIHNDCNLANLGKKAILP YSFIGSLWYMAQLYQDSMSIVRRFGKPDLFITFTCNSKWPEITNSLLAGQKANDRPDL CSRRGLSHVHILLILQPQDKPKTIEDFDKIVLAEIPDPETEPLAYETVKQCMMHGPYG KKYPKASCMKNGICSKNYLKKLCNETSNGHDRAIVCVQLMPTNSAPQQQQEHVNKVNE FLDARYVSDSEAWWRIFSFKLHQEYRSHQRLSIHLENA PHYBLDRAFT_150392 MSSTQRCCAACHMLGHSRSTHKQCLMNPKNISLHIPQKKTNVNE YPAETAALRIRSESVQDQNLDIETLTSISVSELTEFPLANETITEVLEAVMEEEIEET SSNEEDQFYSIVLTVTELIIAKLQADFVQTITVAEQEDLEIEAEA PHYBLDRAFT_150393 MSTLLPSSVMQGMSPDLASFLGNMQAQFMSLQQRTNELESLAAT NAKLTAQLVNAEKLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTEASTWATTAA AAHNSVVVPTALSVCKTPRPPSVRQVAASARMFAIPTGPKGYQYVYIPRSRRLTHREV RNSLKTLGVDTGRILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCMKALKYLRPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGIHDFQSPSRRTSVAMSE PHYBLDRAFT_173217 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQTQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKESGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVC LYLPPNMPTHDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSRGLALCSWIEER SLSVVNADLAPCIPTYISFHNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLL SLSFTYDLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQDIVQNP PLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRRNQCYKKW RLAIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRR RQPQHTFQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPFASDDSPFT SPIVEEFMQFMPNRKAPGPDHIRAEMLKPIKTHFAGNGHMFQSIATHRRSGTLATMAT LNSVGACRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQDIKVLESIQDKCLRMIVG GHATSSTIVLKHICNLPSMKFRADALMAKFCIRSRFSPAQCLLSLLHRHHTVYSSLVS LGKTHLLSNLPPTLKLRSPSAVKNHFESIREAGFATFLQSNTQVLIQACRPVLGVDPI LFLPASRVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLLDCPLVPMALFEQLPQPD QDQIHRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICNPDGDYSHETEHGALWI PHYBLDRAFT_173218 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNNEPGLEAST WASKASVSLPVTAPKMSAVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDIIFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVC LYLPPNMPTHDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSRGLALCSWIEER SLSVVNADLAPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLL SLSFTYDLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHIFTQNSTSLLSTLQDIVQNP PLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRHNQCYKKW RLVIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRR RQPQHTFQHDDGPAVAAATMCDYLVTVYSGHILPATRPPAPMTTCNSVPFASDDSPFT SPIVEEFVQFMPNRKAPGPDHIRAEMLKPVKTHVSPILTLLFTVCWQWSYVPTISTLL KQDIKVLESIQDKCLCMIVGGHATSSTIVLKHICNLPSMKFRADVLIVKFCIRSHFLP AQCLLSLLHRHHTIYSSLVSLGKTNLLSHLPPTLKLQSPSAVKNHFESIREAGFTTFL QSNTQVLIQACRPVLGVDPILFLPASHVERGRLIRWRMGWLPGKPKECPCGSDHTSRC HLLDCPLVPVALFEQLPQPDHDQIHRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDI ICNPDGDYSHETEHGALWI PHYBLDRAFT_102536 VCPHCNGPRYQHERGTISPVQTYQVVPLSEKLRFKLAHPEEWAK IAYGMEVLAGGRSNVCKDILDGDGIHRLLAGGIVGQGDMVVSMFVDQFNPFKDAAMSA SIIHIINMNINPAKRYKKGNMMQLAIIPEPKHPKNIASFLEPILANLRALQTSGVKCW DRDQ PHYBLDRAFT_150399 MSEDSLRRQSEQSSKSASQICIDMLRAIDRYGRNSEHKVNYYDI WNLMNKKLPAMNFSIFGANTSYSPSLNVFACGFMLLIQQNKTKNAASFCLDIMSGISE KIDEILYTLLIRDEEIGRGWPVAYMITNDRAKVYAIQTTFSTTQIQFCIFHVTQAWNR KLSDSVKISGSLPSEAHLLLSEMMKSLQEIVYEEDLDQFHHKLYDRVMSNNRAMSAFT REQRICEMEAEEDDDEREVRIVASDSVDNSSSPSLRKHKDRHPEILKPIKSNISSGCS LLKVSNQDVSLVRCKTTPQWAAVTLPAESTQLLGCPWGEGRGMVLPPMTGCKWFTSGG LPQTGCSVAASPPSNLASNLVSSRAYAVSSITSQRFNCAMLSNDIFRTVKKM PHYBLDRAFT_150400 MSTNNIQSNKNIYTLATISEALECTSVPGVITLRLDTTIKVRTS EWRDCLLEIGESCAVKWIIHNSNKQPTDITAEEAKDSDIKMCFSQEYSCHHAGTYESK AAMRVVQKRT PHYBLDRAFT_150401 MSTPSAIEFVSHQEYDNQSHSWMKPDVLSAERQCRQSEEVSWWL QKQKKVKVSKTRVINDCCQYFDKQGVKRTSSQIKSKLTYLIVKQYSIAFKAWEESTMR ISKEEMSQLYLNDICPNFTQMRKVLGENMSKGEDGENNGGDKASETSKSCKDQEEQGT DVSNEISSQREAVVQSKSESSTSKHSDSSAYSGKRQPKFFGKIVKNTAKRSVKSIEDI GHGIKEFNDARISLLESK PHYBLDRAFT_150402 MPHTPINILAKSTLDASAAITQHTLKKMIKMLSGSNVIACEEYH DTYEDFASICKLKLQQEKLMVTKAQDKISKGRYFFKRQNKIKKLVTQKKRLNFLLALD ESGFLEELQMSKSSFYKLYDLVKNHKLYQQLSGFDSINVRLQISIVLDQLGSNGNSLS SGHLARCSGIGKQIESSIENITTRFFKDFQTLLDFLADAYLSWQKHYCESQSSFSVTD LLTVFFGSLHDMCTLSECELGKFPEQFFSDDKYMLADARYKATNYIVPIKKKLRNSEL SLADQKFNTKILSMHLES PHYBLDRAFT_73050 KELDRLDLIPELNSWLDKEPKPLKPATCLITGQPAIYRDPSTMV PFSNKETFGVIRACENHEMRWSPNLGVYLGRLTGATGVPDGWDQMIAGKAMGEPDWVN KNGNPARPSWMRAYGEPCPKKSLETKRTMDTKRHAGSPGPSTVLLEDLYKHENTDPTF LGSLTNCDGSVKDTTGSCIENSPDSKKLSISVATMTFFLRHGLFNQHEAFKKKV PHYBLDRAFT_150405 MAPITFSSPLQPNQRLIRKAIARQLRLAHPTRSLNGRIYARTSM AVHSHTTKDTLHARLISLREEKHALFLMLKKRMEHKRESAIQYH PHYBLDRAFT_173226 MRPTLYPAAEELIRNKKQQKLDEQEQGLQEKSFTSTKRKRHKHN NTLGELRAKKAAYVLVVCNSCGAQGHSTKRSPICPNHDMTLLQLLNRDLGPHQRYTLS ITLDSFISNNDHIQRARGRIILQSSFLREVLPHHYPRLQHLQALYTEPMQTNGVNLTV SLNGLKNYGAVVSSACMTIATTYNNCYYLSIMAIKNIVYEHLLDNLLIKLPAPFQIPD QILPDGYYTFSTFCLSK PHYBLDRAFT_183190 MGNQASKVIDKSKEKRKRTTKRRQSTITLSARSTVSHHSLQSHG NYDWLEESQETALSARVIHVAMAKASAVSQSSSISSHPSHISTSSSSSSHIPTHGPPG ARRKSITEFFTRRKQSISRPTIFSENEFREHDRLQRQHYLLKSARKSNHWATLDTPAV ILDMGTGNGIWALEMASQFPQAQVLGMDLRPPHEQQGGPKNLRYVEADIRQSWPMGDN SIDFIFQRNMGQVIQKDQWSHVLSEMRRVLKPGGYIELVESDLWHHNPGPVQQAFDEF FEGQCADLGLDFMFTDRLKDQIEQVGGFGSVDMRALDIPAGEWPAEAELKQFGFINKE TQKAFLRNCKTFYVSKWGITSDDYDLAVGEVMEEFEEYHGFTRFNCWIAQKS PHYBLDRAFT_183191 MLNNQQGCSALLENLFAPSMGCHTNEDGSTRPAPTDIPFGSLQA AQDYATAMNYCRDEIMQELDALDKSVIQPTDQLAALTKVIQKTIVKRQHKLLDYDRFR TSHQKYAAIADKGPSEEKHMIKLQSQLETATQDYFYLNNLLKRDLAEFLRLAALFIQP VLEDFYEIQCRVLGGMYGRIYEVAETHRAVFLTLDKSLQVGYEWRLGQRPVDQEMKQL SILQKSTGAQLGYRADSLEDRMKNRDPVYNNNPDRLSTASLKPAMSAKPLMAPKPTMA PKPTMTPKPAMGSKSYNHYQQQQQYEEKDEEGEYMGSRFGSLALSSPSPPQTTTNKPK TRMPPPPPPPKPKAKAKVAVVHYVIALYDLDAQQAGDLTFRQNDRIELIERTADEQDW WKGRLGDQVGMFPGNYVTLE PHYBLDRAFT_92451 QVAIKRCKLDPDRTYRAAILRELKIMSGNHANLIQLKEVTVWRD AIWIAMDLMRCSVFAVLCRRGMPEDHTILVARQTILALEHLHSKGVLHRDLKCENLLL GWQGQVKLADFGLSARVARPQRDRLGTSKWMAPEVIRQDHYNEKIDMWSLGITLIEMM PHYBLDRAFT_75347 MSNVIDFSKAKDYLKTYGNRDGLSVEALLDEQLSGGLTYNDFLI LPGFIDFAAEKASLESKITRNITLKTPFLSSPMDTVTETDMAISMALLGGIGIIHHNC SPEEQADMVRKVKKFENGFIIDPVVLSPTNTVADVKAIKEKSGFCGIPITETGKMHGK LVGIVTARDIQFHQDDSSSLKDIMTKDLVVAKEGVTLTEANNILASSKKGKLPIVDSQ GNLVSLLARSDLLKNQNYPLASKAPDSKQLLCGAAIGTRPDDRDRLELLVNAGLDVVV LDSSQGNSVYQIEMIQWIKKTFPKLEVIAGNVVTREQAASLIAAGADGLRIGMGSGSI CITQEVMACGRPQATAVYRVSEFAAKFGVPTIADGGIGNVGHIVKATALGASAVMMGG LLAGTTESPGEYFYREGQRLKRYRGMGSIDAMNNKSGKDGNAATKRYFSEGDAVKVAQ GVVGNVVDKGSVRKFLGYLHTGVQHSLQDIGCRSLAEFHESVANGTVRFEKRTAAAQM EGGVHGLHSFEKKLFS PHYBLDRAFT_188680 MAMNVDPNSAIYTTDEYGRPFIIVREGQTKSRLSGIEAIRSHIL AAKTVATIIKTSLGPRGLDKILISPDGDITVTNDGATILDQMAVEHQIAKLLVQLSKS QDDEIGDGTTGVVVLAGSLLEQCEQLLDRGIHPIRIADGFERACNVAVTHLESISDTI EFSKDNVSNLKKTAMTSLGSKIVSKCHEQFADIAVNAVLAVADLERKDVDFELIKVDG KVGGSMQDTLLVQGVVIDKDMSHPQMPREVHDAKIAILTCPFEPPKPKTKHKLDITSV EEYEKLQAYETKMFENMINQVKDSGANLVICQWGFDDEANHLLLQNKLPAVRWVGGPE IELIAIATNGRIVPRFEDLTPGKLGKAGVVRELSFGTTKDRMLVIEECANTRAVTVFV RGGNKMIIDEAKRSLHDAICAVRNLVRDNRVVYGGGAAEIACSLAVSKVADEISSIEQ YAMRAFADALDATPLALAENSGLSPIETLAEVKAKQTLTGNPRLGVDCLYKGSNDMKD QHVYDPLISKRQQFLLATQLVKMILKIDDVITTVGNEA PHYBLDRAFT_183194 MSDGPPIPPRAKLVVGDLLPPDAFTPAALLEWLLHYTTTSNHQH NFTLLYNPEVDLHSKTYVCMTCHYGIELLAETPRFCTANQYQTHHYHYKTDHYQCCGC SYTIQATFNPPLVSLDLLGRLAATRPNYQTLADRISQPDKILPTLETTFTAALQFTKD LIKGSRRDINSRNVNLEIKLGVDPASIELLNLLGFELKDSFFIAPSEAYVTVNKDRLL RIQHELALFLESCRSPTNNTALDISSANPLIAEWVGVNEILEPTTGRQVETFRLFGTI PTAKDDLVIWLYQMVLKEHPNDSAMYLDCLIDAANLSRSNLLLTEVALERSRGKVGYK EIREACENFDIKQDVAVDDRLLTELYRIKVSDEPHNKNKHRDHLKVIAIARRSEALYD FLKDEVPDMPKLIHQDGTATQDWNTNSEEIPVGLNNIGNTCYFNSLLQYYFTLLPLRK TVLNIDSYVENEDDPNWVPKKIGGITVDQHEVRRAKKFVYLLRSLFINLQNTSQRAIS PEYDLAYMALLNEKDVEEAAAEAAAEAVASVSASAVEASSTPIESLQTIDQQNVAGPS NSQHSPPLVDLSDPRTDSSFPNTDMSPQEENTTTTTGTGSKQNLGPLFKAKKDVDNFE PAAKVEVTSAAKFEDITGRDNNAEQTTKSIPVSEANVFNSIPKHTIAADALEAPRTKP AFSDTRSVTTTSLVKIDEDTISGSMSHIEQVVNKDQPVPVGVPYPEAEPADNTAPALV PDSALAPNQGSGTKPFQSSDSLNESQILSQPNRVGVDTLLEGYTEPGLEATPMDVIDE AYPIEKIDTSLPEFDMPPAYEDLVGTPAPQNNKVPPPITAKRPPPNPATMMFGKQQDV TECMDNVTYLIEAALKPVETQDGEQVRDMVRDLFYGKARQILTYQDTQTSQNIKKIKE EEFSHLIVDAAQGKDLYDGLDEYFFADQVENFQGGREATREVTVSTFPPVLQIIVQRV QFDRATANVYKSNAFIQFEKIIYLDRYADRNFDSLAEKRAEVTNWRKEEEIHKAKIDE LINNKANMLEATANILEIQMAQQPQNAEQHEAAIQFLLKEAREARETIQESTCAIEVL RSKIKNQYSSLTKDAYRIHAVFIHQGQANYGHYWVYMYDKPTDQWWKYNDSHVTKVEE AELFRDTSGSTANPYFLVYVREEDSDALVETVAKKEIPHVLSAA PHYBLDRAFT_1798 PFQTEENPASLFEKIGQIGEGTYGKVYKAKNCKTGHLMALKRIR MKTEKDGFPITAMREIKLLQELKHERIIELQQIMVAKGSVYMVLEYMDHDLAGILGHP NFKFQASHAKSLVKQMLEGLAYIHHMGILHRDIKGSNLLINNKGELKIADFGLARVFQ KNRTHDYTNRVITLWYRPPELLLGATAYGPAVDIWSVGCIMLEFFTGRPSFNGTDEIS QLDCIYKTMGTPTVETWPTVTTLPWYELIRPKEQYPNRFKEAYASLLSPGALELAEAL LSMDPQKRPTAAEALEFDYLKTELPAPEPPANLLDVNGDWHEFESKQRKRQK PHYBLDRAFT_34670 MVSAYLVQSARQFVRLSRSAHSTMATRSFATVKNPVTEKDCSSI TPPYAKLTKNLSIVQRILNNRPLTLAEKIVYSHLTNPEETIPVRGETYLKLSPDRVAM QDASAQMAILQFMLSGMKTTAVPSSIHCDHLIVAHEGADKDVVSSIANNKEIFDFLQS SAQKYGVAFWKPGSGIIHQIVLENYAAPGGLMLGTDSHTPNAGGLGMIAIGVGGADAV DAMADIPWELKAPKVIGVKLTGQLHPWTSPKDVILQLAGQLTVRGGTGHIIEYFGEGV DALSCTGMATMCNMGAEVGATTSLFPYTHRMRSYLGATGRTEVAKAADANANFLRADE HCEYDKVIEIDLSKVEPHINGPFTPDLSTPLSKFKSFIEENGWKDDLSAGLIGSCTNS SYQDMSRAASIAQQASDAGVSVKSNFLVTPGSEQIRATIERDGQQAVFEKAGAQVLAN ACGPCIGQWKRTDLEKGAPENAILTSFNRNFRSRNDGNPNTMNFLAAPEIVTAMAFAG KLSFNPMTDALLDKDGKPFHFTPPQGDDLPRLGFEAGRATYEPPSPTPTPDPSVDIAV SPESSRLQVLDAFEPWNGEEFKNVRVLVKVQGKCTTDHISAAGPWLKYKGHLENIAEN TLIGALNADNQKINMVRDVTTNQEEPLPEVAKSYKRQNMPWMVVADHNYGEGSAREHA ALQVRYLGCPLIVSRSFARIHETNLKKQGVLPLTFANEADWEKVSGGDVIETVGVAGI APEKPVQLIVTKEDGTKFSIDAKHTMSHDQIQWFKKGSALNLIKENISSNL PHYBLDRAFT_173236 MADLETRPLQTIPGPAFNEDSPQPISTTRTCADDQDLEDGPLFR ATIKQLENKTSALKASTKRILKTAVASVEAQQALMDADTNFLDALRDIPSATPPLTEY MDRTWAILYEQRLRLQQSMRDIILDPLQKRYDYEIKTAEYKRRRFEEASKDYYSYLAK YLSIKPETLSKDKKRTEREQKHIARQSAFDLIRFDYYNFLVDLHGGKKEQEMLFNLVR NQQEESSFYQFISSGVIPHKEALDNVATLMEEAAREQDTFYKERSEKRRTMLTRHNQH VKDMLKTEYIKEQAQLKRTSLRTSANVSDVEENPISTDPGLPEPSQSAGSAENRFKGI HDLENQDRNMLWACGRRKEGVLFSTSKPSKGTAFEKGSNVTWHKYWCVLSGGQLHEYS NWKRHVESHIDPIPLRYATVRQACNADRQFCFEIITPYFRRMYQAISQEEMMSWMATI RNAIESLLNGTGSSVNLIKDHLIKEEETNNDQSPKKGHSRSLSKAIRRGLAERSRWSG FSFTSNSNGSAGHGHIAKNSGAVGQYEFSPSPDATVNTKLLTTLREDRSNVLCADCGE RNPEWCSLNLGILLCIECSGIHRSLGTHISKVRSLTLDSASYTPDIVELLRSIGNARS NAVWDPKRCLTTNDDDCLTTDEVDELRRPVPGDTRDFKLKYIQQKYVEKAFVYHTHKD LNTLLFDAIDNDDIPAALYAVALGIDLNRSWPFTSARSSSSDEDGSQNSRNSQDSIPL PTIVLSSGNNLCATNTTGRDKIDDPTVRYPLHYALLYGRRTLPSVMTDRNRLFPMAEF LLQNGADAGIIDPETGNTLASIVGLGSIVEDDAIAYLNLKNAARGQPQIFRASMPIIP SHSFIDATYK PHYBLDRAFT_150417 MANRGSERLERLRKSRAEKGKWPLNNDIEIQSEDDMEDLEAVDK FIKSIYIANGIDPDTITENSFSSYTAERDSLIGGSSTDVSNVARAGFNSDTENSDPST STISSEPKDLNTSALPEEPEQEVFLINRSQENEEILRPSTSFNDGYLVDGPVFRAQIA ELEEKTVKMKASTKSTLKIAKVFLTTLEAHMSNEIKLRSVLQRIPSTIPVLLDYVDRT WSIFHEQNIRLQKGIKTHILNELQKHYDIVVKDTDIKKRDFQAASTEYYRYTAKYLSI KKEDLLKNKGHVEKGSKNIARKSKFDMVRFDYFNYLQNLHRGIKENKILFNLASEQHK NSEFFDLVTKGKVPGIDELSAIEMAVKEDSIIQTVLDEEHIRIRNPVYSSHNRHVRNM MNSVIGGQSSSANVSSLEENLATNEEEDRDLLLRSGKTMEGILYSTSKPNNSDDPALK SRGTWHKYWCVLDENQISEFRDWKTLPRLHMSPISLFNANVHQIDDVDRKFCFEVITP HMRRVYQALTDEDRKKWVACIRNSIEEISLGNQPSTSNGQSRHQLADNPEQESKIKSL FNKPSKRNISSYFKSIFSAIFPNSRATEDLHNSIQHNNETSSSTIATRPSHRPLEYFR NLLGSCIKRISTPRLAYDTNHAPAPASAPASAPQKMRITTPSLEILRRDLSNKRCAEC GFDDPTNCCVNLGIIVCNVCSIIHKRINSEGVISLITDLNLYTPDIEELIISVGNDIS NNIWDPLQHIAPHERPAENLSSNSIVRPRPFSTYEEKSRYIQDKYTAKAYICSAGSSP NQELMNAIDMDDIPAALRAISRGVDFNTAWYSTPDSLSASRTSQGIGLAVDQRTPPEC CESYHPLHKALLYGRHAEASGSSSRNLIFPMAELLLQHGASTTVFDLHTHRSLGEIIY LDINIEKAAVEFLNGRPRATGEQPIVTF PHYBLDRAFT_136334 MHFTRVLGTASQNALRAQRANAAFLAKAAIKPAAVKARAYATEA AAPGQIRSVIGAVVDVQFEQDNLPAILNALEVQDHTGGRLVLEVSQHLGENTVRTIAM DGTEGLVRGQKVIDTGAPITIPVGKEVLGRIINVIGEPIDERGPINAKSSRPIHAEAP EFVDQSPTPEILETGIKVVDLLAPYARGGKIGLFGGAGVGKTVLIQELINNIAKAHGG YSIFCGVGERTREGNDLYHEMMETGVIKLEGESKCALVFGQMNEPPGARARVALTGLT IAEYFRDDEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLSTDMGGMQERI TTTKNGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRSIAELGIYPAVDPLDSK SRILDPRIVGDEHYKVATQVQQILQNYKSLQDIIAILGMDELSEEDKLVVERARKIQR FLSQPFAVAQVFTGYEGRLVPLQDTIRSFKEILDGKHDGLPETAFYMQGDINDVIKRS EELAKEMGGQ PHYBLDRAFT_73035 MKSNEAKKSLTTVYCIPIDIGGFSLGFDKYITSDSVRVGHSVEA LRYQVSQMEQQREIERQEWKVIEIEHQRREQSMLKQIQYTQAQLEHVLAECRIESINP YPEQILHPQNQMHERGRWRRKSSAGFVHTPPIQRRVRSKSSEYPKRHLPDWNDNRPVQ PADYLSGNLYQGGNPIEQLQQDFVTEDIPPRPIYTPYARQNYQGSPQETQFNSQQTYY DGNNDEDSDDEDEEYSFSRTNPNTSTRQTSSWIPPIRTPFESHRSRPLTTPQRNPLSR HQSKNQYEPQSPVLQPAAPKENQLPKQRNYDPPFSMPMPMPMPMPMPQPRIRHEQPRS YKMSTGYLPSRPSTISGYPIHPLQPTHIMSPRHTEYPFHSFIQHPLDYRYLTHNYSKH QSWPPQMYTLPLVGHPMHTWRIPSQFAMPVSTVYQRRPYMRGTM PHYBLDRAFT_188685 MAPITSGPVDPSAPLVKKPISWNNLALGAALNLFEVSSLGQPFE VLKTQMAANRSQSILTAIKSVWQRGGVFGFYQGLIPWAWIEGSTKGAVLLFTASESEY YARAAGASPFMAGIFGGMTGGIAQAYSTMGFCTFMKTVEVTRSKAGAQVSTLKIASDI FKKEGFAGINKGVNAVAVRQCTNWASRFGITRYTQDAIIKARYGEGPDAHKKATALDK GLASVAGGALSCWNQPIEVIRIEMQSQVQTPGRPKNLTIVKAFKYIYSEHGARGLFKG VVPRIGLGIWQTVCMVALGDYFKELFGTN PHYBLDRAFT_6869 DLANHPTKDTVKLLTCLLEKIATENDHHTAGSSSQDILSVSHSP YTCFHARSIPTITLQAYLVRILKYCPCANECLLALLVYFDRMAVDDSNQASLRINSYN IHRLVIAGIMVSSKFFSDVFYTNTRYAKVGGLPVAELNTLELEFLHLNHYSLFINVDE LQQYGNKVLLNW PHYBLDRAFT_117525 TLTRILQKILKNSVVIYQDDFFKSDDEIPIDPETNLSNWDCPDA LDSDGLVALIQHARNHQGQLPKDYHSNEVSNVHDGSNQVSSKTFESLENALKELNSQD CYFVLVDGFMLYWDTALCAELDARIFITASYDTLKYRRENRQGYNTAEGYWADPPGYF DAIVWPQFVRWNKHLFVGEDHSEVDHKVVDNVLVIDTDIYSIETTAKMVVNKLGKTFE SSSK PHYBLDRAFT_56390 MATLNQVIRKCRKTKTKQSKTPALENCYQRKGVCNKVYTTKPKK PNSAVRKVARVKLTNGKVVTAYIPGEGHNLQEHSVVLVRGGRVPDLPGVRYHLVRGAL DLQGVATRTTSRSKYGTKKPTKN PHYBLDRAFT_136346 MKRSVLIFFLLLQCIFSVYGQADAKKDKLLKLAKENNGLVKLNS KTYSQFTEGKRNYGLVVLLTALNDRFKCIPCREFDSEYKLVASSFQKTQDPSRVFFGY LDFEDGQAIYQQLGLQTAPNVFYFPPSKASARREPERYDLAKSGFLAETFASFLSRQI NAPVPVNRPIDFFKLGIKVLIGLGVVAALKLLYPYFGFIIQHKNTWAAFSIITILVMT SGHMWNRIRNPPYVMPGQNGQINYVASGFQQQLGMESQIVASIYGVLAFSVVSLAYAV PSFDDKFRQRFGVYVWSIAIFVVFSCLVNLFRLKNAAYPFKILF PHYBLDRAFT_26630 DQTAMTFCFKEEDHTIGNSLRHMINKNPEVDLCGYSIPHPSEAK LHLRIQTTNRTTAIDALKKGLEDLTDMCDHIFKTYEDELAKKDYEVWEEIS PHYBLDRAFT_156356 MFRYASALDKFMIFIACICSFIVGLMQPLTIVVLGLFLKKLQNS KDDDASILDVTLGMILNFVYIGIAALVVSYTSNALWVLSGENQARRIRQMYVHAILRQ DMAWFDKAGDGSLNTRLAVDTQMIQDGISEKFGLLVSAIAQFVIGFIVAFAVGWKLAI IMLATMPLMGGVGGLMGYYTTRYTLKSQTAYAEAGSIAEQVFSGIRTVYAFSLQNRFS DLYNIKLKNAKEIGVRRGIIFGVGMGVFMFLLFATYGLAFWYGAKLVMSGEMIGPMVM VVFFAMIMGAMALLQLPPNFAAITTASGAAYKIFETIERIPDIDADSIEGIQPPKIVG DIEFKDVQFTYPTRPDVPILKCLNLKIRSGQTVAFVGPSGSGKSTSVQLLQRFYDPIN GQVLLDGHNLKSYNVAWLRTQIGVVSQEPVLFNMTIGQNLTMGANKEVSKEEMVEACK KANCHSFISELPQGYNTLVGEHGGMLSGGQKQRIAIARAILKNPSILLLDEATSALDT QSERLVQKALDAATANRTTIVIAHRLSTIRNADLIVVMKQGDLIEQGTHNELLALGGI YSELVSKQQIATTQVGTTDEDIDEELLLKQETLALKKDQEAQNEMGTFLEKTKSTALS RISTNISIDAYELKLRNEKANKKAVMKQSAPVRRVVDMMRPEWHLLALGVCGSALSGA VFPCFALVFSRTISTLTFDGNIAPGPFQGANLYAFLFVVIGVGAFFGFAGQNVFFELA GERFTERFRGMIFRSMIRQEIGFYDNPENSLGALTSRLAIDSKNVNEMVTKTWGDVAQ IIVTAITGLAIAFSQTWTLTLIICCMTPFIMGATAYESKIHRGFEDKTKKANEQSGEV AGEAIKEIRTVAALNKQSYFEDKYFKATERPHKLAQRKALTSSIGYGLQQAITQFTYA VAFYAGIRLMVDNKIDFLQMFTATLTIMLTSQEVGRASVFTATFAKAKFSAISALEIL DRVPAIDPELEGIEPASSQVSGDISFENITFRYPARPDISIFNGEFNLKGKAGQTIAL VGPSGCGKSTTIGMLQRWYDPVSGTVRFDDTNVKNYSLGNLRGHMALVGQEPVLFDMT IGENIRFGVDDSKPITQVMVEDACRAANIHTFISGLPLGYDTRVGDKGSQLSGGQKQR IAIARALIRKPRLLLLDEATSALDSESEKLVQAAIDNILEEGGRTTITIAHRLSTIQG ADLICVVKDGRVAEQGTHWELLKLNGAYSALVHQQSLNSN PHYBLDRAFT_150428 MSTSPWANSEILGIKDKKERLSKEILAFTNYIAPTKREQKDREI LIKTAKGAVESAYYRDTKFEPFGSYVTGLLLPGSDVDINVTTYQDSGDARRVLGRIRK ELMRMSYDWNELLFIRSARIPVLTIDDKRLGTSLDLTVNNPCFSSDRTVKWLAELPEL KPIFLTLKHAFSSSKLEYMRSFELMSSKNSGFASYTIICLIVAYIKTHKPENVKKSTP TYYSDLLIGFLHFYSTFDFKNMCLDMNEDGKIYPKSENYMPRLQNEEVIIVLDPDVEN TNVARSSSRIRETIFSITEIYNTLLTRIDTASTDEPESILSSIIKVIPHNPRDPRPEG KKYEIKERTIKYVDHTEDDEDATDSYNDRKPWDRDYNRKRERETTPPSHSKYVSRSSK HDSSDNKKRKRSRSTESDIASSSKRAHKAD PHYBLDRAFT_178214 MYGNCPDTRFSTLPCVSDEPAKQPTGSFQQLLINTCGAEYASTA ACCSEEQLNDLIEQVKRAEPIMSSCPACWTNFLSFWCTFTCSPNQSTMVNITSSRDGM VTQADYWVGDGFGSQFYDSCKDIKFGASNGYAMDFIGGGAQNWHDMVTYMGMKRPALG SPFQIDFPVGEPTDGMEFNNAKGRDCNDPDLAYRCACVDCEKTCPVLPPTPGEVPECK IGLLSCWSFSMVLIYAFILLISLGTLLRQNHRLQAWFENWGESLWNRGGSGGVYERLA MEDGNEDEENERLLDPDTELNRYWLNGKLQSWFYYQGLLCARNPYTVIILSLLAVTLC SLGWQKFALERDPVHLWVSPSSTALAQKTHFDTNFTPFYRTTQIFLVSESDEILTSDH LESLFALENTIKDAQTETGHYTFQDVCFRPTGDVCILQSVTGYWGGDIDQFDPETFED DLSLCTSQPSLCLPEFQLPLKPQMVLGGYKGNEYLKAKAMIVTFVLTNSLDPAETAKS EEWERFILSTILANVNDQPEWKGVNISYSTESSLETELNKSSNTDAKTVLLSYIVMFL YASIALGRFASLNPRRLVVDSKFSLAICGILIVLFSVSSAVGIFSLTGKKITLIIAEV IPFLVLAVGVDNIFILCHEYERRLQLKTEETIEERAAKTLGKMGPSILLSSLSETIAF GLGTLVTMPAVSSFAIVASLAVFVDFLLQVTCFVSCMVLDARRTENKRIDCIPCISVN APPALEKEGWLEWFTNNYYVPTILHPKLRYPICLTFLGLFMFCLALVPQLPLGLDQRI ALPSDSYLVNYFNDLSNYFNVGPPVYFVIQGNLTDRTVQKKVCGRFSVCDEHSVANVL EQERKRPETSYIGEPTSVWIDDFLYWLSPNLGCCRFKKESIKKQSPVKLSPLMSSFNK SQREMCGEWDDEDNCEDCKADWQANMETLPEGEQFMELYNLWISRVPDESCPLAGKAA YGDAIVASPDQISIKTSHFRTYHTPLRTQDDFIEAYGSARRIAKDLQEELGLEVYPYS VFYIFFEQYTYIVTMAVQLLTLAILSIFVVTSTLLGSIRSGLLVMLVVIMILIDVIGA MTIWDISLNAVSLVNLVICVGISVEFCCHIARGFMVSRGTLEERAGKSMVDIGSSVFC GITLTKFAGIVVLAFTRSKIFEVYYFRMYLLIVILGALHGLVLLPVLLSLVGGEGMGM VSDFDEDGFEWSAGSPSWPGLGGNHRNLLADDNASLDQVLITDMPEVGRLDSTRSVVA DDS PHYBLDRAFT_188692 MDNTVVMATSPPIPLTPAEEDLGWTKLASLDLVKPAEGECHGIL PIGGNLQVDDLTSSISSCTSDPVLRWILSFPLGLPLMKDDVFFAPPHTFNIHDADDAI SLSSSDSDSHKSPSPDLSPLTSPSSFLYYEPLFGGIEDLLSRKRHEEESTSKPPRQGR CRRVSTQSIDLDWLALLHDFHEDEDEDDIPFHHKSVEQKMHNLQLSDSDEEGEEEESD YCLKAQSPQRRRKRGRAGSFTPRYRKYQKQKKDRVSSEEPVEPLTIDQEKTLFEQLTA ANIDWCRYCGTTEGVNWRPGPWGKRTLCNKHGCDYKGYGLASRLPRLDLSAFSNETLQ DRTRPIVQQFCTACQNPTQSHNLLVPCEGGCSRAYHLTCHPLISTSAWYCSDVCKDNR RRNKVVVDLPRKHLPLMRLQKQPLEKNLFDQDEPDSPL PHYBLDRAFT_93828 ANRTMSDAVAVHGRDPQHLIEKIIRERIYDSLYWKEHCFGLSAA TLMDKAVELSAVGGQYGNQQPTEFLCLVLKMLQLQPEKDIVIELIKQEDFKYLRALGV FYLRLVGRSKEIYQYLEPLLNDYRKLRVRQGDGFILSHMDVFVDQLLREERVCDVILP RLVAR PHYBLDRAFT_183205 MGSQPSKEQQNEYDEKRASASTESPSPSSSSLADKMANLNLGSS TLGSESLTSRSLEKYSNHFWSDRKNQFALNAVVNNDYRDVIYNHRAVLKDNHVFNVKV PAEAQITNQKSSGRCWLFAGTNVMRLAVIKKYKLNDDFELSQNFLFFYDKLEKANWFL EQMIELAETDIDDRVVQYLLQTPINDGGQWDMLVNIVQKYGVVPKSVYPETFASSESA RLNWLVKVKLREYAVQIREALQTGVSASIIRVIKEEMMQDIYRILVIFLGEPPAKFDW EINDKEGKVLSLSGLTPKSYFKEVVSYPIGETMSLINDPRNTYARLYTVARLGNVVGG QPIRYVNTTIRNMKRLAAEVLKSGKPVWFGCDVGQFSSSKYATMDDKIFDYSTAFNVS FGMTKEQRLLYGESMMTHAMVFTGVHFDKDGQPIRWRVENSWGPATGDKGYWIMTDTW FSEFVYQLVLEKSAVSKDLVAILDTEPSVLPAYDPMGALA PHYBLDRAFT_173255 MPPTPSSSSLSISSPRGILPNSKTVTPSNKTHIQTYGSVLSTPP YLDTEHVYGAHESHLNLEHASSYTTTVGQDNNDEGLYLMWTHELLRERGFTPISCRSE VDEDEEDSNDAGQDSDCQSTDSSVDNSPESYRPQFLFSPAPSFLAESSSSQHYPQNEY TYRAAIRGSQEEDTDPVFSLARLFSLSSFCCFR PHYBLDRAFT_103743 PFLWAAPKKKTSHSKKRMRASNKGLPTKENVVGCPGCGNSKLLH HLCKHCYGDIKQKTK PHYBLDRAFT_117567 MEKQQRLNAGLPKSAKKAAEIEPKKPVVAATVNQDDKAKKTQKT QKIQENDVPWLLHLDTPKRPDSSTLDLHPAVLTLGLYFSEFKIVGSNARCVAMLETFS KASVIEEHKPPSDATFSRHIQKHLDPQIAYLLSTRPMSLSMRECIRWLKKEMSDIVEE DPPLSDDDARHRLMDRIEHFIRERITMADRLIVQYGLQKIQDGEVILTYGKSSVVESL LLEAKKKGIEFKVIVVDSRPLFEGKHLIRRLVAAGIDCSYHLLSSVYVALRSVTKVLM GAHALLNNGAVYSRIGSAMVAMAASDKQIPVMICCETYKFVNRTQVDSFVMNEIGNPD ALVNTKPTYNANHLDQPTSDVSVLTSWREQPNLRLLNLLYDVTPSKYITLVVTEVGLI PCTSAPVIWREYK PHYBLDRAFT_73017 MSLRWGILGTGNIATTFANGLKQSPLNSLVAIGSRSDASAQAFG QAFGVTKAACYGTYDALLADPNVDIVYIATPHPQHCELAIKTAKAKKHMLVEKPMAMN EREVQLILDAAKENDVFLMEAYMYRCHPQTQKAAEMIKQGVVGEVKLVKASFSFEGYS LGPSSRLWRNELGGGALMDIGGYPLSWARLIAGAAQGSSPLHPTILKGVGNVQKDTQV DEWAMASLGFENKIGAQLFVGVFADADCSVEVVGTKGTLRVPNLWRPDLAVLGPTQIE VSEHGKAAAITPIVLEQTNLFTFEAEAVAKAVLSGKKECDFMTWEDSLSQVRSMDQWR KEIGLVYSADKQ PHYBLDRAFT_173259 MFSIPLQTFTYGESYVYVFLSALLKCTKINSELDIVILVDSNFI TITTSKNSDNKQARAQIFQKIITISRLSLYRISLYRDLTILYWPIIRKNYLNPDRKLS ICTPLFASSVCFLLKWCKFPEFQGSMLFESEKIGYFDQHSSNCNIINLEEVDHNVSHQ VT PHYBLDRAFT_150437 MSKPVHSKNEILRSNTGLIINPLKAYPRSETKDKLTGLTIFQGV NDKNKISIQAYRDFSGKVKQSRTGFHPGPQCLPSRSSIRDPIRVYGLVSVPSRSSFKS YVKVHGFSIHSVQVKIQGPCQGPRFQYPFRPGQASRFRSRSTVSVSIPSRASFKVQVK VHSFSIYSIQGKLQGSGQGPRVQVEVHVSIPSRSSFKVQVKVHRFSIQSIQEKHQGSD LPAMVIATQVKCQGSDFPAMAIDVRRQGSDLPAKCSVIQVNQQDSDLPSMVIAIHVKR PGSDLPTIFTAIRVKRQEPDPPSMSPVINVKRQGPDRPSMVTVIQDKHHGFDLPAMVI AIQVKCQGPDRPAIVVQCSVIRMKRQGPDRTSMVIGSDLPAKDKHQGSDSPSIVIVIH VKHQGSDHPSIVIAIHVKPQGSDRPSMVIIFQVKHQDSDLPAISPAIQVKHQYSDLPA MSPAIRIKHQDSDLPAMGIAIQVKHQDSDLPAMAIQVKHQDSDLPAMVIGITKIDEQS HSALFISSVESTKIMLHGSGFSPWL PHYBLDRAFT_160131 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGRRYTRIFKQFTK PHYBLDRAFT_160132 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVLLSIKILSY LDIVKTND PHYBLDRAFT_173262 MHQDSPSSRISAFSQSTQLIKAMIFIQGYRSLSTIKTNDQDPII HLGSQLYFLSLFDRVLANDQDFSFHQVFLLEIETNQNIFGKRLVDRFLSKFTKQMSSY FFCSIHLAATVYYRLYRPIKKGDLARQKVRMFFYRISTN PHYBLDRAFT_183207 MASILQSLLDWLRSLFFKTEMELTLVGLQNSGKTTLVNVIASGQ FIEDSIPTVGFNMRKVTKGNVTMKLWDIGGQPRFRSMWERYCRGVNSIVFVVDAADHD KLEIAHNELKSLLEKPQLANIPVLVLGNKNDLPDALTAEQLIDVLQLKLITNREVSCY SISAKNQVNIDYTLQWLIKKGKGQK PHYBLDRAFT_127593 MNSSGTDSVKDQIKDIIESPKQFAKEGIQFINRCKKPDQKEFLK ITQAVGMGFAALGALGYIVKLIHIPINNILVGAA PHYBLDRAFT_26643 MFRPTLLFNVAKAASLYNTAPHVASRFYSAGAGLSNADIESRVL NILQGFDKVDQSKVGLDAHFVKDLGLDSLDTVEVVMAIEEEFSVEIPDKEADEIKTAK QAIEYIAHRADAH PHYBLDRAFT_156362 MQLFVKSLAGNTLALDVTSSTSVESVKAMIAAREGIDAGFQCLS FAGKSLQDSQALELYGVQDNSTLHLNCELLGGAKKRKKKTYTTPKKIKHKRKKVKMAI LKFYKVDQSGKITRLRRECPSATCGAGVFMAWHTDRQYCGKCHLTYVFQKA PHYBLDRAFT_188698 MTHLSDFSYLERCTISVYISVYFLYCVWGNDRFRCLSPSKILSG ELRSVLSVILILMMTTQTVWDVLSAWIKYKEGFFLMPNGSIISKPFSQWSQSHRDRIP AMDYVECVTFSFHTGVFFLMQCFWNYLSNAVAKKSFMSSFEFKFYIVWALCSMALFPI LQWVYRGNELYSEIVPQLAYGLQVMLTALLGVRSHIRFTRMIGLATRTNNNVAVISKL NYFRDMNFLLTVTLFSYGISFVIICSDGLTEGKVISLSKFASDTLIANANICTIFIWL LFISIFHPRRQFNTNNSSKNGQDSEYRFTENSKHEEALHGTTSQRFSGRINTFMANNT VDKSTELDPQQLSPQVKGGYMRAMAPVAVDYPHSLAVDDTFNPSFAPGRPLSPPGAFD APYAQSGGRNVVIDVPYSDQSISFAMVDPSNVKRYPAKAGGGNGATSPVLSSAEYSNR SYTPTEIPLHELASRSQRTALGGGSISASAGQPSVDDFKHTYTLDERVNYENLSRSTS PQTVRTQGAHPIQRRDLGSPLTSPVSPTWERDIYSSSPLPTPPQTQDGEQRDHAVRDW LWQSPERRI PHYBLDRAFT_73007 MLIRTVLTFSLAIISVAASGFESTNNANRLFKREDKLPFATLPN ANCGAPTVCSNIDQNITCRCNDVLTVCENTSGQFCWGSKTLTSNSCPTIPTSCSSEFN GTASCLCSGSQVLCVDSYNHYCYGTYQTDSTGSASVAIAAIPDAGSSAAVSSAAVSAS ASVPLSAAASASVSDALGGTIKTNPAPTAPAASPSVESSATQLSALKASVSALLVSIA YFALQ PHYBLDRAFT_73006 MVLDASTQTNVWLIIVGKVIKIGYKGAIDCTFVCVFYKIKFKVF DAPFGLAGVSIYRLNYNLPYICADFYWVHIRNSSMIDRDHLRPLVRFPFELSIIFALN IGTKDNAR PHYBLDRAFT_160140 MDDTLLQVREKCALQLEMYQKCIENYPQSWDKSCIQQKRALTKC SEENVGILKFVKHHCVLQIKAYDECLFSNKDDPEKCVQQLKELYFCTEATSIAYRDQE QAKKTDAPATVESTKTD PHYBLDRAFT_26591 MAAPVRRSGLQQEVINFYRQCFRATLQKPKENRARFQAFVRNEF RNHKIRKSDFTTIEYMLRRGKRQLEAYSSPSIKDIHL PHYBLDRAFT_117395 LIALLAIQQHDKAAVWVGRKVMTPMGVATMYQHFVSNCQVEIIS ALRIFVDSSQYPIIVHCTHGKDRTAIVIALILSILDVSRDSIIEDYVQTQTELAHIRA DILEDMEEAGLSEEFADADPKNMNMLLSFLESNYGSIKQYLKSIGFSETEQDAVRENL ILLA PHYBLDRAFT_173272 MLGDFNYSSYAKASRAGLAPRLWLHFVANHFVDCVTLSDAQPMP TFHRDLSSSTIDYIYASKDIASCHSSSTVTFVQPLWTDHCLVRTCLSFPMLSHIGRGL WRANPRLANIPSFRSSLSDCLSSFIPLLSPSISPQSQWDLIKVEVARFTRSYSRTTRP SLATLELWHVLRVVTVPLSFFRRLRSIMSKFIQYRSFPPISLGTFCQPIRLGGLGVLD PQVQQAALQLRWLRPLVRSPLSPSGLVPPWFSYVLRLDSSSADPLVPLIFPSLRSSHQ RDFDSPLATLLAAIDLLPHNFSDVVVNLPTCLSLPLSYLTTAQPDHPPFPSAWRDLRV SDAYEVDPSFGVLAQRPLHRILRRPIVLHQFFERLYTRSLVLHPVLYRATIPPAICAI QFPSLDMPSGTAVDVRPFLTALVPGIPWHRLSTQSFRLLCNFHSKSARPISPTLVPRQ LRRFWSFPLPHGARNVWFRALHKNIPCRSRLNSRIPTAFPDPSCALCSHPLDNQTHFL FQCPVKLSVWSSIWTLYFAQTATPTVLLSGLQSFTFPPCTDSSLSAASIFGCTLLAIW RHHWLFIFDHVPFVSSAAFSTASSLLDRLKSELALDFPPPPPL PHYBLDRAFT_93798 IKALGKFYHPGHLECVHCYQPIDFERVGFKEYQGKIYCRPDYKR LFLPMCRACNQPVKGKAVSAMDGSLEGKWHVDCFGCHSCHEPFPDNTFYVFENSPYCK IHYHQLNNSLCRTCDGPIEGPCAQTSEGWRFHPPCFVCFF PHYBLDRAFT_73000 MYHKLATIFRSLHYLNPVPDTTVLSIRTGRYSALLNEFPKESHG PYWREPPEKRASYLHKVALWDLCQLFYFPTSEQECCSAALIRWLKATDNKSVIKFYIQ DIYNDKTPIHHAQFWPAVFKLIIRGELRALTSLLTQARKRVIEQSHDDILLLLISTFL QAVSKFPILEDPPQQNYLQSKQAWQQNCLELTEKIRDFTVGTSTISEFAICVLDGIKL LQGDQNTVNRLSETKLESLVALAYYAYTHASRSNIQGLAKEMWTDAEVELKDFKNVFQ GNIEAILKEDKLDLWYLSHLSDVLVLFGVDSIKDKRQNSVIAYSKHLLDNGLWLEALD YMSTCGSQGRDCMHKAIQQLDIKDEEMAKELLACCDNFYMHDEKNRLYKETGNILQNS RKFSSAIFYYDQAGDALAVDNTFKSAMNNYVNTGQLTDLGDLSPDLKARCNGPYAKFY LGFFDLHSFIEANDYKKAAKQLHELLKSPSSPIEFIPMLYVRSVGLLQNPALWFSAEE YACLELRFEELSQNEDLTGYTLLGNMLLKDTQLEGIMIRDTFFDLLSLVLKRSPYTV PHYBLDRAFT_90032 QFKTYKPSTPGKRWLKRVPRDHLYKGKAVRRLTVEKRSTGGRNN TGRITIRHHGGGHKRRIRIIDWNRMESGSQEVMRLEHDPGRSAWIALLKHKQTGSLSY IVAPHGVKPGDVVTSYRASDSKTTDGITRIVSVDVGNCMPLKMIPVGTIVHNIGLKRS GPAIIARSAGTYAQLIQTGETGYAQLRLSSGEVRLIPVDACATIGAVSNPDHQHEMLG KAGRSRWMGIRPTVRGVAMNTCDHPHGGGRGKSKGNKDPRSPWGVLSKGGKTRKSVNQ FVVKPRPR PHYBLDRAFT_117481 MRDWISHTEATYDDPLAGCFNNLSANSRYHQGYLYNYELSPGVS LLEDYDCYDYASTIRPVEGREQEPTIYHAYWRADLAPVGYKQLATLRSLFATQPKTAI VYLWSNGDLGESSIIKDMKSKVGDRLKTKIGDPRALSVNSPMQDSPHLDFKDDSGYLD GDLLRLLVLYQHGGMWFDMDSLFVRDMSPLMEHEWVSQWDCYMPESFPFNGAFMRFLK HSPYLCEMLSEMANGPLPRKDSIDWGGYLYYRIYRRLLHNGIQPWSVLPWCFTDPMVC TPFNSMPNAFVETEFPTDRLLQSFAYHWHNQWKKEPGSLFRYLDGLHQNTTGWK PHYBLDRAFT_127607 MSMSEYKLKRDACRPKVLEKYDIVGFISSGTYGRVYKARSRNKD DHREFAIKKFKPDREGDSHHYVGISQSACREIALCRELNHENIIGLEEVLLEDKAIFM VFEYAEHDFLQIIHYHLHTERKPIPEILIKSFLWQLLNGVAYLHANWVLHRDLKPANV LLTSDGIVKTGDLGLARLFNRPLQPLFNGDKVVVTIWYRAPELLFGARHYTKAIDMWA VGCIYGELLALKPIFKGEEAKMDTKKNVPFQRSQLTKIFEVLGTPTKEVWPAIDQLPD YCHLSTFPQCSNNLKHMYQMIPGVKSESGFNLFSALLEYDPAKRITAEKALAHPYFQE DPKPLANVLAQQNIEYPLRRVTQEDNDIKATKSVLGSMAKEEAINRANKKARNV PHYBLDRAFT_173278 MSSNGLDASTRIVVAAFWFVVLLGVPFWWKTTEVYRASLPLAEI DTWQTWQASNKKLNKLVENSSNFICISAEICKLSLPTTFTIHLPSPWSYSPSELENQL HVIQRDLSTVEDESSCVNFPLILNGVPWEMPTSWRDNLEAIFSENKDAPTGTYHIYIG PSQLETPYEYSIIIGVHRSHILQMNDITPETIDGALRTLLPLIFLKDQDSLSQMACKG AESDKYDMNSIRTLKYSPRYQVTFSLMNNDPRGISVDWNIRDSMKSKLMQHYASLSMK PQFKNRADKPSYYYFNPEHLPHFVNSAEWNLASTISSYPTINLILYVPSPEETPLRIH DSKEQPLLTNAFLIPRWGGVVIKNPSKKALAEKQLNFTKKDLQPIMKIFVAQLRSLMG IPNINSMADKMPSTVNATFMSSPITGITTLEKDQLIRHRTVENMVNAIATLHSLQQLV TEIPKMVVLDHIALQVQQSLNALQLACDVLSQGKYTPALQYSIEAIELSEKAFFDPTM VSMLYFPDEHKYAIYMPLFVPISVPLVMALLKEVKNISKQRKEKRNLKVE PHYBLDRAFT_173279 MAPSARIDQLNTENNPKDNLNKEQTNKSQRLKEAKEHPRTINMK TPKCSKSLGAAFWLKNCEVYARINRLRSRGGLTYKTFRTGTASRRYSLRDHQGKAIIQ EIGSAYANRFQDLINQSKLETKEDTNTSRLCKRDIKKAFIADMISKELHREIKRNDLK TLAAAIEMILELSKEMDYDSDSEESEA PHYBLDRAFT_173280 MLEREELKVEEAQRLEEHWNPESKEFSAWKKMEAAMMKRDIEEM EIDIIQGPKEAEQIKIVHLLNKRDVEPKNVALIKVKKEERKTEVTVSAKELLSRPVFQ MSMAQLATMLTTTRVELKKQLTQPREKQPAKLKTFLAEDNHTGNGTPRLPVQVGNIQV DAIFFVGS PHYBLDRAFT_173281 MQPKIEAAERAELVQGGNVKDCKPESKTVGVAVWAKARNQILRA PYGRGEDQNDEAGERQVWGLWGVWIWSGNCRDRVQDKRASVETFEELVKDNRMMEYAV EGALGKHAETRIYFIGIGNPEIVPCRLKGRVVGLCSSEGGERQRETGGEVHYHVIEVV REKRYSDNYEDVPELREDERVEHCGTATNGKRTKVVDMTGRFNLGKNVVPERIVLR PHYBLDRAFT_173282 MGHAIKNCPNCPKDSQRCFTCDQTGHLQHACPRAPPTDASSSKK PDKILTTPEFPDCSCKTIPEIDPPHGAPMMAEESQATTTTSCTNFSTPQQLSVPAVPT SPCQSANRFAALDGLTDSSRTGVLVDPTLPLPQTHNTQYVPIFHPLQNAFLPSNYTSG STKDKEEFHPSTFDSDNNQDSQHILTDDEMADGNHS PHYBLDRAFT_173283 MALPAKLSDLPIGSTTTLGQANITTPQPSTTTSTTTNTRSYLDV ATATPAPSQVPVVLFSNLPTSTNCVWYKSTSHHSVFFTPPTDSTLTSEFWTALRASVP TAFTLGISLPHCQLLMHELHLTNSTICTKLCSKGFLVGGQTYFPSMGIAPGTKILHIS VSQLSYLPSPLLEEAIKTALAAYGTVRKVGLHLHANFFDGTGFAYLE PHYBLDRAFT_72990 MSTFNSVNDYENRIVPDYIDSIDFLTLLSEDEFTNWLNKIAKKH ANWIYHQLYNHNKNSAFIELALKEPLKVKTVVCLCDHAEKPKKKLSSLQQVQKRVRTT KSIKIGCPANIYKHVMTDGTIHIKYNWQYLDHDPFQIEEISSSRLPDKLKQWVEELVG QNMDWKSIKNMLRMSEDRLFEVNILYFQEDMSITKKVSNFGWKNSVRMETQLCSQFIK MDLLFFHGFQIGK PHYBLDRAFT_173285 MIDCSPIEIGALEEVFGGSVDTLLCHWHIKRAWEVNVKKHIKVQ NLTQTSNIACNSVHAVLSNLMHAETSQVFDVSYSEFLEKFEDSGFPRRHFSSAIFHTN NYIESYHNQLKTFYLGRARSLCIDRLIYLLAKVLTLDYRQKNVKTLYGFKAMHLSHQE ELKKKKTYMLDIDKATSMNLSSAFSSSSSVQPPSNETSAVVDPSILSDEIAADIDKYI QLYSAELKKKVVEYKGRPEDLGQFVDPLKSAYNKLKEHGTPSQSRPPRQF PHYBLDRAFT_173286 MSNNNLINLYNIVLSSEVVDMINENASNIVNDNSENMMNDNTSN MDSEPESNVFEIHEDNYIILLCCIRNCKPNYHSGGVIFIKFFNEVLAHFGQSFHLPLS LPSLYSMTGFNTFTEDIQHFVACGECHKILSESEPVPQCCNFRKLS PHYBLDRAFT_150464 MIVPLNITLLRNKIAKGFSFIKADKWKSWCLAYSLVLLAGRLLS ENLDNWMHFVNACRYLAKPSITTVDLFHVHNCLKLFGQGGEDVHDKDFISPNMHLYMH LKEIVLNFGLVYRYWLFSFERYNGQLKNYKTNKRDGFEETFMRKYLEDAYKADLAHTI LLLVHITLHHFLIYELIGSVPTLATAISIPVTFYLLTAYGFNLKNFLDSAVVTIDNVK GNEPLPSSVFPLNLEKFMPMEEDKYTYLLEYYKAAYNNTSLKSYRQAVFGKMFCEHFY PENSIN PHYBLDRAFT_72987 MNNFAPADYSFNNFMYAAIAHSAAPGSSNFMLPDNAMTAMMSTH SQATKSISDPLISMMSTMNNQLKGLANQVLLMADDITLSNQTMTCLQKTVTNILAGQT AIHDVASRCNTTSSTELALIRDYVKTQNFTSNNPREIAANDAKPEWVLTSYFMSSDNH GLASAMSAYLQRQPCSAGIAMRVLEGMVKNYFSNQVRESCRSAEFTKRKDTAIRRHQC GVTLLCRRGLAYKENKDAINKFMDRVDCVHAIQKATMSDEESDDEDPARDKTLMTYCP SWRSDEIIYFCRDLNFKSSLLPLTRLLACEYYQWQLYAHQLQQASRFNEQYITESMIL LTKRY PHYBLDRAFT_173289 MSQQSAVNSTIVSGEETARVNSGVIPPPSAAGSADHDGDTVMTP LCSEDGAFDSEEVSSGSDAGCSGYEGANSPRIYLGNSEVRVNNNLVVTVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTTAKSASKGAKEAQVLFAEAEQILKDLKASTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRDVFDLVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFENKLKGKAYNWKKAESILLDHYDMPFRKFLNMGRVWCMK QGKGESARSFGAKFQKFRRQASLDDGVQLVLCFWWNLRPEVQEACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANSTAASSWSSFAAHNGASSLSAGSHKGKKRFFS LERGSQSPKKSWDFKKAMKDGICFSCKAPWVKRHSCLERKKYLTKVSRMAVCSSAGRP ARTSTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFPILA NNSIRTISLLDCGATFSSVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFICLADSN TQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSY DDADSSEERREADRRFNNKSPKQFEDAMDYIRPFIKVNQDIPKGSFCTIPESVVCLDT PENAMAFRSPYPIPYKMQGVVDEQVKEWLDNGTCLVLEYWSYKNVKNHFNYKKGE PHYBLDRAFT_73914 MAPRTNINQNACTNGSTSRPLINAVNTGRIELSNPMIAPRPENM SIPVSEFNDVVSLLATLNDKMIAISSDVSELKVPCQVGAQSTGMQAILDSDMDSQDII SSSRHPKISSIIWGRLRDINLKTDDLELIRENDDKPTWDVNVGLSDEFNKNLASDLML YIRCQPVAAMVPPKELCVSLLKKTDRQTLPQTEEEIERLLRKRTYTKHKDAVTEKFNR DYNGVFYRDAMSGDETETNTSVVASRPDWRSDELNTVFDFLDELARDDLGKRATQLKL RSHVLVHETIPHGLVTKMPTWSKRIEYFIKRTAFLAEE PHYBLDRAFT_173291 MHNLFLGTAKRMMDIWIANNLLDDKDFVEMQEEANRMVLPVGYT TLKIKIGKKFPFMKADEWKSWCLIYSPVLLKTRLELTKPSITKNGIKKAHESLEEFCV GCEDFYKPDVFTQNMHLHLHLKETIEDFGPIYGFWLFSFEHYNGVLKGFETNQKSGFE NTYMKRFLENSYNGDFCQVHLRNVTSPLLLSLFLKLSGRKIYNPALSPHPLIPSFFHL PTFLQSAEKPSKQTFGNEPLPLSALPLCLKPPTTMRKSKYDCLLDFYKIEYDNDSLCS AKTTIRNCWFVNDRIQKISSINLLGQVYTGGEGLVVRGSHIQAKFIEKSGDSEERYAG HIKYLFLHDFTPNLTHTNLSPCHNPQHVFAFVE PHYBLDRAFT_173292 MFSIANPDEVRCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAEVNTGEADMDVDQIEEHIEYDNYSDGAPSPEQYVNTHLPLLVEESL FETEEYTSEYESEYESSDKFEQEEQNREQEQESTENLPENICHRVIAVFTVIFISSFI VDEGAVILITFINTILEHYGEDF PHYBLDRAFT_68834 MTVVKMAHQNEMKIPKQSENRFTSQSLQETMTNTRHERAIALRP VEITNQQLLEILSTVRVDMSTVKGQISNVEQTLTNMNGRICVLATTSTNTISAIDSLA RAPLAAPIVISNHEPTREESNAVYLSLRTPENILANNLKPRWDTNVAFNKSPNREIAK RLLSNLEHMFGSSSMRQSDLRKRLHTNFTSRTRHERMSDDEIVETNALTQRAARADDN ECCRVLAYKDNKEAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKHVIHIVQPGWR SDEVD PHYBLDRAFT_150472 MFPSIQMHNTDCHCTRCNNSDQGVSQVSRRTAQHHNKRARFEAE KRSMKVDTEIIPTYQSDSVEAMDGQTNSPILDTVSTFDNDVFVGNDYNGDESDTTDDN DSDDNGEEDTAKIYVGEFNNEVPFAASGIPENPVHRFIATFTVLFASCYVVNKGSVVL IEFINELLKIYGQDFQLPKSLAGLHKMTGFSSITKGIK PHYBLDRAFT_68836 MHVGMCKRFVVGEQVLMRDNKPVTKLQDRWLGPMVVMQVNKNDT YLLAGPNYLRLQGAVNALSYDSRGSSQESITTIPGIVESCYFHSHGLSLDGKDLSRVL WAGPAFGHVVVSGTRRLVTTTATTTPTFTTSKATCQTTSSRVYGIRSDKRDIHWKNKD Y PHYBLDRAFT_173296 MSLSYCLCALFESTIMLDTTPSLYLQSRPGLHLLQNLFFELAKW QKCGYCTQAEVVLQLDLPPHMIDALVSLAMNLTDHLLCNTLMQQFLTIGYFRIPAKEL AFKGCVLLGLSTNLALDIRSGVRGILAIADTSEGRFKIFGVENTSEVIITIREFGYNI RMFLV PHYBLDRAFT_173298 MDVSYYKCLSKSAYKKIFTVSISFISLKYLNSCLLICSKPKKTK KTTTKKSVQQTTGTAASTRQWEILPSLTVSAELDGTVLSTLSTMSTQLNESYSLVEKV YYNMGATNGQLIHSQTRAVLATMPLTVNESAFLTSNHPIADVVQSYTHQQAELWKRKP EDIFCICFKEQKLAKKDSLTKSDFLTPVQKEKYYKAIHLADKTNLESKFSETVVDLLD YDMLSYIESDEEKNKTRYTSRNRHPLRLANKGPDVIDNSVYPIILRNTKLSNEKKACV AAVIFLEKKKNKVQTRSMAKKMVNVRY PHYBLDRAFT_150477 MLDFGPVYAFWLYSFERYNSKLKNIKTNRQNGLEVTFMRCCPSY VGICSLAPLLPEEWSSTCTGMQPPNISASCHKSMIQWRIPIGEDVFVNNRIQKVKKIS LLGQEYCSGEKKKRRSFVRVLFLGRTNDDVSEFPSQIEYLFTHPIMIGGVKRVSMFAF IKWFPTYQSSHHQPLVEQGLELWEKVYMEESSSCIILVHRLHSCFALTMHKMQSGTQK RLVIPLPRKVVT PHYBLDRAFT_102318 NLPREERMKLENIILVGVMPGPKEAKIDQMNNFLEPLVDELVEL YGSITVKTAAFPNGTIVRATLMYVACNITAARKTAGFTGHASTNACHKCEHHFSVIAG SSKIDYSGFDNESWVPRTKEMNAIYADMWACAESNAERADLEKQNGTQFSDLHCLYYF DPVRCTIVDLMHNLFL PHYBLDRAFT_68843 MSSENQSSAPSVQSSLNTSEIASNEQTDKSMLAITRLRDLLAAA FIALADAIEQNQPEDTKNQIRLTIAVTEDDLAILISAHTHLLRSGPACTEQIAPQSRV VLCELPVLQWQGNDVWTISRMSSTHTVWILMWTGTVYFQLFCQENSVPGMTTTFVVPP SFSGPLSAMPLSRCCFPVPVHLQLDLHNNSVHNNSVPKGHHLNAEQTTHMSATISFAC PLGSPCEVTQSCERSSSKRCLLHGKGSHDSEDCHIPRMHWQQKGAIRLKKPPT PHYBLDRAFT_102152 NLPRSEQYKKENVVLVGLMPGPKEAKTSEINHYLRPLVAELNQL YGSVVMPTIQCPSGALVRAALLLVACNIPAARKTCGFTSHSSTCACNKCNQQFPRLPN SNAVDYSGFVFSEWVPCTDAENCRDAELWRMASSDAQRKRLERENGVQWSELHDLIYF NLVECTVIDLMHNLYLGTAK PHYBLDRAFT_150482 MTKRIPTAPRRPNLCMNTVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENVKGVTDAITHLKNGLDLSNKTNKFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDLLKVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMVRNKAGRRCNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_127613 MLFLYLAAVATSSRKIMPRHINQKLQESSRKNMVLMFCNGHPTA LTLAPLRIYGESLITESEQDAPDQIL PHYBLDRAFT_150484 MNTTQQLAKDIKILMIQQQCLNSQMQLLMATNNASQSVNTNASL SQLPIFPVLSTTHSTSPFPFSTSAPFTPPPTQQPIAISVTPPSLNSNRHPIVLLKLKA KKKARWVSYKPSN PHYBLDRAFT_173305 MFPSIQMHNTDCHCTRCNNNDQGVSQVSRRTAQRHNKRARFEAE KRSMEVDTEIIPMYQSDSVEAMDGQANSPISDAVSTFDNDVFVGNDYNGDESNTTDDN DSDDNGEEDTAEIYVEEFNNEDPFAASGMPENPVHRFIATFTVLFASRYVVNKGSVVL IEFINELLKIYGQDFQLPKSLAGLHKMTGFLSITKGIKRFVSCPNCHCIYEENMSVPP HCVFTNFGACSPCGLRGTIIDPMHNLFLGTPKRMMDRWVDKKTIGAEEFAAMEKIAET MVLPRDYTKLTLKIGKGFPYMKADDWKSWVLVYSPVLLHSVLPFEMYNNWMNFVRACR YLIKPSITFDEVNSAHDYLEMFCKKATKLYTPTILTCNMHLHLHLRETIRDFGPVYGY WLFGFERYNGLLKHIKTNGKDSFEATYMRSFVQNAFKGDYANAVLKSSSHVPFFNILS KLSPKFTPTTTVITLSPHPFRLQSFLLALQKQINFAYVKYT PHYBLDRAFT_173306 MAPIRKPTVRKECRCSICKSKTLGFDRVSVKTFKHHQEKDNHDI THVQMPHEDTCDTISSAVSEPVNQEEDGFEFEQEDVEMNSELRNLNDTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYEEEYEDESDVEMDNDEDSSLESISELNLIHQFIVI SVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVKKY VACSKCHAIYDNEAAPLCCTSPNFVAHGVCWSELHRLQYFDIVRCTIIDPMHNLFLGT AKRMLERWVADGLINDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQ FIEESWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTYLSHSFSIS EYLEASQNLSMIIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGC KDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEI QYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTLDKTRELEGVELLQDEFYKQDF QSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_173307 MPSNSSRKTDRKGKGKASASISTSANRVLAGCVGPREIAPSFSS ATIQDQQYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFA DFATAYANNQTRMASLGPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDDPA LVAENESKKKWNLNEKINHRDNVAVINYLKSYISTQTRLAGTHPRVISDKIKNRYKHS HRTFHESSEQKAKKNSKGRANSRTLQMSIRRKSTYMDNWVAIDAAMGYKTGNPVEKAY LKLFQKDAMSDGESDIEIVDNLPRRCLHVARPTWRSEEFNRLLTMVDDIDRTHHVLNA GVGTKPRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_68851 MDETDYAHLLQHYKTFYDLPDLVSYQYAMLTNSFVDNEITKLKF IDLLGQQYRGKNGSASCGSLVHVMFVGSDGRNTLAYAGQIQYLFTYSFTHPSNSNIHL TRMVHDHRHVFAYIKWFNTSSDRSREDDGLEFCLPTFSPNSRHCIVPVHRIFLEIATA RITTSRNVSKMLVIALPKKLYA PHYBLDRAFT_68852 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKEQIGNVE QTLTNMNGRIGVLATTSTKTISAIDSLARTPLAAPVRAELTVAAPVVISNHEPTREES NAVYLSLRTPENILANNLKPRWDTNVAFNKSPNREIAKRLLFNLEHMFGSSSMRQSDL RKRLHTNFTSRTRHERMSDDEIVETNALTQRAARADDNECCRVLAYKDNKEAIDLVML RDCANTLQKAVMSDGESADEMDEDGIKHVIHIVQPGWRSDECIIILSELLTNVFQCNH FIALVDTYAVQAMGSSANQRIRRITTSVSNSAVPDNISPNFPWWALRDGL PHYBLDRAFT_173310 MKETHSLSHSDAPSSQQSSGLARMNIPLTNVPFLKNFHPCYRHC HVKEIIIPCFTVNVLFLCPFVLSTSNSTALTPKKRALGLPTKLAPYRSDTVYPAHNEY IKSATKPYLWNPPSTYTVVFYRLPIYTCQYFSTFFHWTEVSELTPVNKSSSYKQSFAS IQLYHQSIQNIASTIASIVKHNTSILLNTASIVKNKFPIVPAILSSIFTFSLPIAAIS RSSPNMSQLLSANCMQSLPAELVTFLTSMQSQFNALNEPNVWQENADLQSQLLQNNGT GPVSSSASLPAPQSTADLGTAASTWATKTSLILLAKTPRVSSACWVAASQRLFSDKTG SDGFEYVHIPRSRRITHSEMHRSLRTLSVDTGHLLDINFPACGVIGVLVYVQYLEEFK SQLASAKVSLVNNFDPLDLKNVADPKFVNLSVSGLETQALVLQNVYCLQALKFLRSHL VLPVAHFFVQSGWIGLEEILAYPVAEHFGDDPNKKRALDALTAMIE PHYBLDRAFT_173311 MSWLNRDGLNDFQFAKLILSVSQDFSGSLTKAYTSEGFTKYHWS LSRSSFLIIYQLLFSTDRQIMSLHLSVLMFNFIVINKWAKKACRSKQWNTVGVDCTRG GPMDVRKE PHYBLDRAFT_150492 MSTRLNESHSLLEKVYYNMGATNGQNNNSNHSPIAVLATMPLMV NEGAFSTSNHPIADVVQSYTHQQAEGKSFARKDSSTKSDFSTPILADYKAIHLADKAN LESKFGETVVDLLDYDMLSDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRLANKGP DAIGNSVYSIILRNTELSNKKKECVTA PHYBLDRAFT_150493 MKDDHYQWLFEFYVKAYQSTSVSFCVIGRIPIGEDVFVNNWIQK VKKISLLGQEYCSGEKKKHGSFVRVLFLERTNDDFPAYHSSSHQPLADQGLQLWDKGF MEEDASCIIPVHRLHLCFALTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_117547 MNNLPREERMKPENIILVSVMPGPKEAKIDQMNNFLEPLVDELV ELYGGITMKTPEFPNGTSIHAALMCVACDIPAARKTAGFTGFASTNACHICKHHFTVV AETSKINYSGFDHENWVSQTKEENATKDEMWFCTESDAERAVLEMQHGTRFSELHCLH YFDPVRCTIVDPMHNVFLGTAKRMISVWKDLRYLPTAVLVHM PHYBLDRAFT_173314 MLLTLDYIISKNLCCKFTIISIPNCRLTPSLTSTDVQLLQALNA MKEEMNTMKDKIILIGTRIDVVITGNTTAINGIDVLSALPAPVNISTIVASTSAALPT SKSDDTNIVFGYIYGYMWNPKLKSRDQAEIQVNAIKPKWAVDIHFDCSPNRELVKQLL YYLEKKFAGTDMRIHDLLDVASKGNFQKQDKP PHYBLDRAFT_68858 MEFKGGAGSTRGICEAAANTQWEGGINSIKEQRTERVSLSRDLV KNKKWRGVFFPYAWSTIISDGADNARCTRIVYRLNSRSYIRLRQWYTMCHDQLYTCVE SNKNDGDMVLQHFWCADLTWDIEKSFLKPRFYFYMFYMEL PHYBLDRAFT_68859 MLRPSNPDLHLRHLEHEIKYNETITFLATWAHIDAHYTFCKEMG HKKEACIKRLKETRTCFCCSKTTHGPGAIPDILLANYSDFLIEAVASTGMDDHIQLVK TSDDKLGNEETKKSNDEEYYSDNDIDEVAKCFAQIKDDSMNGKNDGGQDPSNLALTL PHYBLDRAFT_68860 MLTHISSTTSISVSNLTLTFILLSTCYQHLYLFKNSGIVSKPIS SILSALIAVALAATLLQQKPSCRPSIMTDSTAAFYEDLYFPNDIEQSIVDDLLYIREG AQQSPSTSSPGPNRIPYEILCLLFDYSACAHILEKLYNDALTTGVFPASWQSTCLFLL PKKGDLTCLKNWRPIALINTDAKIFT PHYBLDRAFT_117431 QTRFVRGRFIADNDMLVKTIMEQAWLTQSTRFGLLLDQEKAYNY VYPLSLQQVLQHFHFPSSLVDCICNLFFSTRIQVNVNGHIS PHYBLDRAFT_150499 MGQFLQHQMFPPIKLSTLCLPLHSGNLEVLDPSIQQGAHQLRWL RSLCLSSHFTSGLVASATYSLLLIDFRTTSSPLPPTLLRVWHFPSAQYAYQPQTLSPS FPSCDTSALKMPFLLTLLLTFSAVERRLISHATHSYCASFSRELTLAISCYNLSLFLL SSLLISFSSMTLPFLPIQVYQSMLALLFAVSYLEYHGLHHKLLSHSLLHCILPDPFPI NSCPICEASTDTPNHFLFSCPLKIDVWSTFWQDVFGSHPTLFILHDAFYNLSFPYTHP SDIHAASLFSCALLAIWQYHWVMVFDNTSFVSFTILSTASRLVAIFKAEKSLDDLACS LAT PHYBLDRAFT_68862 MEAQIEELKLEIKISIEDLNLEITALQSQLENRNILNQHTSPSV SAISSANTIHKPVSIFREITLKHIFKMISKDLGIEVTSNKKATLNMYTKLICDDMAAH PLTSLDVLETGHQLQELHISGGTAIKDYSLIFLCFNSQ PHYBLDRAFT_150501 MSSNSILDSYQCNQCKERHTNLKKAMSCRAQCFKNHHRRHNDIQ TSQTTPVPNQVSIVLNTVLNDTIEERADAIEDQIMDTLNSKDNNDPIMNIFKLGNDMD IIENETSPLVFDFSQPAPTSDKDDAKNLEVLKIIKDFDISRNAHEMIVKYFNSILEMS TCITYRACTPHLSKKLLKCFSGVEETVHDICQRRCMPFTSPSQTECSNCGQSQYKTRR GETEGDDLVAAAKMIQLLLARQLALALANKNTRADMPYCHNHESSLDGKKMTLQFLCL LMDSHHTMFLVLESKGMVVKTPNETTCVKVHVLIVTGDIPALAKLACHAGHMSKDGCC IYHAVGQCSKYGQYFRTLSSTNICMLESFQNFSQTSASSCKGSNQQSPLATLNVFSGP LFFALNEMHGLCHGISKQVWGLVSGTYGTDHCFALSSGVRKEIGSFKAVNWADFLLFV IIMLVAEHIGDATARNTLLGLVQACNLLMSWKLAAEKQTSIKSKLEILDMYLESLLTS GKIKINIFTRNQHLLQHYPLMIDAYGPPHVYSTRSMEWAIGEYSRAIKTEAGATVIIT EARTAQHLQYEDSTAGWPLTDEGKCVGAGSDIEFWGPLRNRMIRDSFEGISCFSKLLE DFYESKGEECSVIEAAIQTSCKAFVNGCAIDSALDQIVLQIQVDENRNINSAYFPVYK DFFGKVVVFFEHKLNNKKWLLALVEIASVRLVNGVPAVNNGHMKPKIVHLADVKELVG LMKLDATINTTTTTATTYIVWPELNHGPKLLLGSLADL PHYBLDRAFT_173319 MPQLSTDEVEHVLVTHDESTFYSNDGKEAMWLVEGENPIRKKSP GMSLMISEFKCISHGTIWEVFHPGANRDGYWTSSHMLKQLESNAIPLFEIIHPGCKVA FVFDQSTNHKAYSQNALIANKITLGDKEVEEDNLCTLKDTTFVWDGEEQIQSMYYKKD EWFTKKSGQWVTKKVKYVKGICTILEKRDASEDSKCCAHHFLTSQPDFISQKTALHEA VEVSDHIFGLYLKFHCKCNWIERYWGTAKREAWLQCDYTYKSLDKKIFILFLIMLESF KTSKDTTTACGAILRHTAKT PHYBLDRAFT_173320 MPPASKKLRLAKSKSQSESRNCFSKNIETSNDDLVDLFEIDVEE SITVNDRELQGITDDVTSAIYSSNFLKWHEGADKSLRGTYQKNSLTTEWRKRKTMKES FDSKGSYRLTEKGFIILSRKESVNEELEPVEVTSKKIFANTISLLKLAFNNIKEEIAP FTCISDSSLPVDYYELCKLKSVKSFLRHRLSGTMIRKYAKEYINFQSIALHQQGKNAI HFSLFFDEDFKVSICKWIQQQKPESRSTILVKKHINEVVIPKKLGIPGSVSMSTVWKY LHEWGYIFRKNSKDIYYDGHEKANVIEYRKK PHYBLDRAFT_173321 MHGKSSFAPKDTKGINLRVEEIKKKLRMYERKDIFNFDKTGLFY KQPPISTISTAAISGPEHSFQYYHNDKSWIACVIFRDICKIINHQVRNLGRKILVLLD NAACHNTHDNYTNVKFLYLPSNTTLYLQPLDTSFIQNFKVKYQHYQYTLATQRYISNM IINPDDYFKLSQLEVMNFTSIPPIISETVNIPIEDITIISGCQHLIENNIEVVETPEE SLYTLEDDILDEENKNEENEDEKNEYSLKKKRKIIKELVVNLIHLVVPNSQPYQHIMM KTLVNL PHYBLDRAFT_150504 MDMVLSDSITIEEENNDRHFYSIAQHKTTKVLEPLFRPRSRNSR HILPKLVTDRTILMSTIEVDLQSIATNPETEDEESDSSGLKLVYPILVANAFTDEYYF TNIHSNKLSMTSNGMDIICKKQEIDVLDNDNINFLSQSIRKLVGVTLIAWESKEAQTK FLKLETICTSEICTVLILNWFVKRTAMARKKLEIDHTLFLEYIVLDKRTLINSTTAAN WMINILKEAGINTRENTTYSTRSAANTNAILQEILVEEIKFHVNWNLSSDIFEQYYFK PNKQHSIGAQITEVIFVSKQLKVWAKQQFDLPKLPSQAAISKILQKEEKILERAKKKL KKMSISLFWIWKKKAVPINVHSIKNYAKVIAVSKYNITQEDMLSFSDGWIAKLKKRLR VKKWKDAWQKFFCS PHYBLDRAFT_150505 MRLWLLDIQYIFRDNCYSIADVVSPNFHSAIKIIFSSLTLIESS FLFLVQYMTVSIIWDETIQPKLDASICIVSVGSDSIGFLIANPFVASAFLTHQFNSFC ASKDKGQLASHLVDLA PHYBLDRAFT_117408 FHLIDKTAVYQYKSLLFGMSVATRVFSKLMQYMIEPLQKEEIRL VYYLNNIYILAKTKSEMEQHSS PHYBLDRAFT_68870 MGKVDTYRNHMGNVMKEFGMYCKRFAETIYKKNNVYNRIIGFVD GTMQKIARPNVNNEQRLAYNGWKHIHCLKYQTIVTPDGISSSFIGPFPGSTHDVKIFD DSKTLERLIF PHYBLDRAFT_150507 METALGRAIYQAIKEEEDYEEKKIVENATKLAKEKLDKTTGDES LETVLYEPKRTIRENEESSCSTDNKNVEADETEKTGNDMNHISKNDDNIDNQENFYNN ALPLLQQIARSVSALKKRLERQQ PHYBLDRAFT_173324 MNRVTKKLAPGRVSVPNLRILGQLNFSLVDISKSCSLCEKSFKD HWNLKRHLQRCHNITKTVADDMSIVQETKYQDIQMSDSPKNSLTPSESVEEESDVDNE YYNSILNYDKCEESDDGSRVDNSDFDVEEDTEPNAGISLFNHILNNMSAFANNNESSI DEEDEFRSEVFNSTIHEEAIRKDISFKLPWLDTLWNYQTQKESNILIFKSKILDVTLS DSTKVTAFLNLPSKHIKLLAADPIKKYYKVKIAPVILFLDDTSGNTSKQFNPYESWSM KCAALSFEERCSIENILFISAIPKKKDANAVVLLPEIVDDLKKLENGMVMFSAEDNAY VLVADTPCHSELCGLGAPNSTYPCRKYYIKLQSQIPKLNKVEYYTNRHPTRTKDHYIQ AASISDRDTVIPDIPYFDSKNTAEELSLKNKSTDKLLELKAYDQSKDTPAEILHYILL GIAKYLITNLVKVVLNKNKKELEELFDYVKDYTNSRDISRAFTRSLTHAGSFLSRDFK VLIQILPAILAIKFADTEVLQEITPLFVRLGRLCSLVFVRSIDSQYETYISEVDSAIR SLIEALHKYDTNYICLKFGKQYMTRHIIDGGSWIGKNGLRETRGKAIAEYMQQNSDGK FHETLLGGSREFADNNGTGLTPGRILKDNTFSLFRQSNGHIIIGIVLFSKVYHLYIEY LSAHAVNNNYHLALKCADDIYMPLDELKIVCLLDMHLKVSCKYVVNLNKFGSY PHYBLDRAFT_68873 MCTGIWLVEAVFVGFVDTAHRDKEILKDFDEPSAKGLKSNIIRC TKDTLQFEGGKWTKSGAINQIFVPDLKKYTMDAHQIVQGKYKDGDKLRIAGRAASEDF NDLKYIKSQQNSNKDASDFDELIEKVRRLAVYAFASGKTLDEDSKELSIRAIKLPTRA RYREDEDDNDKDMAFDQEWVEKIQQARYEESVHQSAVLWGENKAKYNIDERIRENLCR LFISKENVCLSNLYHNESSSRSIVLLERSGKTN PHYBLDRAFT_101082 KLPKFLDIDRNRFKPESFEIQTEEGLTDAQCHEVVRQQVESTIR WRKVMNDKNDHEIQSNSHLVEWEDGTMSLMVGNECFDATQKVAAPQEHVYMLAQHKQL GALESHTEITDHMTFRPSDLKSETHRHLTAQIANKHVKKIKTKMFFTEKDPEKLKQEL ELKESERLRAQKKLEN PHYBLDRAFT_136385 MPIHHGALLIDSYADFQLPPDIMEEYSLGLIGKFGARPTSVKKP IFTRLRSNIFVERKPNRTEHQAPCQCVPPPDGSQGCGEDCLNRMLYYECDPKSCPCKS QCSNQRFHRREYAKELQIYLTRERGWGLRTLVDINQGDLLTEYRGEIISHKTCEERMR TMYIDQKNFYFLDYQKGEVVDACSKGSEARFINHSCDPNCHIEKWTLKGELAVGVFAS RHIPANSELFYDYNFSVFGNAESQQICHCGSEKCRGFIGKKPKHRSNRLV PHYBLDRAFT_68876 MKRKHEDDSSENSAREKKTLKSNYRTLLSRKNYRKLSLLKHTYI ANSKNFLFAASLNRNQFNSFREQVLISINRNQPLIFGKKLQKIQSFKTTVSNFVNTVK ARIKNGLALEPDRVFLMAEKHSSESFE PHYBLDRAFT_173329 MHLLFYVIHQQNEIYKVWLFSTSFFVVRVLILESLSIPSIQFPR TLVNGISCSLEPNGNNRIMFGNLIRSDGLSIDWISYKMKPNPIASNNYKPVFIDPGGN AAIIAAEKVYNKTYGLLRYKNHEYYYMTGLTRYQADRLWQKKQNGIETIENYISSDKT VSHTTLIDYVQIIQLTWTCCMLLIAVKLLNTRFLYTKVPENTRKKKLIF PHYBLDRAFT_136386 MDILRTELIELSASHGTDVALQPDDVFRKNKRLVVFDMDSTLIC QEVIDEIAKYAGVVDQVAAITEAAMNGEIDFKESLRRRVTLLNGTSVSVLETVKQNLT FTEGARFLCRALKRLGFKLAVISGGFMPLALYVKAELGLDYAFANQLMVSSDGLTLTG ETTGPIVDGIRKAELLDVIAQAEGITLNQVVAVGDGANDLPMLNTAGLGIAFNAKPRV QEQARARINQKSLKYVLTLLGYSEKDMEQLSREN PHYBLDRAFT_173331 MRKQVNKYQIDRSNSCVNLGQWYQFVVEDTKRQNSSALADKDST SVFFHDKFPAFMRFLQGINCLLLCTIFWHEKDKNSIVLHIKTIKLLIVSDIAYFQAIL AVQAVLPGCLNTEWTSMLWNRVLGACCLMHIMSGGYSVLQSNFLQDPSTCNSLITSTF ILTQSQNTGLFLGVGSIAAGIMRCLTIWRLSDQELVASTSTSLLPLSNQPPKE PHYBLDRAFT_183222 MCLVQTVPQMSAYSLGNSDSHEKSILPPCHSLPKFLQSSSHTHT THLCNTLFIYLFIYTVNHCTYTPYIFTHHTLHFTLYTFHTSILSIYIYNFLYILFQPT KTIVLLLNSWSSYIYSWTLKRKKNICGS PHYBLDRAFT_23714 MFKFDGVCQTVALTLCPLIGKFDGIEPFCYSRNVDFAGVLVFQP ATLIMDVVALVMTSIMIYSVKTKYTAVGRKEIAMFFQLYLATVFLEMLLVTNIIPTAS ILYPFFTAAHLGLVSTTSWCLLLNGFVGFQFAEDGTPISLWSIRISSGAMFMTVALVA LATFNNVGPFNYTTPTILWIIYYLVNGATLLIYIISQLVLVVYTLSDQWPLGDIVFGT LFFLLGQVVMHIFSVALCEEVKHYLDGMFFGSMCNLLAVMMVYKYWDSITKEDLEFSI GSKHPQWDMHLKEDEKRPYD PHYBLDRAFT_94086 RRVALAYDGSEDAHKLLDWTIKNIIVPEKDHIIVLSAVPHGEKT IPENNHHGDDKKPLPMLSTTPTKFNEDVKEVESHPGCMTARERLEDMSARLRTLHISC EEHILWGEAKVLIPRYTQQNKVDLLIVGSRGLGAVKSVFLGSVSDRCIHECPCPVLVV R PHYBLDRAFT_136392 MQCHPHSSFVGSEFDEEGNLKIIAGEFRKSEFCQQHSLLPRDLR TIDTNTFYQKPSILVRSKAILVNMGHIKALLKSDSVILLDTYGSSDSYNQSVFIDDLQ ERLKSHKEGLPFEFRALEAILIAVTSSLQSEIEVLEGPVNKLLSDLEDLADIEESVSG YRLRDLLQYSKKLSKFEQDALSIRDVLEELLDHDDDLAAMYLTAKKEKQPRASVDHEE AELLLEAYLKQTEEIASKASTLRSHMRSTEEIVQIILDVSRNSLMWYDIRLTILTLSA TVVSGYGALFGMNLRNYFEGDPFAFGLVSGLALLSGCGVFAVSVRKLKTLAKMKG PHYBLDRAFT_160146 MERSSRLKSPESYSPSSNSIPEEDNSTQAHQFQKLQEMNNSAVI STRSSFDSGYTGGNTHPIGTSTIIVERLQANIRQLENQISFYQTQLQSSLQSRDELSE EMLGMTLEMDKLRKECKRMQDTETQLQKLHERYQASLEMLGERTEQVQELKADIADVK EMYRSQIIEMVQKIDQLSKK PHYBLDRAFT_173337 MAPFIALFRQPTARLAQNINGIRTTALITQRLLSVKTDPTADQK AEQPKFFRQPPSVVGALDAPTVDRNGWLDRKKQKLRDITNYDKAFAAHAAERRHLVEE ATKSYFADVHEMRKHGGKMYHASPKLIKAEKAGYMPDFEGTNLERKPIHTTDILKDKI SLMSFVYAKYGEPHANSFIEPFLKRFGDKKDIQLVEVNVQENFLKQLLLKAFVPTIRK NLAEERKANYVLLMKDITRVRKMLDMTNQYIGYVFLVDENCKIRWVAHGNATSEEIGN MLGMTEYLNEKRTKGVKAEDKQK PHYBLDRAFT_40771 MQSIVHLSQFKLMRIVGRGAFGKVRIVEHREMRKLYALKYINKE ECIQMDAVLNIVRERTILEQLDHPFLCRLRFAFQDDDFMYMVTDLMLGGDLHYHISRQ TFTEDVLRLWFAELASAIKYLHFNRVVHRDVKPQNILMDDKGHVHLADFNIATHMHVH KQLTSNSGTAYYMAPEIYKGNGYNEAVDWWSLGVTLYECVYGRRPFEYETSDELRAAI RRGYIHYPTNDRRVSGECLAAIQGFLEIDPLKRLGQGDTGWAALVRHPFFRSVDWRRL ESKTIIPSYQPASDQNNFDITYDLEELLLEPNTISVQNRRQNRVKPQKSPTQTGDLTK RERDLIFIEENFKGFDFT PHYBLDRAFT_100442 AQSLVQEIEEIYYKLSKMTSLHPVAPVNDLFTRLVHIATFTYNP SIVNLILLCPIIERLLNPLRILCSTAEYHLEMQWSKELGLYQANETVTPEMILSRFIY YDNYDALTCLELGALHGVGGIMAHIVFVGSGPLPLSSILMARKSHNIRRIDNIDMSHS ATTAATELTNKLGMQDRLKHYTIEAKDYDGYEKADVIILAALVGESHEDKMEFLKFIS KQMKPGALILTRSAHSLRKLLYVPIEPFHVNSCGLQTLVVSHPQNEIVNSILIAR PHYBLDRAFT_150522 MLEQDYIEGFGYRGIGSKISQETWGDHSCPSRHVTRLTHRRRQR PRVWYHPSRLTAGPNHRRNPPSGIPHQKLDKGVVAYNTHNKYKCPFSSVG PHYBLDRAFT_150523 MQGLPTELTSFLTTLQIQIMNVQNRTDQLERLAAENARLTTELD HARTTIANLQKQLESQSAPENFFSEISLSNSAGAVGPHINNKEPGLKASTWASKASVS LPAIVPKVSTVPSAR PHYBLDRAFT_160148 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINEELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_160149 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRSS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQNSVDLELEDMSFDC PHYBLDRAFT_188715 MHAKPLRPYIISFRLILNYSSSQYSFTTIGILVHIQYADTLKAK LTTASVEILDAFDPLDPDNVADPKYASLPTHELANTAAMLHHDRCLQALQFLHPHVAI SVGHFFSGTYRGSMVVSILISPHCPYAVTQISMPSKYALAVKIGSLRIVCLYLPPTMP THDVLYVLSSIPLTHDTILCGDFNVRLGSVTGDYASNSHGLALCSWIEERSLSVVNAD FTPCIPTYISFRNNYEISSIIDLFITNMSLINPSLHVATDLSLGSDHRLLSLSFTYDL QHSTNMSPPLHKT PHYBLDRAFT_150526 MFCQSIEHNFSKATSKIKQLKRRRQPQHTFQHDNGPAVAAATIC DHLATVYSGHILPATRPSASTTTCNSVPFASDDSPFNSPIVKEFMQFMPNCMAPGPDH IRAEMLKPIKSLILPVLALFFTVC PHYBLDRAFT_173344 MATLNSVGACRSGFSLLLSSRLYKTFVCPKLEYVLAISTLLKQD IKVLESIQDKCLRMIVGGHATSFTIVLKHICNLPSMKFRADVLKAKFCIRSRFLPAQF LLSLLHRHHTIYSSLVSLGKNNLLSHLPPTLKLRSPSAVKNHFESIREAGFATFLQSN TQVLIQACCPVLGVDPILFLPASCVERGCLIRWRMR PHYBLDRAFT_173345 MSQLLPANCIQSLPAELVTFLTSMQSQFNALNEPNVWQENADLQ SQLLQNNGTGSVSSSASLPAPQSTADLGTAASTWVTKTSLILSAKTPRVSSACWVAAS QRLFSDKTGSDGFEYVYIPRSHCITHSEMHCSLHTLGVDTGRLLDINFPTCGVIGVLF HVQYLEEFKFQLASAKVSLVNNFDPLDPKNVVDPKFANLSVSGLETQALVLQNACCLQ ALKFLRSHLVLPVAHFFVQSEWIGLEEILARPVAEHFGDDPNKKRALDSLTAMIE PHYBLDRAFT_150528 MLNHCQSLHMLFITKTWLLSPARLPTSWSQFHLYGSPVSGNYRG SMGVSLLVSPSCSYAITQIPMPNNYALAVKIGTLRLICLYLPPSMPTHEALDILSAIP LTDDTIIYGDFNARLGSVTGDYASNPHGVALEQWLEEQSLTVLNRVLSPCTPTYISFC NGIEISSIIDLFITNTNFANPSLHIATKLSLGSDHQLLSLSFNYDLQHSPPAPPPMCQ TWNLLRLYEDDVRSLYVITIVTKSASLFTTLQDLVQNPPTICHPIDALTNSFNTLIYN SLTDHRDGCYKQWHQACGIDKINWWSRHQHAHKEFQQQVQSAKHLSWHAFCHSMESDF SKATSKIK PHYBLDRAFT_173348 MYVYKYSHFGNHISNYAESAHASLKHSLGTSSGKLMTVTLKVKK WYQELVDDRKCRLMTECLGESTEVVFDKVNGARLNNIRQKISCFAMYKIKLELSKSII SEKFIKECKCLLYYNYLLPYYYTLATFNTIPISLIPRCWRKDYLEGEDNTYNNHLTIN NAEPVPANITKITTILPQFDYDLKLVHEGFHITHSKQKQIDIHNLIKNILEKITKQEL EDLNSPTIVKAIKGQPKNTKRKMIALEHCIETKKEKDTKKLK PHYBLDRAFT_68897 MPELTLSEVTLTRREQQALRKAALEAAPVRTLRDIRYVLEPLTI PNVMKLKHSSAVNITKKKHILFSQIYSCHKDRSYEPENREKHPIQCKLKKVSCEATLT IICYADKSHVYVFDFIANHINHIPEDIKTDLGLFPLTRGCVDDIVTHLTASPGSSARK IRLEILRNIDQQDYSLNGRKINYFDIYNKILAINQTIFRLHEDDFKSMKMWFTKKLSP KGFIIFEDNLQTYSNNESLYACEFTSPFQQSKIKAAATFCMDATYSITQRSDDILYTI VIRNEELDRGFPCAYMLTNDHSLGPIVQWLKHLKDNQLVVNPWQFTIDCSDAETNALM TIFPGCQIQYCLFHVSQAWYRRLNLKVKTGNTAAQNRLVRGEMMAFLKHIIYEEHIVV FLDKIANFIGRYQQSQPDFVQYFETNWCTMTKYCVWSRAFHQLEFSHMLTNNYINSWH NQLKTHFLGHSRNKRFDRLIFILTNEVEFYFKEESIRINMHSGPMTTAQRQQRKIEMS ADAVPAYMHANIIVSPSKAMSLNTTLDDTDDILEDGFWFIDLFTEDGITYQVEVNNNV ILSCTCYAWARYIKPCKHMYLLCIHMSDFAFLSMLSANNVQSITISGKQFINDSTMSI DGTMESTEYRHNEQDLYQLIQYATEEEAEVIRAAYAAPIKAFQEIKSKYEAHFRTLNT QRH PHYBLDRAFT_173350 MPTPNRRLTPSLASTDVQLLQALNAMKEEMKAMKNKITLMDTRI GVVITGNATAINGIDALSALPESVHVPTSVASTSATLPTTKSSDTNAVFGYIHDYMWN PKLKSRDQAEIQVNAIKPKWAVDVRFDRSPNRELVKQLLYYLEKKFAGTDMRIHDFCK CIYTNFCSRRRQQRELPETRRALNTNSRRSGCETDNYTRRCLAYDAYKADIDLNMGQN CSGLIQKSVMSEGESDDDMSPSQPRNEIRVARPSWRSDELNKFIMEVDSFVVKLWYFR ALGLPTKLAPYRSDTVYPAV PHYBLDRAFT_173351 MSQQSAVNSTIVSGEETARVNSGVIPPPSAAGSADHNGDTMMTP LCSEDGAFDSEEGSSGSDAGRSGYEGANSPRIYLGNSEVRVNNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEKILKDLKASTA PASKPHDKRSTLVPSNLPFPQLRSEGPLVKANRDVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKVESILLDHYDMPFRKFLNMGRVWCMK QGKGESARSFGAKFQKFRRQASLDDGVQLVLCFWWNLRPEVWEACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSHKGKKKSFS CERGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEWEKYLTKVSRMAVRSSAGRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFPILA NNSIRTISLLDCGVTFSSVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFIRLADSN TQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSY DDADSSEERREANRCFNNKSDLLESIERENERKENNPAVGPKQFEDAMDYIRPFIKDN QDIPKGSFCTIPESVVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPEGIK DINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_173352 MAETLVVPMDYVVLKSKIGKGFPYMKADERKSWVLVYSPILLKA VLPIEMFRNWISFVDACRQLVKPSITFSDIDDGHKFLQEFCTKCQRIYTPTILTCNMH LHLHLCETIRDFGPVYGYWLFGFERYNGLLKNVNTNRKDFFEVTYMNSFVQDTFKVAY CMPNLEGYQHLCSPFSFVNNQIIKLKSINLLVQVYKGCKYASGRGSFVQSLFLGSQGN NRLAYTDQIQYLFLHSFTPPFQIEHYHSRELESVDICSADFIACDFECILPVHRISSV VATCDYKTSTNNKKILVNALPHKQYN PHYBLDRAFT_173353 MMADNVLVDNEISEVNGNDSDIERDMNSDSGSGEEEGVKTDVEE FVNEDPFNAPNMPENPVHQFIATFAVLFISRYVINKGAAILIEFINQLLKIYGEDFQL PTSLIGLQRMTGFSNYTNGIKKSVVCEDCHKVYKQDVPIPTHCNFKKHGSRSACNCEL MKVSSSGAMVGK PHYBLDRAFT_173354 MEGWKDKKTKRQKSNKHILDEVQNKKMSGNRHILPEITVGEKRI ISSLAGMQANIKAVKCQLSDMGKNVGVIVATSSDNAVPTSTVANPISAPIVATILATA STKITAPPRADFAAGPNPNFQSDEPALVQANKNRPRWATTVCFCLSPNQELAKNVFEY LVLKFVNVRMREADLNKYVYTTYCLSKHEQNKDKEAKKNTSDIARQHTIRTGLLLTRK WRKIALLCSSEKQCLKYNRLIKLVDEAVKTDLGSNAYQLLEQIWLRITDSAVPDAIAS QLPQ PHYBLDRAFT_173355 MGVIVQDHLQEQCNMLVCFLQIVATIICCCDLLIQDLSHLKQCS DPGLAECFCNECKNNQEGYSLVQRRTAQRHNKRARYEAFERSEIDISAQSSSMEVDVE TFLSQEAGPSEILVSQTNSPFWEANIMSDNNNMTIDNEVIDNADDDNDAENDEEESEE VKKVEEVEDIVEIEVEEFDNEDPFSTPNMPENPVHRFIATFVVMFTSCYVVNKGAVVL IEFINKLLTIYEQDFQLPLSLPGLKRMTGFSTMNKGIQRFLVCQDCHKMYEESALAPF YCDSMKLGARSAHNCQLTKTSSSGLQVAKREYCYESSSTTMSEINKAHNYLQSFCQQC LVIYKPGFLTCNMHLHLYLRETINDFGPVYGYWLFGFERYNGLLKKIDTN PHYBLDRAFT_68910 MKEILINDPSVYSQNFGQSRTSRLTSMSTTSKKKIEVLLEAVVS NNINDDHASNLNPVISNNKNEESLTATLLLTERSDYLASVNKILSTITYLHKETQDEV ATERDVFISQLLETHEQRAAKQARDEKDRELIHKFTKACINELQHRQLQDNVILAEQK QLNDFLAQFLQSRYNNNQ PHYBLDRAFT_173357 MFKEHYRITLSTFETLVNILLGTELYRRCDESELVRPVWKQIAV VLWRFSNTHFGYRMAKNKFGCSHGSYNNFTDQFILAMSSIVIDNSITWPNMVEQTLEI TTGCRSKNQETVPLTTEQKLYNTVQSRTQQKIENTFSLLVLRWKFLYKHLYLKNVGRL TQAIMLCCVLHNLCLDVDDMWELEENEENNILGNFQDSGNEDGAVFGLEEIENTNPII SERVLNALLAQLKTGGEQQQLAVMNFF PHYBLDRAFT_150540 MYIDEVEKAVTSLIQELHHYDITCEIEGHNLYSSKPKVHLLTHL PNDLQIFGTALHYETEKGEQFNKHIHEHLMHTNRLNTSRDICFKFAKQSAMRHIIDGG SWVSKDKMREKYGNSTAEFLKENFNDNVKNTLFGGSRDFADNNNTDDITAKALCDNTF VVFMLKESRDQHAHPFIGKVSSLRVEHYRVESSLHAQVNNYLLMQRVSNDASTPLDQL KIVCKLDMHTEFNNKLVINLSKFGSYWFFVSLFSN PHYBLDRAFT_150541 MQRIINYPKNSRVVVSAPKGPGQHNFAFDDIGKTCSLCGKDFDC VWNLRRHLTKYRKLATHIANDISPQYANRNLASQRQTTNTAEPTAPDHNDNSVNEDLH VESDLEDDDSSDVDDMNSDGNDNVSEIELDAGESIIEMDEDTSPFESPSPGNLLYMHI RNSMLSSASNISSSLDADLDLLREATGSHMTWNQYTSDTHLFPDLQSIVLLAFVDGDN DMVSCRILKKILFTISLVLKLHEEAIQKKSLFKLPRLDALLNYQTRKKSKIPVFPSTK VDIQLPENNTTSAYINLPSDHVRFLAANPKKARNMFSLPDRTPNQSICLQQDEKWRTH WYYQQPMLTHNSVDFWSGDIVNFMNGSIPARFLVESFHTMDNSAVFVQGYMVYILEGG QLISIEVESTSIKLETLLGVDSTPVDVALCYSVSPGKVFHLISRHKSLLEEPHFLKRH VLDETGKPIDPKLFYKVRILPIIHFTNNTSRNRSKQYNPYKSWSMKFTALSYEERSSI ENIHFLSAIPKKKGASGMSLLPKIVEDFKRLKNKLVMFSAKDNENVLVASPLLWIEAD TPCHSELCGLRAPTSLYPCCKCYVRLQRSMPNLQSFSYYTGRHTARTKAHYLAAASTS ERGSTIPDAPLTGNALTASDLCFTNRATDALLELQSFDPSTDTPVEVLHNILLGVAKY LVNDLVKVVLKKNPNQMARLSKALKDYENSQGMSRKFTQEL PHYBLDRAFT_150542 MSEVTLTRREQQALRKAELEASPVRTLRDIGSALEPLTIPNVMK LKSNIVHVFTSKWELCKQEIEEQCCVKWIISRPNRHSSAVDITKKKHVLFSQVYSCHR GGSYESESREKRPIQRKSKKVGCEATLTITCYADKSHVYVFDFIANHTNHIPGDIKTD LGLIPLTRGHVDDIVARLTASPGSSARKIRLEILCNIDQQEYSLNGRKINYFDIYNKI LAINRTIFHLHENNFKSMKMWFTKKLSPKGFIIFEDNLQTYSNDKSLYACGFTSPFQQ SKIKAAATFCMNATYSITQRSDDILYTIVIRNEELDRGFPCAYMLTNDHSLGPIVQWL KHLKDNQLVVNPWQFTIDCSDAETNALMAIFPGCQIQYCLFHVSQAWYRRLNLKVKTG NTAAQNRLVRGEMMAFLKHIIYEEHIVVFLDKIANFIGRYQQSQPDFVQYFETNWCTM TKYCVWSRAFHQLEFSHMLTNNYIDSWHNQLKTHFLGHKLYFKEESIRINMHSGPMTA AQRQQRKIEMSAEAVPAYMHANMIVSPSKAMSLNTTLDDTDDIFEDGFWFINSFTEDG ITYQVEVNNNVILSSPANNVLPITISGEQFVNDSTMSIDGTRESTGHGYAIEAFEYAK NCSLTTKHNEQDLYQLIQYATEEEAEVIRAAYAAPIKAFQEIKAKYEAHFRTLNTQRH PHYBLDRAFT_150543 MTSLTLRYTCLKDLLAEAFFTDPSSRTKAMSDGLPSNSHIVKVN LYRINVCDPSTDLLEPIENAFRPFGKIVQLRAHLSHCGTFRDKATIYLDTSGQDVVCS LLSHLHLGGSLSCLAELRSTDITPVCGYCQEEEHYLRSCTKRLPCAPRCQGCGVLGHA IDSCECVVFQVQNNRKMNLFISCTFCTPDNQNLNFRIKPHVTFAFS PHYBLDRAFT_117618 NSCILLDFWSKVIADFNSVAKSEPKVLSYHIQRGIPPSVRCMVW ELLTKSKDPQLEEQYMQLLGEESVYEQAIARDLLRTFPEHDFFQSSDGQEALFNVVKA YSLYDKEVGYSQGLAHVAGPLLLKMPEEEAFCVLVQLMNRYGLRGNFSPQPELLAQRL FQLDGLLADHLPHVRRHFEVQGVQANMYATQWFLTLFTYKFPLEVIFRLYDVIFSEGI DVVFRFSLALLEKNQSKILSLDFDHLIPFLKNDLLEIYDENASLFIHDAFQIKIATKR LEKLSKEYQVEAARANSEAEAIEAMRRQNKALSETVRQLEIHITDLNKGHKEVATDLI STKMEIARFHDENDALRQQSYDLKKALETMPFEVEQRVKDEMEILATKNAALVERNSS LEDQLVYMENMVIDIKVKYADSENERETLRQRLSELKRLMG PHYBLDRAFT_173362 MVKEDSLIAVLQKYDEFINLKLKPNLKIVLDRRDSVLNSLSEYQ KLKIQIESIQTNKLTELKTLVDLGSQFYAQAHIQDTKYIYVNVGFEFHVQFTLEEALV FIEKKEEQLSRIIEKFSREADSIRAHMKMAYEAMSEVLEAQKNEQAS PHYBLDRAFT_32988 MTRLSERRLDLIFFTYFATHIPITALIDLQSIYPSFLVPSFLKN VVAWYLEQFKDPIMAGPAKPWFLSFILCEAFIQLPFFFYACYGLYYNTSSIRLGLAVY SSHVMTTVLPVLVDLWFNPEYDLSLPTIGVLYMFYAPYFILPLIMLIDSCLKVSKQTS ATVKHEKQS PHYBLDRAFT_150547 MPFLETYWASNLQRIKKQNNIEDRSASFNFVNWLSLNNSIIEEK TNISEKKILQLANKRRKIHSDLHLQADEAVVSLLVTSSTGFTSDKVGPSGPSAQLAQS TRQESAERVDQLHKQDLLYYNILDFVATPPDASLKMLLCDKYTTFMEHTKSLDMSPED ITEDVDMLITVDDDNIYKLCDNAVKGFKLMMKHIISQRVNKHFAGLSSVKLYGIQIYK NEMFACSLTNPIVNRYMFALDMKFSLPTAPRLLSQSFPRFIQNVWK PHYBLDRAFT_117388 MQCHEKGELEQATQYWRLSAESESPLGLLFYGIALRHGWGCKKD PTVAVRYLKRAVEHAKYDLRTGFAQSAMVAKHELVLAIYELGVCFRHGWGVPKDLKMA STYFEIAAGLGDPDAMNDIAFCYCHGHGVKKDMYKAAKYYRMADYQGRGLIGNSWIWK DKYNVVDQPDWDGLK PHYBLDRAFT_127637 MAPERVFVIGVGMSKFIKPRGKVDYTEFGLEASVKALNDAGLSY DDIQFAACGYVFADSTAGQRVLYQLGMTQIPIINVNNNCSTGSTALLQARNAVASGSV ECAMALGFERMASGSLGAVFSDRTNPLDHVGTVMFSERGATNAPYAAQIFGNAGIAYM EKHGATPRHLAKIAEKNHRHSSNNPYSQFQTIYTLEEIEESPNVFGPLTKLQCCPTSD GAGCVIVCNESFVVKHGLVDQAVEIASQVMATDSPRMLSKDGIEWAGSDMTRRAASEA FKKAGITPNDVQVVELHDCFSANELLTYDALGLTPPGKAHTLIDSGDNTYGGKYVINP SGGLISKGHPLGATGLAQCTELVWQIRGWAGDRQVPNVEYALQHNVGLGGAVVITIYK RGQVNQPKPLTRYNPGCEARYITREDFEKAASKPENRAAFFESKL PHYBLDRAFT_183233 MVGDCCLILIIILLPPLGVFLMHGCGADFWINLCLTLLGYLPGH IHAFYLLIKQRDEKRLQGGYPVGGPGYGAVPN PHYBLDRAFT_5487 LLQIFFMFLTVSSFALFYYFAVPHVPGPYLGRIHQFLIPAHIGV LYYSYYMACTADPGIITANNHQSYMDHYKYDNLLYEPKECTTCKRLKPARSKHCSMCH ACVGRSDHHCAWINRCVGVNNHRYFFFFLYSLIQLCVYGT PHYBLDRAFT_136409 MLEKARRKIYEESERERQKISKLNEVRVGSDKFITTTGDIETQL KSSTIGLTELRDYRKIKENLEEQQLREAAKTAPLGEEKRKKRKKKQMMRLSFEDEEEE EKEEEAKELEASPEAGEDDDQEETEKIQKKRKMLKDPTVDTSFLPDREREEEERQMRE ELRKEWLRRQEELKSEVISITYSYWDGSGHRKSVQCKKGDSISQFLDACRQQFPQLRG VNVDNLIYVKEDLIIPHHYTFYDFIINKARGKSGPLFNFDVHDDVRLVNDATLEKDES HAGKVVERSWYERNKHIFPASRWEVFDASKNYGKYKIK PHYBLDRAFT_160154 MSEVESVSEYVKLISSDGFVFVIHREAAMRSGTIKNMLVGPVQF KESVESEIPFRDIKAVILEIVCRYLYYKWQYEGSTTEIPEFKVDPEVVLETLMTADFL EC PHYBLDRAFT_117358 MDVVALTSSHSDTDVYTAVYSSVNVFEMTVHGVAIMRRRSDSYM NATQILKLAGIDKGKRTKILDREVLTGEHEKVQGGYGKYQGTWIPWDKGKELAERYQV LDFILPLVEF PHYBLDRAFT_188721 MSLRTPSVLARFVPLATGRMLHTSRITLNAKQEIFVWDKAPGEG GKIHKGLEKIMGPLRAKSFRDGGDNDVGHNHYDTVVVGGGIVGLATARELLHRYPKMT VAVLEKEPEVAAHQTGHNSGVIHAGIYYAPGSRMAHTCVRGADLMYKYCEDHDLPVDR CGKFIVACNEEEHKQVEKLYRQGTANGVKGLEIIDGAKVKELEPNIKAYSALNSPNTG IVNYWLVSQCIANEIRESGRGDIKTSFEARKFNKTEDGRVRIRGAEKVLNGPVLEVYA KNVITCGGFYADRLSGLTGGSVKAHPIVTFRGTYYQIKSGMRSVVKRNVYPVPSGGGI PVGVHFTPTVDVRRGHQMIVGPGACLTFSREGYRFFDFNVRDLYDSLINPAFWSFFVK NFSVSLGELYRDLSKHAFLKSGQRMMPSLTADMVEESFSGVMAQVFESGGIAASDFIV ERKVMDGLILNLRNAPSPAATASLAIGEMVCDIAEEDFGWKRE PHYBLDRAFT_150555 MINVSANTYVNEKSTFRFYSNGHVLSVIIYEEDGSIGYNCNPCS LTDADLFLMHEKDTMYFKIKGECTFKASVDSNNYLYTTCKINIDSNNTCLEIHLPSFE MKSTELRIESSSTDISSELFKVHELLYNISVLSDSDIQFLVSKEQDKFQTYTAVLAYH WNSLDVLDSPCAPGEEKTPELSAITLLQK PHYBLDRAFT_150556 MPLACSDYTMKGPHPFAFTIIRADKERKTKTKHQIQNNDKSITS LGKKPVRCKKFKFKSFRCVPLVVFSDRMKNRGIVRIKDHVSGTTAMLEIELKIRSRTF QAAVVGIDEFRTSRVCNFCKEMSLKATKLPSDKCTQSILDCKNCRIL PHYBLDRAFT_150557 MLAKKVNGYAGFTLDAIQTIYFNRAIKLVRPPKALSHIPHVPYF SYMSSLIKKENTISRNKRFANKLFEDPESNGLYLRPNVKGWEVVVTRPEDAKKLLFKS DIFPKADFTSGIDGTILSKFSRGPNLLFTTGAHWKAQRMVANPAFHRSAPVKLFGELT QKLFRVMDNRANKTVDITRLMEAWALDAIGLAGFDFDFNAIENPNSSWVRIYERVDKA LVHPFYSFFPKADKYLLWVLPNRKQAHTDLDIFLKMIDNVIIAKKKALHENKSNKHLE NSEKDLLTLMLESEAKGSTLSAKELRSNMCVFFAAGHETTANSLAYAIYFMAVNPDVQ CKAREEAFKVLGDAQEDIMPTIEQTKSMDYINAVIKETLRSHSPALGTFARKATKDTE LGGVLIPKDTMISMDIFNLHHNPNVWNNPDEFDPSRFLPGGEAEKQINNGFSWIPFGT GARQCIGMNFSLIEQRVMLSMMLRKFAWSLPEDSIHKDYLHTTNLVISSAKDLNINFE KLY PHYBLDRAFT_188722 MTNTEHEASLLMKKAPETTQKNSMVNQSVLRANESMSIKDRAGF ELLKFVESHEEWSNKISQIRERTMSTMTNGDPDYVLQAQVETETTETIEIASKSLKEK AHITFDHINNCLREHGMTPAEATRFVDNLQQNLENSISAFSANSMSLIRKRVESISKK KSDNSKFVALKLSILDMEKSLDFSREECIISMEKANSKALSLLEPNSIRLHNLEESVI KHITEATKEAIIKEIDTLSGEREETDKQIKENSKNSAAMDILDHHMERSRVIKELRSS IESKNIFQSPSEVKKWEIEIGKVRSGIEEQQNNQREMENTIEEFQSKNTIQHGSQPRN LKKLEEDLQVLTKALHLAELEVLRVDVKVENDSDVSDSGFNIPQKRSRTISSKDNHTV KERLDHVEDKQKDLLSFLSDHSKTSLDTAFPHNLESTFKQFNEKLSNHETILGYLLNP VEANHRSPKQTLQEASIVLNSNTTGDKATQPVSSAMLKVLRRIVDKTVENANDQMWSK KERGTRKTKKNGKKLAAQHNIDIQHPASSIQS PHYBLDRAFT_160155 MATREWIVARITSITECSVDPKDPSTNPFGLAHGLKFYQIEVEH WRSHHKSSKAPKNRHHDKHTKDTDLSTSETLSRRYGTLSQSSNPQDIGLASLSLDNSQ INTNLSSSMNLTIPSRPTRPVFPVSHSSSNIVHSYAHQNSPLLASNVRRWSGGNIIPP LSDHDST PHYBLDRAFT_150559 MLFVGIKFGSSNWSQIELFHAGRKITICGPVESKCRLPRISVQT AQAVLPGCPLAIKRRVPDDDICNTIRIVQNTSNLSADYECAVEYIKLKWEYSKSGKLK ATTYKIIKHILKTIDSIVHIVTAECENSYFTEIKKGKCPHPKPVHGFRMDIRLVVEVE EEIDVAVGK PHYBLDRAFT_68935 MVLVGEVDTSLLDHRDRRQIWERRFYRLIGSQFIGYAYEEEEPW VPRERYDIADAVRLSAAVDQVIVTLEDIPEDGRLFQTETIWEDNRRGFFRLAFPDFHL DCVSDQVEESEEWVRALRSMIGRIPLRIPFTV PHYBLDRAFT_68936 MISSGQKRCFLMSISLFLLATTLFVFMPALKSEPFFDKLYSNDI STYTSRFNKSVKKSAFATVLCDTTMTEAILVSIYSLKSAMNTTTSSTSQSDILVVVPD TLELSESVLTHIKLLNVIIVRGKILHESVAKDSCGTALTLWSLVDYHKIVYFSPNVFF KQNPHTLLEFPRNAVMVLSDNYEPIYGSLIVIEPSRDILEYDAELYDVEIERIPISDY LQETILSWDRIIMMPLSLLDENILIFKDATKPWNFHKYGKQDWRSSYEPSEYYTWRQA HTLLKNFLSLGSPGWANQNRQRDICDKVAPNSTSHTFPLQNKFSVLLSTYNPERIEHI SLLIRHLLRSSKVHAVYVTWHNPALEVPPSLLEALTSIERERVHILRQEYDSLNNRFN PIASLLTDSVYIMDDDIFMDLGDLEFTYQTWQAQKDNAVGHFPRYHTFDPELQTGTYK VPYGQKMQYSMVLTKSMFVRSDYLFAYTCLLDQDMHRIIDDRLNCEDIAFSMLATGWT GAGPTSVTPQKPVSDFGLDLGISLSVGHMDARAECIVDFIGQFWDGQDPLIMAYNTIV PYRRTSLRRGKWESIKSQVSKYGI PHYBLDRAFT_136415 MTDEEIAALTEKEREDAAQSLKTKGNSHFSKKKYEEAVHLYSQA IRFKKDPVFFSNRAACFGNLGQNDRVIQDCDEALKLDPVYIKALNRRAQAFEKNDDPE AALYDFTCVCILDNFKNEAASKAMERILKQVSEAKAKEMMKTKKPHLPSPTFVNAYLE SFHPVIHELPSAVDEETGDAYYAKAYRAISERNYETAVESLEKAVELGCSRAYQAYAL NLLGTFVFLKGNANDALSYFDKAIEADPQFVQSYIKRSSIYMEKGDLASTFKQFELAV GINSSDPDIYYHRGQVNYISGNYDAAAKDYAESIKLNDSFVYAHIQLGVVQYKLGSIS SSMSTFNNTLKKFPNSAEVHNYYGELLADQQKLPEAIETFTKAIALDSKNPLPYINKA MLMYQVMGDMNEAMNLCKSALEVDPACDAAVASLAQMLLEQGESEQALTYYEKAIELA RTEAELEHAIMYVEATKTQTRFAKEYPEAAAQLKALRG PHYBLDRAFT_136418 MGIDLKNHHVKNSNRTAPKSDNVYLALLVKLYRFLARRTDANFN KVVLKRLFMSRVNRPPVSVSRLAKYAATKSAANKTFVVVGTITDDVRILDLPKLSIAA LHFTKTAKARILKAGGEILTLDQLALRAPTGANTVLIRGSKNSREAVKHFGMGPHKNK KPYVRSEGRKFERARGKRASRGFKV PHYBLDRAFT_150563 MSDIRLSNGFTANKELATVTRHGTTLSFAAWKMRWPEKTRPEPK KRKTAGSSTAKLPSGGTKVGHFQTYVKGYYIIMNNAEKYKKESLKANTYPE PHYBLDRAFT_127654 MLQATLPYIQQHGWTMDAMTQGAKSLGYPSVAHGVFPGGEAGLV DAFLTDCRRRFIAMAEERQNTGQFEGYTVNEKVKMLTIMRIGMMKPYIKTWPEALAIM AHPTNVPMSLKHLSEVVDDIWFYAGDRSPDMDWYTKRASLAAIYSSTEVFMTQDVSPN HAETFRFLNRRLDEVAWIDASARQLGTMLAFGAKSMMGMLATRGGRFN PHYBLDRAFT_150565 MSQHSASSCPLRSMAWLRLEGDIIISATQEWIDMVGYDPSQTPL DQLWTPTNTMSYVTLQHHITKQNLTLCICTHNADNIKTNDDNSTSIIVCSDVTVLENL ACHNPIDHSIARLTTYGTIEAFYDQKSHWTHGIGLPLMRFVHPDDVRRLCSGLSTAEY AMVSFQVRCDFYNADYDWFDFTVVHIANDLLCIIRPTENLAKGRIDKTTLPHTNYSIL HRLSESFWKSLEAGVAAVTHSLACALVFIVQTILPACFTDPKNANCWTLALSERMLFG ALATFKARPEIDTVWNALNWTGLLHESARCSFEEQLDQGAEWLISRAHGNTCTTSV PHYBLDRAFT_173381 MLEANQNAPLKHTRRAVSWVEHTVKNSTLVSTNDLKAYRFIKSR GHKAIKIYSCLSSYTLVILHSIIEPSWSTDKSTDTSIVLSLLTSSAQHNYIAFVTSIF PFSGTSSPIFLDQCFYLRFR PHYBLDRAFT_173382 MSAHTATSCPLRSMACLTVKDGIVTHVTPEWIDITGFDPVQAHI NKLWTTAESSPYVSLANPFTREEITLCICSHASEKDDREIDIVCSDVTVLDSLARHNP VDHCIARLSTYGTIEAFYDQKTNWTHGIGLPLMRFVHPDDVRRLCGGLSAAELAMVSF QVRCDFYNTNYDWFDFTVVNIGNDILCIIRPSENFATKSSQIEKTIPTISNYTTLHRV HECFWKSLEAGVAAVTNSLASTLVFVVQTILSACFSDPKNGNWTLALSERMLFGALAM FKARPEIDTVWNALNWTGFFHESARCSFERRLDQGAEWLITRAYGNACIPV PHYBLDRAFT_150567 MVNAESKVNPQDRHPVVNAATIKASEPWPASKKNTKPTKPIKPI KPTDNHADSNQNTESISDSEVSHSSTSNKRGDPAACIFVASLTKEKNDEELNLSVSNH FVRWGKLLNVKVLKDWMGRPYAFVQYETIVDAQNALRLGPGTILDGRNIRCEPARVNR TLCLGSLKGPLVKEEVRAEVSVHGEIEDITILHPRGRKYCAFVKYHYRDDAIKAFLIL RAPPFTERWTVEWASNLDSKDPESRCSYTDRTSIFIGNLHEDTTTGELTEKFGVYGTI IYSRIIRKPVYQGHKRVYAFIKYKSGKEATDAIEHENTFQWKEKELRVAYRDHYNSHH SRAGHISEQTYPPGMGYPASVFQYMPVVPSGTGYPIGQCSSASHGTPLAVEPYFYLPV PPTVTSCPTVTVESPMTCVSYPAVPFPAVYHDGVNVTANDTQISCTKQASTDLSKTGL YVPCDYGHGPYHPYYPITRENSSLVYYDTPFYGYYIYPYSNSHPVCVPKEDQAKVSLA PHYBLDRAFT_150569 MLTTASVRTHNPEALLSFTTNVAASILLCSQTNQHAKSHWAQRK LPNLKSFVDTLFKKMQLPLAVCLVDLIYLSRLKCQLPDHARGNIDTPHRLFLASILTA SKFMNDPENALTNQQLSEMTDGLYTVSDINQMERTFLALVHFRLFVDDKDLRSFLVKH GELLKVDLVEEQSSYY PHYBLDRAFT_68946 MLKFECGETSSILNKDLVMKWPPSVKFYIFSLEFRSPRKAKEMS FRLPNWQRSSISCSPSNINQSCPSHYLSTVIYYESSDLRKEILVVLETKKSFQGMTVI MACKKTNVVV PHYBLDRAFT_188728 MGYSTILLTLGLLVSGVCIPLILTFQNHQLLDGSDQPHYFHQPL LQTIMIFVGEILCILMIRVLTKSPSFLDPSVLGSLQPHQSLDSATDSQQEWSSPPIQR TSVCAWSSFYFIFPSICDISAILLANIGLIYSTPSVFQMMRSSITGLSAISGCLFLSR RILRHELPAILVMFIGTGMILWSSALSSEEGWLGPLLLLGSQIFVTIQYVLEEYLMKK YQLDPIRAMGTEAVFGGLLVALGVLLASLLVHDPESTVGQILDIKAGYADLIQHPALW QSAIALSLIVATFNFFGLAFGPSMGISGGSSVDMTRIALIWIVAIHFGWDNFSWLELV GFVMLGLGVFVFNGVFSSVLKRQRGEPSETSPLLA PHYBLDRAFT_136423 MSQRLYSKGRVLGYERAKRAQNPNTSLIQVEGVQTTKDAQFYLG KRIAYVYRAQREVNGSKVRVIWGRIARTHGSNGVVKARFRKNLPPKVFGASVRIMLYP SNV PHYBLDRAFT_68949 MIRIAPEYEHENPKVSETFENEAAFAQWFETAAMRHANWNFINT HVSKASYSTSNPEDVLQTLYLACDHHGLPRKHKKKAEDKLKTKRVWTKSIKDGCKAKI IKKTFRDGQVSIECLWQHDTHQPEKVQDMVRSRLPAEVKQWIVSHVDNNMDWKAIKTL LRIDPRRLEEVLEAGLAISSFPMSLRINYHDVQNVINTRLNKLSRRNAVDRTSVEEWM SFLKNEKGCLVHMKFYEGNGPYLLSWISPWQKKILEAADEWCIDSTHKTCKSLVGSDK HSYLYMIVLTWVQSNCDLRVKRIMIGCSPVEMSALKDVFGQSFQVLCTSGTSSGHGRC TSKKDGIYVKITGATHESKKERDAVRVGLNLLMHAKSELVFEQKYQEFLSRFSEHGKF IEYIGTQWHSKRELWSMTRREEARFHTNNLVESYHHILKAYYLGSSRNFWVDRQEGLK IIYGFKKLVLTHTEKAKKKAQAIAYKDTLGMVETIEDNSIYKCRSFADNSVWYELLIK EEVLSTCSCPNPNHLCKRIFFGLQDDGTSERKEVNAAAQDKLRCLEFTEIQKSLLKKI EQAVEKRNVMSIDIPSGMDVSVRILRDCLSSLEEAEVAPQQRTLQFYHPFVDENYKVQ MNSNCLYFVAIKGFPKNTATLLLIGLI PHYBLDRAFT_160160 MTDPSVQPHHLNEANLYSTSLEDPVIIDHTTDIGPRSQAVPQSM QPQYDTIKNIDYQQLPHHQHQHQQQQSHPQQHIGYPMHTIIVPEPADEPLYVNAKQYH RIIKRRLARARLEELTTIARGRKPYLHESRHKHAMRRPRGPGGRFLSEFTD PHYBLDRAFT_68952 MSSPDSVFQKPGPQPTTRRSSVIPDDDVPNLAVRWFHAIDSPIV DPISLRRAKTLPRSATTPIPKLRTPSKNWMPFSKRDSIALENAYQLKSPNSNSSVTVN EDHLFEVDVARRIISPVYWEGPTFEVRRATWFIQGDGFKWIPCEEVLAEQIELGYYKH KPYLVPVEEVDPLTDPSESNGDENANQAALEQKRLEKTLSENSVEKQWNLLGSYIGQY IVYTGPSTAWLLYDTTGGKIAKTIITRLTNNQNLGGTRLLRGYPEVEKQSQSTPLTHS APIPHKDVNAVDISELAETQSRAIKDRVEKDRSFRERDTNPGDGKCKEKNKANEDMHR EANDYLNEDSEEELRPIDHLIFVVHGIGQRMAERTGQNFVHDVNMLRQTLKTAFPTAV STLPGDTPVNGIQVLPILWRHEIQFGMASEEEEGKESDLGMPDGDDGSPTLDEITLDG VPNIRMIVSDVLLDIPLYMTHNYRDQMAQAITNEINRIYATFLKHNPGFEEKGKVTIL GHSLGSLLAFDILTSQPIPGSTSLFSKITDNLMGSGEKKIPLSFPVQNFFALGSPLGI MLLLRGLKIASRKSLEPGQLPSDQSPVTFCYPAVENLYNIFHKSDPVAYRLEPLIARH YSVKLKPEQIPYIKGGLKSVIDAGFHVGTDIATRAGAMYESIKSGFTSNVFMRGLGLA RPYASESDINSHSSPHLTASSSEPTDMSRSLQHQQHVRPNKPTDRSVQNPRSIDSSGA KKMLQLNPSGRVDFCLQEGILENPYLSALSVHLCYWQDLDVAAFLIREVYRKHSQHVK PHYBLDRAFT_188731 MSQVLNSYRHLLREVNIQYTKGANNDTFAKELKSIFRQNKDVTD PKKVSALVQNADNVLIFLKSSRQHKILRDQYAAIVLEQKKRIEMSAHRVGLELPKPYD PNSPLPGSNPEAAVADRVAKAFGN PHYBLDRAFT_127661 MSVLPPQWVDIVDQVDDALDHIKEKITRLEGMHRKHLLPGFDDR SSDEEAIERLTSDITDEFYRIKRDIQRIHSEGYDVSDGQQDYLLAKNIQTSLATKVQE VSSSFRKQQSTYLQKIQGQENRKADILGLESSLSNEAAELLLDEDAQVGFTESQLAVL ESNEAVIDQREREVNQIAKSIHQLAEIFRDLQTLVIDQGSMLDRIDYNIEQTTVQVKE AVVQLDQGSRYQNKTRKRKLILLLILIIMLLIMILIVKPK PHYBLDRAFT_156375 MTVLDDGLTQTERRQLPVPVTDMPPLFDEPTTLKNWYKHINWVH VILLSSTPALGIYGIFTTKLQTATFAWSWIYYSLCCLGITAGYHRLWSHKSYTAKLPI KILLCLMGSGAVEGSIHWWSRGHRAHHRWTDSDKDPYAATRGFFFSHLGWMLVNRPNS RIGFADTADLKQDSIVQFQHKHYPYIALLMGFIFPTLVAGLGWGDFRGGFFFAAVTRL VLVHHATFCVNSLAHYIGDVPYDDFHTPKNSWITALVTMGEGYHNFHHQFPQDYRNAI LFYQYDPTKWAIVALWFFGLVTDLKSFPANEIEKGRLQMIEKKTAEAKAKLSFGTPLE DLHVYTMSEYKDYVNKENKDWILLDGIVYDIENFDHPGGRKYLQASVGKDMTVSFNGG IYNHSNGARNLLSRMRVGVIKEQMHLLLANTSPSQAYDASLEPNKRE PHYBLDRAFT_127663 MQITKHTASNSQSSQPESRLGNVNKDELVRLVLQTLQDLDYHGP AMMLEKESGVTLESDNVTSFRQAILTGDWTAAEALLPTMALSKTEDLSQAIFLIREQR FLELLEDRKTLNALYSLRTELTPLGLNVDRIHELSSLILCSTAEDVKAQARWDGAGGK SRELLLVELQRYILPSSMIPKERMLTLIDQAFQWQRRGCLYHNVAESDYSLFEDHACD KDRFPKSTIRVLEGHADQVWHIAFSHNGKYLASVSKDKTCTIWDISTFEVIQVLSGQP DSSSYCEWSPDDKRLLICGCDHAMRLWDPMTGDLIQNFLEHEEQVTSCAWLPDGKHVL SAGCDKKICLWNLDGTLVNTWIVERVLDMKLSADGRRLVIASYENNISVYNFDYPNLS PFSKIKESGGITSLTLTKDSRFALVNIKELQEIHLWNLEDQTLKHKYAGHKQGEYVIR STFGGPDDSFVLSGSEDNCVYVWSREHQELLEVLCGHTDTVNCVSWYSHTHTMFATGS DDGTIRIWGIKPQLSNCPDDKGKVRA PHYBLDRAFT_68959 MLSRTKVENILSLGLNGMNICLYLLVIASTIVKCLNANFSQIVM GIYGIIIAALLVVNEFHQSNISIDYFPFICLYRGRGMVLIFFGCLVLDVAVVNIIAGT LNLAFGFLYIIMSYIPSYPPLRPIGINWQNWKDFSAEGLDLMGPKHMEIESNVAMRLK SPPPFSQHIYPYETDIGDIRTSHLHKEHRATVHPAGHSK PHYBLDRAFT_160165 MPASTSTSTKLNTFNPIDALPTPTSSPPPQPLLSLRSPSFMALS APENKPTKEKPLGAVVQTILQDLDGRDKTIKIIQYFLKMLVHYHFLQSKRWSPLTSQF STTRKILRLGHVIGPIREFLATNAKKNPLKTIELVNGIVQELADDLYCLFKLGIVPSK IGKRAEIIAYYCWFAAILSDTRSGLKSLAKMQSKQVSQDELAQHNQKIHMAKISFAKL MLDGVFCACDIWQPSFGNTVQIWAGLCSGSLSGYKLWHKLSA PHYBLDRAFT_183250 MRRLILKLGDYSILDTTIISAILHTSSSLALPDPEFGVSCWLDM YPDEMYNRQAAFSFAIFLKRSDTSDLLVVLVVVTVHAIWRAHWNFVSKECAFLAGMIA ARASSVMLQNLHQYHLRFAMAIRLFPLLFLISFVGASTYQKDQPIALYYNKLFTYGTH LPIAYSSPSFLCPPIPSRNALFDSTWLAMERDLRGDRPVQSDLNIVVGQDMQCQTVCS KGWSVEDAMNAKQFIENDYQVEWWLDGLPGATVSYTNKVSTRSYRVGFPLGQVLNGQT YINNHVAIHVLYTTDDNDNHDNEVTDKDNDDDYANNSRISIIGFEVYPDSVQNGDCNH PAVDYPKQQVLERKSSIRYTYSVTWKQTTLTDQSRWDKYVLETPPKKNLVATVNSVVV ALLLLGVIAVILLKTASKDSFDDVEYKLHEDFEDNVGWRLIHRDVFRRPIYAGLLAPL VGSGIQLITASFVTAGCVMLGTCHPAQPGALLLRFMIGQMPGCLLAGFVTGKIYRMFK GKPWVLNGCLTAFIVPGFVVVCLVLQTMMGWTQLSSIAIPLRGWVILIMVWWGVMTPM TLMGAYVGHRSKVIEHPVRPSSIARIIPHKQWYQRPMASIVLAGVLPFVVLSMTYHEW LNSIWSGNVVFSMNEAFWTFSLYATTVTEITVVLVFFQLCTEDYNWWWMSFASGAAPS LWMAGYGLVHYLTLTSNPSVVGGLVYAVHMLLGCILVGVSSGALGFLSACVMVRRIYS AVKVD PHYBLDRAFT_188737 MGRVVQIDVPLSVPDSMPHLATGGSDPAPNWSLFVFFATFGTPI FIVFFPWAYERKTKFKKLAKFFLKPRTNIVFGIFDTVLWATAGIAMTVYSNKDSTCSF DSEKEESFGSSYTGSWATQCNLAKAGAAFSWMTCILWAMSLVCSLIDSFREKQAMRNN KKEQSLNRQSAAFQTDYEEDHVGRNYRPVQSFGGDHFDGQVVNTQRSPFESPYDPPAE QKQEVPQPHHDSYYRSSPMAAQEQHEYSYQDYRHEGTGGGGGAPAQRHIPEATAPYSD YEAQPGQYQSPAPLTFSPMPMPESTFHTPRQ PHYBLDRAFT_68964 MMLSIVFGLVLFLISLVSAAPPSSTVPSDKHEAKEANKCLELTY PSNGTVWDTYGTYDVTWTVTGECQDINYVYLLPVSQDEDGEVVFGELYHMEEALDIDS GHFPLNLDSREVEGNYVFAVGPKVDDWSDYSDFAVISIA PHYBLDRAFT_183252 MRLKLTHLGRIVGITVSLASCLTLIKTVEAVKKEDFKTCSQSGF CRRNRAYADDALAQPSFKSPYIILKDSVKLGDSKVYAEVKNTETDVLLTLDLFILQDN TARIRINEKNPIKPRYDDHAQFTIANQLIDSSVHKTSRAKDGVITILLDNTNKRKVII TSEPLRIEFIVDEKPIVSLNDRGFFNFEHLRTKESHKPKMVEKTNDEGAIELVEAESE KDLWEESFKTWTDPKPNGPESFGLDVSFHGFSHVYGIPEHSSSLSLKETKGGEGSYDE PYRLYNTDVFEYAADTPMSLYGAVPFMVAHQKDMSAGVFFMNPAETWIDIVKTKPEQK NTAQKAFSFGKKVEDTKSTQTHWMTEAGVLDLFVFLGPSTKDILRQYHAITGTPSMPQ MFAIGYHQSRWNYINQRDVLEVDSQFDKHDIPYDVIWLDIEYTDEKKYFTWDIPKFPD AIKMEEELEHKGRKLVTIIDPHIKRDDNYHICQTAKDNGYFVQQPSGGDYEAWCWPGQ SSWVDFSSPAAREWWKSEFGFDKFKGTRENVHIWNDMNEPSVFNGPEITMQKEMIHYG KWEHRVLHNLYSVLTHYSTAEGIKERTKVAQRPFVLSRGFYAGTQRYGPIWTGDNMVD WESLYYTNPMILSNSIAGISFSGADVPGFFGNPSPELLVRWYQAAVFQPFFRGHAHID TKRREPYLLDEPYRSITRASLRERYALLPYWYTLFFEAHKTGVPMMRPMFMEFPEDEA LFTTEDQFMLGSGMLVKPVTSEGTFAAEIYFPGDQPWYDTQTFEKIQHNGYKTVEAPI EKVPAYYRGGHIIPRRERARRSTSGMKLDPFTLVIALDNKGQAHGELYLDDGETYDFE SGAYVRTQFIYNNGVLTSKNLHEDPSSDAATKYAASIKDVRIERIRLLGVEQPEHIHV VHPDATRTPVSFEYSVATGYLTIKDPKTLVSQGGWRIEIQ PHYBLDRAFT_68966 MRPALILSHRAPPVPKFFKKESLTLDHFLTRGRVISLYRQILRS TKGLDKHDAQELRAWARSDFERARHETDLDKIKALLSSGKHQMHNLQSSITLAHAKK PHYBLDRAFT_23833 MRQNFVGMVVSNAMQKTVKVKVTRQKLHPIVLKTIKTHKNYLVH DENQLCGLGDVVRIEACRPLSKTKHFTVAEIVRSAKSA PHYBLDRAFT_136440 MPSSTQIRIQSGSDISPETLKYLNERLATQSPREILEWAIDNLP NLYQTTAFGLTGLATVDIINKISIDRNQDHIVPLIFLDTLYHFKETIDLAKKCQDNYQ VPLKIYKPIDCTNTAQFEREHGNKLWETDDDMYDYLVKVEPARRAYDELKVKSVITGR RRSQKGDREAIPILEIDGTGLIKLNPLAYWDYQQVWTYIRANEVPYNALVDQGYRSIG DWHSTKAPSSNGGSDERSGRWEGTQKTECGMHKDYFKMRAAFVAAKQKKQQQQKQQIN PSVITASS PHYBLDRAFT_173406 MKAIVHSLQKAALAIPMRSGVITRTMSSCPEKKHDWMLRPVEAD MIGTPDPVSNLRPVKYYIPQNESEQDKEWRLIQQSADEFNQNFWTTNNAMFVHAKAEY ESQLNARGKEVTPEELSIFYKDFLNKAYQRQMEYNRQWWKINIGLIYPGFKAAIRSLS KTSSQKSVERKGTGFWEKSFD PHYBLDRAFT_183254 MSLHKIARISPQTSALFVCDIQERFKGVIWQFPSVITVAAKMIK TSKVLDIPVVVTEQYPKAFGPTVQELDISDAALTLHKTKFSMYLPEVIELMKQKQTKS VILVGIESHVCVLQTALDLLENNYDVHVLADGISSQNPPEINIAIGRMKAAGATITTS ESVMFQLVQDAKHEKFKAISGLVKEYMEANKLNKLVQRASGGANL PHYBLDRAFT_188741 MDSRYPPRADLTTRADFGYHKAVKMIVTTAVGAEASYLYSPSST ECYSFCTKKEFKVKSIVSVFDTHATRKDISSNLEFPLPSQFFSIPFLETLYPRCIMVP APTTDNYLLSLRAVRERAFKVQEAAVKNKLGHFDVDHSKFQDMVQIVVLLIKRDYEHP SQILPHGRWRHFDVGGRPRVQHLLNSWASLGQPLMEQTRRILDLFVVAVLLDFEPSVA WTYREKTTRRNYRRTEGVVVAVMDMFMAGTFSSNVNDPHRVDSEGLLSLSIETLYSGF QVGDRNRLIGLEDRLDLLHHLGNILKKKTEFFGYSSVPRPGNMLEYLLAHPTTIKTKK GPLIRLETLWPVVQEMGELWAADNSDKGGTHNLGDVWPCESIRTSRNPQSTDHFVPFH KLSQWLVYSLIEPLEKLLGATIEGTDMLTPLPDYRNGGLLLDTGFLSLKPVDYERGLR NYHANSLLPGQPKVEVAPMFEMADPVVIEWRALTTTYLDIVADHVRSTLGIHRSKLSL FQLIEGGTWSAGRELAEISRPNTQVPPIMIKTQDELRKHNIQNEER PHYBLDRAFT_23815 CIAKERFKKTYRHPDLDRQLTNKRVVQEARSLYKCKKAGMDTPT LYFVDMNTATIFMEKISGITVKQRLLDYQATQYSGVDFASLSKYIGESLAKMHSLDVI HGDLTTSNLMIRETIESVVIIDFGLSYISNLAEDKAVDLYVLERAFASTHPNTEELFE SILSHYLNAYKNSKAVMNKLEDVRLRGRKRSMLG PHYBLDRAFT_183256 MASLQSFKAPSLVSKSTQKAEQKLATNGEDVVIDASTLSTRNTT DVNEDEDMEVESTIAKPTFAPTQQNKSGVTKGQRRIPIPHHRLSPLKRQWLEIYSPLV EHMKLQVRMNVQTRSVELRTSDSTVESSALQKGAEFVKAYALGFEIEDAMALLRLDDI FLDTFEIKDVKTLHGDHLARAIGRIAGKDGKTKFTIENASKTRIVLADTKIHVLGSFQ NIKIARDAVVSLILGSPPGKVYATLRTISARMKERF PHYBLDRAFT_150595 MASYECSLQGLVVGQEQKDALLERLVGICGNDAMIELFEHEIIF TPTVQTPIGPARNDDVVLRLQSRIQTEQERSLKHRQWYLAMQGHPEPQRGRAVTVRPN TRVQLRGDAFRFMKSLGYSYSFEIVRKGHLLSYEKILKIMPKIKVDISTAISIQDSSL WLVEVVSIPVTQEQVSQMAEQLTKFKTLLNGSVELEYVDTRAVQNKVHYT PHYBLDRAFT_173414 MMDPVADFYEKAFDFHRFWSVDDKDVYTEYSALRSVVMADPAER IKVPINEPALGKKKFQIQEFVDFYGGAGVQHIAINTNDIITSVTNMRQRGCAFLTIPD TYYIALRAALSKHNKTALRPVAENLDILQKLNIMVDYDENGYLLQIFTRPLEDRPTVF VEIIQRNNHNGFGAGNFKSLFESLEIEQNIRGNLTDMKQPTAVLSN PHYBLDRAFT_150597 MEAQSYSGLFVEEENKSNDVQLLKLKTSSLTFTKANNPYTGFDH LKFTVTNAKQAASFYCTRLGFKHVAYRGLETGSREVASHVVKQGEAIFVFESPIHPES MVDMAAEIARRGDAVKDVAFNVTNCRAVYEKAISRGAISVKAPEEITDEDGTVVMATL ATYGDVHHTLIERTKYKGVFLPGFKDSSVALRFKDPLEELLPHVPLQFIDHVVGNQPD DMMDPVADFYEKAFDFHRFWSVDDKDVYTEYSALRSVVMADPAERIKVPINEPALGKK KSQIQEFVDFYGGAGVQHIAINTNDIITSVTNMRQRGCAFLTIPDTYYIALRAALSKH NKTALRPVAENLDILQKLNIMVDYDENGYLLQIFTRPLEDRPTVFVEIIQRNNHNGFG AGNFKSLFESLEIEQNIRGNLTDMKQPTAVLSN PHYBLDRAFT_188746 MIAYLLLALIALVCRVAAQVGPTITFPHENGTIVAGETVEIKYD YPNMGTGNYSVDIALWADASATQNLLNITTNEVIPSGNSTGFTLAFNLTSTYKWKVPN GLNETVYLTVTEYAKTSFFFPEQRSAPMMLHVSAAFAFLPFPAVSLFFVSMVILYFGN L PHYBLDRAFT_173418 MLAHEDRTEKLTAVAKRKLQKYQSKKANKTESSESDATIIETEN SNLRDQLRQANEKIRDLESQIEINRLADGFHKMRKPEEQFIATLLSDDDLDAYEQEER YIMESAKRRIKDLRERYSQTRAERTFVLIQSLTSPLELCGNCLGDLLEI PHYBLDRAFT_160169 MVMQAFSRMRPLRISAIIVVSISAFFIIYLSFGSFSRPGNINAH LSLPTQDPETLKRLAEEDQRRREEEQKFLEEERRLTRLRWIENHRSVIEPVIKATTKD IDQLVISHDIAITNPINPWTDADKRLLETSLGQKLLADLFTIPEPIPNFKTLTFQQRV YKGLFQYLDPIITEGQIDVTTDPTYSSTWDLFQKLENTLYPWISPHWENSFHINNNTR GRGIVICVGNNQFKYAATTIRGIRQVLHSNLPIEVFYIRENDLSPIKRQYLETEFTDV KTRQVVHSINDRFTQFGGWAVKPYAILASSFSEVILMDADVFFFKRPDILFADAGYKK TGALFFYDRTLFSGWSKGRMWLQSFLPTGSSLLKETRWWKSTSAHEQESGVVVINKNK SLLGLLSTCKMNDKQERDKVSYQYAHGDKETFWIGFEMVQTPYSFIKSYGAVIGGLGD AGDPSMVCGNQLHLDVDQEPLWWNGGLLRDKNKWPDRYLTFTHYAQGVDWAFETSCIR ETDKIRDFSAKDRKTALEYVTLDQQRRKDQNLIEKAIWKPKPKISPEDDMEEE PHYBLDRAFT_160170 MEKQKKIAIITGANSGVGYAVAQRLLELEREGITIVMACRNHNR AHAAREQLLVQFPFASIDIVLVDVGSIKSVFSFSQAILDQYTQVDYLFCNAGILSALG IDWYKTIKLLFTHPINLLERSDATIQNVGEINEDGMGKVFAANVFGHYVMMREFEGLL SASGDGRVIWTSSITAENDIFDIDDWQGIKSPVPYESSKWACDLVGIAMNDQFIQRGR QITSYTTSPGVVATSIGDLPRWITAARTLIHYTFRILGVQSQNITAYNGALADVFVAL QPLGVLNYMFRYLSLSDRMGNAFVEPQTLDSYEPLVAEKLIQKCEIVYLAHKRNASKN A PHYBLDRAFT_68984 MPRQCDLCGSANLNVDPQTEVACCISCGCITEISTCPEKLNKTT EKVDKDLLFEPMTTSIDKKANEYNIQTILCRTNQYNTLSSEESDAALQMADELLVHIS REESLGIAAAVCYYASKHRRRAVLMSDFAEKLGIPKQSMVKTLLKIPNYKMDYTEDAF SPLHQVEYIMREPFQKILEVYSKLAEPFKIRHLVNLRISDPKVRPKLISILKVIIEYE QNIGRHSRPFVGAALLLVTHSLMVHILQQARIPKYSRKTAVLNATLFMPLKDYSQTIK CAPATLKLRLNEITALLAEYANDITWLKNQKINSKNLYRYLDDIIDFKQINHPGKQLF PPTSAKEIPAFARSELSRRKRKEQIDEALKCIDNELEEDQLSPEAGLLLILLRAGYTQ SFLENISDKNIRQYVDNVLNKEETCDTSDTLIEC PHYBLDRAFT_150604 MTHCHICLDKLLDKDVVFYMADCSHIVCSPCRQHGLDKCPLCKK TCQFVAIGDQIPEKLGRFLKSPKALLEDAEKVIQFQISTLYSFAQSLKEKNKKQKQLL DKVHSELLAAKEYKLNEYSQRELPNSPSTSDYSSYRHCSSPLNKPNDQHFDDVNYRSP IRHSITGTDTYMQNNMQLSGLCIPSINSITMWSSPPGSSYPSTSAYPGNTVFPSTSYL IHDGLVRVAGTSSLQPWSSTSFHQANYPFRPPQPYSGQSHWR PHYBLDRAFT_150605 MTDTTITPLSNVIGSLVFCPECGNLLDMAGGDDDILLCNQCSFA YKTAGDESTKVVTTSSERAFQSKLKNKRHLVQQSNQKEAEAMIKEKCPSCGNDEMAYH TMQLRSADEGQTVFYNCKKCGYKYKLNS PHYBLDRAFT_117403 MPPKNKRATQAQNNDGDEQPFQAVILTDSYDERFLPISHEMPRC LMPLCNIPLIEYTLEVLAIADVVEVFIVCTSHIETIKAYFENSSWMSPQCKLNVQIVQ TPDAMSVGDALRELDARQLITSDFILTIGDLVSNIKLDKALEAHRARKKTDKNSIMTM VLKETTRTHPSRPKDNTGVFVLDPKTERCLFYEPVTALPRKGRFEMSPEIFENRTQVE FRNDLVDPRLDICSVEVPALLSENFDWQRHRRDFLHGILTSDILGKTVYTHIVSEPYV ARIENEQLYATVSKHILNRWAFPVVPETNLKQGDDYEFSRGNIYKSGNVSLSRSCMID EDVQIGSGSVIGQSTRIAHSVIGRNCNIGDNVVLEGAFLWDGCVIEKNCKVTKSILAN NVTLLEGTTIEKGSLVSVGVSLGPKEDIPKYSKLSLEPQPKNSIFADDSDEEEEEEDA FDMADLVLEDHELSDSASEIGDFSDVESDSGSIGGAWNLDSSVTTAKQSADFKNEIAQ TIGRALEENHSVDTAALEITGLRMSSNGTYTEVREVLIPALIDHIDIANPVSSMKLVF NKWGPLITKVTHSLDDQAHVLLTLQNYCASRDAFTKLFAGALQLLYHADVVDEDAVMK WYTSEAAKSGTPAERKLREKSTAFIEWLNDAEEESDEDSDDE PHYBLDRAFT_79318 MTDTNSLDVSVSNDGERILCKAHSNEVCEQCHMDWTEHNALATT LKQVKDLPPPNAPNPVRNAQVNRLKEEGNKYFKQVNYTEAIRFYTMAVELSWARPLMS EKSSRLF PHYBLDRAFT_150608 MLDNLPEDIISSIIHLLTQKDQATCVRVSRHWHNIFQSSLYSTI QLKNERTGNLFLKSGVMDISSTSASDFRYLVRSMTLRWAIGQKVYPWRLLLRSFPFLE DLSVSSMLWNNLVKENGALPNLTRLATNCKYTPIDLSDVYAKNLTHFSAMRFQKRLLC SLIIKMPMLKDLTIQVIQHTPDEGSPSLEFQDIDNIHGSCKHIQTIHLRNLTLAGNAL IEQSQATTVRYFKLKNVKVTEPEWIVYFIKKYPRLLKISIQVIRAPWDDQFNPRMVYR YEDALGEIKSGFIWSDLEILSLSNITDNKAYFSTLRLVKLLAPSYNLKQLEIYGSRVK PFSVDLNINWALKNFSGLRVLKLNRINVIYTSNASFVNSCLTHLELDINQCSDELLKL VNDICPQAHHIMLM PHYBLDRAFT_23736 MDLNSEYYQTAFSKPTVTAEHPQRQLLIIDPNGTIVSRGKLKDM KVRPMPKNFLEFCFTNFEVMVWSSAQATSVSDMCHLFGEYRKRLKLIWSREDFGLASK QFYDDTELVKDLSRVWWTLKDFGPTNTILLDDYSAKSNLHPYNSIILKTFNHKEHAEL LNGDGELAGVMKYLDKLRYQTNVANYI PHYBLDRAFT_156385 MNHNETTASFNHSGMSTSNFSHFKLSGDSNDILRTVRVPAKDGK TGLDIQLQYTNQKVIGNGSFGVVYQAKLVHTGEDCAIKKVLQDRRFKNRELQIMRLMD HPNVCALKAYFYTQGDAKDEVYLNLVMEYVPETVHRVSRHYAKIKQPVPMLIVKLYMY QLFRSLAYSHTLGICHRDIKPQNLLVNPVTGVLKLCDFGSAKILVSGEPNVAYICSRY YRAPELIFGATSYKTSIDIWSAGCVMGELLLGQPLFPGESGIDQLVEIIKVLGTPSKE QIATMNPHYTDHKFPQIKPHPLSKVFRSRTPPEAIDLLSRILQYEPETRLTASEALVH PFFDELRNPDTKMATGRELPLLFNFTHEELSVRPDLIHSLVPSYCQEELRFRNIDVHQ FTPVPLEKMRLASLD PHYBLDRAFT_173428 MIKFKRTTQTNGVGTSIIKMAQDTSQEPNPRRSITIDTEGIPYI HNLPPENHEIILRRHVLIDPGQRDLLYRMHKKSTVVIPYFYRYTFNQQRVQLKRGRLR RRTNNIANFTVHSLVRAANSSIIISFYASITLFEMLPTVPSNLQARPQSSPILPQPDD NCDPPWSSMRFTCVIQIGRIRRQAGEYIQEGGRLRQRLTKSSKRITDNTSWLPPRMHP RYLLLSY PHYBLDRAFT_173429 MIHVQDVQGVGLYRRIRGSDCEKMLLSHNIICYPYFDLLPGCSL FAQVLGKLSLSSSHIRRGIDYSAGMLTKTCLDIGYAGGVDLCGLVDSKRMERTPAQQE RYACLVCRYKGELFKDINHFIIILYVDQSFDFHLYVDQFREEAYALCQNSNHYRK PHYBLDRAFT_150613 MAATKRNLLLKVSFVALVFLGLSVWLTRTYQPVIPYKETSTTTS LQGTEPASTPEPPIDRCTATLDGKPRQQYAIMVDAGSSGSRIHVYRFSICHEEPQLEN EVFHMIEPGLSAYENDPQEAAKSLDILMEIANENIPLEEKHCTPLAVKATAGLRLLGN DKSEMILEAVRSRLKSTQQFPLAGDNAVEIMDGKDEGVYAWVTVNYLLGNLKPSRRGQ SAAIFDLGGASTQIVFEPQMTLSSMTKGEHEYKLTYANQVYHLYQHSYLGYGLTEARK AIRMAAIEASKEEAIRDKHVYDPCMPTDHVEKHSWTDPATDITHTIDIIGIGAGHDAC KKTVEHVFRKDKLCKLQACGFDGIYQPSIRETFKTQDLYVFSFFYDLTQPLGMPSEFS VGELSELAAMVCHTDHRPFLHYPSAIETIKSSPEYCVDLTYIDNLLRFGYDIPKDRLV RTAKKIRGAETGWCLGASIAMIDQVKVCQL PHYBLDRAFT_173431 MFLLKGSDNRIIDVTYYNKNAPYSIFCNLDTRIMDNYLIEIISR KDYISLSIAAAAVGLAAKYSYNIYSNSRQNYINKDGFKNIPSPPGSYPYIGTNPSKSH MLTLNICPGEQVKRWHEELGI PHYBLDRAFT_117633 SGTSLTWLLAILSNYPEMQKKISDEIDSVIEKEGRIPTFSDRAD MPFTTAVLRENIRFRSCTNFGLPHYLEDDVFGYLIPKGTTLMTSMHAIHMNPDIYDEP EKFKPERFLNFTKTWSAAANGGIKERDMFAFGWGRSVVTNANKTRSNELKYIVCFRFF FLS PHYBLDRAFT_68996 MGNIEGIKLTPILRNSFSVAIFVVVFTGFRGTTSPYGARHFLIF SFVLCKYCSYEQDDQTRCGDPDTWLLDLLHLRTYSYYYISTVIMTAHVYPFSSYLSKP RIVDMNANDITNEQIHEFLKRTRALNITGRARDCTARYELPEEILHDMEESSKSALRN NLQKFQKDTLQYDGGDWTKSGALNRIFQNEVKRFQMDALTIMIPAIGEALLHVQYVQR DLAKHLGGKAQRWELPCPLSSEAMLDLAWWTTTAKTTTGLPIQKSPPCPPAVTIYVDA SNTGWGVLWWLKSKKFLRGRIEDLR PHYBLDRAFT_68997 MIEAYSPPRVYSARSVERAIGEYLRAIKSNSEIGINAGNIMLGL TQIRQMRVKNSITTTATVTATTLLQYDDPSAGWPIDQEDSNVGTNSDIEFWGPLRNRM IVDSFGGISCLPELLQKFYESKEEECSMIEAAIKTSHKAFVNGYVIDSALDHNCVREA HNVRLQVQVDENRNIGQSYSPIYKDFFGKVVVFFEHKLNNKR PHYBLDRAFT_68999 MLSCKHDNRIFFPYILFSQQHLFSQTSSRFIFKPVINICNVTLE HVYQMMSQHLGIKVTASKKATLRTYAIKIGHPLQESVISGKIIKGDNYFLCQRLKVLP CSSERLFGMSLIFGMDPTNISAVLRGIEDIIYEKIRWRIQFNTHLFLVLFWIFVSPFS GIF PHYBLDRAFT_102322 NLPQSDQFKAENIILIEHMPGPKESKTDEINNYLEPMVDELIQL YCGIRIPTFKSPAGEVIRAALMMVACNIPAARKTSRFTCNRHFTCLDSTNKVDFCGLK ESEWCHRNCEKNRLHAEEWKNAVTISERQHLEIKNDVRWSQLHRLGYFDLVCGTIIDL MHNLFL PHYBLDRAFT_173437 MHLHLHIQETILDFGPVYSYWLFAFERYNGLLKNISTNGKDGFE ATFMRCFIEDIYKSDFVNSALTCSTQAPFLSVLSKLVCSSTPVLILPSVSSTIVQPPF VLQAFVDSSETTRIPILGNKPLSPTSFPLSVSKPSSMGDIDYPHLLEYYKLAYLTPDF VHYQNAAASSFFVDNQIIKLKSINILGQVYYGNNGTTGRGSYVQSLFLGSNRSTKTTF TCQIKYIFIHSFTPPPMLPYYEADSTHYYQHVFAFVNWLPLLGDKS PHYBLDRAFT_173438 MTGICQIAPNSSDEYKNLLAKIKEMEKSMVDVHGELTTMHKAIC AGFGQGNGSQTSASVSLDNLSVAASSIVRIPAGIAPEISCENKGKVFVRYMRRDKFTS NDSTLVSANETKPRWETDVFFNRSPNKEIVANLLGYLLPKFVRQGIKTSEFRTMVQAA TNARGRRAARETEHFNRRVMAYVLNKDVIDALMKRNCSGMMIRSAMSEGESEDEFPGR PCKCIVKVTRPFWRSDDFNNLIFNIDEIVKENLGNNICQLLDRNLTSLSEKPVPNDIA LCFPPWTLRDGPQ PHYBLDRAFT_173439 MQEIVKLEALFRSCEGSQQVANLLQKIKKVASEFEGKTGHPSIN FQVPEKIKYSGRRKGGARPKYLLKDFGQANWRKISVSSGHAGLKAMVRLRARARDRKS AATQKTKNNKKQNKSKKEPLDLIDATKNKIKQIKKEPLDPVDATKNKTKKIKQEPLDP EIGFKRPTTAQEDYQYDYRTSVGKRVKFQPGFPVSYEIVNDVKGGFNLTADGWCGFRV LAYLIYKDQEKFPLVKRDMLATLPKYSSIYASTFGTDVKQLEAIIKHGSDLCITNSNS DSNSNTNTNFIPACLDASMWFSTSDCAQLAADTYKRPVCVYSDNPNTPSVSFLPFTLP ENISKHQQPLIFNHVNNNHWTTVHLSHNVSRKWLTIPELFFLGCVRNQIPDNFDTYWN KFKEFNKYDHRNAMFFFFPIKRNMLISL PHYBLDRAFT_150620 MVYDSSFRNIIKGELPSSWQQVSAGQAELKPVFAMTKFIVSIDQ AKFLINNKQCSLRDFHKKPGKLLVAANQDINSCRFPDCYSIEVSFEPVYIGVDSFKCK PQRRNC PHYBLDRAFT_69005 MIQQSLTEKLLIKGLEETLDKIAGSGLLSLEDCQISISDLLYER QCTHKVINENKITDIVIEEYDTDNNAANNNSNEEIAEVESAVPFKRTYSASKKFKYVC TLLDILEYEDIDRDFVTILWGQHFQDGALLFFCQTCQKQIIIGTQFQSYPIRSNYSNC SSWVSKAKKKKTTIAQQDTAAKGLS PHYBLDRAFT_69006 MKKKKLSMSNTQLADWAKKTFGLQKAPDASTISKILKIGVKDFS ASQGWMEKFGKHHCIKMNRIHGEAGSTDIELLQINKAAIKEKIEGYSARDIYNFDETA LFYAALPRTTISHHKFSGWKENKKRLTVGFLCNANETDKWSEILIIGHARRPNCFNKN NKKQKTVDHRFSIYHYNTQNHKALLILDNFSDHIVDYAPTNVELLFLPPNTTSHLQPL NGGII PHYBLDRAFT_173442 MRHKVVFQDDGGHLLLEYYFLQPAFCQARYQICVSLFDYTLSDI SRMYLYSKTTGIVLIILKNMFISILCQVFSAFIPAIKRARSISDYNSYSIKTVAKLGF LSRKDFPIK PHYBLDRAFT_150624 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMVRNKAGRRCNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_173444 MSNNNNNSECKCSKCSPNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSISGVNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFERLSG RECGNALFFATSRALTISKKIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDP MHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMK ADEWKSWCLVYSPVLLRGRLPEAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAF CRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDG FEGTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFD INAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYKHLLEYYRETYNDQTLV HYRQAGHSDNFVNNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAG QIQYLFVNTAVNSFAGHASQHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSIL PVHRICYPVAVGKHLGLEGKVQMCVVPLPRKIYI PHYBLDRAFT_69007 MKISNLITQTFENSVNEDRAESVNHSEHIFNFTGFDHQGNQARN NAISRSFTALKYNNELYSGEQYDLREFILEETRNRPAGSMDVDVEFGQDVPTDIETPL EETGCGYFCICNTVNHADSLSQYESEISDESNESNKSNKSKLDETPRVPHYEPRCGAE AASLELFSMLVENNVSRNVFDKCVKMMNKYMTESAINSLMSYYKMDTLLRQEYTVRPV THDMCKEGCTRFEAIEAGQHADEEEQCSHCNSQRFQCKRGTLIPVQTFQVVSLSEQLR FKLGNSQERTKMEYSKNRLSHNVSTTRSDILDGNAVHRLVQSGIVSQNDILVTMFID PHYBLDRAFT_150627 MATGNNPVVSDLMNLAHHNSFFGCQACLSKGVSKLHTMCFIGNE LPAPMRTVESLCQYGVNGPNVFRDLNTLTSPAFFGLDEIHIIGHEIEHQLYKELGGKF AVSSWTENNEAHLVGDIQQQQQQQPIVYTFTLNVRLKQINRSISKSRANIPAIFTGSW RSLKETTGRQKTVNWLDFLLFVVSAVVIKNFVLVSTRKLFKTWLILVLLPSSGRAIGC WHSFLRKEIAEGRMKPTIFVMNQHMLVHLGYMMREMGPLRAYSCCPIEHTIDAYRAAR VLERNMENILFCKAGIRHCLGGEVVTRGPRDRRASNFGAASNDVAGSQLWSNPTRKTL VAVASKTDMNYHTLVCYLADLWGQNVVKMWKDQVVYRVRSSFNSRHVRANDLVVLEHL WRYGFVRKFFSYSVLEETRLFTIVDHLCGIQPNNKEMFPVWESTTIGDKKVVDVKSIK GMAGLVHDVNDKTI PHYBLDRAFT_173447 MTSKKQLKYSKKLGKIKLTLVLQVFVDRNDSMISVSILIKIMYT INLLFELKKKADKNKTEFKLPNVGTLLNYQHNKFNKIFLFPTTIKKVMIKATSNLNSG ITGQVKTADCHFNLPFNHLHLLLANPKKASYLSAIPDYTENQCLSIQQDEKWKRDPLF QRLFIQTNDSNFWIGETTLLSLMVTLLNRALTVKVTSSIRYKAFLSCMKLNVWILFGS PQYSLAVPRIGFSLTIIASLAIFSDDTSGNLTKTHGMYDSVLVNFSEMSYHMRNRRKK NFFVMAVSQQAGFKFTPLMRILAVDLKSLENDIEMYSLTYNKTVTVCALLLFITADNA RHTKLVSLKHAMSNFLCRRCYHYSLTRFSFNDFDSDHLVRHYQRRTKEHYRIAATDPT QKSMNKMPILADLWYSYTSAKDLLCLQSFNPAFDTSVEVLHTVTLAVYKYLVNHSFKE VLKGNTTLQVKLFNLLEQKKDSRDFTRTFRKKFRHSESYLGKEFKILMQVLLAILNAE FANNTEVSIIAKPFTELGILSSLLFVQEVNSDFNQYLSNVDNTTCHLVESLYKYDIYV NTKFSLTLKTHLLLHLKEDIKRFGCDLHFETKKGILHSSLKSKICLDTLPVEVHGLIE LQIVTGASVAFFYKDNVSRLFVRRVVESNSALCIQHYQLFSPNLNLATVGCQPSEHYC NLEDVKIKCMLHLTSGVHLSCINLLKFRSYHFFYKNYFRFFLL PHYBLDRAFT_160173 MQMLYPSALISEKCSRSLFPRCELHARYVILIEQSRIWLKCSTI FLGWLKSKTSKDVVSSISSMTFLHSSPTSYHTYPKAKLEAIAGVDWL PHYBLDRAFT_69011 MFPSIKYSALIATAPDAIIMIKEFPNKRARFEAEKRSMEVDTEI IPTYQSHSVEAMDGQANSPISDAVSIFDNDVFVGNDYNGDESEITDDNDSDDNGKEDT AKIYVGEFNNEDPFAASGMPENPVHRFIATFTVLFASCYVVNKGSAVLIEFINELLKI YEQDFQLPESLAGLHKITGFLSITKGIKRFVSCLNCHCIYEENMSVPPHCVFTNVGAH SPCGCKLMKESTSDALVSKQAYLYQSLKQALSVLFLHPGFEEQIRHWNIELKIVDTMC DVYNGAMWKELKDASGVSFVACPCSLMLTLNID PHYBLDRAFT_150630 MPGPKEPRCEEINNYLKPMVDKMKQLYVGMRVLTYKCPSGANVC AVLLMVACDISAARKTSGFTAHNSICACYKCTTQFPRLPNTNQVDFSGFDYSLWNIRS SVENRLHAKEWKSASTPSERHQLEVEYGVRWSQLQHLGYFDLVRRTIIDPMHNLFLGT PKRMMDWWVDKKTIGAKEFAAMEKIAETMVLPRDYTKLTLKIGNGFPYMKADDWKSWV LVYSPVLLHGVLPFEMYNNWMNFVHACHYLIKPSITFDEVNSTHDYLEMFCKKATKLY TPTILTCNMHLHLHLRETIRDFGPVYGYWLFGFERYNDLLKYIKTNGKDSFEATYMRS FVQNAFKGDYANAVLKSSSHVPFFNILSKLSLKFTPTTTVITLSSHSFRLQSFLLALS NPHLSSKGNEPLSLSTFSLQLKKSSLMDETNYAHLLQHYKTSYDLPDLVSYQYATLTN SFVDNEITKLKFIDLLGQQYHGKNGSASCGSLVHVMFVGSDGRNTLAYAGQIQYLFTH SFTHPSNSNLHLTRMVHDHQHVFAYIKWFNTSSDRSREDDSLEFCLPTFSPNSRHCIV PVHRIFLEIATARITTSRNVSKMLVIALPKKLYA PHYBLDRAFT_150631 MTNTRHECAIAFCPVKITNQQLMKILSTMRADMSTIKGQIGNVE QTLTNMNDRIGVLATTSTNTISAIDSLARAPLAAPVRAKLTVAAPVVISNHEPTCEES NAVYLSLRTPENILANNLKPRWDTNVAFNKSPNREIAKRLLFNLEHMFGSSSMKQSDL QKRLHTNFTSRTRHERMSDDEIVETNALTQRAACADDNECYRVLAYKDNKETIDLVML RDCANTLQKAVMSDGESANEMDEDGIKHVIHICNRFIALVDTYAVQAMGSSANQRIQT GSK PHYBLDRAFT_173451 MHGLCHGISKQVWGLVHEKYGIKHPLCLSLATQREIGAAMVAAK STIPILLHGAWRYVTKNAGFFRAVDWADFLLFVVPTLVSERVQDSVAQKALLGLVQAC NLLMSWELSAEDQTSIRSNLVEWNLFLESLLFTAGIDIGMFTINQHIIQHYSQMIDLY GLPRAYSTQSMERAINEYFRSIKSNFQVSANAGNIMIRLVQSWHVVELTTVANPKTPS VNLLVYSAYTDGWPITEGGKECSMPEPSIKTSRKAYLNGCVIDAAFNQSFTREACHVH VQLQVDMNSRRSRSYHPGYKHFFGKVIIFFQHVHNSKRWSLALITIYSVHLKNRLPIT SVVKLKTIVIYASNIVELVGLVPSNVNGSHYIIWPSLKRGPKMTLGALMLPANANKTV LKTCPNLDADFFWCDERPIGKVKIFVLKTQL PHYBLDRAFT_150633 MRGLDIKRIGNKISQETWGTTPVPRDTSLGRLTIDVNIHKSGTI YHGSSLDQTTKGTHYLAERSKAIRSGRIHESGASSNLAVFIL PHYBLDRAFT_150634 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLRRQEEGK KVDLPTLRTKIRRVKSCERRQSALKANRAHFVNSFGENVDSILHADYMSDLESDDERE EEEQDSSSEKSFFWRFRPSWRSEEGDRFVDELDADYEAAHDKKNNTRPFEHKFKGIRD KQLSKTKANKLPSWSKKQ PHYBLDRAFT_160174 MHLHLHLGECVHDFGPIYAFWLFSFERYNGLLKNIETNQKGGFE STMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQL PHYBLDRAFT_160176 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPRSERLKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_117632 EDNAKVHKLKVTGKFKKEHGIDILQWLLNSSDLSPIENIWRIIH CKRPLILVPENLQKFYYNFRFLIFSNNFYFFLL PHYBLDRAFT_69015 MYSFSQKCPLGFVIKNFNQISCYICNIWYKFCCVNTKRLYHVHM SYCRQLKKNLNVSDINTTLLNSIQKIEVDLAEIKQALCELQRQFSNQFAPAVSAEDLT TMQQSIIEQSSLECIAESVKRAQLTEYPDQLGKQVINTGGKFKGKNKAQKYNLLLQIL HEQDWKVRCKEVPQGQPLLPLVPLSDYDLTVKRLHLKTLGRTTQRDISDSSLSSPDMS ETGDVESPIMADLLSPLPTASVEPARKRSQRS PHYBLDRAFT_173456 MESMELDNTISYKCACNFEDSEGKTHIYDSSRISTNTFTKAELM SIHLSQLMLQHRIARATYRDIVQFINTVIQNYDDIMMEPGVKISHGETVDALLKSKSS VKGHEYDVCSSGCRLYGINDDQESCVDCGKPRYKTDPDQSQTPAASMKLMSVGDMLSQ MLADPATRELLCYRANQESVAGQLTNIFDGDNYKQLVQQGLFSNPNDISIGFYTNGFV NQKKGKNSYTIIHCIIFNLDPSIR PHYBLDRAFT_173457 MYFDDISARLRPLEDFKSKNIYQLSIYTQLSTFSGSLFFGLDEL HLIARGIGKLVYDLITITLTKKTKFYYTHPDNTLNITEHPFHIPRADLVTIGNCITSS RKYIPTSFQGSFDNVFAKINGTHAVDWLDFLLYLVPTLVMPYLLNRAVKTALLSLVKG CTLALQWTLTSELLDEMESYFKHGHSFLYQQVQNNTLSHSVFRPVQHYLVHIPYIIKQ QGPLRCYSTCSMERVIGVFSKLINSKSKGDQNASFLVEQFAIHNYTSMAISICDEINL IWPKPYGRESYMDLPNDPSGAQLWEPFHQFVNLNDDSVEGVGSPSVKEALLKYYRRTT GLTGHKFGDSVVVVAACLNNCNFYFQHVDFHGFSHFLAFVEVMKEHDAAGHDSSVPIV KQRSQSTRTLGHQTQPTYAVVSVNNICHQVGLVQYPPNGNQFYVIAPYYIFNNNMRIT KGNFSIL PHYBLDRAFT_69017 MGILILRNEIKLRGLNIGVSGARFPKKPGGSLLSLETRHSVDSP STSTFMSPVPPVTAHHQTKPPKESTVRGTMAQWTRRLTTNQEIPGSTPGSLTLIFDCH CGKCCVFDPSYSSNAMSELTSVTKIGIYKQPVMVDFLG PHYBLDRAFT_117517 KTKATIFAERIGVKDFNASQGWMEKFGKRHCIKMNRIHGEAGST DIESLQIDKAAIKEKIEGYSARDIYNFDETALFYAAPPRTTISHQKFSGWKDNKKRLT VGLLCNADGTDKWSDVLMIGHARRPNCFNKNNKKQEASDHGFSMYHYNSNAWMTRSIF HVFLRHFDHAMKAQKRKVLLILDNFSGHIVDYTPTNVELLFLPPNTTSHLQPLDGGII RAFKAYFKRKQYAKAYQYIGMIQNGNQDKIGPIDKIFEIDQLWAMKWIREAWESVSAK TIENCWNATIFHFIEDEDSEGSSKIIYWS PHYBLDRAFT_150639 MLNAQNTESSISSTAFEGVVTERVSVFSFSVSSGSTSRKYDLPD KNHNPGSWILSTTAIESLMAIHLTGGYKEAAEDMYTVAARIVQNVMNSTFEVYFKNKQ VISA PHYBLDRAFT_150640 MNTNFPITRSSGSDSDFNEADYMNIAQEVFAFALSQQERIQNEL NCDDRTFLIAIHNQDMASTEENDYEAFVTAGEVQSTGDPMDIEFESNYIESNKYRSKN YDSDSSNDYSPKAATLELLFMFIKNNVSRNVFDKCVKIVNKYMVECGLPTNSSFLSYY KMDMLLKEEYTVCTDVYDICKEGCTCFHVVEAGNQTFKVVSLSQQLKFKLRNNQERAK MAYGRSCIMGDHVGELLDVFNDNAFNSFDDTKMSATIIHVINLNIDPEERYESDNMMQ LTIISGPKHPKNIGSFLEPIMKDLQMLATSDIWIQALTEQVNIKAHFIMATSDTPAVA DLMNLVVGRK PHYBLDRAFT_150641 MCFEGSDVLAEMRSVESLHQFEGNRYCFKGPNVFRDLPTLTSPA FFGLDEIHLLGHDIHKQLYIALGSKFQISSEIAHNEDQQQQQQQQEQQQQIYTFSLNV PIAKIDNAISKSRADIPAIFTGSWRSLEETTGRQKAVNWLDFLLFVVPTVVVKNFVLS NTREVVMNLVNACSIAQQWKLFNHIYKSITHWHSFLRNEIEEKRLKPTIFIINQHMLV HLEYIMREMGTLRAFSCRLIEPTIGLYRTAIRSRKKSGKNMDNILFCKAGIQHCLRGR SAVLRPTNRKTSNFEVAIDDVAGPQLWSSPTRMSLGAVARETGINHNNLVGQIASLWG QDNQTLFAENEEHLCGAWASNEEMFPVWESQTIGEMKMVEVKSIRGMAGLVHNINNET IRHVVFPHPRHYE PHYBLDRAFT_150642 MDYYDSCSSDEENQYENNPRFTDAHVDSILFEERTNQGEAETSD ADDKITDSAIHMETHIRVLESFSTSLNDYAAGVTASESSHQSMEDIFILLSNISSFKS KAEFILHALFHEFSKPDAVINFHLRAKNDIPVFKTSTAKGTNQKSEVHEFSINMPSEY IKYMMACPEKTSQLSALPDFTENQRLHLNQGNKWKESPLLQHLMLTIQESDYWVDNVI EIQECLDQFLLEKFFTKNESTYANAYQVYGGHGPVLNHPDDMYFWLCGNYTNFAVSVS KYEMNIVNCILVGAQLRLWTNTTIVERFKRRLPGSGLMKVVICPIKMYSDDTFGNDSK QYNVYNSFLMYFAAMTLEEQNKRENTLFVCTCNHVLNAIDMLGQLVDDLVTLEKGIQM YSEDIGKHVLVVAPLLLFIGDNPCQSQLVMHKGTASRRFCRKCLILSPRLDWKHILSI THFSHVAHYDWPQRSKAFLSTFVSSDKQSNIYKSGQSLSYMKNGSEEFLRLKDFDPTK DMPIEILYTIPLGLIKYLSYDWTFRNQLRHSGSFVGRDFKQLIQILSGVMSKLFDRDS NLTFIITAFHAVSRLTSLVYIHRDHKGFDNYLFKIRSAVDEVAKVFILLNTFIVSNPK TFQQQDFSFKPKLHLLHHLTKDILCFGSVLQYKTENGEQFNKFICKHLFKTNCQATSR DVAKKFAKQFICRHLCNGGSYILEKCIDNSTRPVRLSVGDFVKQAPIDFPKFNLHFFG SHANSDNSGISISNLRDKLAGVFQKDNRWFLGHVSIEALRYERGKLVRKMCIMQEYQM ISSFNVNTVYLHNVVTDSYDNIEVIYYRTSYEFDENEVIVVQAIDMHLTLVPDGSCRL LNVTKLSTFWWMLVNISNIN PHYBLDRAFT_173463 MNYTEASSSTQTNVLNNDYYQCNMENWLTTQQNSEMIVAENQLF HPKNTTHTYLAKQKEWMFNLYQEFEAQLSGAIGESTKKFQVSDHIQVVAPEIAAAMQA QNQFEFANARSVGLETRNVLVETFLQASFYLCNDPSQI PHYBLDRAFT_150644 MSHLPEVLFFRKRSIDMILLQLDQSESFEEKFEIEEQVQALLPA ELASSFATSHTAPSLPEELCLRFSPSHVAFWDTQAQNIVGKLSGVPVSTPPAPNFPVH IPVTSSLPMSTPPAPVNPAAPAAPAAMTIPKSITAFTTAFRSSQPPAAYTEWALFGHK HGLRRRLFNL PHYBLDRAFT_173465 MPSFKFVHEDSQMYYKDLDNLFNHGKKGGKKGLTDKHKKCIKEF VAKDASVYVKDVMDYLISVFGEIDVLKETIRRFIATTGKKEGLPGVHWGATQKVTQMG VFHLPTFLPPACYGLLYNLTH PHYBLDRAFT_150646 MQETLFALQKGQEELQIGQDALKKDMNGQDNPEPTIVHNNLGGA IPRPVPNIKDITLVHIYRMLSHDLGVELDKKNKAILHTCTGLVCDELAIIPSVQALGQ YPNWNFTRCHKNWASVAKVSQLWKNCKKRQ PHYBLDRAFT_150647 MPSIKKTLPHKCGSCKKAYSNMKMAEKFLTQCLKNQLENMRNAH LSAAPLLLMSSHHDSTDGNNNTDLNDPICDIEYENDIESGTSLLVFDFSKPSPIPSKN DAKNLELIKIINDFDISCQAQEKLTAHFNKILELSTEITYRACTPYLGSKLLKRYSDV EENIYSVCPKGCMMFNEAHEIVCKHCGEDRYKADKTNKDDMPVAAKNMVQIALVRQLA LVLANGTTSAEMLYHHNHEQNSDGSKSDIFDGHAYQTKKHIFTNENEIAILLSFNGFA FHNISDSITILYATILNLLPMPTLLELKVLQEKGMIVKIQTATNRAKVHVLIVTGNIP AVAKLACHAGHMSKNGCRICNVVAQTPGRGQYFRFLPGTNICTLERFQNFDNTSLSCK GLTGQSAFVSLASFTGPLFFALDKMHGLCHEIGKQIWGLVCGKYGSNHPLFLSVSAQK EIGLFPRWWQSVSMIEMHRRHCLALKLVSWNTYIENLYVKDLVELPVFTINQHLLQYY PEMVDAYGPPRAYSARSLERVIGEYSRSIKSNSAISVNAGNIMVRLAWTRRIDLKDSG EDVNRAIVLEYDDVSAGWPMTEEGEHVGAKLDIEF PHYBLDRAFT_150648 MTTSHKAFVNGCGIDSSFAQTPLREAHHVCLQVQVDLFCNVRQS VLVAKNEQMKLKVVYLADVKELVGLVVSDAISSTTTTTATTKYIVWPELNCLICISLK NPKFCKQPFSAVLGEIDKYANMKGNFLVIDNAPIHQNADISHYISSRRYN PHYBLDRAFT_173468 MNINELSPKQILELIKLGQQAQQRQRDYDGDNLPEEILKDLDES SAKGLKSNIIRFTKDTLQFEGGKWTKSGVINQIFVSDLKKYTMDAHQIVQGKYKDGNK LRIAGRAASEVFNDLKYIKSQQSSNKDAADFDELIKKVRRLTVYAFASGKTLDEDAKE LSIRAIKLPTRARYLEDEDDNDKDTAFDQEWVEKIQQARYEESVLQSAVSNKRGGYST GGYSNGGHRGRGRGRGGNFFKRKIESNRIQCSPEPINKQQSSQPAIGKDHLVSQLDYP MNMPEMDQNTSASVSQNPSNLISVTQQHYNIPTDGIPPGGRLNRFLSVWKNVINQQWP IMVVEQGYQIQWTSPPIAWRTKTLSLPPKDQKEVDLAVEKFKISDCPHINSVLTELS PHYBLDRAFT_173469 MVSKRMDIIRKKQEIDGLDDEIIDFLSQSTRSSTQRIYDSGWKR WVEWCAHQTPEVIPEEYQPMQVVRYLLSIKHQSPQTLNVARSSLGSVYRITHPTKIPL ADHPLIQNFFKAKK PHYBLDRAFT_150653 MSVALFTFILDTTAHKKIIDAYPPIQDMIYSPPATLPVALAQFK PHQNLRSLLVHTSASITQSRNELAMHHVHPPLPPPHPEIRFFDPACHILQAGIPISAS QPMDIEPIPSHLVLHNQSPPTPSNPDPNRFVSCGIISDRFQIHFNLLSPLLTHSRPTI PMDDSQRHLLCQEIAKLLHKKGIEPAPLNSPGFNGPVFVIFKKNGGYRPVFNLKHLNK HLTAPHFKIETLQAVCKFSSTVFGSHAAINDQAGIPGVASEPEEVVSPTISLPETKLY NLCQSIRQLITNVSPSPRLVYSLTMRIQAATIALFPACLYTQHLIWFKNKHVHQNLGW DMRAILDKVVLWAPTQSMDTGHMRKPRSRWLTCLPSNGTGHNDLEMVYPPWSLIGCTA HCREGQCHCRSGISPDFYEDPLETLQGNIQYDTVDVVIQTLGFDTGTTQCGPLPRSDH SSSSHVCVLAPRPKGNSFGCNVHLLEPIEQLLSQPLMKPDWPVSAQDMAGELEDDLGG SNLAECHMISSSPEDVGGSSPNYGQSVHSFRLLQNPMAVEELNVEACCIQTIKCKFNN TGLTNESVLLLIDSALTNTLTNRIYQWGQHLFIATIKNHHTAALKLHINPKSLCCHED VQTVFSCLALQAPPLRQTRPSIDFASTLDHVASIVSATSTSLTPLSRKTAFLIVMTAF LHLSNLARLQLLSARVDISSDADYSRASTARPPQHLFVNAHQPMIPVQISTISSWLRS LLQLSMHESVLVQSIAFTLVLEHDMSLNNIVTLGNWSSCEVFQQYYSCNHTSSADFTN IVLRPSASVLVSGLVVPTIREQGRHGPSLPPTHTCNDQSMIN PHYBLDRAFT_173471 MINSTWALSSLFSDISMFFTVNTLTLEIVSPTAQRVHMLLSSIL LVDDHKCRLMTKYLEESTEVVFDKANTARLNDIRQKISCVTINKIKLELSKSIISEKL TKECKFLLRYKYLLQCYHTLTAFDIILICLIPRH PHYBLDRAFT_173472 MIALERCIEAERKHKKMKTAKEQNNRILIFQSRWQLWILSNSNG NISEPERRMKNDNMSASTNPLIISLKNKRSPLPQQHWVGTIDHPQLVADAFNRSIDIY WNTPRETENCLFVPLTTTPEKLEPIIIVLDINHFLLAKRKPIRDFTWPKINPFHKAIV KRYCLSNNSIIY PHYBLDRAFT_136467 MTTEQIDAHIHDIIEQNSVEELELSFSAKTESGKPLSLNTLPES MQIMMREARERAIKAGSLRSKSRIGQLDGEAEDDENDINSDLDDTDEEAEDGEGGEEI EHIILCLYDKVTRTKNKWKCVLKDGIMLVNGRDYLFHRATGDFEW PHYBLDRAFT_188752 MTEVEAATEKRLQFEDNERVLCYHGPLLYEAKVLDKQWMEEGTE HPGPHYLIHYKGWKQTWDEWVPETRVVRWNEPNLKMQRQLKDLYNVHKTSRGSSASAS DSSGPEPYKGQKRSRGSSLEKEEDYLNKPEVRLDIPDTLKGQLVDDWENVTKNQQLVT LPRNPTINEILESYRIYKKEKRSGREITEDLLEEVMQGIRTYFNKALGNMLLYRFERH QYAETRKTYPQKEMVDIYGAEHLLRLFVQMPTLIAHTDMDSNGVIVLTECLADILKFM QKNQKHIFMSQYENASPDYVLLTNNS PHYBLDRAFT_150657 MQSLNSFCTPLRAVWTVNNEPTETLWWPVKESSKNSYKIVLFFI PGNPGLVEYYTRFLEDIYQTTESKLEIYGVSHLGHSVGHHSEIPNKVKKDEPYSLQEQ IDHKIACFDELCANKPPNTHYILMGHSMGSYVCAEVLKARQTANIGRLIALFPTLREI ALTPNGVAMTRLLSSIPLGLISNAASLASYIPSPIRQAITGLVTGQTEPCVRVTAHQL MNGSVVKNVIHMAVQEMETIKALDHDFYDSHVDKFIIYYSKNDQWAPEDHFEYMKEKF PKAQIHLCPENLPHAFILESGHSQYMAKKVVTWIDEYSE PHYBLDRAFT_69038 MSVIPTKPNVDIEALALKKAEEISFADLGVSKELCEACEKLNFK HPTEIQKESIPWALEGRDIIGLAQTGSGKTAAFALPIIQKLWDSPKAEAFFACVMAPT RELAYQIAETFESLGSVIGVRCAVIVGGMDMMAQSIALSKRPHILVCTPGRLQDHLEN TKGFSLRTLKYLVMDEADRLLDMDFGPKIDQILKAIPRERNTFLFSATMTTKVAKLQR ASLSKPVKVEVATKYSTVKTLLQYYLFFPLKFKDCYMVYLLNEFAGNSTIIFTRTCSD TQRIAIMLRNLGFGAIPLHGQLAQAKRLGSLNKFKAGTRNILVATDVASRGLDIPLVD VVINYDVPQSSKDYIHRVGRTARAGRSGKSVTFVTQYDVELIQRVEKDLERKLDPFPL EKDAVMMLQERVNDAQRIATLEMKEHAHKKGSKRGRDDDDDKEEKKERSNVPKKKFVK RS PHYBLDRAFT_173477 MMVHIPGNFKQLSIVIEESMQKTDVALQYSTLRSHECPNHIPSK SEELANNLGFPYKAFTTKLQLDTAVNNEDKELLRNKIIITSNYFRNIIYRAMMFINSY CLASSRISIPNSIYKQTFLYSVCQLVNDRKITKNVNVLPDLVQS PHYBLDRAFT_188753 MLLNRYSFSRRVGDMSILFGMSESNVSVVCRGFESIVMNRIKWG FQFNTKQFRPENLEGLASAIYDKGAELPNIVGFIDGTMQAIARPSQDHEVQKVFYNGW KHLHALKYQSIVTPDGITSSLLGPYVGSRHDQYIYTMSKTEARVEKYLDIVPDVELPF ALYGDPAYMFSKCLYSSFEGVSLSDLDKKINKSMSKVRVAIEWEFGEVQKYFKYSKYK YAMKTGETSPATVYMLSAVFKNMIHCTGRNRSPTSSYFGLEPPTLEEYISGLRRDKID GEDEDDILF PHYBLDRAFT_69041 MAAARPVASRASPVSIEDGEDEGEEGEEGEGEGECEGEEDENAY MNEEVEVEVEDEDEDHPKVGNEVPESKATRHAEVMGVLKQILQKMCDIEEKLKKTKK PHYBLDRAFT_160182 MNMKTPSITDNIWIAAGDGKLDRVKELIEKEGVDINVQDECGYS PLHAAASYDQEEVILYLLSKNANVNIPDVDQDTPLFVAETVETARLLVTHGADAKRTN SEEITAAAHAFDEGWLDVAQYLASVTGENIPLSLSDESVEETAVTDGGDEMDEQIESI MKRIEEQGGLEDEEKLREMVTKMVLDEMKKSIE PHYBLDRAFT_183270 MDKYQKIEKLGEGTYGIVYKAQNRDTNEVVALKRIRLDNEEEGV PCTAIREISLLKELKHPNIVRLYDVLHTEKKLTLVFEYLDSDLKKFLDSYGGDIDTVT IKQLMYQLLKGIAFCHENKVLHRDLKPQNLLINKKGELKLGDFGLARAFGIPVRSYSH EVVTLWYRAPDVLMGSRQYSTSIDLWSAGCIFAEMASGRPLFPGSSIPDQLQRIFKVL GTPTEEIWPDVSRLPEYKRDFEIFARISLENMLPKLDSLGIDLLKKLLEYPPRKRITA KAALQHSYFDELRKKESSSEPVPNDSR PHYBLDRAFT_156390 MSRWSLWSSRAITHSRRFYSAKAPDIEVDNLVVGGGVIGLAIAE KLTRARSAETTVLVEKNGAIGEETSSRNSEVIHAGLYYPIDSLKTRLCIQGNRMLYDI AARKSIPHKRIGKWIVAQTPEQHEYLTKMHQKANSLGVETHFLDKQQANKLEPEIQCH SVLVSPSTGIVDSHALMEYLAETAQQQGGDLALSTRVRKIETSEGQYRVTITSPLENE PDTVVLAKRVFNSAGLHADKISNMLMPDRYKLYYAKGHYFSYNAPNNIQHLIYPCPEK NLAGLGTHLTLDMAGQIKFGPDVEYVDRLEYNVPDNQDKIKSFGDAIHRYWPPLDPQK LQPSYAGIRPKLARPGESFRDFEIREERDSGYANFYTLIGIESPGLTCCLAIADHVHE LIRD PHYBLDRAFT_79326 MVIKVLLLVAGYGTRLQQDLRASPSHHHLLGVPKALLPLGQEDA LITYWLDLFKASGIPASDIYLVTNAASYSVFVSWANRHQVPLVNVFNDGTMSNEDRLG AVPDINLAVKHFGLGQDSVLVVGGDTLFLKDFVFNNFFQTAKTHPNRSLVTVYSVPDE DVSKVGIIETNSKGVVTSFLEKPEPSETSSRYACPCFYLFVPNALSLLDAFIDESKGK PKEEVDATGKFLAYLFPRCPVGTFPISGRIDVGGLSSYLQADVYYKSM PHYBLDRAFT_136474 MSWQQYVDSNLVGTGKVTKGAIYGTNGSLWASSPGFQLAANEIQ EIIAGFSNPEPIRASGIHVDGVKYFSLRADERSIYGKKNNNGVCIVKTTQAILIGLYD SNIQPGECTKIVEGLADYLISVGY PHYBLDRAFT_69047 MRSLNKFALVSQLIMTISAKYNLDDNTILLDSVSKTILGVGLFL SVSSMSSFSAFTASSLAFLAEPDITLYYLMFFSSKSSQLGTLYYHPLMLMQRRKIS PHYBLDRAFT_79328 MDDQRSNDNVHAEQGLVTDTSDTDGQYSSVILQLTNLDGGFRCL LDKVKQDMQAAKDAAVFLKKRAAMEEEYGKQMAKLAQTTAEAFDKAHPRSGTYGDAWT SILKVHETMGEQRLKFATDIAEVADDLLLLSKDTEKSRKQAKEVGQKHEKTLSDADAA LEKSKQRYELHCEEWERAILQKNGEPVNAPKKGLFKSNKTQAQMERIEEDARTKATLA DQTYRQQLQAANVARQDYYQAHLPNTLTALKNVGEECCVALRYQLARYAYIFEQALTA DGIALDNDDGLGLRSLTEKIDKDGDLNNYIKSYSGRGIKVQKNEIPYKEYSMSVTALH VLNPNPVFGVELNTLMERDKNEVSLILTKCCEAVDAYGLNTVGLYRVSGTNTQIQRLK AAFDRDCNAVNLLTEENISDINNITSLLKLWLRELPDPLFPRSMYQRFLGAAKIEDDR MRVLGLHTVINDLPDAHYATLKYLMSHLDRVQQNQRYNKMGVSNLATIFGLTLMGNDG DGQFNASAMTTQDAQRLAETQWQVRVVQTILEHYRLIFEPDEV PHYBLDRAFT_117640 MEMVRQEKAGSKMTNDMDLEFANRIVSDVTFENNLDYMDEKSDV MAAKKGMSEEQKMRYAVNDFKRTQKVLEKCRFCYHDENPPQCTMISLATQTYLALPNV QELVPGHCMIVPLQHITSTLECDDTTWNEIRNFQKCLLQMFHAQNKGVIFMETVTNIR GHRHTVIEAIPVPYGVYEDMPAYFKEAIMAVDEEWSQHKKLIDTTERGFRNSMVKNLP YFHVWCGLGKSYGHVIENEKEFPHWFGKVR PHYBLDRAFT_79330 MPASASDRRPSEPSLGGPTHANAFPSAQAAKPTKNKKKKNKKKN GANQQATKDDTQTPPPEPKAESFTEEAVSDSSDISEMPKEINEDKITIISKNDPTSVD HKAIHAAVLAVIKASKVDIYTLLERLGKTADITLEKKEFKNIDNSSPTIDPEAIKAAI ASVISASKLDAYKILGTCSGSEATDPKVHSKGTLVVDPEAINAAVLAVIEASKININT ILKSLSPGPIKQTTRPTKADPPKIDQEAIQAAVLAVIKASKLNVESVLKSVHPESAKE TAPGKETVPVKDVAAAKASSTSVPQMPPNAVQAAVESAIKTSDLKIYSILNNVHGDLD RHVSPAQNPSHNPTWADILEKGTKNEPSHVNPSTKNTEADMTETYQQSSTEHILSPPN KVPTSFETHPIKPATNDSSNSLAGHSTSTKSTTVPQAHSTILDMNVDESAHKDENTFE AKSEASMRTDKALPPLHIERLSSDIPQAGPAPFLATSIQSGQGRQNVQGSQALGASRS YEPFPQQQQQQQQQQSYQSNPHSQNDLGHRTSSSDNSIKRPPQAIPVPPTASQIPPTV NHPSGQESAPNRARPSAPPPHPHRTEPLPKEKKTTCNIL PHYBLDRAFT_136484 MKTSPSKRELQHFLKRFVPDTSPSMPRIKPELNPQGAPGVQSPK DYVNALLSPSSNQIALTKVEGPFDRTGWQAVGSTLLKLQKLGMTSIVVADSVAWRSEQ HSVELTKRMTRESMALVEAIEGAGGRARPIYGGLLEQGVSDIQVNFEFIQSALDNNQI PVVFPILSNNGMQQPIKANEAMVALTRNLAGPLKFKGITPARIVVINNEGGIPNHERP GTAHSLVNVQEEYDEIVKAYDDNEAWKKSHPSAIQNLDMVKDCLSCLPTTSSAIIVPT SSLPKALIANLVTDKPLYSSSLPISNLQIGSPDLLQVNQTRSTVLRHGIKINNYANLS DLDLPNLTRLLEASFQKKIDQSSFYARLERDLAGAIIAGDYEGAVLMTRERAYPEAQA HYYLDKFAIAPTSQGIGLTDILWKRMCDAYPELLWRSRQDNGVNKWYFERSNGYLRLK GTQWVLFWHGSKGFQFVEEYATIAKAIPASFSGLLTNK PHYBLDRAFT_183276 MDEYVSQKSFWRRHGLKLPGSVSIVPSNSTGDAKRVIGARYTFN SLVTSTQPPSDKNTILTYSPSLTSPPTPSQQPHRPPVKKNTSASSSSSTSTSPTDPGR HQRSISSPHKSFDAFLMDTNDEWSDTLEASPRPKQRPFLPTKLASSPLPVTLTTHAEV RPDMKAYVQNLLQDPSNILLSIPEQQSGDTSKTTKFKQVLSSSNVDLVALRQLSWSGI PKEFRLMAWQLLLGYLPCNSARRVATLARKRKEYADSVAASYARGTSGLDQGLWHQIH IDIPRTNPGIPLYHYETTQMCLERILYQWAIRHPASGYVQGINDLVTPIFEVFLSAYI SDEDPEEYDIRQLDQEVLDVIEADSFWCLSKLLDGIQDNYTFAQPGIQRQISTLKELV CRIDVRLASHLQQEGIEFIQFAFRWMNCLLMRELPLKSTIRMWDTYLAEGSSEGFSEF HVYVCAAFLVKWSDQLQKLDFQGVMIFLQQLPTQGWVEKDVELLLSEAYMWKTLFHNA PSHLK PHYBLDRAFT_9471 HLARHNRIHTGEKNFGCLHPGCLSRFSRQDNMMQHYRTHISPRS RRYQRAS PHYBLDRAFT_173492 MRPTLYPAAEELIRNKKQQKLDEQEQGLQEKALLLLKEKHNNTL GELRAKKAAYVLVVCNSCGAQGHSTKRSPICPNHDMTLLQLLNRDLGPHQRYTLSITL DSFISNNDHIQRARGRIIVQSSFLREVLPHHYPRLQHLQALYTEPMQTNGVNLTVSLN GLKNYGAVVSSACMTIATTYNNCYYLSIMAIENIVYEHLLY PHYBLDRAFT_23839 MPSSSNSIDPTFDDVLKDDHARLSRTIREFDRTDIGSRVTELPS DLRDVKTILVTGGAGFIGSFLVRKLVVLYPEYHIYVVDKLDYCGSLHNLKMIKDFPNY TFIKGDITSSDFMAFILKEKKIDIIFHLAAQTHVDNSFGDSFEFTKNNVMGTHVMLEA AKVHKVRRFIHVSTDEVYGEVPDCAEDTILAPSNPYAATKAAAECLVKAYHMSFGLPI MITRSNNVYGPYQYPEKITSKFVCSLLRRGKWQVFFIIYFYIHGQGHNSRKYLYAADV ADALDVIFHKGSVGETYNIGSAFEISNLMLAKRLIGMFGYTQEQQVNDHLEFVQDRAF NDKRYAVDCSKLEKLGWAPRMGFDDGLRKTIEWYRQCTDEWWGDIAGALVPHPFKALP SYNGSTVLDN PHYBLDRAFT_150676 MSTVSLPAVQQPQQVLVAEPTPSPRYVSRGSREFIRPPISFTIK LSPHHYRNQQAVCYPGAVLEGVVSVTLKEPLAAQHLKLVFKAAEKLNYDTLGWDSSKP EGRLFGVRTLLWGTSPEVTGPMSSLWTVMEAGQHSFPFVCELPLVNYPPSFSHHLVAC TFSLIGSIERPGERPFQTAPLNLQYHPILETCPLKVPKPYNQEIKLSHSLTARVSLVN TSFNILEIEKIPIEISFCGQTDPTKLTPAITHVELALKRFMKISRGPFYRNEAVLISR VERRLADKDNLYLLQFNVPLISDNTNLKTTATLNYSHHLSLSYQISVSIKVRHGPFMT TKRLLCVIPITLGTLPAGGRTPSDMMVFTESRVVHDTTLLTKPKFMRPIEYSPEHQLP AYDSHRPPSYHIHVQNE PHYBLDRAFT_183278 MNLVVRNNSRSLMAILAMTPLAVDCVVLYYYSAAFRPLQMELFL LHHQTWIVQNSKLIHDWIKTGNCTESRHIIAIFSGQKPIKSVVCCGLIPEKIFASYND HFNY PHYBLDRAFT_183279 MKNQTECTKKIAKVPTNGHKNTDNTTRAIIVHESVKNGTSQVDI AKKVGVSKDVVKRVLQSYKKTGTHMAAPRSGRPLKLNERDKRGIMLQISRDPTQPMNK IAKALPTPVSDKTLRKFLRNVGVYSPKMIQKPKISEINSKGIAMDQGTSIENQ PHYBLDRAFT_127721 MEQFIVNLDELLTKLSQAQDSETIRIATSTLNTQFYATSDCVPA LVEIIARSPLIHVRQLAAVELRKRISKWWPEIPDQTKTGLRTQLLQISLTEQHELVRH STARVISSIARIDVPENKWPDLLNFLNESCASPNASHREVGIYCLYTLFEVISDYFLD HMTPLFDLFKKSIVDPESKTVRVTTVLVLGKVADFIDTEDKEYIKMFKEMIPAMVNVL EKCLEDNDEESASEIFEVFDILLMLDAPLLSTHLVDLIRFFLTVGANRELEDSLRVLA LNFLMWAAVYKQNKIRSLKMVGFIVESLMPICTEEDPEDVDEDSPSRVSCKVLNALAT NMPPQQVFPIIIPVILSYMQNPNPGFRKAAMVAFAVVIEGCADFMSPQFNELLPLVCT GLQDPEIIVRRAACMALGCLAEELPAEIAEQHQVLLPLVFNLMNDTNPEVTKHACNAL DAILDGLGSDVVQYLPMLMEKLLLLLDNASQTETKATVIAAIGSAAHAASEAFHPYFG EVLPRICHLMSAKEGGDAMILRGVATDTAAAIAEAVGPEMFRPYSQNLMNLAIEQLQL DSPRLRECSYAFFSILARVYGEEFAPYLPTVMPHIISSCQAEEKEDNILQGEIDLTTG DGDMDDEDELENFNYNSAIADEKEFAADALGELFENTKTHFLPYVETAMEELTKLSFH MFEGVRKAVVGSLFSFLRTFYVISTPGEWAAGLPLSYTVHENVQHMISTVIPTVLLMW KDEQDKMVAVQICQEFVQALKLMGPCVVAETLENTTRNVLDIFEKRSLCQQSFDDEDF IDEDEEAQSESLLIGAAADLVASLCETIGEGFSSYFDVFLPLIAKYYKKTKASTERSM AVGCLGECITGVKRAVTPHTERLLQMFIKACADEDQTVRSNAAFALGVLTINTQLDLS SQYPAILTALHPLFQNQSLPNTTDNATGAVARLILAHPDALPLDQVLPVFLGALPLKA DFAENEPVFECLFSLFRANNPFIFAHLAQILPLFAHVLADEDQLTEATRAQLIELVRA LNAQKPELNLASSELGRFL PHYBLDRAFT_117443 MEFISDTSTEQEFADIIQELTQDFYYQKPDDVLQFCTNFFQSRL SSQRSFWRGYITETFTSHPTESSPSLTPALVQDPLEAYSSDEEDVFEDEDTFENEMIE FTAPISNRGRRTSVSAESMTPLARGDKDYIQKIIPKTNAQKEQIQAAIRNNFLFRNLD ENQHQDIINAMSEKQVLPNEVVIQQGAIGDYFYIVGSGQLDCYINSDQQKVTTYGPGG SFGELALMYDSPRAATIVSVVSGVLWALDRLTFHRIVVEHASHRRQMYESFLAEVPIL ASLDACERFKVADALEYVCFEEGETVVQQNGFGDNFYLIESGDALVYQTDVDGIQKEV NCLTKGAYFGELALLHDIPRAATVIAQGRLRCATLGKRGFSRLLGPILDILKRNSENY AKVLQTTTI PHYBLDRAFT_79336 MASSSNYHLSAIAAVTSIALAASGYALSTSISDSKRRRRRIATK IMKERDGKYIQGLINTGNSCFVNAVLQALATLTCLRSYLAERVDDPAEEPGDAPVTRN EAILRSVAYALYTTVEMLNRPLARPRSALPTDIIEALERKTKGTINRDQQDAHELFQI ITSALTAEEEDQYNPRATSLFDPTALRQMTLETEALTNNAMENFETSSMSSIGTMGSM WSSFSVSTVGGHLHPRSRRPRNPFTGLAASKISCMKCSYTAPIRHHTFDNISLTVPQT SSCTLENCLDTYTKVDTLTDFQCRKCVLISTAEALSRELDLQRSQVENGTGSPERIKR LELDIHRLKDAIHFNVEASLKGISLQPPAEMANTTKQTMFANPPKALCLHLSRSVYHP SGNIQKNHCNVKFSEFLNLSPYTTNGFLNTGDPSAALSSHNVSGGSSARLMPSPSRTS RTSLVYLRNMAGGQRFVHGRDGLNVALKSKDEDDQRIIRNALPSMTLPSLRGIQYRLS AVVVHYGGHDSGHFITYRRKKLPTGLDIRSPLETNDSRPPTKFWRCSDQTIEEVDINV VLESEAYMLFYERDV PHYBLDRAFT_173500 MNTCVVQCTLPHLSNVDVEVTQVALITQPTPPNSPQPTSACTKN DSLNATENFRNQNIDIDDSNSTCTAFARAVAMVKVQVMTRRLKEKYLGHEWIRLALQL PVDESTINNQPIRDEVVWTLLNKRLDNRTGEIATWYEWKFNKTKFTTEQVQEKVDRLR QRKIDYQKQQSIIQSKSQKDDERGASLRLIPS PHYBLDRAFT_150684 MVNKLKHTDCDPIKLKWDSLGWQVDQAILSEEDRVVIIRFGHDW DPTCMKMDEILYSIAEKVKNFAVVYLVDITEVPDFNKMYELYDPCTTMFFFRNKHIMV DLGTGNNNKINWALDDKQEMIDLVETVYRGARKGRGLVVSLKDYSTKYKY PHYBLDRAFT_150685 MSLLGLPFELLLSVLRRLPGSSLAQLCLTSREAYETCVPLLYGH LRLSYRHHVRQLAIGMQHRPLLRKTIELYTQKVTLRPKQSGNHWLVADFCRLFPELKQ VREVVCSHFGFLSVDRVRELAGSLPQLTHVTLNHCRLVATQHRGEIRETEWGSTDTSG IDDEQEQEVIAPTPKSEEIFERVCHLNAQWTDFSVGAATQLLSSMPLLGWIDLGANHN RIPTANDGVVASLWEHCPRLRHMSISLQQVSESTLCELISKFGGQLETLAIRCDGLET LGALATYCTRLERLVIRAANTPWTVEFTTTPPDEEITNTVVSILQHCQGLVQLEMVSW VMQDVPVIVWRAMDTVIERQGPCQPLSLSIALQPHKTCLKPKPSTEDPIQGYPHGTIR KTLRLEQEVLQEIRKEIHSLVLTS PHYBLDRAFT_40848 MSLSLKNLVQSSKFLTGILAPVSKVYAGAAGYQKIGLRYDDLIA EESDLVQEALRRLEIADPKAAYDRAYRIRVAQQLSLSHALLPKDEWVTNQQDKRYLQP FIDEVASEYAEREAFDNVKVAARK PHYBLDRAFT_183283 MPQFHPASKRPSFVNFPIPFDHPYILPTLLLTVSASSLVYYYLQ ATHAADLIEAVRHRDIRRRRTLKRPEERYASLKIDRLFVNPFKEWQPVSWWVAVLFWF PRWRRNGVPKSIKALDESLPIQTPSFDIIFPTTEMSASLENPTPEITFTWLGHSSCLM TIGGITVLTDPVFTDCLGPPGFGGPKRLRPPPCGLKDIQDKIDIVLVSHNHFDHLDES VVRTLGNSVTWYTPLGLRDWFVNLGVDNVIELDWWQEIHHKETPDIVIACVPTMHWSG MRKPFDVNQTLWCSFVVRSSKHRLFFCGDGGYVPDLFKAIGEKYGPFELAALPIGGHE TNRPQQHMSAEQAVQVHKAIGCPRVSVGIHWGTFMLSNEHYLAPQKFIEQCGEKGFKT TALGETLSL PHYBLDRAFT_173504 MTKVSIDNNPSRSKKGISAEEKRKRLEEMFLESGDFFQAKDVEK LGSKKGIVSQSIKEVLQSLVDDGLVMTDKIGASNYFWSFPSTAILAKKIRIEELEAAI REEGKKKSSMENTINQLSVENEPTDERIEILRNLEQAEKEHKELLKSLQPYKDNDPAL MEAKKNSAKRSKEAANRWTDNIWTIRSYCINTLGTDPKTFDESFGIHEDFDTLP PHYBLDRAFT_173506 MFWLTSPSIHCFRLSDNLKLIVLRMLPVVLNKDSTISVAQFEKK IILMTVSSRMTTVLVNKLSIIIGLKCLGDTFGLGCRYFFRFIAPGRRWFCDHTRGSFI PHYBLDRAFT_160195 IFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKIISY LDIVKTND PHYBLDRAFT_160196 ERPTINISCLSGGDRYTNLPKNLLTIYLHNVFTAEASDQSFDIF FGLTAFNAQLYIKGRRYTRIFKQFTKN PHYBLDRAFT_117851 FSPSYPLESPEVMFIQPSIPIHPHVYSNGHICLNILYKDWSPVQ TVAQVCLSIQSMLSSCVKKELPPDNDLYVKSARASPKKTTWAFQVNIFHLN PHYBLDRAFT_33069 MEKSSIKEEQQLDRILIKNLVLKNVTGVDSWQRLKSQPVVISVW LYTDITAAGDSDIVTNSIHYGHVTKGITKLAETGTFKSLEALAHAVVRLCCVHFGAVR TMVKVEQPKALLHASASGVILSRAKVDFEGIEGETLGDVSGLGREDEVFVKDLRLHAI IGVNPWEREEKQVVVINLTIYPSPLASNKSEESKSHNLRTIVRVLTRHIESSGYKTVE AFAVVVARIALEKCHVRKIRVRIEKPSAILFADASGIEVTRDQVWLNHAAAKEIPASY AHTAFIALGSNVGDRVNNIYQALDMLENNCNSIVLDTSFLYETPPMYYTDQPAFLNGA CKVVTSLEPLALLSKLKEVENNLGRTAGIRNGPRPIDLDILFYNDILLNTEKLTIPHL ALHEREFVLWPLCDIAKDMEHPKLYKTCGQLLSQLLKVTAESEEGNLKIDKDKTYVMG ILNTTPDSFSDGGKCVDVEKAVAAAERMKEEGADIIDIGGMSTRPGADDNFPEEEEIA RVVPVIEKLRKNGFTLPISIDTFRASVAEAAVKAGASVINDISGGSRDPAMLSVMAKY KVPVCLMHMRGDAKTMMSKENTTYENDDVVEDVSIELYSLIQRAISAGVYRWNIIADP GVGFAKTPKQDFEILRHLGEIVSGPDSLLEGFPSLMGPSRKKFIGSATGVQEAEKRSF GTAGAVAASVAGGANIIRVHDVRPMWEVIQVCDSVWKRSQ PHYBLDRAFT_178242 MLARQAIRSWRAVGNKPLLRRKPYNSTEHFLTITCRHLLLPLEL NVSKTNELDHRAIGKAQSLFMIHQYSPGSIFMLPHGTRIVHKLQDFLRKQYARYGYEE VMTPLIYKKDLWETSGHWQNYMEDMFMVKSGREKEDSCQHHNHEEGGEGLYGLKPMNC PGHCLIFDSTPKSYRDLPIRLADFSPLHRNEASGALSGLTRVRRFHQDDAHIFCTNEQ IASEITSCLEFVDYVYGAFKFPHYDLTLSTRPESSYIGTIEEWDTAEGALKQALEATG RPWSIKEGDGAFYGPKIDIMIKDSSGKSHQTATIQLDFQLPQRFGLKYIDENDQTQTP VIVHRAILGSIERMMAILIEHTKGKWPLWLSPRQGVIIPVSSQFADYAKTVANALSHG NGSEERYYIDAETSSRERLNKMIRQAQMDRYNYIFVVGQKEMDAGTVNVRTRGGDMLG TMSLEEVRRMFAEKTASFE PHYBLDRAFT_150693 MASRNFFQPTSAFNGGVTSNLGIKNKKDSNAEIKPWVEKYRPKN MSEIASQDQAVKVLTKALTSDNLPHLLFYGPPGTGKTSTILALAQQLYGPKLIKSRVL ELNASDERGIQIVREKVKNFSRTTVTQTVSDFPCPPYKIIILDEADLMTKDAQSALRR IMETYSKTTRFCIICNYVSRIIEPITSRCAKFRFKPLPTDDLVNRINMICDKENVQLG QGTMKALIKASSGDLRKAITFLQSGSNLHSNEPITPGTIYEMAGVVPEETMKTLSDAW ESNDQQKVLDAVQYIINNGFSAEQLVIQISEEVTKNERLSTLQKAQISQVLGEVDLRL IHGADEHLQLLHLMSQVTSIVAQ PHYBLDRAFT_188773 MSFSTLIQRKGSPLVLSVKDNILTVFPYENTLIDTTKIESYDLK YLYGIELKNTPPVLDIHICHVNQPAQNEDRKELSSSKHKAAVWERHILSFEALDTTMF ADGYKYLGLDAFVNRIRAQNLPESSIIASTHISVILNRHSGLRNAFDNWTDIVQPMLV IAGYKQHNITVVETKADGKTRETAKEIGKAVLENTVPTIVICLGGDGTLHEVVNGLSD AYDLQQTSVKSSQNNHTPPTFRLGVVPSGSGNAFSLSLNLSSIEHSALQIIKGKSEKF KLMDISFGYCSSDSPRWYEDVTYMTDKQPIRLLVVMSWGFHAQVVSQARKLDFSLGNQ RFGMVAMDLLTNLKNYSGQVAMIGARKYIRANQKFGNTEEKPILVDGVHDRQFTYFLV SKQASLEPGFNITPFASHSSEDMDVLMFREVTAAQLQEASIKAFQGGRHVTEDEEDLI EYYKTPELFLRVDEPDELCLDGEIHGLGKDGVVHVKIIGPDQGEPEFEAFV PHYBLDRAFT_79349 MSDFRQSDSLTPRPRKPQIQRRRSKGAILQKDTLNQQGFPTSQV PKRTILSPGEKSQKIPVKNMPETQDVLKRPTAQSQETFSIVVLSEHTQNRPLIKLPIP KITQPLMDDSLGRSSSSTDVEDSDDSDVKDVDELILDLARGLDDNEIIRPRLSQAPKN SKRPTNIKLPDTAFAYPATELFSPGAHFLKSAAVDQRVGHGKQPNPLSEQDQDVPITN VRLTGNTIISDPLLSPMVDLNNDTNKAKYNWSTTNRAKNDKTPLATSADVSPLEKEAR PRRSTIDGALPTLPKTYEPFSLRPPPQILKSRRASRNGPPVAEKTNFNTTRRERAGSR SQGTNTDPKNNSSSAVSPRFMALRKLSEAGMLPCLSSLAKGVHTSENYEIFQNQLLQR IDEAIETQVQSNIRQILWKASESHMDARRFWEDQKNEMFEFGASLVKRLEAHTNAIKS GRRSSKTENGDSTSSQELEILRKQQIDLENEVNIYRMKQIGLQRQIFEMDLVKERNSE LEKQYDWIRERNAELESHHADTRIHLNRISVLEAQIVSLNQARTTLIQIDGDKSKLDE IVSRNLVLEAKAAEHVESNKVLTNRIAELEAEASKNQTELNRLSSRNQQLETELECHQ KELEAIQARCEKFEKDDGTSKDEHEKLENGDNFNKRNSQEHTKSKEWADVMASEDERK KREMLEKEISEEATLIWFERYQEIVTKYDDIYSRYEKLKNSQKASGEKTLKENGMEKM KGRLLALEDENKSLRQIERVNRIQMNYMQQELDQLHRRTVESHPKPRKAPAKTQEEPQ NQPRKDRTSKISRQKRQQGDKSSPRSSPLPNLQIPQPGPVATCPELHDKKEDNGDPKY VADDGLLTFTTEINGQLSQYTIRLPQGTSQSNTKNSSSKQRPKSHTPFSEIIR PHYBLDRAFT_178243 MNIVIYSGNGTSPNAVHQTHRTLKLLLGHAYDVIKVDAKTLQNE PWEEGCSMIVIPGGRDLPYCEDLDGEVNARMRRYVAGGGRYLGLCAGAYYASQSIEFE KGTPMEITGPRELGFYPGISRGTMYPGFVYNSEKGARVVPIDLNTNSLSVKDYSGLPE GLKVYYNGGGYFVNAVEKEDVEVLAWYSEKGHSQEEKTAAAVLCHIGQGSAALMGIHP EYDVSTMDLSEAGKDTSFIQQLVISDQARKTFLCAVFSKMGLKTAGYETKGTTLEIKE NTVPALTPIYLATLRAEVTKSIACSLLEKADTTTHILADVNDRFQLLPMEESSLSAQM SSLTLQNNANNTDEEKPLTQIVYSTNTDSDPKAPVFPSRSLTPSFNIQEYFASLSEKR SHEWGGGGWYRFGNSMLYSQVITSTQTVLDRNYTFSQSLPTGLVCLAANQIAGRGRGR NAWVSQEGALQFSLVVRHNLGLTKAPVVFIQYIIALAVVESIRTRDGYEGVPLRLKWP NDIYVDLPGPEGGLKKVGGLLVNSSFVKDEFLLVIGCGINLANPHPTVSINDVIQNHN PSLRRLSPEDTLSGIMVHFEKMYLEFCEKGMGSWFLDKYYQRWLHSNKLVTLTTHDDV RARITGITSDYGMLEAVSLEDSRKKFTLQPDGNSFDMLKGLIIKKA PHYBLDRAFT_101906 EAEKMRLFESTGLLQKVKQREAELAKQHTTSTEYLWQAIFMSIP FAFLLGTFDITVKVQFSEPWTYSGLLIKSLKSIPALIPFIYLTNRYKSSNITQTIMAT SSVVVGSFLLYTMHHSPSLGQMMRAPGLATIWVYFVVQLNLLPAVISLLISATYWYF PHYBLDRAFT_160202 MVGQHQKELNVLQNQFQHLLDLKDKELEDFSYRLKTVTSAQQKD LEKLHQAHRLKVSALEDEGQKREEELKSKAMELRWMETDVEGYETKLKEQDNKVHALE SDNDQLQLQVERLRQENEHILSLLDRLQLEMRQQV PHYBLDRAFT_188778 MNSFQQYTPHEQMSPPTPTPSLLSQPLKRTRAKRSCDFCRKRKS RCDADHSTPCSNCKAWGYTCEFQTARKKRGPPSVYVDNLEKRCKKMESLLVSLTRSSI KELEQMDFRLKTVQVETKSPSPGPSDSSSEEEEMEYDSIKYTGQSAGLQLLDQELFKA KPYVRWPGRDDLVLQMMAHNELMVVRKDTNGSQETLDVGLSMRSSIFDNPTRKDIPIT HSKVSASVASRMVSFYFSHLHPFLPIINRTRFLTHAHQPAILTNAILALSFRFASLYF PNLTENSQEHAGTYFRKVMHRLRDTARSRLCHVQAAILMTLYLDLDDGDVESIQWCTL GSAIRMAQDLGLHRSATHWKLPPAEIETRHRIFYACYILDRWMGARAGKPLTILDRDF DTAMPSAYEGDQGPIYQPFILLIKLSEILGRVLKALYAPNSKNSNRNAGLDDPTIRVV FDRRLRLWKESLEDAVGGVYMTQSQKTNLQVFYYTVVMLLHRPFVVTQELFPDMQSIV EESRHTCTEAATNLSKLVRQKALLVDDPESFSSMCLPTFFVYALFQSSLVHLSNARHD RTQPDRLFRLHQAVDLVREHKHIGPASRALDILTMLITLHDLAPGGTVKIEETENRII SQSQQSHPPAGFSQVNEMPKAHWFQRMINTSIVGGITPDIHHDVATAMLPTDPYGFPL YNRPIDPNYVPQAPSAFTAFTIENPTLPPSSLNWADWDLYLDQQSLGASATNSLTSAI GTHIHHAP PHYBLDRAFT_57710 MLESVVSTLLNKVLGAYVSNLNYNQLQIGIWSGEVVLRNLRLKR EALDKLNLPVDVLHGYLGELTLTIPWSNLKGKPVMININDVYVLAVPRNESTMTADEI KARQHEAKMRKLADAEMIHQPVEHTNAAEDAKNETFANQLMQKILNNLQFSITNIHIR YEDEVSAPGHRFAAGITLNELSAISTDENWIPQTIGDAVNTIHKLATLESLSVYWNTD TYSLSHLKDDESFTRFRSLIATKTHVPPEHQYILKPVCGTGRVKLNKKFGGETPKVDS TLLFDELSFVIDDEQYRDAILMIDLFHSYLKKQKYQKLHPPSTVTPRTDPRAYFQFAA NAVLSEIHERNQRWTWAHIKQRRQDRLDYIDCYVADKLGQPTPEQKQKLGELEHKLSY EDIRLYRGRSKAHLRREKERLAAEEERKRKAAAAQAANSKGWLGSWWSTPNTANQQEE DEDLVITEEQKQEFYDAIEYDEDMAAVVESIEFPKDTMLLCLRTTLNKGSFTLKREPH ANNPLELVSLVFDTVMLGAIQYVDSFKITAALGDLILYDGATKNTQYKKLIGVKQKEP KDRRKSQLDKHLIKFENMKNPFFSLAIEHKPLDGRADNAMALVMRNIDIVYNPITISA VIEFFRPPETSADSVNALIEVAGDTLEDIKNQTRASLAFALERHTTLDLRVDMDAPVI IIPENCSLKNCRGIVVDAGHINIESNLAPAGAFNEMKSKKSAEYTTEDYVQLRSFMYD KFTIQLTQTKILVGDSVDTCLAQVREPTKEYNHLHLVDRIDMTFLLEMCIVAKSNDLT RFKMSGHLPLLSVNFSDTKYRILMQLPRLIEASGILGNDKPDHLESDEEDSDELWSVA ETAETEATQLKQKTSKETHVNQKIFELDFKVDKVSANVLMARRQREEGSTFEDLLCEV TLEHLSVEYCMRPFDMSIGLSLESLNVVDRMAHGNEFKYLVTSDNMVVPSSDSKSKSE PTELVHVEYIRVDKESPEYRNKYKGIEQTANVTLSTLNFIVTQSSVLTLYSFVLDTFV EDESPTRTQDRRVSRQQDKRLSVSKDADQRRRSSAVPPKDSTPPQPSNIYVHLLLDSV NFILNDDGVRLATGRLSHGDMSVLMIQNTVKLSAKFDNFTLTDDLNDTSEGSKNTHQT DLLTIQGEELIDLRFESFIENGPQIYPGYDQLLYLRMGSAQFTFLEQPITRFMSYFSK FAEMKLMFDRARQAAYESAQHLQQAVTKMHFDVVIKTPVVFFPEMHNHPMDVVVAHLG EIWASNTFVDEEDGCINAIRAGLRAINVTSTFHAIHPSTKKIQVQELPIVENTNLTLD IKSPQQINTLRPDMDISGKLSDLSMRLTEVQYIFLMDAVNMISRIFSGTEEPDSSSKT HPNQSNLLTQEDQLQATTDENTNINSRTNVSSDVDEENHEEPPRIRMDLDAQTIALEL FTNKDVNNWTQPPDSLLKVAMNGSRVQVEMLKTGCIKTDLKVKSLVVNDSRPNINSKY KEIMPAIENGYQFEMLLDLSPADPVRRGVAIMTINDPKVIISLDHAFVLQNFAMLPFT QNHNPASSHQSSAKHTEEFLSGLAENLAAEPVVEQGIELSYSLNVVRAEFVLLANPDT IESEAVVLSAEQVMISRQAVTALVVRQMGMFLCRMDKRNVSTLKFIQTFDVSISMNID TKGPEGQPKTDLQVDVDALVLRLSYRDAMLITDIFNKAYALYEKSVGSQPPALSGTSP AQTTVSTNNTPHAHELPRFMEAKESLRASFQGMQVILIDEIHEMPMVDMTLKPFSVDV ANWSRSLSATVELATYINYFNIKNSHWEPLVEPWNVQLAISRNNMLPNDPLHVELLSD NILNLNVTHTFLESAMATMHLWDKRSYNGYHGERGAVAPYRIANHTGYKVHVWSTKVG DKKDTVIKSLENGQEMEWWFEDWRKRRETTSSGNKNLLNVQLEGALWESLRDISVDTE GEKMQPLQPMINDVEHHIMFDIKLVNNVKVVTIRSTMVIENKTLLPVDFVLLDKSGNP CSHIKKIAPGQDYAIPIEAAYNDRFCVRPDAGFGYKWADKMLHWTDFVRPGEKPSTIQ CLGEGEDMPPFMFQIHSRFDKNNQLFGHYPVMSIRLSAPVEIENLLPFDFNFRIIDKT AKQDFGSFLRKGGVAPLHVIKNKHLLILNIAILDSNYNPSDFAIISTRGTEDLNIEDT LQLKSKDNVLLTLKINTMDIPDSGGARKYSIYCPYIVINKTGCPIGFKPKLAWQSSMF SGAQNTAVCRPGVKPEPFMFSYPKIDNRNRCLIQISGSEWSIPISFEAVGTMYDVTVP SPSKTEEIHVGVSVQEGQGKYKISKVITFTPRFILSNQMDEFIRYREPESRVDQDLEP NQRIPLYNLRRNAEKQLSIKLPGINNRWSAPFNIQDIGKMHVRLDSADGSTAMLMRVT TILQDATVFIVLSKEDSKNWPFRIVNETDDTMTFYQEELSIMRDDFSDNRMHQQSARR YRLPPHQSVPYSWDMPANKDKKIILNIYGRERSINLQEIGSQLPFRYTTREGKPAIAS IDIKISDMVQYVYLKPFVQSESLFRPTSQGSNSSVTSLSPSTSTSTSFKDTNVREGFE AVEMNLILNLIFKIEIKQIGLSFINRRLDEIAYITLRGLNVSFKDSNVYQSLRWSIKW IQIDNQLPGSAFPILLYPTNVTREGNHEILPTLQLAVDRVKDDSHGVLYIKYFSVLLQ KMSVEMDEAFVYSILDFSHINVEGWNSVVDDGKLWEYTNEIPDVNLEDGVAQLYFEML SIQPIRFDLSFLRTDQLNIVDERPQGNSPLMFFVNILTMAIGNINAAPMKFNALAVEN LRASGSDLSNRIFIHYSDQFIYQIHNVLGSADFLGNPVGLFNNLSSGVAELFYEPWQG FIMSDRPQDLGLGIARGFSGFVRKSVFGVTDSFTKFTGSIGKGLSVATMDRQYQDKRR QNMARNKPRHALVGVAQGANYFANSIASGMTGLVTRPIEGASKEGVSGFFTGFGKGLV GAVTKPVVGAFDLASNVTEGIRNSATPTDVNDIERIRYPRYIGNDGILKPYSTKEAQG QHWLREVDNGKYFQDSYIYHCHVQSDERVAMLTSNRVMLLRTRRLMVEWQEPFTEIDT IKCQSTGIAIKLVNDKWEPFLVIPDKKTRELLFRKIEEAVLKYNNVRRPGH PHYBLDRAFT_102610 IHVNSASAFCSYLPPNPGENISDTENDAVPFCTSTSLASGSKVF PTGFIKSAHYVSTGTYSQVTGKIDRTKYKLSASDGGGQYDNKDLPKGTCNGYKYFVNL IEPDANVFCIRCCSKSADCNLGVSTYGCQRVVPGNY PHYBLDRAFT_150704 MSPNEEDDESLESSNSTSSNTQNFAQNRNQKFTKRPRSQEKTKK RSAGNVIEVPTKITQMEINNTSEHLPLSDVSNDLLGNKRGKALATLAFESYMTSTTNM YSMVYPDRPVNNSIKSKYQNNSRSQLPPLARSPIETRVPTIIITPPTPTLTPLQTYST HTCKSPVLKGNERHIIDNIERNAYEKAVPQRLKDSIEKQLENAKELFERKKAKCSRES FYSKLSPTHPSRIHQTAVVDEEIVILPLETQHSLYDSESEKESGDDSKDSEESIPPPY TRKRQPKLREHKAVKHWSFVETEMFYIILKHCGPDFSYMREFLENRTYDQIHKKFLYE ENYHPWRITQCFKDHFTV PHYBLDRAFT_136507 MSTDLRIACVMVGLPARGKTYIAQKVCRYLTWLGIKTESFSVAN YRRKLVGSGQPASFFDIHNSTGLKQRREAATAALEAMLSWFDREKGTVAIFDATNSTK ETRAWLNEQLTRNNIQPLFIESICEVEDMIGANMLDVQTHSPDYKNMDPIKASKDYET RIKNYVGSYETITEDNYSYVKLVNSGSQYIINLVQDYLESRIVYYLINLQTRPKRIWF SRHGESQFNIQDRIGGDAELSERGRQYAKELPDLVARNIGDRPLVVWTSTLKRTIQTA AGLPYPKKQWKALDELDTGVCDGMTYDEIAEKYPEDYARRDEDKFNYRYRGGESYRDV VLRLEPVIMELEQHENILIIAHQATIRCMYAFFMNISHEKLPYARIPLHTIIELKTNA YSCEEKQYKVGVEAVDTYRPKPSVPLIKKLKEQGEAVRRNEGASGEIADASEPILPLS PVLPANKKPSVSRIQKSAPIKPDLMSA PHYBLDRAFT_173524 MSIKHAQFQPVERNYKKKNKQQCDWVQKWQLIDMDFTAERVFLG ELAFHNRLKRDIFWSKEKINVVFTGHTAKTNATSILGSISVIALINVSLRVSKCSNKR KHRRATDGHSTGTETVAGQYLMLYWTWLLMEQILGCVPD PHYBLDRAFT_86371 QETWETTLEKCIKSIISIKATRVRCLDTETPGAFTATGFVVDPV RGIILSNRHVVSVSPIVAQAVLCNYEEIELVPIYRDPVHDFGFLKYDPTHVRFLTIPG IPLCPEGARVGQEIRVVGNDAGEKLSILAGTLARLDRETPNYGIGEYNDFDTFYMQAA SSTSAGSSGSPVLDVYGRAIALNAGGATNSSSSYYLPLNRVKRALECIQNSQHVSRGT IQAEFEYRSYDELSQLGLSLHIEARLRLYNQESIQGLLVVKSVLPLGPADGHLASGDI LLTGNGRIVTHFLDLADLLDNSVHNTIELVVSRGQGVLHQVKVTVQDLHAITPNRFIE FGGGILNDLSYQMARSYGLSLDKPGVYVAAAGYILGTALALRKSVIIGLNNQVVRNLD DFIKISKEIPQGERVPIRYYSLSRALKDKVMILHVDHTWHKFRMAIRNDTTGLWDYKN LGEPPIITPLLKVPRRLFSVKTDPFQRLAKSLVAVDAHPPYIIDGLKNSHSYGAGLIV SMDPPLVVCDRDTIPVGISAISLTFGNAITMSARLLFLHPFYNYAVLTFDWDRLESSG IEVHVAMFSDKVLKPGDRVNYVGLGGDNLPVIKKATVSMLKPIRTKETSPPRWRAMNV EAYKTSEGSLNGQGGVLADEDGKVQASWMSFSNEDERGNQGGEMGGLSAHLILPILDA IRAGEVPGVRGLDAELWTMQLANARLLGLPEHWLDRYQETENQSHVLYITGITDASSP CAGLLSAGDIVLLINGVMMMNVSDLARFSQEEELKMTIFRNGHELEIVVPTTYFNGQE TTRVIGWQGMLVQDAYMAAKEQMQKEVPKGLYVSCCLFGSPAQMSLRPGIWITEINQR PVHTLDEFFDAVKLGNQKAESHVHVKYVSRNNGTHLVALRLDHHYWPTWQIQKD PHYBLDRAFT_79356 MLTLALGYISVPVAIEASVRSNVTPANPFPWTLGNTLSIACFVS KPPTSYPTVAKHILGIPPDATRADQELFSLISSSLNAQSQQRLWAMIILQDGLVGVLS SSNASKLVLQIVEPTACLPYPLPSFSPQAANFGPKLSQEQLMGYPVYIQQLCQQWPDP AASASLHAVAQEVYQIALLYGYWNLWRVVVGICERFGIDPQKLIA PHYBLDRAFT_160206 MKVDEPKTPYIHYNHETDEVLNMPADVGYEGKAVNEEPEEFSLD TGNESDRSSTSSSRKGRRVYLSDDDWDSDDKEEDEQTKKQHDEFAKKRAMHYNMGNVL RHDTDMEEEGDNGVPPLPTSVKPTNNGQSMEE PHYBLDRAFT_173528 MTMLLQVLSSSIPFKQNKSQTSSQYFFRKLHLSSYINQSNVSEH IASNISVEFDIALLGFENRLCNRDLVAVLSSKNILNQLRYCGTIPDRLDHVHQIKSTM RPRENVPDGHRVGQRLLGSKRERGRQKWGIEKVPLLLSYKNQDILLCIGTRNALNIII RSLKILAYQSSNRKCTFYKKKLILC PHYBLDRAFT_173529 MDVITITETLLNSIILFETQCKTETVDIRNKVPKTCYLFTGLKQ KGVRVRDRDNVWPNITHVFSVAVNRKIDICPFKPTSNSVIYDNYLYEKVYKKTTRLTG KKFKPYAHLSFSLTLNEDTPFQVLAVKAKRQATGWSPELFKPLKDLVTKVYTISIPTY ALSKYIFLADLARDPSFDING PHYBLDRAFT_173530 MTATIRNHPYHFELTLQKSGDRTTPTTTSNSYHSSNNYFTWLVF MKAKWVPFDPSNQHKLEQTLSVGGTFIDINDSNFPSVRRVRVFPKSNYLSYLGVKYRL SRVMQPDVWGDRSDHTAFTSSTLQHTPHTISSPLASLSPLTPLLSSTISTDTWSDGFS EQQNQWHTPVPCTPY PHYBLDRAFT_188782 MSQQPRRFNDQQMVKAFLSDNCLSQYCERMIDEGFDQLRSVYDV TESDLASMGVKRGHRRMLQKAIADAKGTSPSLSVDTDKNGPGKYMGEHSMLIRWLQST QY PHYBLDRAFT_95433 RMFTCKFVGCGKVFKRSEHLKRHIRSIHTLEKPFECPYQNCNKR FSRSDNLNQHIRIH PHYBLDRAFT_150713 MTLRRDSKRKSTTDRDSLRQKLMTTNEEDKASSVLQAKVQYRTT LSDDCLEIIFSYFTDCQELCQIASVSRHWRAIVTDTRRQVWHRIRMSRSFFISKIRFI TRNPYAVTHLGQTRVLELYHNLEDEDDEVKDASNMMRGLPRFHNPFENLKQLWLDGMY IQDIICLLRWTSELSVIWCTKIPFHQDFLQFTFFERHQTLEQLCIDFRFETIFDGELF SLSSTETLVNRFRERSNGLPPSLRTLRIRNIVDDVLGDDVLGDEMMLLGKYLPFQFMH SLRSLSIGRCDGWMARVWRECFIPCSTNLENVELLGFVKCDDEDVEAAKADFIANMKR LRRFELMDSEVTQAVMDGLGRLKKDHQIGIQTFSRNGQTHSREGHEVPLTDLHLGYAN HLTLWLRVF PHYBLDRAFT_117704 MLKHVFRIPSLGQRHYSAIAPEIANFVSPSIEPLNKKNLIGLSL EDLQKELTVNVKNSKKYTAAQLWHHMYRQGSSSFSEFSNMSKDLRAELESKYTIHYGD VELDKLAEDKTRKFLIGFNTRREPGAIVETVLIPESRRATLCVSSQIGCSLKCSFCHT GTQKLLRSLTASEVVGQYMVAAHRSGDFPLRQDTKRTVSNMVFMGQGEPLYNWRHVSK AIRILTDPQGLGWSKSKITVSTSGVVPLIPKIASELGVSLAISLHATNNELRDVLVPL NKTFPLEMVLDACKSYANLMGNNGRRITFEYVMLDGVNDSIAEARTLVKLLKQLPAHV NLIPFNPWPGSNYLTSKPQQIEDFAQVVLRGGLHCTVRRPRGQDIMAACGQLKSSMTQ KK PHYBLDRAFT_188784 MSPSSAKSGVKLDIMNLHFVWFVGHATLLFSTAIYLFSFLLFHP SWIAYRFSLLSAIVSYSIVLFNSHKPTHSGDPFFKSLLLDENTQYWGLAVYLLFTRRV SISLLPFALYSVFHVLQYARTNLLPVLAPHKPELQDQIKTLTTTHYSQAMHLIAQLEV FGVMGRLILGLLTFRVSLFAVIFYGHFLRMRYFMSTYTRSVIQEAAVQVDKQLVPPTA HPKVPPQVTKAYLTAKNMVIKPSSTSAANSK PHYBLDRAFT_150717 MNVTPGQAHTPAFRRGTEKIDNQRISFLGMTVQQVKAELITQAD EHDRTLATNNLQGISKSALLKQSSEIRQSILELDKLSDHTELPPATLKRLEATVNESY NMKGGLRPSPFDTDVTRLLPSAPAHTSPSKQRSKTIAQGRKHADIEFATEIGQGLLNE VRKLQSALQERDETIKQLEIAKSETERDHELITKRLKQHDNAKERLKESNWNLEMANQ ELQAHLREANQTSTRVNAEYSRLVKQCTASAEQVEHLKAQEEKARTSIDTLRSRHEQD ILSFRRNASANQREQVALKKQLEAVKTELKICEAKLAIKISASSRTPIQEEPFVEPFP ITPVDPQQNELKSIGSPSPPTHHQALESETMKQSLSHAHRIISNLRSTLHKEKLEKFE LKKMLADSQENIEQMHKDMNDIAATTTTTTRQPRKVAVRRRGARQPKGTISSSSANRS EDEASPLTSAPAATAGSLTEEEEDEEEDEEEDEEEEENRFGEFEGLVGSMQPLSFELE NKKPLVETRDAAVNTDRAFAAPLPQSKAPISADSAAKETIDVYSSTQQPSIPVLHSAL LQEIENNNIHANQVLESNPPSQSVLPTANLDTYNNQVEQHKAQQHNHYTQHQSHQYNN EQHQKEQDISSDNEDQRTREFSESTPPSLADELSAFAMKPLVRESLADELMSAHSQAY IGRTSLADELMSTHSQAPIGRTSPVDDFMSSHSQEPAEKTSLADELISTHNKTLVERG SLADELMSAHNQAPIEKASLADELMSANSQVPVERGSLADELMSAHSQAPVERETLED ELMSAHSQPPVETGISANKLEPTETVQSTGIVPVYIAEKFGTSTSMQTTVAYLSEKCL VPESIEPVAQIVTSSTEPKEVSNMSMEKAKTSVEITALGSPSKSEKVDKDLGTTENIM TETPLVHIQQTTLVPAQASFINVPTPVIPSLSEHSIASHYAEPIVEEVIAHPHQDHLS FVEQPILSYSIEPESDIVRHNIIVEPKNTVHLEEYSASPYFAEPVSAIASSTKNTLNN FSVEAVGDLSHETAAVDETSAQSILADDESDKEIIVETKRDVHVVSAHAVENNSEDND EIHVRAEDAAVHKSLTNITEIVPEEQKDNKNYKDEKEPSVILSPVIEAKEVVLPIPAA VVTAAPIVNVKDSSAESPVLIDDVDIDSPVIVESVNSDGLPVVIVKSTADPIASLVAV ESPENLQIDTEMAEFDLSEIETAEADVENEAELEMEKDADLELDTELENPILPFRHPH RSADYPSSPTALLSPSEKAALKQGHRSYVVSFDKPVIQREESPSDFEFVEKVDTSEKQ QLVQNLFNTLVTTLPTLPPKEVTALSNLIVSWTPEQQDIMIYSLRQATAVGDNIKENA EEEAEEDNIEKEKEEYKEEEEEEEEVSMPHRTVSYLTSTSPLTRQSSLSTVSPSVVRH RVSPFKKQNFVPDVVVSPVATPIDTPAPTPAFESNSPLDEPDILSGPPGTVDIITHTH TDNTHDDNHSMDFGTFDLPSIGGFPINTRQRSSSSGTATRVSPPTRTTTAPTGSDSSE VTTEIIRSTELVSSERSNSEEGIEMISRAEADLLANRRVVEALAHERAEVARQHADEI NAYAGRLSPLQPSRQTPIDQPTVERSMSTSRLARLSGLVRPQPSTSMPAHQHGRTLKS STSMISLRSESSRKDSISKRHPDSIESKSGSSSYGSVRIMEHRKYQPNHYLASSTSIG DHSRPSKQIQKTRSTASLSTMSSDDVVERMVHKPMSDATNSNDPGTDIDVISAITRTM IGEWMWKHTRRHVGGGISENKHKRFFWVHPYTRTLYWSATEPGVDNNEAKAKSAFIEA VTSVPSRDQANCSPMSLLIKTTKRDLKLTAPTIDLHDLWLMSLSYLLVRPGSQEDSGA SMMEESSMDDGHRSQHSLAGEDSDDSEDLVNIRSCCDGKHDLSTLRRDSHNHHHQ PHYBLDRAFT_33080 MSGLYMLDYGAGNVRSLVNAVNRLGYDIKFVNQPSDILKAEKLI FPGVGAFGHAMTALKQKGYTEPLKAYIASGRPFMGICVGMQTLFEGSDESDEPGLGVV PGKVTLFDKKTKAVPHMGWNGAQLVKEQTQIDGQSVDYSIKDESVYYFVHSFAVPYNE KLKDWALTTTKYGDEMFVSAIQKGNVLATQFHPEKSGYAGLRVLQSFLKGTGIVDDSK ILTKNPFGLTKDRFTKRIIACLDVRANDTGDLVVTKGDQYDVREKEGDNEVRNLGKPV ELAKRYFEEGADEITFLNITSFRNCPLGDLPMLEVLKRTSETVFVPLTIGGGIRDVTD PDGTVHPAFEVAGEYFRSGADKVSIGSDAVYAAEKYWKQGKDGSSAIETIAQAYGAQA VVISVDPRRVYVQDPSQTTHHVVKTNQRGPQGEEYCWYQCTVMGGREGRDLDVRQLVV ACEALGAGEVLLNCMDRDGTNSGFELDLIRDVKAAVSIPVIASSGAGCVEHFEEVFAK TNVEAALAAGIFHRKEVPIQAVKDHVLSCGVSVRPIDNVI PHYBLDRAFT_173540 MLVFFVAIKHINHIGMTVRRYNKYCLISILDMLNQKLVMLLSYY QVDYFEFNKRACFPQQYLDPRKFFSQERQQGEREGRGSCIYSSLYNLLYGKRKYKVDV GLWRYLDLVKSICFKSK PHYBLDRAFT_173541 MANILNLDTQNTILPIHIVFFYWYGFCVLDLRLGGILTLSMYQK TWLIIPTEHPSKSWFIFLKCFVVNRMMNSKGFNLDPGFGYTSHHIVAWKQPSILFTLP CLDAEEGSSPSFKCRFLGLFPILRPMKAITSFI PHYBLDRAFT_117836 MPWWTPCYPTEKPPFSYATLIGLAILSSPEGRLTLSAIYLWISI NYPYYSLGEGGWQNSIRHNLSLNKKWFTKLNRRPTQANPGKGCYWTL PHYBLDRAFT_117861 MTPPPGCPMHEPKKETPPPGCPMHKSDTPVTDKLNELNMMPNLT QDQYADQSMELPTERTISSIPKAKDADSKWEYPSPQQFYNALRRKGWETPEEHVETMV DIHNFLNEEAWNEVLKWESKFGCECKEDPYLSRFQGRPQELSPKARWHSFFGYIYLIG FFQCSGPKPFDRHDWFVNRCGEERRYVIDYYEAPEEVPGVPVFHLDIRPAIDSPEAAF FRIKEAAKEKWAQWFSSPASNQTA PHYBLDRAFT_117782 MDLDGEDNHLVNPGETVTTDQQFMRGHGTYTSGDGTVISSVSGA VERVNKLLSVRALKARYTPEIGDIVVGRITEVATKRWKVDVNARQDAILMLSSVSLPG GVQRRKNESDELQMRQFFAEGDVLVAEVQSFYADGAMGLHTRGFKFCKLRNGSFVCVP PVLVQRCKSQFHTLPCGVDLVLGLNGSIWINKKLEGFVGTDETDTTVVYSSKNDPITS EERENIARVANCINALAKQYMYINDTAIIYTYEGNKYMKK PHYBLDRAFT_127747 MSSAVVQALFVPGALAGLLKGKPQEKIPEPVHREDPVIEEMNDS SSSGEEDQAMVGWLGEKRRSGMKLVYGLLGGNTGSAATAIDNYYDDDDDDDEDEERKE GTSRQGEPLDERTRANFRKYFVLPESEKLYAVYRCYLMKTLPCYGKLYISSNHLSFNS KGFATKAKIIIPFQDVLRIQKIHSRGYIFHALSILTQKKKEIFLEFSLLSNRNNCFAK LFLQHKWVLENRTSVGDEEQNMKDWEASLLDIDQEETAGHMEPLEQAGLPILSHKAVT LVPHREPEKPLHITCITIGTRGDVQPYIALCKGLMKQGHTCRIATHDEFKDWIEEHGI EFRSIGGDPGELM PHYBLDRAFT_86639 MFEKSLTDLIRGIRANKKNEQKYIAVCLQEIRNEVKANDPDIKA MAIAKLTYLQMLGYDMSWASFHIVEVMSSSKILQKRAGYLAAAQSFQQDTDVLMLTTN LIKKDLASPTALEIGIAINGLSQIVTPDLARDLCQDLVAMLNHSRPYIRKKVVLVLYK VFLKFPEALRLSFPRVKEKLEDPDPSVVSAVVSVICELARKNPRNYLSLAPQLYKLLT TSNNNWMLIKIIKLFASLTPLEPRLIKKLLHPLTSLIQTTPATSLLYECIYTVISGGF LEAAGESSHALAATCTNKLRRFLEDPDQNLKYVGLLAMGKMLSTHPKLVAEHKDIILE CIDDDDLSIRLRALDLVVGMVNRKNVMDIVKRLVSHLVPPSASMLGSTESSAVLDPVY RTDIINRILFVCSQNQYHNITNFEWYINILVGITYASGVNVGESLTSQLMDVSVRVKS VRPFSVKQMCRLLSDKEFLETVKKRESNIEVLSAAAWICGEYCSFLDDVPSTLECLLT PTASKLPVKVQSIYVHNIMKIYAFWVTELISQWNDEVQTEFLKVTRVLRDKIDMFAQS LDLEVQERSGNAKVIFTLILDTVSAANTDSIYPPLVLQGLPELFFIYELNPVAPKAQK KVPVPEGLDLDAWI PHYBLDRAFT_69127 MARFTRSLSFNRFFFSSIQNRWQRHVDIYSRTIKLPTDIPYLIE LRFVGTKLALRRVNLRLCMRHYCYKIGPRAYDALNGSNNYQQLYGARKKRRRDLLTNL KSKVFTLTIKLDSSDRLGPNLVRFSHIQLQGHRFLLTTHSQCLRFKRTMTIIMALQVI KHKDQAMLNRKVKRLYDAIPNYEVKDGLKCILKLYPKCNANIQSIENNDLGSSAANLV GILSFDLPKHNKKTVIPVILDSLQTA PHYBLDRAFT_69128 MPFAKLSHTYLVISEKDGHIDEKLFAIQTITSHTQFLIIKPPER YSNHVMHPVLDETNNKVDMDKCLSASAAVRQLDIHIRTVQKWVKCYYEDPESIFEKKR KRKSGRRRILGDKHKDSLLRYIDKYLSAALTKVVECLLQKFGDLNVSRNTVYNFTTIQ YKLSIKQAELQPIQEMYDWVQKWQQTGLDFTTDCIFLDESTFHINLKRGIAWTKKRIP AIVTMPTTKFNATSILGAISTTGLINASLRAPNTETVTGHYLSFLKATLDERDKYPEM KGNYLAMDNALIHSSADIRKSIQSRGY PHYBLDRAFT_173549 MSYFQAGRRSNVHFETTPHAYYEHYSIAAPNYDLYPSLSRRLGN GHTHQPTKPPRREAQMDFAAMNVMTNETFGYEQNRQPHPYVPPFQPAPVVEQQFDWDL YPLIRRNVENFGTDQMRQRLHAMETTVTMI PHYBLDRAFT_183305 MGLVEKMRHKLDLHKLDQYTKRRRSQSQFESHDRSYYEAAYHDG DYLDPTDSNSPTSNRNHPSLSYKSNGWSITDVFKKNKSTAGPNIHLKTSETYTYGRPS PHYBLDRAFT_69131 MNNQTNNTAISSQEPQPVTDNSSSIQNSERLDFIRNTVNQAQNI SRPCDISREDILTSNKRIEMMLQEVMTTMNAGFESVHASIRLLQATQSRQTTELQKLN RYFTDFFSLGNALFNPSSANPQTPNPSTQTPPSAVHLQQSAAVDIPSTANIPSSVAMK SSFPQYKMNRIIVTVTDLWREYASGFNDSPSIQSLEAKYGTRWRQDRKESRYFSRRKE IYDAIKSKAEQEDISYELAAQCMEAQRVQLEKAKYEQTKKIVNLRMQYNLASELSFNK FKLMARSIQTKNNNGNNSENTVHETLYDTPLMIIVLQSLLKTKILYIIIGLLLVSHFS ANQRLSKSSFICETSVSLWKQKYERVLWGCTLFILENWVMMSSIFVFS PHYBLDRAFT_160215 MSSNYQYPPPPQGQYYAPPQGGEYGAPPPGAYGAPPPGAYGAPQ GGYQPAPPPQTVYIQQQPQKQDDSSCCWGCLAGLCICCALEEMC PHYBLDRAFT_160216 MERDEREIARTKVSAETIAEIINSFMEPISYKALIFFTIFTIGS LVFSNITFGLFQKRHREDPGYQQHIQRITHSHTSKSAS PHYBLDRAFT_150730 MAPTATFKQLFTNNGLKEKAPNKNIDENDVLALCEEMSAKKKIQ PKIRPDYLQNLRIKFLDTRPLKQKSIAAITPAIEEPLDKTSNTKDTEPVPTPVAGSAS EEEINLVAQPKNQALENVQINDFQDLMYANALAGRPDEAEQALQLMEKYQLKPNVQCY THIMDAYANVRDLDNVVATFKRMQKNNLEPDIYSYSTLIKAFAQDLRLDDALVVFEKL KKSDIIPTQPVFSSLISGFIKANKIERAWDLFDEMRLSYHQADEVSFTMMLHACAKRG EVERALNLFEDMANYNLYPTDVTFNVLIHACAKRPDYFDEAFSMFHQMQDVYGFQPDR ITYNTLITACARKRNLARARSIFKLMLEDTKTAGTASMLIPDRYTYTNLFWCYANYTP PSTKNKNVETTDVSAEDGALVETCVLLPNMPDKRSKVVEESKLIFNHLLNEGVPLTTS LLTSYMSVHIAQKQTREVVSIYDGLFTQHGIERDLFTYQRMLNFCYATKDIELSWKVW EDYQDFLENRRLAYQTQANDNSLLKQKKLEATRLEDELTSGWTEENQKDMVLLMANTL ARSNELKHSIALLSNHFKTPADNKYKPRLAELQTVYVKCVQLEDEESKKELVDLCRKN NQRY PHYBLDRAFT_33090 MGFDQMTPVQAGAIPLFMKNKDVVVEAVTGSGKTLAFVIPIIEK LLRREEPLKNHEIGAVVITPTRELARQIHSVFELFVKDHPRQDQIALGLFIGGSGTLP QDTVTFKRDQPRILIGTPGRLDELLSKSSKLVNTKELEVLVMDEADRLLDMGFTQQLN SIIAQLPKQRRTGLFSATMTDGLSELVRAGLRNPVRIVVKVEDLNNKGSVQRTPASLE IDYVVCEPEQKLTQMIRILEAELADPEGARKFIVYFATCACVDYFFKLLSRIPQLKPF SLHSLHGQMDPKKRTATYTAYTQLPSAVPAILFCTDVASRGLDIPEVDYVIQVDPPQD PKAFTHRAGRAARAGRKGKATVLLVRGREEVYVDFLRLRKVPLERAPYHDPSVTEIIR TMREVAKTDRDIYDRAMKAFVSWARAYSKHEASYIFRIKDAELARVATGYALLKLPKM PELRDKKDLQFEYQDIDWDNYKYLDKQKEEKRVREMLEYKATAQARAEAQAKAQKPKK SSAWSEKQDAKDRKLDRKAKKERKREFLKRSLEEAKIKKEESEDDWDELANEERLAKK VKRGRMDRNEFDKMVMGDDEDFDLGMDLPE PHYBLDRAFT_150733 MFFYMTKEVLEWWQTNGKHPFIQPHISSPSNVGANSPENLPLGD KVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSELTELASNNFHFPMLVAWK NLPATVARDASL PHYBLDRAFT_150734 MPAKMSQFVAQDQIRVFDTEQQFTICGPVVLPKEGEVDAWGEID EHTKCGDVLYCRFTITVASTTQKPTHFLDTFYAEAYEKLFFPEFFITEQPRNWNAIAY LLQFVQKNPTIPTRSGNKALAQDTKIMQAYVISGTIADTMLRQMDNALKSAKGKSAIK EFWKNSASIASTSISYETSKYRLHGQMAEEIVNNDDTENTPNSGEEKEDEKEDNKEID DIWESWKMLLKTIRRSTVLSALSKQVLRRPVLPVIFFRELNNQVLNITMQLVDCALKP VLYDALNTSRAWAIAILAGQPGQPGNILRHFSHEFRQMTQIYED PHYBLDRAFT_160218 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINEELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_160219 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_173558 MGLQCEGEKLSLSHKHNTDKWSYGRGKIVDESREDAPSFVVDPS FHLTNLSNLRKYRNEDRQRYLYYTQQKLMKPTEAANVANVNPETGRKWKTAYNKDPDK QTPVKKTNRASNCPKSQLGEEHKTYLTNFYDDNPTATIQDAVENLVKSFEGFTIKKIQ SCRDYEGIMQSYSQGCHTPPES PHYBLDRAFT_173559 MFFYMSKGIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTETMPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_69141 MLRSILGLILTSALTATAFQASQQVFQEEDYFEQNLYEAPSCNN TLLSCHWNGRVDSCCTPKYGLVVLTLQWVPGFGPDKEFTIHGLWPDTCSGGRAPGNGC DRSRVANNIGNIVRDMDPSLHSEMSTFWPSYKGDNNWFWSHEWNKHGTCISTLRPSCY GKEYTKYQDVTAYFKQALELRHYYDLFGALNLAGVIPGQTYNVQTMIEPLEKYVGAKI KMDCDRKGQLSEVSMFFYVEGRDKYKITDAFQKGNCRGAVWYPKKY PHYBLDRAFT_136523 MASYSYNYIIKYIIIGDTGVGKSCLLLQFTDKRFMPAHDLTIGV GFGTRFVTVNDQQIKLQIWDTAGQESFRTITRSYYRGAAGALLVYDITRRDTFENLST WLEDVRQHANPNTVIIVIGNKSDLDNKRQVSREEGERFARENDLFFLEASAKSADNVE EAFVKTAQSIQKKIQSGVIDPTSEQNGIKLAPLLGASSLPSANSSSSSGKCC PHYBLDRAFT_136524 MPATTSSGLPTTAHIHPLVLLSATDHYNRVATGSKKRVVGVLLG QQKGKIVNVSNSFAVPFEEDEKDPSVWFLDHNYVEAMNDMFKKVNAKEKMIGWYHSGP KLRASDLEINELFKRYTPNPVLVIVDVKPKAAEIPTDAYFAIEEIKDDGTATTKTFMH ISSEIVAEEAEEIGVEHLLRDIKDNAVGTLSTRIHAQLGSLSGLQGRLEEIRDYLQKV VAGKLPVNHQIIYNLQDIFNLLPSLESQGMVSAFSVKTNDQLLMIYLSSLIRSVIALH NLIDNKIENLQGEGILPVTGSEVTEVAQKEEAEA PHYBLDRAFT_188799 MILEIDDETISFKVLRLAVISPTLHRQWHMKYTIKSPSFVIFSG GSACNHIIRAFHESPGQDVSYVLGVSDNGGSTSELLRVLGGPSIGDLRSRLLKMMDLV SDQPCVERTAIKELLSYRLPSNIPDHLVKDEWTSIVEGRHTQKETIRGFLTLFNFEIL KRAHKQFNFCNGSIGNFFLTGARLFLGSLEAAIFLLSAITGIQSDRASIVPVINTNHT VTIAALLADGGTLVGQCEISHPPNNPAPDTPGGRRKKYRTNPIDAFSCLSEEEEMYRM NVANTNLVFSKFADEKLESPIKRIFYMNDYGQEIYPIPNPKVISQLSTKETLVYSIGS LYTSVLPCLVLRHVGNAIAQSSSLKHKILLLNGSNDRETSDYSALDFISAITNGLNES KKIDCRRAFYQSCDEEPDLLLESPLVLPSSSYCSVSSSSSSNSTTSSCGTISPGCSPR QGSGSGSFEQAEYPPFPNHLFYPSPPSAFITHLVYLDNSTIPVDKIAIQKLGIQPICI RGTLSGTGEPVYDETAVSNIIRRIVHS PHYBLDRAFT_173564 MLLIESSMFIHLDREAGKEYLAINNLFVFGEVAHIEYCCVAGSR TYNLEIMSTIFLKKNVNRHFNYFNGVEFHELLFSEEDQKMSLSGHCAVKINSLFFSFG LTSDVDSRISLTNTHDRNNSLGKNMIVTAETAIN PHYBLDRAFT_188800 MSGLSLQHLIGATYLLAACFGISGANADWWMTDPQDTPARWYNK VYEIPTWVMPIKDYNENTFLAVTVFSVALVTLSAIYANFYILRVYLPSAPEGKRNIGD LNTDILCYLVATFFTTFSFLLLSVGKIWVSFGVFHNLFEFLLLAHILLRQAGISKRFF TLAGFAYLMTTFGIVLVLPWPFDAVYFKFQGLVIDVVLSVTMIRLYKHNRAIAEKTNI RTPANIQETGAENGILPEPKDSKDKKVVNFLPPVHENIYLLVVAAVVHLLGNTLVTFS NTFSLWVIFQFSYAITFPLYAYFVVSEPDSSRINWYKVEFAQEALVIVLGFIVSGLCI AIGAVTSGVSM PHYBLDRAFT_117863 MIVQNSKLYSAREAIRSIEDRFNHKYHYPWVLLNSQHFTADFRK YASMATKAPIYFGKIDPDTWSYPPFIDVARAERNMGVLGGTNLYKGGSLSFRQEARYH AGLFFHHTLFQNVDYVWRVESDSQYTCDLVDFDPFKEMKENNRTIGFALTSKDVPRAI LGLWKVTRAFIDDYAQTVVSPDESIMPWIVDRRGEYNTCHMVSSFEVVDLAFYRSPSY QRYFEYLDRSGGFFYGRWGDGPVKSIAAAMFLKRDQIQYFKNVGYSHGMFSHCPFQEN YSEHCSCSLDETFGKSELIN PHYBLDRAFT_173567 MKRPHSPVSHATPPSKHVRTSYGCTAHDFQVDSTHMNQVFDQQQ WKSDPILIPTQPINTSCLDLRMPQPLRHRVRIDDIDEYLAQHESSDSDEEDNRTTYVG DNMRGSVIQGSDDIHLVDAHVNGAFLPMQRRSGETKLRIPDFVLRNNSPEPPTKPNGR DLILYQQPPWKALVESSSSNPTTTNSQNEYCMEVDDRQNQTSYSIDAMEID PHYBLDRAFT_127760 MNLVGPEDISPPATPPSNSPARPTQRHRISYSDFSIGENAAQLA AIFDDHELNHLFQLKTSFQQLTSQQRQFLLYEIINCCDNSQLAYLSNLIAPRLKVDFL KELPIEISLHILSFIDDPKTLGRIACVSTFWNSLLKDEATWKALCMKHQYRRRNSSIC GGELLDPPTHRLNFSYREYFKRKYNIAAAWAHGGRVKVIEEGFSDGLVTSLQFDEKYI VVGCDNHRIEVFDTRTGKKVRSLEGHEGGVWALQFKGGDKRDPERVLVSGGCDRDVRV WDLDTGSQRHVLHGHTSTVRCLKMKDKRIVVTGSRDTTLRVWDIKRGILLHALIGHQA SVRCLDIAGNMIVSGSYDSTARVWDLQSGRCRHTLAGHYSQIYAIATDGYKVVTGSLD SQIRVWSVETGQCLNTLRGHTSLVGQLQLSGMTLVSGGSDGCLRIWDLQSYECIQQIS AHDNSVTCLQFDDRRMLSAGNDGKIKLWDIRQGRLIRTFTQPAKTVWKIQFNDTKAVV VMQRQRSSNSDAEDGKTVMEIHDFDVMKMPPETQDADVLMGTHFM PHYBLDRAFT_79377 MLELLFFVVFFGVPYLLSRKYAIKPKKSQVITPNKGTQIKPVAI EKFVPKPKYPIVGPPSSSNVQKNPADKEMFYGKGSDAPRAFWDTVARRASTAVVAPIN KESGNPSFAYNPSRPFTPIQSQSAATYDQSGQIEPTVGCPFSCNIKPQQQQQQQQQQQ QQQQQQQQVAATAQSLFKPSTGLFSSVLPQNPPAPKQPEDNNLLSLKNAFPEVNVPHF IVSPQGVMRTELTANMFNRKSSRADALLADQRPQNNNNSSRHLSSMYSVKENMLPPFL TAPSNSHQRRVAQVVGEGIVENVKETSTKNTEKLPGIFGAKGHSGYGFVKNAGESSDG QKMKIPNASLWTSGAAMSKSEPAIKPQQPFVTQKDFFLNSPKTNNQSTNTLLNVFGFP PENMEETIARFTNIGPATELERIAVDWFRIRYENPANANEALKLNTCFIRNGYILGVT PVEDVPVHIRNITPNPQQSY PHYBLDRAFT_69151 MKTGCNNLWAISLCSIDYNIYEIVTSLLLVIRLCEIRTHALPTK VGKTPVKPTKKSTIDRDGGKKSKDKGELHFFRQQRPRSVKNSLNKHIFAKTGSEHRSR ESGGLNKANSQQEVDQYIMTVRPNSILTLLLGLNKRPNLLNQSYPRHMDAYRTG PHYBLDRAFT_150748 MPTEAKKYDYVVAIDLGTTYSGHAWVDIQEYERKEKDVSKHTQP HLHCDHVGKFKLWLDRTMKDPTPLPNGLTPIEVISDYLRYLHMAAVDEIVEKGLKDLS RIRYCLTVPIIWSEESRSIMRDAAIISGIIKKDDDPDRLIIVDEPVAAAMYCEAIFPE FCLDDGNRYMICDAGGGTVDLATFEIDKSTGKNGLREITMGSGSLCGSSFLDVLFAKL LKERLSNLTENQVTTFILTNDFSYKKLTFDNKRDLDVLGYYNEEGPEYGIKEITVSFS RYQICEEVFEPVVCQVIELIENQLGQLGSRRLDVMFITGGFGQSPYLNNHGQLAVIRG ALLYGVNPQEITQRILRRTYGIKFDTPSGSQDNLSMKMYGSKRKFYGARIVYRLYDLP VPQNPSPEDLDIVAMFDTKFQIDSKQLKKQTIMVLNLRFGLDKIHIKVNIAGREFDYI TVHDITGEKEKLSYTEINPPPSGKIRKLFLMDEIVKYLP PHYBLDRAFT_136532 MAQQHKATVKNVLSGDTVILRGKPRANGPPPERLLALSNVQAPR LGTKDRDDEPFAFQAREYLRKLLVGKEVTFVPEYSVTTTTPPREYGKILLSTGEDVAK LGIQNGWLKVRESKARAGQSEEDHEEALDALRQLEEDAQAERVGMWQDREKGIRKISH TFDQDSRAFLNTYKGKPLEAIIEQIRDASTYRILLFLPDNSQQIITLFLSGIKAPSCK RDNVPAGGDQGVSEPFGEEAKYFVESRLLQRGVKVILEGVSQSGGQNFVGTIKHPAGN ISELLLANGLAKCVDWSITMVTDGPTALRNAEKVAKEKKLRIWRDFVAKEKTNDSEFD AQVVKIVTGDTVIVKNKAGVERKVQLASLKQAPRGAGSTIPGSGSKSRDIKEVGYNFE AREFLRKKLIGKQVHVVIDYHKPAQDGFEAKDCATITYGNHNISEQLVERGLASVIRH RKDDDSRSHCYDQLLLAEDKAQEGQKGIYSTKEQPIVRIVDASENAAKARQFVTFLKR SGRIHGVVDHVANGSRLFLWIPKENCRLTFALAGVRAPRVGRTPNEKSEPFGPEALAY VAERALQRDVEVEIENIDKTGGFIGNVYINGDNLAVSLLEEGLASVHEFSANESRYTN QFFNAERSAKADKKGMWKNYDPEAEEEQETLDAKSDVVPEPRREYIDIVISEIITGSH FYVQLINAEIRQLEDLMTELSKYQNGRPNETIRPRVGEIVSAKFTEDDGWYRAKVRKV SPAGVDVLYIDYGNSETLAHSRIRSLPDRFKSLKAQAQEAVLSFVKSPEREADYGIEA YERFRELTGNKQLVANVDAREAGVLCLTLYDPSNSQSVEASLNLEMVRDGQALVTPNV RYARAYQPIIKSLQAASEAAKRERIGMFEYGDITYED PHYBLDRAFT_183317 MESSTQQQQQQQHQQQEKEEKAKKNLPQLGALEEDDEFEEFAAE EWGEDEEDKEDAQFWEDIWDDDDIEDDFSKQLRAELENASSQPQPMKM PHYBLDRAFT_188804 MAIFAYLATFLTFAALVLQIFTLIGNTSNRSFLTDLYYARIDYG TAFYTFGLWSYCTGSGDTVSECSDPAAGYKITEIKEIGSLLNNTYDQLVFADFILYWI GFGLTFFALVITLCSHFRRFPDIVASICSFLAFVVMLASFAIMLVPVVALKRMDSVKE SSVTIDEHFGPTVWMTLGAAVALLLSSLWYLISCCTGSGRRVHDTEKL PHYBLDRAFT_173574 MTSTKSCQMRLRQKVHRTGQVMTWSILMSEKPILIVECFLAISY VWLNRTIKKKCGECECECEYDAMRRSYLILRQQQQERRFRGRFHRSRDQENSVTKYKV TIQADDAGISILKQTPDITQVPTYRSCHHRLRRDLLPLLFLTKTPRAKSGSFTPLATL EEGIRLENLRINDIRILL PHYBLDRAFT_79380 MSSSLEETKAMIQQVEELIALAPTDDSLLTMLADLYDVLEKAMA EQLPEEKEEEEEEEKEKDAFPCGTQCVISFTLDDRQYLLPALVLERLALSNEAKVLIL TPITADTVACTNHIVKHACKTPCPDQRSHGYLVPAENLLPYDILGISDIENYRPSKRV WCKVDKTTTVWQVGRIVGQDGSTDNQWRVQCASDMSTLHTLGIESIMPYKIHDTKNDT TDNDTTTSDNDDNEHYVDGQNDPEHESEHESDHELERCGKECFYWPAYRFNHQGKGLG LHGQGRIDPVEAFMPNVKKRSIPGQDRPGLGSNSEPPKKPKRPQSRLVDTSVFAFMNK TLSKPKEEEEEEEEANSNDKNKDKKQDREGANKGSRKPVAAITLNPKETQRQLHALQT EIAQTSETLARAHESVRRNKGTPMESQFVAKVDRVAKALAGLQKQADRLQGTLKRTKE REKMVSF PHYBLDRAFT_156415 MSIAYSTSKIYKIINGRLLINHEIVENSYLWYQDGKIIHPQSLF FDHHRDADEIIDAKGLLVVPGFIDTQINGAYGIDFADYEHSVETIQENIDIVAKGLLR YGCTAFCPTVVSSSPEVYEKVLPLLNHRKGSATGGAEILGAHVEGPFISVEKKGAHKQ SVFRDAKEGIAAFDSAYGSELLKGSKAVAIMTVAPEIEGVSDAIPDLVQRGITVAIGH SACRIAEAEQAVTNGATSITHLFNAMQAFHHRDPGLIGVLGAADLPLPTTSKGHPKPS LTSPCRHSPDPRPFFGLICDGVHVHPNSIRIAYYSHPSGAVLVTDALSAMGLPEGEYT LGGSELQVDKNGAAYIKGTSTLAGSTVTIDECVRNFQKFTNCTAVEAIEAATLHPAQL LGIEHQKGTLNVGGDADFVFLDDSTGELRVEKVFVAGDEVSF PHYBLDRAFT_150754 MLISPAFGHSHTTQKPNGKTHSSYLQYDASNNFTFVVTHRNGST FVSPFVTGNLTELKVGVLLPFHQTDNNSTMQITLSGASAIRMAATEINVRQLIPGAYI TLVEKDSYPKEVEGQAAITQAVFSAVSLIQEGVIGLIGDISSSWTSLSALMTSTLQIP QCSFSAVATSLSDKTQYGYFFRTIPTDLLYVDAALSFITSQGWPMIGILYSGDDFGQQ LSENIIMKARMRGIIVKTYQSFYEDGPTSNIQQSINALMRSDARVILVAAEGKALTAA LTVAANSGYISDSSVWLMFGEATVPLIDSIDRFNRVIAQRIRAPDSIQTVVRYAGLTG LNQKTSQAVDPAEYAARVTTYIRPIDFNTTFSGGVFMFRSRMDLTGYSPFDEFMEKWS RLDPKIYPFGGQTDIISNEGLAYSCMMTMAQGFNHAIHNSTNSTSALTQLALGQLGQY LTPSAFNVSFLGPQGPVLLDQNGDMSVGNFRIYNLQNGSKVEIGNILAGKLNLTLAPI YHDGTTKVPTGVPPRTYINPGYSSPTSIILLVISSFGTLFAVCTILLVLLFRKREVFK ASSPLFCVLELVGFILAYISVYFFLGYRTRVDCIVIPVTFHLGYSLVLGNLIAKNYRV YRIFNNIFITRTVVTDLQLLKVSGGILLVDAVLLVSWLCFSDIQAVKIPVSNNSYFVG CSYHGKTHPTFVWILTSFAAGQLIIATFLAIQTRSVGRKYSKYSEYKQIGISVYNIFF SALIGFIIYYMPATDYFTRHYLTATMIVWASTFSLFALFIPKLLKFFSRASVNTQANG KASDLTGRQRPPPSQDCTDFTDKIDGEGQMEHYNGDLLTLDQAANGDTPIDGDLSRSQ GRKQRPVLHRQHGPIDALLGSKGIQLDAHEARMPIQHVFRYLPFLAAWDMKNIILFPS HGYFSWISERSNKGRVFGYSHASPNSLSPEAFILKVHGLGLWDVLIQVSDKQTLEQWC DWFNQKNVQKPRSRRCSFITSRTYFTSQAQLTLTATDSSVGRNFLGDGRRESEASMEL PPIPHQNHQNHQNHQHPHDSNNLFSGLPILKEHTDERLSHDSLFSFKQEPPL PHYBLDRAFT_183320 MSDLNWCTYCDNAISPFSDSLYCGENCLRKDALRNHPLLGYTYP EFTHFPRPHGSCQNTPLASLSSTDAPSLSLSFNSPHSSSRTSPAHSVTIPSPYLYNKS TLHFLQQTQ PHYBLDRAFT_173579 MVTSLDAYLIFRYKEQLSWKQIYRVTLLTFLPFSSTVHFTRAPF TSDLPDWCTGQSLFDNYRYPTTWLGMVSLRYLRAKREEKIAKISKIHRTWELRGVNLE GHQYLILETHTKLRAPQMNNGNNTTVNDPSLQHMMDDTSAMYSSNQLVLAQNLDQRPT NTTKAYLAKQEEWRQWCLKKEFGDGELVNDQKLSFFMMDHVMNRGHIHYDKNVEIVLF LLTNLDFFSGNEPNFSKKGISTLNLIAPKIARIKLSQTMAHQKYPLIIFKSEQFI PHYBLDRAFT_183321 MCDLNWCPSCDKAISPDSKSLYCSMTCLQQDALNKNPLLGYDFS DFRDFLPPLKNCHYNNTYVPESELGSDTGEEDEDEEEEEEDRDTLQTPDISPSHIVEF SSAPNYSNSFTSYKKLLDEENKNSLCRIWGNH PHYBLDRAFT_136534 MDYEGINGRTDEENEKLINEEYKVWKKNSPFLYDLVVTHALEWP SLTCQWFPTIERSSDNLHKTQQLLLGTHTNDDEPNYVQIVSVRLPNDEPGVDLQNYDE KIDGDQATHITITQRILHEGEVNRARYKLDDTNIIATKSRTGEVYIFDRSKYDPTPKP NETFNPTLKLKGHDGEGYGLEWNPHKAKSNHLLSSGYDARICEWDVNGTTKENKELEP LRTYTAHTTGVEDIAWHTRYDSIFASAGNDGRLMIWDARSTASDKPVYNIRAHDAEVN CVSFCPGSEWVLATGSGDKTAALWDLRNLKTKLHTLQGHRSEILQLAWSPQHESVLAT ASNDRRILIWDLSRIDDEQTPEDAEDGPPELLFMHGGHTNKISDFGWNPAEPWVLAST AEDNIVQVWQMASNIYNKDKEIKESSDTLSEAPSTMATTGTTTTTTTATTTTTTDTPA VPAAAIPAAPAVTPTTGNTTTSVPNTAIDAMEE PHYBLDRAFT_150759 MTIYLETNIKYQIKELQAQLAARNKSLSHHQLVVREAELNINQC ESRMKMLKTKIARLHNFQKTSADRQLWITAKQHTKFYNALSTSIEEQKNIGIAKQYYQ DQIKKSGKQACVDHAHITGIKRGIFYLKKELYRYQREPTPTDIE PHYBLDRAFT_150760 MNPKDTNMDIDISVSGSPFGPGSSAKRKKTGVNSRQEMRTPSSF EEELELLHSDKSLGLSTENTSAMWGRPPASPLNPNSQSLVFQQIEADEYMDYKTKSPV VRLYGITDAGNSVVCHIRNFLPYFYFPAPAGLKKSHLPELKKALAAALFDPAMLHSVS IEVKQSIYGYSGDVKSDFVKVTLKDPRDISKIKNKIEEGVEVSGLDIICIADTTYESN LGYLLRFMIDCEMTGCNWIELPAGKYSISDNHTSHSQYEVDTTYDKFISHAPEGEWSR VAPLRILSFDIECAGRKGIFPEPDHDPVIQIASVVKVQGETNPFIRNVFTLKKCAHIV GTDVLSFEDEGEMLQKWRDFFVEVDPDVIIGYNIINFDFPYLLDRARKLKVNKFPYFG RISGTKTEARDTTFSSKAYGTRESKSINLDGRLQLDMLQAIQRDYKLRSYTLNSVCAE FLGEQKEDVHHSIITELQNGTPETRRRLAVYCLKDAFLPLRLMDKLMLLVNYTEMARV TGVPFNYILTRGQQIKVVSQLYRRAIKQDLVIPVIKTETSDEPYEGATVIEPEKGYYD VPIATLDFTSLYPSIMQAHNLCYTTLLSVATVRKFGLVKDVDYIVTPNNDLFVKASRR SGLLPEILTDLLAARKRAKTDLKKETDPFKRAVLDGRQLALKISANSVYGFTGATVGK LPCLQISSSVTAYGRDMIHKTKETVEDVFCVKNGYKHDARVIYGDTDSVMIKFGCDNL KEAMDLGQKAAKLVTMEFERPINLDFEKVYFPYLLISKKRYAGLYWTNPDKYDKLDAK GIETVRRDNCRLVSSVIQKSLDKMLIERDVQGAQEFVKHTIADLLQNRIDLSQLVITK ALSKTDYANKQAHSELAKRMKIRDPGSAPGLGDRVAYVMTKGTKKTPAYERSEDPLYV LENNIPVDTKYYLENQLSKPLMRIFEPILGDKAETLLTGDHTRAVNVATPTTGFMMKF VQKSATCMGCKKVLPKDDKSAVCQDCKPKLKELYLNQLGPLNDLEVKFSRLWTQCQRC QGSLYREVLCTNNDCPIFYMRTKVQKDLDKASAQIERFSFEW PHYBLDRAFT_69165 MDTYQSSAKKGNLISQAYKEPKQLTEVVTFKSVSLHKSVAMVAS YSFVFVYLVLFHFEHISSMALSRYSCCTVLEHTYAQPIYLMFWQNVYSFYSEFWHIIE KVIIDTSPASPLAYSTVNS PHYBLDRAFT_127767 MENSLVDYISKNNYVKTAAKAAAVSLTASLVYYAIKEPKEDNWN RKDFKKIPGPSGYPFVGHMLSMGFTPSFQIEKWHEQYGPIVHINMGAQQWVIFNDPVL AHDLFVRNATKASDRRRHKFTNGMYSKGGRGIAFSQPGKKLKHTRAIALSILSPKYVD RYLGVLENIASCTVELMKQETDRDGSVFPMPFIQMATFSAMIKSIFARPLHLDNDPVV KEIIYIMENELKFAGPAGDLGSFFPNFAWANSLFQKKKKMEALVARRDELYKMLIKDA LNSEEDCLVKQAYQMKEEYGLDDNDLIVMMSDMTSAGGDTTAISLSWLFAVLPHHPAV QKKICDEIDRFFAKFNRLPTFSDRDEFPYMCAVLRENIRFRSVTTFGIPHFTSEDIEL QGYFIPKGTVVACSMYAMHMNPDVYHDPKQFIPERFMGHTKTWSASANGPIDERDMYS FGWGRRICPGIYFAEVEEFNLCIHTLSRYTVEPALDSDGYPVYPDLKHASSTSVVFAP AKYNVRLVEREDSPLKKQT PHYBLDRAFT_11958 DTDEYNPITDLIRTADLIYECFLTPSQQKLLGDDQQGVMRNLTK HRNRRNGPGFVEAVEEFNRVIRELRSSGELRKNAKAMRHPNYDLTCHILYQIYSRTVA HQAEALNNYAAFSNNVYGEINSILVKEFIAKTHITSSSVFMDLGCGIGNVVLQVAAQT GCEAFGIEIMETPCKYAKRQLKEYASRMRAWGLPTGKIRFRHGDFLESGNDVHPTLKR ADVLLVNNYAFDWETNHSLAQLFLDLKEGTKIISLKSFVPKNHKLNQRTFHLPESILR VEEFEYFSDAVSWTNNGGTYYIATVDRSRLEPF PHYBLDRAFT_127772 MATNITWHKGAVSLTERQELLGQKGCTIWFTGLSASGKSTLAAA LEQTLLHAGISGYRLDGDNIRFGLNKNLGFGPEARTENIRRIAEVSKLFSDATVIAIT AFISPYKADRDAARALHEAAGIPFIEVYADAPLSVVEERDPKGLYKKAKAGEIKEFTG ISAPYEAPTSPEIHIKTHEVSINEGVQTILNYLIEKKLIQGDKLVKH PHYBLDRAFT_156418 MLVAKNEPAPQNVIMMISDGFGPASEAYARQYHSWDQGLGYKAM LPLDTILVGSSRTQSSSSMITDSAAGATAFACAQKSYNGAIGVDSKGVPCGTVLESAK LHRNMLTGLVVTSRITHATPASFSAHVLGRDSENDIASQQIGFNPLGRTVDLMFGGGA CEFTSNLTEHSCRLDTRDLFEEAKESFGWTVKRTREDFDEIEPSDAELPLMGLFAPDH MSYEIDRDSSKQPSLKEMAKKALEILNVASKKQGTGFFMMIEGSRIDMAAHTNDPAAH VHEIYEYNQAILAVKEFVDANPNTVVISTSDHETGGFTIGRQIGKDYPEYKWNPEVIK RVQHSSQYLAGIWRKEIDASDEDYSAKVKEFLVTVILRDGLGIDNPTDEELERLMSWK GSNKWTEELAYDLTDMVSRRALLGWSTHGHTAVDVNLYAYGHQSEKLRGNHENTDIGD FIVEQLDLNLEDITERLKSHDSFKEFGVNNIENSNTTTNKHKYHHL PHYBLDRAFT_91174 KLCDAHCHAHDAIDKLDLIPALKTGHITLMGVRQDDWDRVSSVA NACNQVSPQKCVPCYGIHPWFSYRVIGNQSTENDHYESVLECSDSAEKQELISQLEPP FSFDVWHTNLYNRLVADPSALVGEVGLDRAARLMPGGSIVWQGIRPTSVKVTMEHQLT ILGAQLELARQLNRGASIHCVQSQGHLMTLLHQTSKKIKKGDKSLVRLCLHSFGGSPG TIPQFLSVRGYKIYISFSVAINSRLVRSKLDQLIKAVPDDRLLLESDIDRPEPLDECL VAIAHIVAGAKGWPIEKVVQQTHSNWIDFIT PHYBLDRAFT_89696 ELDLFGWRKWNFCERDYWINAFVDVVPRIDYRQVSKAEFVQNYE AKNIPVVITHATDHWRAHTTWTESALLENYGSHLFKVGEDDDENNVYLKMKHFLHYNK HEGRKDDSPLYIFDRKRRRKADPLSPMLLLGDYQVPSYFSDDLFRLARERRRPPYRWL VMGGARSGTGIHIDPLGTSAWNALLKGHKRWCLFPPGTPKKIIDPPMKPYDHEGVSWF STVFPKFKVRDGPEDDNRTLGERLGMVEVLQRPGETIFVPGGWPHVVMNLDTTIAVTQ NFCSPTNLDYVYLCTRHSRPKLGQRLYQKIKQLGKTDPEFAKMSVQLDMVLSVPRLPP SSSDSSSSSSSSTTLSSSGASDNN PHYBLDRAFT_173591 MDLPLSTNFQASQPTTFRKLEAFAIGNDFSKVTRMVSVEYESLL KNLRPHQVVVKNLYLGINASDINYTNGKYVANMKPPFDVGFEALGQIVAVGSNIPKEK IGTYLTYSQYGAFGEYVITPYKRAIPVKSPRPELLGLLTSGLTASIALTVNGQMTKGE TVLVTAAAGGAGQIAVQLAKLAGNHVIGTCSSDDKVAMLKSMGCDRVINYKKEDFEAV LKKEYPKGLDIVFESVGGKFFDVSLKRQVTQHDRSMSSYATADGMKGDKIDTLQLLGK SRTVTGFFMPAYENLFAEHMAGLVQLLDAGKLTVQLDTAGLSGIDSVVEAVEYLHSGK NKGKVVLPLTAKPQSKL PHYBLDRAFT_156419 MKRRLTYEDEEALEWEGEGLCNYQKIGKIGEGTYGVVFKAREKS TNKLVALKKIRLQTSHGVPTTALREVAILKEVCHDNVIKLLDLVQKDTLLYMVFDYLD TDLRVYMNATKREGLTHGHIRSFMQQLLQGIDYCHSHRILHRDLKPQNLLIDKTGRLI IADLGLSRTFSVPMRPYTSSVITLWYRAPEILLGEGFYSTAVDMWGVGCVFAEMITLC PLFPGDSQIGQLFQIFKVCGTPTEKDWPGITSLPDYNPVFPRNMSLLIYDPSMRMSAR RAKVHPYFFEDTDMLQC PHYBLDRAFT_160230 MADSAPADSGFGRGGARGGRGRGGARGRGGRRGDEKTEWVPVTK LGRLVKAGKIKSIEEIYLFSLPIKEYQIVDFFLSNLKDEVMKIMPVQKQTRAGQRTRF KAFVVIGDHDGHVGLGVKCSKEVATSIRGAIILAKLSIIPVRRGYWGSALGEPHTVPC KVTGKCGSALCRLVPAPRGTGIVAAPTPKKVLQLAGITDCYTTSRGSTRTLGNFVKAT FAAIGNTYGFLTPDLWEETEFSKVPYQEFTDFLSQKQRK PHYBLDRAFT_173594 MNSSSNTPDSLNIRIKTFDPNKAQSTSHSQNSDGRDWGISPTYH TPDGDIITTCKHQQQQKQQKQQKQSHENQSGSEQQSSVDSNVNSRLFCTHKSTCECKV LVQELDVNAQTLVHVKMH PHYBLDRAFT_183327 MTNKRSSLGIHLLSTEKRLELEEMFQSFDVDKDNMLSRTEVQNM LTSSGFKPEDIPSMVDEMMIGSKDQRMTFEAFVKVMRPTLSQPHRQSSKEKELREAFD AFDLDKDGNISTSELIKMMHQLGDKVSEQDAIQMIKDVDKNGDRQVNFEEFAVMMGVQ LKSPIPPEDNQNHNNHHRFSFRHLFRSHKH PHYBLDRAFT_173596 MMNQTAALLGVTMEEMATLKQAFQLYDPKGLGGIPLDRFAQVLK ALGIATDPVQIQAIIRAADANGDNLIDFDEFVQAMAEHIPPTPPLESENEEDDDEEER ERGGYFRASNHRAIRKSVFHDQDDLVLCFQQFDKNKDGLISRQELEQVMADLGERMSP QEIKDMMDEADTNKDGFIDFEEFKHLLPPL PHYBLDRAFT_69178 MLGILSLKRAVGILVLVTALILMLTSWLETSNTQNCSFRSTMSI QRLRLARSTPDTPHTLSFGLWGQCAIYDSHCSCTPTSLSYTPDNRLVATTAGQIAKIV LVFLSNISLFLAVISYHLPILHPSVCLSLTLLALVWIASGFGVSYNHYHQQIKNACQS QIWPCADADPGLETILFGLVIGLLVVVTTILLLFLIQKSPTQPQETDHISLQSATFTN APTIIDHNYNYNYNCTPTHPYTQSFPQNPDNINTNLNNYDYLQQKPIEDLLPRGYTFI KSIPLHPPPNHCSHNYYNHHKISPAEDDTRLNNSEHSADTDTDTDTGTGTGTRTRTRT ETRTRTRTRTKYRTGAGIGTGTGTGTNTCDRAGDHDHVGNGTTSSGSGSGGPGGSGGN GGAGTTISTQNSMCLIPAHVPTCFSRWSRPLAKRESQCSHHTFGSDVAWTHVVPRLSA ETVMTLPKSIYPYQTGTSSQFCMTPGCGNQALDPDYFPSLSQSHSVSSSHPSLALELT HSSIVYYEDDDDDEEDDDDI PHYBLDRAFT_188814 MEPDTNPPNAMQRLYANCQNVVEEATVAVLSKGSIPRHIGFILD GNRRFAHKAGANSTKFGHYEGFKQLEKVLEICMKLGVEAVTVYAFSIENFKRSKTEID YLMELFRKAFETFCDKNALVAEYDIGVRFLGNLDYLPKDVAEVARRAMENTKNNKKRI FNICCPYTSRDEMTTAIKETVQLVQDNKINLEDINEDLIRDHLFTSKCPPLDVLVRTS GEIRLSDFLLWQSNNGCQIQFVDCYWPEFSLWKFLPILLEYQIYHNQFSNSS PHYBLDRAFT_69180 MAKKRYYEIKTIVLIGDIFHCFMFLLDSVSAKYHDEPSRDQPSS SLEPQKVLTATEARAAAKEQKMQQDELEALQITFYEIESWIEKTTPVLGRMTRELDKA SEQFDRRRLNAANTARTANTANTANTANTTSTQQNATETLFPALPISLADTLTNAHIN DQPLMPWILSFQPGNSLRLDTNITSVDQLVDAVQKIALLTGTPPSNFSSANGTGEDAE MLSANNNSNITNAPLQIELATEFWAAALSRHPNSCLEKYEDRDINIDAFTQNVPSSIV DYMCRVYWECLHPKFADDMASFYYRSTDPRRNQVCIDSQMAMVLIHVVRHDKDVCKDA YEVAYFYYERARQAIVDSFDTPDTSTLETLMNLAMFCVLCKRQSQARIYISLAYRMLF DMEIHPTSGRLPTDPRLRRTHLRLFMVFFYTDMTSATYSGEPDQIKSFDTIDFYELIQ LTELLTQRGQIQIDTKARCKETYAVHFLELAKIGKKIQNLAAQYHQYQGHQQKDYHSN SSGKLPPAWANRVRTLEISLATWFSRLPAHYRQSSTYTPTNTSGYVKGQEMDVSALDQ HARLLLQLGYQNQWLLLHKIFLSPKTGVAFNSPMPFSSLHYSQTSYGIMDQNVSAATA VNIGVMPASPASATSPPPSTPPSHQQYNQHQHQHQHQHQHQHQHQYQYQFQYQQQPQQ EQFSDTSFTQCVERSHKICTDAANRIVGLSELISEKFGWCVCQQFINCIYQASTVFCR NSLGPDEYHRFPAQVMLKRILSILTSRQVNYNGLPNDIATCLNEFLSEHGMATTNNEP HTPQEEVQEFDTTTTTITTTTTTAESYFMGVDFDDSLFNSPLMYQTCKDQQTQTQAQS FMTSSSSEVT PHYBLDRAFT_160235 MYVLVYVCLYVCVAGSLDSAKDHHEPIYVHCKAGKSRSAAAILA YLVLSEQWTLKRAYRHLIKSRPTVSPNIGFVAELMKLEESVHGQVSNFAGTDWHLIDT THPPSPDSQREIGKLQKAWREQSNRSK PHYBLDRAFT_69182 MPQRHLYHALQVVTAVAAAALTVAIIIRVACLLREKDLKGLFFD QQQLHYEFIFIFGFFPNSTINFPGARTVKTHNNKILILIIVLIDSPKAVNPIICKQD PHYBLDRAFT_173602 MSINPSIRVHSHVSPPLHSSHFNDIHDFPKMAETSHTPVPHPIS PENHSVYGVNCTTASPFQFQPFQSTYQSTTDYPTPVPISAPVVSQDAFLFQPQQWAFS NQDPIPKQDHSVTTHSVTPIHQDDWFSPLIESVPLNTSITQSHQQSQIESLQRQLEES KYLEEQYKIRMQQLMSIVDRQTKRILELREEVTVLRQGGPMSMASPP PHYBLDRAFT_173603 MGVYIRSIIHLSGYLINHTAVPSLRQHKTKSKGRRGLHFEEHSV TRLKDCVSTEICTCKHNLQPKEIDQIEKRILVLNMNLSIYIYSIVTILGKNNGQGKKW GHCDEF PHYBLDRAFT_104085 LQGHRSSQCCHTDRHLLPIRRKGRPASQCEKCREQRQKKSIHQK CACHKK PHYBLDRAFT_160236 MEELLNMWTSELTKQTNEFHKKAAQVSEWDRQLIVNGSAINELY GSVNQVEVSLSEINNTMHLVDRHQDELSRVLDDYEKQLQNAMESGFIQQSLLPADQTR ENAYGVAESINSQLDDVNRNLNVMIDEINEMGVSSKPESADDDKEDGIGKVAKILNSQ LSSLQWIDKASSELQTKLQEAHRIQEKVIQNQSSFRRD PHYBLDRAFT_69187 MTSQDELSTYLPGNQDENKHHRNIAQLYSQLQTAIDQAQRTTRN KPGRITPHPLQPSDTRSSTSSDPYSLCSSDSPITPKHPEIIICACQQLIVSEDSDHCG LCDQPLPSCINQARQERQAHKLAIEDCKSQLLILNTRKDSLETELTNLRECHETKQDA LVSCIDQMHSLRHDLKVVEQKYQAEVSKIETIKQATKGVQLEMEDLTERLFEEVHNMM QIEQAEKKLLQVSHDALEDQLKETQNALELVTDELETVRQAMTLVGDQVASNTENLRV YTHDTSAFALSSMARAHHDLAELHNLELYTEMQDFKDTSLVDEFGRFMGVLGTISLRR LYADPFMKHCLREDIEPCLRFGNQPRVTSKKIIEAIQVKTCFVEVCPKGFANSQASME ATNGGSYGTRPQPVSSMSTTTRLWSRFSSSNTATPSFSGCGACGRHVPKDEREAVLKY RFRISYFDPWTCIDRYCRDRLMSVIEFFLFIRAIRMGSYRQRPVEAIYEECMRLKLQM FLARMGSLAPTLQEIGLDSSSVGKASVGLPPDTHSFLDDGRSSTSADSIATTVYSMA PHYBLDRAFT_69188 MMKNLAYSYMGVNEKMEQIRLKGTAKITSIEIRYPYLHYTTPHK PLSTEQKTKKLGNIKQIAHWLDSAVPGSPIPLGLDPFLSLIPFIGGFLGSLFAMYQIY LSTQFGIPLWLLLRMLLNVFIDFMLGMIPVAGSFLDMFYKANLWNAEALEDWLNNPEP ILDPVTGKTTTMSTQITWSQLSNDATRLFNSYFSATTKPVKKQ PHYBLDRAFT_69189 MPLHLQPEPAHVFRVPAPSQDYYYPHLYTGSCPYCSSSTTDYTY TYGEDGCPASCGQRLDSIALSRFDDDYSYSVSSMPIATQRPFQYNYLLNLFTAPDMKA YLAQTSFTEDVYGLPLHLQRLISEAKEEVVLVQTTEQTSLALNRLELVRKYIWMQSDG DVASLIMALVQLVSDEDDLGHMLDQ PHYBLDRAFT_160237 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTG PHYBLDRAFT_173610 MYCVIYYRKASMLVAVVYTPQIVCTEVKMVSRQKFELVYVKHAF HEDTDMLWSFVAFLVKGKHLLLVIRLCEIRTHALPTKVGKTPVKPTKKSTIDRDGGKK SKDKGELHFFRQRRPRSVKNSLNKHIFAKTGSEHRSRESGGLNKANSQQEVDQYIMTV RPNSILTLLLGLNKRPNLLNQSYPRHMDAYRTG PHYBLDRAFT_150783 MVYADVKRCLTSPLFSTPLFLEKRATNSFFPFAVKSGLRPEGDG STLYTFWSQEFLFPAQVSRRVGCGCVRCGVETVDLENIRWLGMVYADVKRCLTSPLFS TPLFLEKRATNSFFPFAVKSGLRPEGDGSTLYTFWSQEFLFPAQVSRRVGCGCVRCGV ETVDLENIRWLGMVYADVKRCLTSPLFSTPLFLEKRATNSFFPFAVKSGLRPEGDGST LYTFWSQEFLCRLSGRFVLRRAYVSLFWTYKRECTNDLLYCVLRSLLTYLTGSFLRKC PDELGVAVCDAVWKPLI PHYBLDRAFT_150784 MYQSGELRIWHSLCKVYVLVARILGKYCSVKNASTKYTYFTSVD SLGVSFSGELTFLYSGPTRENARMISCTFPAQVSRRVGCGCVRCGVETVDLENIRWLG MVYADVKRCLTSPLFSTPLFLEKRATNSFFPFAVKSGLRPEGDGSTLYTFWSQEFLCR LSGRFVLRRAYVSLFWTYKRECTNDLLYCVLRSLLTYLTGSFLRKCPDELENIRWLGM VYADVQCCLTSPLFSTPLFLEKRATDSFFPLRRQISGELRIWHSLCKVYVLVARILEN IRWLGMVYADVQCCLTSPLFSTPLFLEKRATDSFFPLRRQISIRSGRKNSWRAYVSLF WTYKRECTNDLLYCVLRSLLTYLTGSFLRKCPDELGVAVCDAVWKPLI PHYBLDRAFT_150785 MVYADVKRCLTSPLFSTPLFLEKRATNSFFPFAVKSGLRPEGDG STLVILSFDKVCRLSGRFVLRRAYVSLFWTYKRECTNDLLYCVLRSLLTYLTGSFLRK CPDELGVAVCDAVWKPLI PHYBLDRAFT_173611 MYCVIYYRKASMLVAVVYTPQIVCTEVKMVSRQKFELVYVKHAF HEDTDMLWSFVAFLVKGKHLLLVIRLCEIRTHALPTKVGKTPVKPTKKSTIDRDGGKK SKDKGELHFFRQRRPRSVKNSLNKHIFAKTGSEHRSRESGGLNKANSQQEVDQYIMTV RPNSILTLLLGLNKRPNLLNQSYPRHMDAYRTG PHYBLDRAFT_173612 MFFYMSKRIKSMTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQT NGKHPFIQPHISSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHL TTETMPHDFSELTELASNNFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_173613 MTMASNARLEANNTVNSLLSSEKSLDRCLTETLPISAYTSTALL NTPDLEHQISIAPECIFALKTYPQAWVALSCLVFLRIAIALFQYTYCIVPTLTSEFFD VSLSAVNWLANVQCIVYVIASFCTGLIFEKLGIKRSIVLAGFLNAFGAGVRCIGANTQ PPSFAITMVGQILGSIANPLALNIMTMFASSWFTDKLRTTAGMLIASNYGAIIGMFVI PSMTVSKDQVPRLLYVVALISLVALLPVMFMPAKPPTPPSHIQEKEKPKFVDGLRLLS RNYNFWILFFIHSLNVGVCIAFGTLLIQIISPYGYTDIDAGQLNAIAFLAGILGCSIA GPLLDTTKLHKLLLRLIAPMILIADVGLVFIVPNGSYATVMIAMCVSQFFLCSLVPVV IDLGSETSYPVAESTTSSILWQGSQLFGFGFVIVMDLLRNHDRGHGRGPSANATPENG VNNTLLLQALVAGLISILSFLYNGQMFRSEAILKREQESVVSKSKQKSSSPLTGTSTA IFTTAATATAATAPDATSSFTTTSTGSTLFVLQKDNIIVL PHYBLDRAFT_150789 MPGLLLSNEPPKKFWKSFSTNKQIPTANDKHKSNKTSSSLKNLF SRRSQSPSIAHPPTQYQQQQQQTQQTKQTQQQQQQPQPQPQPQQPSLPPSSQPRNVNK KASILSFINRSTPLPSQHEPTLPSKNASIFSSLKKVSRFRPKTALGQQQPQPQPHTPP SCTLPHLPKTDSYISKPASTLPAIPLPEIVSPAGTSLMDLPALDRMDFSIESWQTSLI RAINASTGHQDDYDYSNPPTPQTQTQTQTQVPHLPATRPYTATPTSSVSTASGPRKRR AHNPPTVSPQEYNPDYSFKSGFNSVSTFPPVPAPAPSSTYAFNSDFGSGSGPGPGTGP GTGPGSGSIYKPDSGKTSPIVFTKQLVPVKDKSQEGSSLLSAQLRSAQAQSGGGIGLK MTTKAKLEAAAARRKMIKSNYMQEQADAALWETIQLKNGHGDWKLAGTILSDHNRQQH QPFKREHFWGIAGPIHNESNHSTNDIPIW PHYBLDRAFT_117703 LSNKDWKDYDRVVNRLEDICQLAKELGIGIMIDAEQSYYQEAID HFALHLQQKFNRIQDTHHEALVYNTYQMYTKSGQHKLERDTRWAEQKGFTLAVKLVRG AYMVSETKRAKDLGYPSPIQDTLEDTHASFNGAILFLLNKLQEHHCSTRQILSPTTSP VVFMIASHNRESVVLTVEEMERHHVSPQSGVIQFGQLYGMQDQISYILGKNGYSTYKY LPYGMIDQVLPYLVRRVQENSAVLESVSKEQQLLLQEIKKRFLFKKEEDPEITPPV PHYBLDRAFT_173616 MHMHGIQAHATLMQLPWSLALRAVCTTPTLTLALTPVTRSFNRL LSTESATPKTKTKTKTKTKTKTKTKTKTKLSKDDSNSLLLTSIKKSDSPQNIKQNQQS KSEFHVHQCQGFSSKHGHRCELRVKTKKSEVNIFCHHHKQLRKNELEMSIKKSSNSIL ANSNSILVRCDGTRKADGFRCVRKVKIDFSSVYSNPHYCCPHRLQKSATVCRNIGGDK LDDRRPSKKNVPQTFTMPYTMNDYLNFGIKHKTIRDLHVHYHKIIRKEMMRSTSPEDG PGYIYVYSLEQGPRVANRRFAYFKIGRSVDPYSRMSQMLQRCQIIPNVVEIFPQVEAP ESMLCQMSHRVERLILLELMARYNTAGFKCKICGTTHREWVRVSRINNEDGKCMTDHE IWTARVRPIILRWIQFGIMSSSSSSFSSDTMPTKSETETEAENQTIVQE PHYBLDRAFT_183331 MESSQDPTNQPLANLLGNETSNEPTLHRRKSDSNIGNLPTSSRP DTPSTLQMRARRRQSQIGNPLSPAPTPTTTTSTHQDFPVKSISALITQRQQQRQLKRL EPSTPPPPPQPQPSQCQEDTFYQTLEIRLHHSVGSMSISPTCRDVVLAGRQGLVIIDL EDPWLPPRILPHMSKWEVADVQWSPYVSRESWVASTSNQKLIVWNLNHSGSQAIEHVL HAHSRAISDINWSPHHPDILATCSVDTYVHLWDLRCTGQGSDGKDDRSVRPANSFTPW NAATTQVKFNRKSEFLLASAHDKDVKIWDIRKGAVPVTSITAHSKKIYGIDWSRQNDH DIVTCSLDKLVKFWNINTPEKEEEVISTNTPVWRARNTPFGNGVLMMPQRTESKLFLY NRASPEKPVHAFEGHVDSVKEFVWRWKGGSGSEGDEREFQLVTWSKDQNLRLWPVSKD IMKSVGHNPSPRKTMCSGPINEKQQDGPYHPHSFQQMPKANQDDEGHKAMPASVGPLR LTPNSHATTMTPFRASAATIGNSGFSGGYDTLSNAYREQKYAINPLLWMQNVKTVGPA GELRRGAATENTFQTVTGEMSAVLNKYASAGVKTEKINAASRTCTISLHGPWSDTPNA FLRITVRFPPQYPDNSPPEFEIQKNSMMSIYYRAHMAQDLNALASSYTSQKKWCLEAC IRYLLGESFQEDGDFNLTAPDAIGSGLPGHSNNGSVASLTSSPGQSGYIPNWSGPNAV DNGDSDDEIFAGPSFMSGLSGYGATGKRVSLQSEKLVDMSSKQSADETVPFPRLCGGV FSGNGKDSKRTAQKQKPVEQDTTARSNTTNGEYFENTYSDFYKHPRTYEQFEEYKEIA AMSRQGRNATVLVAGSGGAFGEYAYDEDPDEMDDGLTSMASLYFKPDSFALSHSLGNR DSLLYRGPKTDRVTYNVVIADFTDMMPYSPWLAKEYILSADDPVSACIHNAEVCKAHD RHDLFKVWSLALEILRECVPLEVPGEQHVAEDGSLAVVGRPEVQLSCNDQAVQRDAKL AELRSVLYANRQRQLNSKKGTNELPSKNMTISRPKQRVKWGKHPLGQKLADNLLQHFI LIGDVQTAAMLSCILKDTTKDSQKIPRISSQKMLDVQAMSDDAVLDYFSIKSHTPHNN RVRATLHRAHSGPHTLANSPSRASVNQMSASYGTRGVNLLTYFWLSDRNTSPQHPPEK TTDGHVDEHHDTTPPSPHRVQRTPQPWYPTLKLPSNTAPGIRHAVSMASLTGPHPSGG MATKEGRIVPSNTMSIEFTNTETFDGERFFRLAPTPILNPQGAAQRDVLRLAYADMLY RWSLLDQRAELLKFMSGPIFPDVERTMEINIRCYFCSSEVSSKDLACNNCRKIRSQIR CSLCHVLVRGLVNFCIKCGHGGHNHHIKQWFDMNQSVCPTGCGCYCLIETFDYGSLTT EM PHYBLDRAFT_69200 MEEYDLTTIVNKKEFVNTVEFIQCPVAGRSLVAKRPLVPGDIVM VERPLIKYPLQPDCRSTLSPFYSKAVWKSLVDIVREEEGLSPIVARLHKPHSSHRDDF DYDSDCDSDRSDDSDSDEEDEEEPQASAFSPGIPAALLAYLSIKPPPSRTHQYNKGTN LDFFYYPDRSTEPQWADHATILLVERVVSKAIATHSIFKHLDHKEVVSFVLKIYSNAH TVAYPHNRTLPTHSRKKERRARYAEKWPLENDAGWPQDPPASRPFIALLRWGSKYAHS CTPSMFLRFDVKQQSMIFTVMRPVPVGEMLTFSYLPEDDLSLGGLLCGSTMMRQVKLE AFKFFHCQCTRCVAPDWLRGAVCDSCKVGPLYRTTNGDWTCQDCGFKVKDDEGVQFVG EREDHVEKIVMALTTRAYGAKPVGESMMRMIEPYLTKLLNPDEDENEPPVPHFHWSYS YIHAVLSIYHLKLFPLSFGKGLASRLGMLTKGLEEAAVYIDYLHRCIWDYPTINIANV GNPIAAFFAGWRMFEHVIDVVMESTEKKQYNVTAYDSDSDSDSDGDSEIEGQNESGDE EKDEEKVETAVKAEVKVKTSEKENDCTVEKTAKLEQKSVSPPPLELLPMPEEWKEPVN RMLKIASGQWVPLVATLFRNETPIMVQDIIDRVNTWQERVDAVSQL PHYBLDRAFT_136558 MSKGTSSFGKRHTKSHTLCRRCGRRSFHNQKKTCAQCGYPAAKI RSFNWSEKGQRRKTTGTGRMRHLKEVHRRFKNGFREGTQAVKQVKPAASA PHYBLDRAFT_188819 MSNSNSEISLSTEDNHAIKNEYKTWYKHDGGKDGLTSMERLQQF MLMNGGENLNMYLGGDKEGRTFKSSKVTILNKCNQYFQEQGVYRTTAQIKSRLNNLLT KQYGEAYRVWNNSIKNNSNDEGSTSEKEGLESELNQICPAFFQMENVMDNRKTGSPAV CDTTKPMEWIHDEDGEQSNGDDDNTDEESAENSHSSNNEEYDSQNDNMSVLCSPPPKS SSSRKRRRVDVEYKELAEEVTRQLNESSVSLEAKIERLYREKLEVLKDDLHIKREREF IHRKFENMMKAVAELAKVQNWSDEKVQEQTDDVYNKTYGS PHYBLDRAFT_183335 MKPIQFYDLRLPALGEKSWSPNTYKTRYTLNIKRLSYETKWVTF QEVLTIIPEITKTGETPTVPIIVDVEKDKVIQESFKIAQYLEDTYPETPSLFHGNEEL HASIQKKIGSTLALNLACIVVLKIIKAYGNEAEQAKFRKDRESKFGMPIEQLIGDPED RIKAIQDGLEDFRETLTKTPYLTGSEVGWADVVLASQLRFIDSMDPELFDSRVLDDTN REKSLREWYVRMSVYA PHYBLDRAFT_183336 MAQRTEKELQDLMDHYKRYQNIPVNDVIVVTQNQGANDPLSVTP ETNEHEHRNIVEKEPRRILEDPLAFMVNMGAYYQGKGWRGYKSYIGNKIFYPDFSKNI KEKILSSKQVQDVIHQLADRQNAILEATRKPTKKFKKLSPAEQQKRIRKQEKALCHEL EIVANAIVDKCISTMDNVRVIRVAVFLVNNILVRLYHQGIHIKESEWVELKRVALIAQ QNKQSLILLPSHKSHIDYLVVSYVMYRLGIQVPHIVAGDNLDMPVVGSILRSCGAFYI RREWGDDIMYKTILEEYISILLSEGMNIECFVEGTRSRLGKLLAPKLGILKIILDAFL EERFSDCYIVPISLGYDKIIETSSYAEELLGTPKEKESVWGILSSSRLLQLKWGRVDV RVAKPYSLKGWLEEQLSMRGNIDLSDTHQKSVLLKSLGYRVLADINSIAVVMPSALIG TVILTLRGRGVGKSELIRRVDWLTKVITDKGGHVAESHGMSTSQIVDRALTIHKDLVG ERKGKDILEPTFYGINPFELSYYRNQVIHMFVEEAIACVSLYTVVKFGGGKELQKMRY DDLLAEISFLSSILKLDMIYKPGGIESNTHRTITWLADNNVLEVTEDGWVGLSDVERA CGRENYDFLCFMIWPFIESYWLAAVSLFSLAPPSKSSTKEPVWVENKLFANRAQALGK TLYYQGDLSYLEAVNKETLNQAFTRYQEKNILLKRRQGSPKPVSEVALSLDYTPDRVN GVLSPRGHLWELVERIGKFRREGKNRRDNATVSTRVIRLAEIVAEDNATPPTKAIFKK ANQDKSKL PHYBLDRAFT_79399 MSSDALKLFWPSHICTPNNRRGFLIGWYNSHNTICVATVIQNVE LHDLQTILSEFCRSGNHSEFSHINKVCKVPPKILGVMLDAKEKSKRVETNGHDPWITV NLDDAYVPVPLTRFHRGKPELIELYEIIFYDQPNPKRLQFLSHDPLELDISAKEISES RPDGPLLTPANKHPTTIANIEKIIEYSHIHTGCTTQVSDDLVSVLVQVKMRMSWGDLQ INSSYYLEIGIEASRAKQLRQSKQPDSFGWIWTVSESFASHLIHVWMIGTIRKIFQTI KTLIVGPILCVSFVLLLVAEIFLYSLNVRLPKGLLNTVAIKDLSAAGQQVDLRLQQLY FWPRQYMMLRERNRANTAETRAYYISFYNSMWLVANDIIIGLAIGSFLMSNSYSAAKV LHGALNKYTVESLQSMMLWFLESPAGLKLNHELGSFLNCVQLIEPYTPQIVHLIGLSG VFGVSMIISLSSDFLAFMTLHVYCFHMVAARIFNWQLLILHSLFNLFRGKKRNTLRNR IDSCDYALDQLLLGTCLFTLLTFLFPTVLIYYLTFAVGRVGVIFLQAVMETLLAFFNH FPLFAIMLRAKDPDRLPGGLQFDIFDHNNFLKKHHPLYYRLKNLKIGCQKLWSTFWET KGKKPVITPAPSASRYTKSGRSLGQRTRRRISVGSSSSSSSAAAAVSRAKAKKSQIQL DKTDSRRGTYLRMRNMPIPFGAIFFQYMLLWKRLSVHYFSVYVFKCLLCGEPIKPIPK LQPYSSIQCYRTKEYEQLLRVRIKEKKASSREIFLYLFVHALQYESGCVNVGVRVGVG VDEWDISKQQ PHYBLDRAFT_150801 MDIKNLLCPTLDSDDHFIYKNYSPVYSKASLNIHIETQYRQPSV VWSSTSSTPSSPQSMNSSLASVSYPAYSPVSSPPTSPLPSPSLYPLSQPITLAPNTSA TVPAAAVVVGRQCNSIETNSRQHTRTPWTPEEDFLLQQGFMQDLSWAMISATYLPHRS RGCCWGRFKTLQTKEIEQREWSNEDDKQLMLVIKNHARLFKQAWKSVAQNMKNRTWRE CEFRSIKYTSLIRKRRSRGI PHYBLDRAFT_183338 MTKITPYEQQRLDNIERNRQILAQFNLEEAKQACRNDIPNTPTP LPKRISKRTFKESTGNSESTVTTLTLTRTAAAAAAASKSKAKKIKTTQSERPKRQSSR LRGVEPVPFVLVENDNGIERGRLAVSGTAGDAIIDEELWDGKLLKADEYFDKETCEKA IRTQGHFLGWINPALIEKYEFELSASEAWEKNGGGKFSFTDPLNNKKKGSTSKINAKA VAQMMFKKNPNMYFYRHNEPGVGQWTGDWTAEEKEIFLKVAREHGCGDKWGVFASYIP HRVGYQCSNFYRSELLPAGLIFDKNYEYTPSGRPIYVGGRRSGP PHYBLDRAFT_23996 MIKRGSPCEDYCVLEQIGNGSFGSVHRATHKTSQRTVAIKIMKQ KYSSSSECSQLREYKTMKHLLLHPNVVQLYDTFLGSTKELCFIMEYMDGGNLYQFIKE RRETSKTILPSQARDILRQILAALAHIHRQGIFHRDMKPENLLLGTPATPDGSPIIKL ADFGLARELKSKPPYTEYVSTRWYRAPEVLLRSSSYSSPVDLWAVGAIFAEIVTLRPL FPGQSEIDQLYRICQLLGNEPSCEEWPEGVKLAHKIGFSFPAISPRPLQSALPMASPG SVDIIRQLLHLNPAKRLTAAEALLHPIF PHYBLDRAFT_69211 MTCSLVDEVIFYVKQIVSFPIRLTTVFVSVPFKELVFALKYVVD NLTEDSFSNIRCNFVDLIYDRVRVDQVHNVSFPSAILITSRKSGNRHIPRLAGKAAHA ISGSLLAVVAVVANLVHLSRCDD PHYBLDRAFT_69212 MDSILHKDVFTVSQSLEDELATKGFAKETPLVSSLATELDSAKS PIPDYSNTSSLPSRHHSTPSVSTLYDSGRIHLSPDEPVDAFEVLEQQLGDLSSAVWET KTLHKRLFNTLLSQSEEHKDGFAQSLELAISYVERGSRDRERQTSDLRTMALSIRKEG MQMSIKDIRDLEVLMSGVRATLHDQIYLYENPVPTLHTLDMDTMSVIESMEELKEHMY VNKKQAQELNSRLRLIGRMVHEVRKENRRTDKVLEAQDDEIIERDVHLRAKAIIQDLD DLDAQSTKDIKSMQVFWQDVASGL PHYBLDRAFT_173629 MAHPLSPNPLHLETYANDTSMPESIGKRVDPIYSKTLQARAKEL LRTQDDSFPGSQPVCFESKHLSVLEREDYFVCEKTDGVRYLLFFVHSPKGPASFMFDR HQTWHYIPNLVFPVRNRDKEYLKDTLMDGELVADIDGDKKTWRFLVFDLMAINAVPVT QRSFNTRLGMLRQEVLMPFDASLRNLEDSTKPPFTLELKKMERAYGLHLVFGQMSKLK HSSDGVIWTPVKCPYVPGTCEKLLKWKPPELTTVDFRISARWSKEHKPMYALEVLSHG VTYKFYDHFQPEPALAAGWKNSLPDGRIGEFRFDSNWEVTIVEQGYAPKMRKGGWRFV RFRDDKETANDENVVKKILCSIQDGVTQKQLLAHMDRVRAAWKAREKGLPIPTFESPK DALPPHSAPLASGNSSILPSPSGSNPPGFFRDGFKEDDITQTRQNSMDDAILRRASEA SFPTTAETERRPSDYSMTSAPSPQKQSDLSTCDTPENNKREVSSTDNSTDRKRHKPWK EEDMSPQKSPLWADTITGPVNAAETPNDTESPNEADALTSNNLANTPATIPATTLVTA PATHNSNATLPSVRKDKINHAIRLPHKKGQTIIERPGDVRRRKVSTTLARDPKEHVYV HHSDTLLESPTKNIRLMK PHYBLDRAFT_173630 MRRTLVCLLIEILVEIVVVVLVVTVTVTVTEVVFAVAVEVAVEI SDVFAVQVEVEVEIVIEVVIVIVIEVAVAVAVAVLVDIVVPLVFLVVVVVVVVVVIAV EVEVLVSGAVAVVIDALVELAVVFEVVVEVGIGIVVVAVAVVAVVIEVEIEVEVVDLG VAEIVVAVVIAVVAVIVSVQDYQSSGVAQDHANSVAIFLGIVVFEVIVTFAWFEIVEM IPTEVPMFATV PHYBLDRAFT_160243 MGGGGGGERRGPPPRRDGDWDCSCGASNFARRTDCFKCSAPKGD GGDSYGAGDSYGAPSYGGAQEPEPALDTASWDTGAATAGWGQSEPAAPAASSGGWGQS EPAAPAASSGGWGQSEPAAPTPAPAPAASSGGWGQSEPAAASPAPSAGGWGQSAPAST PPPAAAAPAPSAGGWGQPEPAAPADKLASSMGNMRVEDSMPAAGDGWGAAPSNNNSFG WN PHYBLDRAFT_23965 MERPERSYNWASNRARYEYNENAVGKDGEAPRDEKLESELFEQA SENQTSLDFSKYESIPIRVERGEAPRPIVTFDAADLHPVMKDNVRLLGYAKPTPVQTY SIPIVTSGKDLMACAQTGSGKTAAFLIPTLSNLFKHGKEWAQPRPAPYEQRQFKAEPL VLILAPTRELCSQIFDECRKFTYRSMLRPCAVYGGADSLSQLRQLERGCDILAAAPGR LMDFIERGKIGLGRVRYLVLDEADRMLDMGFESVIRAIVEKKGCNVDRQTLMFSATFP RAIRKLARDFLKPDYLFLKVGRVGGTTSSITQNVVYVEEENKRESLRELLNSQPPSRT LIFVETKRSADSLDQYLYERSFPSTSIHGDRTQIEREDALLAFKSGQCPILVATAVAA RGIDIKNVMHVINFDMPNDMDEYIHRIGRTARVGKSGLATSFYNDRSSALAYDLAKLL KECKQEIPEFL PHYBLDRAFT_188825 MAPYGQKGTTIDGGDWDSLILYIDIYIHIYIYIFMDDQELEQLY TRQLGDAIKHQTQQAIAILVRQYSSEFGLKALIPPNKEDGNLSNALSSLYAEITQLYT NNALIFDNHLHWDTLWRQELSFKVLSKQYTADAVIEASLPRARKAVCQIFHEELDPFM QWFPWSWFSSMQFIGAGGFSAVYAAQLAPPYVSQSSQIALKVVDDKLLNEITVQSRAY LPLLFKGLTVCESTGDLMMVMKLSNGGNLEDHMHQLPLGDLDLKTITGTMLRLAVNLA DLHKTETCHRNVHPRNIICTDSDYFLVDYRFATHAHESSYVTALTKVVYGRPPYIAPE VRQGIYTKKSDVYSLGVIFWQLVSKVIFPSPDILLDRRQPPDHIYRIEPVPGVPEWFG DLCKACLEPLPENRPDAGELCDALQAIHAAMDFSVPLVPQVTEYIIARRAETANHMRM CLDLKGAVSTTQLFTLTQLPSTTHLVLLKFTNKPFALVRQTLKS PHYBLDRAFT_79403 MNSLCQSPSFDNDQEYSVEMREALAAEQAYFGEYDRAVAEKFSS DYYNVEDGYDYYSYNYNQGYADQPTDNTMYSQEEEQEYSDQEYYDQEDETMTYDTAYN NPNPDYQNDSLLNLVVGVQSYLSDMTTQPTQHVSPLLDLQYKMYIYLKQRAIDMGLDT QALD PHYBLDRAFT_79404 MGSSKSCCCCIPLRAGVMVIAIVSSAFYISSLVYLLIRRSDMFA KHPDSLNFLTPIFWTSVAVVSVYSISSILGVFGSISQNRAMTAVFRVLYWIMAILILV VSVAGWVLLLVNRDSWQDECANYVSKPDSDIYSIVKVPSGSEVATVLQNACSNDLKTI LIVSGIAVVMGNIIQIYFASVVSSYASRLKRGNKHIPLRNLDDFPDNSHKNMY PHYBLDRAFT_173636 MPAQKFNRLCPKFRLDNKEIIIHPKHTISIASYAEDHKEFLPLE CDAILLDILLFISLLTHIISYHIFLSLTYSQSSTAPANYLKNTRPEQYDITQYDLVIC KKNLTTKSVAHTVLAALKIDLKNARRNTLKLSFWDDLAQIIEKNHLKKHEFQSPKEDD KICFKGSKLSIGTLIETKAVDYQQKYLVGSKVNEQCK PHYBLDRAFT_23961 RSFNRQYAEMYYPRLVQLRPILVEKAKERWGYLPAEKPVYVPKA LEVLAGELCYIIGTIYMEMSTKPNVLRDLNEESSLIDPSVPEKYRSENDVIALEDESG RVELTGQHLKKEHLVSGAVIAVLGKETPSGAFEIFDACLPGLSPQRPLKAQTKPGECH KYVAILSGLSIDSEAALGLNTQLLSEFLSGELGSNQQSSACITRLIIAGNSVGKAKAV ESGEKGQKYGYEATRYNSSAIDILDDFLDDVSSSLEIDLMPGPNDPTAVHLPQKPIHP FMFSKAKMHSTFNTVTNPYCCDIDGVNFLGTSGQNVDDIYRYSNDENRLKMAELTLFW QHIAPTAPDTLWCYPLAGEDSFLVKECPHVYFIGNQPEFETSDIQGHDGQKVRVILVP SFQKTGQIVLVNLDTLEASTLKISGEITHDKDGMDLSH PHYBLDRAFT_173639 MRVCCCSSLETCQRSLMRAVNASPVVLLILIFGWCYWAYIFRLC WSLIADRRIAQGILYIIFFQPIYILCIWSFWKITRTSPGYSNDNSKRYDDRDEESPED IYDANEDMQLLNHQMDDEEGERDKAGEPSVAILNVEASESTTSLNRTLTSKRTPVYRT TGIPITYKTPTTIKKGDRHADHSVILLDVSLKWIITVLGFRNYKFFYNFLVYASLLCI FIFSTTLPPTIRILNQPLSIFGLDFNWILLLFVSVIFGIFLIPFTLFHTRQLFKNRTT IEFYEKANFRLGRNRGRHDVMRSRYFNPWDLGTRGNIEQVLGSNWMAFLLPIGQPKGN GHQFPINKYAYDTLDTEGDDDF PHYBLDRAFT_23973 MPTVQLKNNSQPDITYLPNYKKWKARTAHRLEKEILPTDLPAKF PKRLYGPKVWTGSDYEGKEGQWIYTMSKDELQEIHIAILAFEKTKRPLFDINQDTFPL CFLGPTIKKLIHEDVVDGRGFLILRGLDPEKYTRHQQIIAYAGISSYVGRRGLQGAHV LYHIKDMAPERGIDTILAPSYTNDHQVYHTDAGDIISLFAIGVAELGGKSKIASSWTV YNKLASTRPDLIHTLSEPWTFQSLSDINEHMCYKRPLLYYEDNHLIIQYARRKFTGFG CKPRSESIPPITEAQAEALDALHYISEKNHLDIEFKKGDIQYISNLSIFHAREGFIDS TVNRRHLLRLWLHPENPWKLPEQLQPLWNAIFNHNHKETFPPEPVIRW PHYBLDRAFT_23905 MFARGPNVGPEVITKFGFVLSNNAKVEEPMILLNGSAFLWRVPQ ETDVLPMQNWDLDVFKIFEVVTPKPELIMFGTGKHFAPMPAKVRQYFYKLGIQVDVMN TKNAAATYNVLAEEGRRVAAALLPLGRRDQK PHYBLDRAFT_150816 MGQAASKTFSDKSNVLYEHTDLSSRFTKATISTLAVPMVIVAFP LLNAYTFTEEELTGVKVMDGAIGGILGVIGSCWGVFQSVFSQGPLEPLPIPPELKEQA KLRIGLNSDHFYNVAIVGVAGTGKSSLVNGILGYHDSHILAAHTGETESTMRPAGYRH PDLRTMVLWDMPGAGTMHHPAETYFEDNFLCAFDSLIIVTAERLQETDLIIAKKAREW RVPVLFVRNKADQAVDSKMRRDRKEGQEEGRAWAKAVGELTNEASVRQSISTQLKANH MSTRHLFILSAWNLQEFIYRLGQKQLDEHLKIIDEERFVRMLIQGVLTKRKREKKNRQ NKDKRMSVPQQIIESP PHYBLDRAFT_117787 SLLCGYLYIKGLTSELSELTTYFEGEIIGPKYSFLTRKWHAVQD IDRQHWELFEPFKPLIDCFNKEDFVYDPIDNDMVYMRWKERFLVPNHHINNIDGASFA GFYYIAYQRSTDKITGFYFYHLNIER PHYBLDRAFT_127802 MLPKLFVLAAVALSFANAQSTFTFKETYPAVGSIPTAKPEWLAL LNGVTITKAPVYDVSAGLGPQPQEQGDPYCDWTFTGCLGPDDISFCPKGQWGITYDDG PSEFSPALYDELDKTGTKATFFMVGGQVNKYPQHVLRAYKAGHDIAMHTWSHSYMTSL TNEQIVAELKWNEQVIKEVIGVSPKYFRPPYGDIDNRVRDIAKALGFTAVIWNFDTND WAAEGNANFKVETIDAEVAKWAAAAPTSAVGGVSLEHDLYESTVNIALRVLPVLQKAY DVTSVGQCSSVSFYKEGSGAVTNTTAPVANSTVSGAVASGSAAAAVSAAHPSSVPVSA AHANPTVAAGAAGSAGVVSKDDLVNAASASGSTTHDSGASSTTISAFGLVLTGLAAYF MA PHYBLDRAFT_69227 MQALNSPIQLLVCTNTAQLSELAAIAVPLLWSGPNASNISPEHH SIFVMFCFNLLKATQLSSSCLILALHYLDRFRYSVLKSSSTSNCEAELLTAALMLANK SLDDNAYNNHTWSTVSKIPVRKLNQVERDLLVALDYKINPTPRQFYTWATQCQYLFNL TAKAQTLRKSNTPTRRQTSTPKLVVLTPFYTQQSFPVKRSAEDADLELSEYNKRRSSH HAHQHQSQSQYQPQTQSQSQSQVQVQPQIVHLQPQPQPLPLPLPQPQIIHHLAQPQHY NPGYQVYPPTMAWTPEAIMVSTSLNMCRPILSWTSASRNVPFVPLYTNFLASSSSFTW LAFVKKKK PHYBLDRAFT_127808 MSTININVKTSSDGKFVIAIDTTKTVEELKKAIEEKTEVPVERQ RLIYSGRVLKDDTTLETYKIADGNTVHMVKGAQPKTTNSSSPSGAANQNSSTQSATNT SSNTANSTTNSSSSPPPPPVGSIFGQTAPNAVPGGIGSGMANPFAAFGGGFGGGSPQP GADGAAANPFSMFGSGFGAGGMGNSFGAPDPNMMNQMLQNPMFAQYMSTVLQNPAVLD SIIATNPQLSNMGPEVRNMMQSPEFRQMVSNPDMIRQMATMATAFQGMGGAGGPQQQQ QQQQSVPNPFAGFGNGPAIDPQIQQQMASLFMGGAGAGAGAGARFGGFGGNGGGGGLA ADNRSPEERYQVQLQQLNEMGFWDAAKNIRALQAAGGNVNAAIEMLFDGNV PHYBLDRAFT_69229 MYMYYSARKTSILFFFIQLLELKYAQFDSDTSNLKYRLQCSYYG IYPTIKTFQKVTVSIIDLIFGKLGDKFMSPEYYIDTIMSTELYQSSIVPHSVRLEGLG IYFSWSYRPQANDQNLEKTTVVVDFVACYEINTQKLYAFYILPLGL PHYBLDRAFT_160252 MIPEDWFHQKLDDKFAKWQDKSYTPITHSKTLVVERLLYMDITV WFSDVDIVFMSPEIYNYLIFKLSSRKTTEALYSQETEQHIINSGFYVMRPTDLNKKVL HDSIVIQDHRPDVTQQGAINMVLDEINLSYHSSPIALLDLALFPQGRLYFERNIPVKY GFQPMIVHANYRIGEEKKKSLQDAKLWYID PHYBLDRAFT_117859 MGCCQSNEVHEGKARNQEIESQLRQDKQNMSQQVKMLLLGAGES GKSTILKQMKLIHDGGYTPDERQAFKEIIFSNTVHSMQVILKAMKGRGVWLKNADSWS YAAVILELPSCVTYEELPTEVTTAIRILWKDSNLQSVFEVSREYQLNDSAKYYFDSID RIGESNYIPTDQDVLRTRIKTTGITETTFVIGTFTYRMFDVGGQRSERKKWIHCFENV TSIIFMVALSEYDQVLIEDETVNRMDEGLTLFNSICNSKWFIKTSIILFLNKIDIFRE KLLKHPLKEKFPDYDGPNQFEPASDYILNQFVHLNQSKTKQLYTHFTCATDTQQIKFV MSAINDIIMKNNLRTVGLL PHYBLDRAFT_150824 MPSMTNNTTAATSKVRMSSFNQPRSDRSGVSREELLKMAKAEYS RQLTRYTEKQLRRRISTEHRSHSHCSQPATEQRSAAFLYSCTFLKG PHYBLDRAFT_69232 MPLYFFYLLILPKSKSTDCSFDVCAPVASVSVNVGVKSYRVLGQ KTSTLVKHIYNVNFIGKRFNVSELFTAEMIGVFFILINKKKRQEFEIIVNSVRRPVWC NPLYSMI PHYBLDRAFT_173652 MASVHLMNKRHIVVRALLFFFLFLFTPVESSYLIPSKYIKLVNN RYATASFVRNNALYTYGGESSLFNTSSFFTSMSLSPKDKSLIIETVPQSKPGPSCSYS AAVLLDDNQTVILFVGQAFNLSANSSMPIYTYSFLDPNPMWKEIKPVGNALWPDIRIG FSATLAPNGKIYVFGGVRIDTISPAMPFFSFDPRTHQIEIPSSPGDVQLYGHTGTMLP SGELVLSIGYRRTTSTVEGYFLGNQFVIVYNTNNDTWAKIRLTSETDELRMEGNSVLG PDQKTIFTFGGSGYSGSPNMTIFNTIVLLDTSTWTTSLASTRGALPVPRSLCSLGFIA DDLLMISYDHLYIFTQNHLVPSFFLGIVFGITSDIVNVLQIETKKTKDYLWLIDSSDI INYIHPDDQDRFGLSGRAIAGIVVGVLAFVIIVTLLFKRPRKFVSTVLLISTRRTLWN KRLGEPIWTELCRLGCRVILLLIFALFMVYLIWQVMTSGISTIEIHEYSSIVQSPDIR ICLDGWDGSDTFDIYNIRYSCITDSGYICDEYVTLLDMEIHQPRFSYEGSAKVCILFS PPEEFGLSPTRDGDSKGTRFAISLVTQINFTSGFYATVYPPKMNPNNVLYNITTTNNQ TQLLTEDAINEWIINDSGTVRDSNTYFIPAGVSSTVEYQIQDHQYLQPAGWNNVGFLP LLNHTPEVVIKYGEGNSDRLLDIAGYTVLATLEVFPSQFAKVVLEEKRIYTLLDALGT LGGFFSLGAAAQIWLFGFRPSSPWGIVHRWSVGSMRRSIKRNLRTQFDSLNTSVPLAT PVIPRFTAFSLGKDVNEDEYEYEYKYQEKEEELRASKEAQVPFIENSGASDGGNQNYR MEHMERRVQLLEKLFKSYYVDDEIFTELDLAIKQDNLEKYPKTAGGDSGNKNGTGGGN GSGSGNEHLNETFKGGYEN PHYBLDRAFT_69234 MLYKIHRPNPIFTRTEPEEYTRTCNSAKQHHQPKEEKEKKRLHV LSYIQADNDIIIAKWLNGSSACVHAYMEGCNRYFFWSGHLAQGPQSLIPTLVLHPPYS ET PHYBLDRAFT_136576 MGNLAHSWQVYAVCFFAAIGGFCFGYDTGIISGVLTMKHFINLM TDGTQIELTSLQTGTITGLLLAGCFVGALVASPSAEYFSRKRSIILGSCIFMVGAGIQ CGTRGYAMMIVGRAIAGIGIGMLSMLIPLYQSELAPKEIRGRLISLQQFMITTGLMVA FWVNVGGDKIDSDASFRIPLGIQIAPALILALGASFLPYSPRWLVSKNRNEEALTVLA NLHANGDKTSPYVVQEYEDIVHQIEYERSVAVTSYLELLKPGLRRRLILGILIQVFQQ FTGINSIMYYAPKIFQQAGIDGNSASLIASGVNGVLNMLATIPAIVYLDRLGRRMTLI SGALLMGTCMLLAGIVMDKVVDMSGNKAASYFCIVMIYFFVAGFAYSWGPVGWVYPAE IFPVSVRSKGNSIATAANWLMNFVISEIVPVMLSKITWGTYIFFGCCCGVMSVCVYIF FPETKGRSLEEMDSVFTGSVFAFRAQAAPNIGTVEINATEKVESKEEF PHYBLDRAFT_173654 MGYMDCDNQGLMFDLLDDKPEHRRLTHISSLIKIDMCSLMARFT VDCNNTFTCQMSNKIRTTNVRSTATATATATFISEFKNKYAFLYIILKNSTKLGNILK KLLLLK PHYBLDRAFT_200777 MGNCASSSNTDSKPSQASLGAAKTRMIDKQIKADEKRMKSEVKL LLLGAGESGKSTVLKQMRLIHAAGFDGCERENFRVIAFSNIASTVQTLFEATEHLNIS LEDESLREYLPMFSEETPIILGQPYNQKFLDPLKRIWADAGIQSAIERGNTFALHDNV TYFFGQLDRLWASNYIPSDQDILRCRAKTTGIVETIFHIGPLTYRMFDVGGQRSERKK WIHCFENVTAILFVVAISGYDQCLVEDRNSNQMQEALMLFDTICNSPWFVSTSMILFL NKIDIFQSKINTSPVSEWFPDYKGSNVDVDQAKSYFSKRFLRLNRSASKKVYVHYTDA TDTQLLEHVMLAVSDIILNENLDTLML PHYBLDRAFT_173656 MSSSSHVYTSVTSYQVLQRDGNNTATIHLPGQAPQTLGVGGPYT VGNAIQVMVGDVWVMAGQSNMRGYGYILDPVSGESLVTRPLARVHLFGSDEKWTLASE PTHRLALSPRRVHHTLPDPTVRDPTKPSVRGASLGLAFAAKYQAEMNGVPVGLIASAH GGVTIQDWTRSAKDPEQDTLYGAMIGRIKSNGYGNVNGIGSDSSSSSSISISIRNSVA GVLWYQGESNAVTHELADGFYDATKNLIKTCKDDLGDQLPFVVVQIGRHLWDDGTNSI QGWGSVRDDQHNLLLSLTNEARATVTVTATAAEVETMAMAMAMVGEGAGMEVDPTIDV VSSIDCEMDDPVHLSAKGLARVGHRLALAASAIILKTRKDGQSASPRLSEARFQSITL PPSLPQSLPPSLVQGTFPSRPSILVSFVPHDLKWKNTASASASAADIDIDTDTNSNTN ANNHINGNGNKRLDVNLAVNGFSLRDSEGNRVYSIIKALVEGPNVRLYISSQAIKAIE SREIYVHYGYGKDPICDLETQSGMGLLASSIRIFCE PHYBLDRAFT_79411 MKFTFVLVAALALATQVSRVMADGCDCEPSDGNCLSNCVNSTGE CINACNGDTTCYNNCIDTKWPGQNSNPSVVSAASSAATSAAPSASSVSAAAAESVTSA LSSISDSSATAVSSIEGAKSSDAASIASSASSAASSVSAAATETPNSESAGWTWCCFG CHCCCLVLICFSFYLSINYSQQMVLFV PHYBLDRAFT_150831 MNIIDLLIKPSTSDTNLSYPGLKAPIHDDNLMAVWESVLDQYGF RLKPTSERNTLTDAILTPTSAPVSSFLPDTPVHIKVIGRHMNGSFRCHIGTGKASRPI RSTELCRQMRLLAVFFRAENSKSQLVSPDFIFFPTWLLHLFRYTQHGSTPGRTDVRFL ENAGLAKEDHIYRLNHVLNTLFRFNLHNFDGQKMQRAVELANYLFDTAGLDPSSLPRP YFPGEDLGKREQPGLYPTLVLLLHTPRPSKTKPFCHNCNTKPASRRKLCVACYRYQLK HGEARPLRLIVANRPGPRLSDLADATLAAALTSVDTLATADHGMPLMCPSNVPRTMIA PIPRSQKSCANCGVHETHQWYRNLCGAGHWCETCKSYYLRHTKVRPPELFVKAAKRKV DVRTLVNWSSWAAEDDTDDTAAITTKSRLSTPITRRSSDSTVSTVGSWTPSGCRPHLY HARYSCSASSVYESSSSSHNSPDASLVSSPTTPPSVSPSFFSHSKHRTSISSTQSSTL APQTYGSPYGVFYQPWSLDG PHYBLDRAFT_173659 MKLTISALVLAIAVSAVAACDCKADDHTCLSKCVTDANSCITGC RDDQCYRNCVNNKWPAPSGYLHSDVLTAPAATTVADVHPAFPGVTSSAAPTASQVSAA KPSLPASGKNGTSNGGSLNHVQWPLLVSALMTAGVWAFMLF PHYBLDRAFT_104254 RKAQNRAAQRAFRERKERHLRDLENTIRTLRELRQANLKQHRQT KKELDATKAENWYLK PHYBLDRAFT_150834 MSQIFEAIKELLVFANLQMLISNRRLLFKSVLVTQKAKLNVPIV RWLTSSNGSVLDRWRTEMESKKILTHDTLIASPLNLLANTLNDQTVPYRHAVLPASDT EIPPTWHHVYFPPRTPEDDLALDGYETDFFPPPPFVQRMWAGATLVWNTENPLRAGQQ VTMATTLDKAEYRQQGRVGESVFVHLGKNISNNQGWSMKEERSLVYLTDQSISSGPTR SIRSNKKPSFSRTMTPSSILLFRYSALTFNSHMIHFDHTYATTQEHHPACLVHGPLSS TLMMGLLTSHIQSLDQKPKFRLFRYKCLLPLYVNQPLTLCGRESASDPKIYELWVVNH EGNLAVKGSVELA PHYBLDRAFT_188836 MASLIDIMRKRLLDTIRSIQPPGKWKIVVVDSRSYQILSAACNI DDILEMNVMTVENIEKSRTHYATNEAIYFLTPCQESILRLVDDFRDHRNPQYKAAHVH FTSGLDDMMFRDLNQRLKSTGASEYILCLKEMYVDFMVVESAVFTVEPVTSFFSIFGT DPRSHPEDSIRVTAKQLLSVCATLGEDPIIRYQTPPPPPPPSQSPTDGLLPQQGSATR SLATILQKEMDDFCRISPNFPPARNPPQPRATLLILDRSIDPMAPLLHEFTYQAMIND LLPVEPSESKNGIKYTHEYNQEDGTMATMDVILNEEDAVYRSVRHQHIAECTENLVRD FKKFLEDHKATSQAGDRQDAPRDTTKNLKDMKEMITNIPKFQDMKAKYSAHISIAQEC MSRFEQQKLNSVGNLEQNMATGETPSGNTPKTIVLDMVPLLDDPHVSPVDKARLLMLY ILYKDGNLYDDDKRKLLEHARLPIDLREAVNNLSLLGIKVSRDRKLKEKGRKKEKRRR DSRDAPFELSRYIPNVKRIMDSQLSNTLEASQFAYTRQSDIEHTEEANGAPHSAIPAS GISLRTTKPTWNKKGGNGAGQPFGASRAKLIVVVLGGMTHSEIRSAYELAELHDRDIY VGTTEILKPSKFVENLSRLRQPIPAARPIIAPYVAPPPVQEPHKIPKISNLIPHINHT TSSLSSVSLNSPSIKSGTSGQSTPDKPEEKKKKRGLKKLFG PHYBLDRAFT_160253 MNVVMKTDDHRTVTTAGTLPQSGAIRHGSRTGRHCCEARVKMCY MCFMPCSPWPPLQLCRL PHYBLDRAFT_188837 MDTAVYCPSYAPFFGFAGVFASMAFSSLGAAYGTSRAGIGIAGI GPFRPELVMKSLIPVVMSGIIAVYGLVVAVLLAGQMSPTAGYSLFSGFVALGAGLSVG VGGLAAGYAIGVVGDYCVRGYARENRVFVTMVLILIFAEVLGLYGLIVALILNAKADN TLCTL PHYBLDRAFT_117832 MLHSSLAVDDQEKVFDIAPEGIRKCIISSNIAETSITIDGIRFI VDSGKVKELSHDPTSNMSKLSEFWISKASATQRSGRAGRTGPGECFRLYSENEFNHFN DFPVPEIQRAPLEPLLLQIKAMDLGCPRTFDYVEAPSEDALNASMEFLQNLGAIDVSE NIMALGKILADLPVDTIIGKMLIMATVIMIITI PHYBLDRAFT_173665 MNEWIKDYLNKNIKREHKRWNHQLLQLFPFIDSCTMHLSLDVLF AFVLMLCTENKNNNHFKKILRLSEDPHCIQYSTTPHYTCIGYAQLVLTMQLLAFVRRE L PHYBLDRAFT_150839 MKFTATLTTTIVAALALGQTVSAQNVTECAVAGIFNQCLQNEDT YIKTCIVTDYACLCRWHTVKLSCWNNCPNEPGRATQQSLTTSHCAMPGANATSIWSSW TPPTSAVTIIPSTTASPSASASATPTVAKSAASTLTVQQGLFAIGALAAYMVL PHYBLDRAFT_79415 MHLKALIISAAIASVACADSISFSVKRKWNKRGDSIHTQSLLSD NGLFAGTLEIGTPPKEFTVLFDYSSPLTWVPSSKCHSTECTSYDRPVYDPSSSSSSLD LHQKHSVKYNDGKCVDIELYQDTLAIAGVPFKDFDIGSAYSVSNIGTDIYSGYIGLGG YNDDGGIDWNTFGKPQSDAPSAYNQTQSSLKKRLNGFASNIPNGRVVKRSSGSKKRWN DDYALFIFGGIKQNLYTGKMVFLDLPTCDFGDSRYWKLEVSCLKIGNTFDLKFKPKTL AKIDSSSLFISGPSSDVAALHAALGAEYQESSGAYTIDCATVSSLSGLTFSFDGADIT VPLDSWTHKSQDGVCSTLIRSNQGGADWGLGEAFLSNFYANFDYQKRQVGLAIPDISP NSATIVETKKSS PHYBLDRAFT_178261 MLISKENRKTIYEALFKDGALVAAKDFNAPKHSELEVPNLEVIK AMQSLTSKGLVKTQFSWQFYYYTLTDEGINYLREYLHLPQEIVPATMKKAARPAAPRR AFGEGREGPRGPRGDRDDYRRKEGASGDFKPEFRGGMGRGRRE PHYBLDRAFT_79417 MEPIDTSPIDSPRPQSKRWVKRPTSTKPRKVDLELQRIKSLAVV SVLNKAFHQEGQESPSPPPIARQQSSSSIDKMEFRMLRSQSSTTSLRLEDPPRSRPRS QTLNFTRKLKQEELDRIEADLLEMFAIVLREAEAHAMCKSSNKKDQPPPSSPSPSSPL SSISTISTAENELAERYRVLENLYSASTERLEIASKQQASQERQQVQRDQTTQRTLTA CLEQKELESVKVRTLSELVLKQEQLIRQLESNLDTMRLENAQLQLVAGEDGLRRLEEA RASMAELALGVDGLSLATQDHTDQLANLQAALGTSQERTECDLKRSEILSQECGAQRH DLDDKLISLMRQLADRDQLIKNYQTRAFIENSNSWPPRRNSAPHFVRAQKREQDRRIS LVPTEKEDLEDDDGCTIQTEQSSYSSISLSGSGIPRPPDGPIQQGRRRSSAQDNNRRS YIARWAGGGSVNGSMIPPPAPPPTDPLPPIPAETITVSRSVLPRPVSVDNYARDEPID TYREFTEQLQARFGISKEIDELRVWQSSDLEALQKQVSSRWKDDPESRINDPRRHSTM TISSKESPAFWRGMKKKLGV PHYBLDRAFT_79418 MPSAKMRLAYSVISVLFIWLSFFDHVKASYAVPASYYSGISPRA NLAYFVRNNKLYNYGGQVPTNYTSDIFTSLSLSQSDDPNIKGTMELETVPQALPGSKN SFSRATLLPDGNRAIVFTGSDDAQLEYNETIYSYIYNFNSPNASWVRFPAPSNATGPM LRTDFSATLAPNGKIYIYGGTTLILDASYNDIWSFEPSTGVYTDLTTPDARYVFGHSA IALPDGRIVFLFGGVSETNISETIAVSSKDITIFDTKTNSWSNITATGPPISDRIAAS AILAADKKSIIVYAGNNGKNLLTVQSYNDVLVLDTTTWIWTIPEVAGPASIRRSRGSI GLVSDNVVIMAYGGELNDFSSNIDVLRFNSQTMTDFVWVANKAQYNDPSIKDGEENVF TGLSGGAIAGIVIGVLVFVIVVLMIVFKLWRPVLSFISYIHSDIVWRPRSGEPLWTET CRLVCRFIILLIFFAFFSFTIWQVVRSPISTITIRTAAAAVQTPDIRICFEGWNNAEG AYAADENGVAVRCNTDTGDVCNDYVTLLDMSISEPRFSDSIGNVTCFLYAPPDSFRLV KTLDGSTNGTQLTFSLFGDGTVQGAIHANIYPPGMDPNVATYNINTTNVAQLYSPADL AEWQRADMDDKKAENVYDIEPSTYSTIGYNLQNHRYLQNNGWNNVGFLPVLNDTPEIT TIYRSGVHNLAFTTQTPSMIGRIVVFPNDFDEVVLKEQKVYSLLNALGFVGGVFSLLI AVQAWLFGFRPNSPWGVIHRWSVGSMKQSIKRRLRTQFSSLHTPIPLINPVHRRFSTF NLPNYHNPNDDPLYLDQDELDQDYRLGRMEERMQLMELLFKSYYINDEIFKELDLAIK QEDNNISIPSKNDLTPTDSDRMPMAMRNRRPSSPIMPEEFQAQGPQSSLSSSVQPHHS RPPISNAFTDGSTGTGLTPGSQQRLLGTNNNNNNDQRL PHYBLDRAFT_69253 MCRNEIQVPRNSGVTKGWCLVFHTQNVTILSQENWAFPCKKKEE VCPTKTEYVDLFGSNTLVKIYIYFSGGEADVYGNSSWNSMSAARVTRQDGLRAYSSTN IAFFLDRQNYMIEKERIFNDNQGYYVELRNLLWLSFCFLEKLNDIKQDVYSFDGLFK PHYBLDRAFT_173672 MEEVIKLEALFRSCEGSQQVANLLNKIKKVTSEFEGKTGHPSIN FQTPEKIKYPGRRKSSARRKYLPKDFGRANWRKISVSPGHAGFKAMVRLRARMGEGKS AATQKPENNKKQNKSKQEPLDPVDATKEIGFKRPATALEDYQYDHRTSVGKRVKFQPD FPVSHEIVDDVKGGFNPTADGWDRLSALPKYKEIYPNTFGTDTSQLERIIRHGSQLDC NNTNFNTNFIPACSDASMWFNTPDCAQLAADTYTRPVCVYSDNPNTPSTTFLPFALPN NKTKQRQPLIFNHVNNNHWTTVNLSRNVSRKWPTIPELFFLGCVRNQIPDNFDTYWNK FKEFNKHDRRNAMLSFLSDQEEPIDLSIK PHYBLDRAFT_173673 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANLPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGTKGAQKLL RKNPNIFQSGIKQ PHYBLDRAFT_89096 NCLRMKSFISLFVISSLAALCSVAEAKSVTGDQVLVLLDNLAQK DSYSRLWETLQDRDFKLDFKSAVDGETSLYYFGRPLYQHIIHFAPTTASLSKHKNLGN VELVKFVNGGGNLLVGVSSDASNGVRELASEFDIEFEPAGTRVFDASSTNSNANDKDS ITTSQVVAPQSIIPKDFSSAPIVYSGVGLTVGSLPLVNRVLSAEDTAFVAERFGKNKP SKNPVDLIGAMQTRNSARATFVGSLDIFSDALFSSPVEKVQKDGDVVKFEKSGNEEFV SELTKWTFQEKSVLKVISHYHHKENHTEQPEFYRVKDDMVYTLEISEYENDHWVPFKA NDVQLEIIMLDPYIRTTLKQVPVAPEHHYGRFKAHVQLPDVYGVFTFRVNYKRAGLTY LSAEDVVAIRPFRHNEYPRFLTAAYPYYASVGSMIVGFLVFSAVWL PHYBLDRAFT_117850 MPVYDQPRRRYLGGIAALLGVVFIWVGSSFAMNSIFGEQNYNKP FLVTYLNTATFSFYLIPLLFKRPPPKYTTEESTNRYSETVIADNQTIQETPNQMDTKL STIETVRLSFAFCILWFFANYTTNASLAYTSVGSSTILASMSGLFTLGIGSVFGVEKL NTTKVVSVCISLMGVILVSYSDQLSSNPVPSDLSSLTRFNLPAPLIGDMLALSGAVFY GCYTTMLKLRIGDESRINMPLFFGFVGAFNVVLLWPVFPLLHWLGLETFELPPTSTLW FMVLLNAFIGTFLSDYLWLLAMLMTSPLVVTLGISLTIPLALIGDIVFKHFEPGFQYA LGAVLVGVGFFAVNMDALREVEEETVFNDIEDTIQDTSYQSINSSH PHYBLDRAFT_117680 MEITPENQNDITWEKNRRYGVIIDAGSSGSRIYIYSWKDHEHIK STVDLNELKGKMPIVERGDQHGLKWTRREEPGISTYGSKPTEIKEHLGSLLSFATEVV PEEMRPSTPIFVMATAGMRLLPESEQEAVLGATCGFIRESNTFFMSDCDSHIQIIPGE LEGVYGWMAVNYLMGGFDASFQDPLIKQSKKEQHHTFGFLDMGGASAQIAFEPEHHQK EEHMDDLTQIFLRTLDGTEMEYDVFVTTFLGYGSNEARRRYLEERVKSAYEAADGKNL LDEHHALHIEDPCLPLNLNVSDSHSTSVSLSLHGTGSFDKCMEYTMPLLNKDAACPTK PCLFNGVHTPHIDWSVNKFVGISEYWYSSHDILGLGGVYDFVEYEKKATDYCARDWSE FTKEHKEIDILELHRYELQCFKSAWIVNVLHDGIEIPRIVDPGGHGPGTDKALLEQSI ESVSAKNWNPPFQSIDTINDIQVSWTLGAMLLHVANQI PHYBLDRAFT_160258 MANGGPSRSPLQGDGVLGISRSRVGFVIHELSDEDEYMSDNQAP DTTVQVPVVPATQVPGTGWLSSSASPRASPRGSLDERRSGWTTVRREMD PHYBLDRAFT_173677 MKKERTSIPSVNSTPGSKFDKFRWEQHQINKLYGTADISFYDYG SIEDYRIRDWLTSNIVVDRTLEKHRNLVKILVNIFDGSCLHMSTQKRLMTQVNYGIYN KLILSL PHYBLDRAFT_9278 KVKRKRASPSQLSVLNRVFNQTYFPSTELRIELGKQLGMSPRTV QIWFQNKRQSAR PHYBLDRAFT_173679 MVPIPGTILSIVISYLSEKEVRCKKQKVYQAYCHCVRITSPRHF EKVPFITSNTLSIRPISNFRFCFCYSTIGWEIFIEKGELRRPITNFKLQQQQQQQQQQ QQQQQQKQQWHNILYIQGIFLERTTLLWCAFDVTQSFYLYSPYMSPSWPVTCVYVVYQ VDQFNII PHYBLDRAFT_127826 MSAEYEGAIGIDLGTTYSCVGVWESERVEIIANEQGNRTTPSYV AFSDSERLVGDAAKNQAAMNPKNTVFDAKRLIGRRFDDADVKADMKNWPFTVVDQNEN PFIQVQFEGETKTYSPQEISSMVLIKMKEIAEAKLGKKVSKAVVTVPAYFNDSQRQAT KDAGVIAGLEVLRIINEPTAAAIAYGLDANDKKERNVLIFDLGGGTFDVSLLTISGGV FAVKATAGNTHLGGEDFDNTLVNHFVQEIKRKHKKDISDDARALRRLRSASERAKRTL SSLTQTTVEVDSLFEGVDFQSNITRAKFEEINSTSFNGTVEPVERVLKDAKIDKKNVD EIVLVGGSTRIPKIQSLLQDLFNGKELNKSINPDEAVAYGAAVQAAVLTNQAGNEKTQ DLLLLDVVPLSLGVEMQGGIMAVVVPRNSPIPCQKSKVFTTASDNQTTVTFPVYEGER LLTKENNLLGEFSLTGLIPAPRGTPELLCTFDLDANGILKVTAQDKTTGRKADVTISN STRLSAADIERMVNDAEKNAETDKAREAVVQAKQDLESYVYQVENQVSDPNVNMKIRR GDREAIETALAEAMEMMDISAEDGSADEFKGAQSKLKRAATRAFAHVYSQRR PHYBLDRAFT_183359 MPLIPREELLLAGKKKLKNYQLRRQSMNNLKTDPTTRKQRPSSL LLDKSLPSLSSLQSMDSFSSIGLPTPTSPCCRDFVKDSTEYPSSPPLEKKATELTSTA AKPSFQPFPFTHKHSKPLRHERSQSVIDYSTQFPNTWACSQTRILEPLHKFDEAMVWM QQNSRLSTENQRLQKALDDERSCTIRLAETLAQTELELEAVTAATVSAASEEKIKTET ETETEKKECGLLTATEVEEMLRQVRAVERRRWSEIVERLERQVNQLRTDHKKLK PHYBLDRAFT_183360 MSTLESQPSMTATEFAAYIDSVVETLQTKMDGVSGQMNEKLEDM SNRIDSLEQTLSDVVKQMDEQKPRQDPATTTAGTEDS PHYBLDRAFT_183361 MSTEQEPELTDEQILIFEQQIKDQEAQKIPLVCQEEPIAQLVQE FSNNQPFLRKINNLSKKYGRIRRCRGDGNCFFRAFAFAWFESILSNIERYEAGLAKLK TTPDLLELGGFQKLAYEDFYDVTLEQYELLPQRVSDPDMLLVNFQSEEISNAIVMHLR FVASAYLRIHSAEYEPFLVTEMISIDEFCAMHVEAFGRESDHLQIIALTRALDIPVEV IYLDGGAGDNAAVHEFWPDETKKDENKPLRLIYRPGHYDILYERP PHYBLDRAFT_188848 MESINQHSIQPESSNSTSNVDDKISSSKNTTLEEDDDESMACAI CSENWTGQGSHCLASLECGHLFGQSCIFQWIVSQRLRRGDGKSTCPICMNPFRKSSVR VIRPTRIAVHDETDILELKRELQAAQQKSFELARDLEKSVLAINMCKREFTKRNISHT ILDGKIHKPTCYLIVFNTNSTTYDTDTVEETLNYPTNETTPVKLDTTLDTVPDVQNVI PAEPLDNELDTESVTESEVSVVISDDLEIIDLDESSVIVVDDYSTFEADEHDGLGSHL SDGLEYRSLEEYSNIILTGPEEVVRSYRPICTLRLPQEIQSARTMALMPCASLAVVGM KHNMHGHGIQKVNLADGSLTEFIPNHTNSIRDIKCSSYGRAFILSTGLDKTLVLSSIY DNTVVERFQLEAPGWSCNFDDSRFNIIYCGLSNGVIVVYDILNPQQLLYRLRQDQNIG PIHSLYTVSIENQYRIMCSSVSGSVGWSIDKANEQPPVCRPLIDTDIIRGYRPYSLFY QPYGGICLLSSRNRHETYHIVGTITNELEIEPQWSFISTFPQHNMARTTIISEGHRWP IVAFANETNKQIVLRNREGLVQVLEPWSPVMDIKHCLFRGNPLLAALTLDTFELYSYY PHYBLDRAFT_173684 MVDTELDTTKTLPSVPSSDEEPGENKNRKESPPEGQSLALKELD SLLAETPIEKTEQNDPSSVIPSHTDSEEKSSEADDDDFDFYQISFDEVDDRISAFQED AFVSQALESGMDLREYARQVNQQKENVQRDLENDYAQQVQSFVDLHFEIESCDGVLGR MEELMNAFQSDLGNISGEIKNLQEQSSFMSVKLKNRKAVETRLGRALKGMVIPPYVIK KITEGEIDEMWLSYLLGINKQMRFVKANQHRPIKALRDVGPELEKLRLKASASIRDFF VSRIHSLCVPNTNIQIMQQSVFLKYKGLHMFVLERHHDAAAEIQQTYVNSLRWYFHNH FERYSKGLLKLQTVVTDKTDLIGVEENARKGGLFGGGKQAFKDKTNVFALGDRIDVLR LQDPGVILVHVAEDKEQKYQFEQLFRSFNLTLIDNASSEYLFIYEFFSRDPAAAADIA KNIFQQIYEPTERVGKAFSKACIDNSYDAVGILLCIRINTQLALELQRRRVPALEGYT NSINMLLWPRFQHVMTLHIDSMKKMANNKSVLSAVKEIHPHYITRRYAEFSTSLLVLN DGYDDAILTNSVQRLRNELEGLLARMSNEFPEVARKIVFLINNYDLIVSVFQEANNRA VEAELDHVKQILSLQIGAFVDEQLKPYFGALIDCVKRVEKMKSELDRIAYQFSQTWRS SLTGINASVIQYFSNFKNGTTVLHAVLGQLIVYYTQFLDILEQRGVSKVQPVGIQTVM VEIKKFRSSF PHYBLDRAFT_100505 LHLDLRGTRVDLDRETLVSLPESLLIAMFPHGLALNKQDTYSEN DDDPSSVENDSTMWTFVDFDPACLDYVLEFYRQALTHPLPTQLNTAAYSPLTDKHPII VLREELEYFGLPNIKGDMAALKVACGQHLVQHDSIFSALQKNINRQKNVAEQHLIEML CDAGFESTDKWGFRALEPMRTCITSMGMVMLKTSGSEHAMATAQKLLLFWRKPARKCW WDGTSFETQGQAVRLWARRTWTLELALV PHYBLDRAFT_127833 MQNFIHQYLWPYFDSETASLNHILSICLVVNEKFRQRVSPWDAF SSDAAKFASFFGRVTRLAVSSADKKLTLNIRRSLLLFLIHSFQSLENPLVRPECLKLV SISIWSNLAYDSRRESILTEFAPLRKLWNTSQKKLDASDESTKEKLVFERECLSNLMK DFIEIVYRIPKEGNVGEDLIKYAERFLEFLIDLEAQLPTRRFFSTLLDDHQVVVLCKL APFMERKDKDVELIKQLLETLSFYAKFEINDQTGLALTDIEMTDAHCAQLIQLQHIVF RQFREEITELPLANLASIETRTDLLWHFEPLSVDVLTRLCKAVDVRSEFLVEGVTPFV DRKKLLLEGLVSKYEKRLSQIEKINGLPLYPDEETLFSDGLVQTQFYTSDRPLSLPKL NLQFLTIHDYLLRNFTLFRLESSYEIRQDIEDVVKRLNPRLTYPDRTTEFAGWARMAV NIENFNIVDVARPNLGEDKPAHVKADITYDVGKFTDSIRREWDNLRKHDVLFLLTIQA NEESSHRYDDSSDFKSHYGIKYVRGCEIMDIVGSDGRPIDEVNKPNIEDKQRRWSGST RTLRVELDPNQYKEDMQAFNKKRREDVHETFNILVRRRPQENNFKAVLETIRDLMQSD LVVPEWLQKVFLGYGDPSSANYTKLPNRIRELNFRDTFLNWDHLKESFPGKIVKPVDN SEETLEPPFVIYSVESTEGEQAPQKKKKKSKKSDMVQDEQPEVLEVSTYKVPNMGPYP QDIPKKNTVPFTPVQVESIRSGMSHGLTMVVGPPGTGKTDVAVQTIANLYHNYPNQHT LVVTHSNQALNQIFEKIMELDIDSRHLVRLGHGEEELNTNLSFSKYGRVTSFLERRIE LLAEVNRLALSLGIPGEHGSTCETAGYFYRFHVLAYWEPYITRIENGTFEEIRDQFPF AYFFANAPNPLFTDDMSADEALEVSKGCFRHLEKMFAELEEIRAFELLRSGYDRANYL LTKEAKIIAMTCTHAALKRRELVGLNFKYDNVVMEEAGQILEVETFIPLLLQEPEDGV NRLKRVIMIGDHNQLPPVVKNLAFQQYGNMEQSLFTRFVRLGVPTLQLDAQGRARSSI AKLYSWRYNHLGDLPKISQAEEYARANAGFTYDYQLVNVGKFQGHGETEPVPYFYQNL GEAEYVVAAYQYMRLNGYPADKISILTTYNGQKALINDVLQRRCAWNPFFGKPAAVTT VDQYQGQQNDYVLLSLVRTKAVGHIRDVRRLIVAVSRARLGLYVFCRKELFENCYELR PVFEQLLNRPTKLCLEPRESYPAKRNIDQSVDKTEIENVEEMGKIVFKLSQEQLETLR AEQENVMQEDVEVDAMETDQ PHYBLDRAFT_150857 MASLKSLSRKFTSAASATPFRLAVVGSGPAGFYTSHRLLKEWPN TQVDMYDSLPVPHGLVRFGVAPDHPEVKNVMTTFDKVAEDSRFHFLGNVTIGKTEGGL TVEELKSQYDAVLFSYGASEDRKLGIPNEDVWGVEAASAFVGWYNGLPAYRDLALPLE DTDTAVVIGQGNVALDVARILLSPIDVLRKTDITEYALEALSKSRIKHVHVVGRRGPL QAAFTSKELREQMALPNVAFKADHEFIKNEITESQAILSKNRPLKRLMGILEKGSPIQ NAEKSWSLEFLKSPKEILTNQDRTAIHGIEYELNRLEGPLDQARKAVGTGIFKRQECG MVLRSIGYKSVPIKGIPFDARQGRVPNRFGKVLESETEVPGLYTAGWLKRGPTGVIVS TMQDAYETADTIVDDLKNGKQMLDGANKEGAAGLEPLLKERGVRVVSFDDWKKIEAAE FAAGAKLGKPREKFSRVKDMLAVLDS PHYBLDRAFT_150858 MVNISPFIAKYVRTLHAHHADLEIPYSHTQFGVVLMVDVVGFSS LTAMATEKGDSGAEAIALEIGAYMGECIEIIEHFGGDVVKFLGDAVLVSFQQSLDARQ TTVQPGADPSLDSIKETSERQKHVLVRRAVECGLQLLARQSHYRVYLTAEERTKHRTP TGEIDRRIRKSKKERFFLFDGSTSGVDNSLHPISTSSCSSSASVSANTNMSFFRQDDL PFQEEYTIAFNIWNCFPFIGRKRREMMYARRSSMSSVDLPGSNTNTVDLELHIALSCG DLTNIILGDFDGSDMPVSQFPTKAPGDIPELDPLMNADNPSLRYTGRLEYAICGPAVE SLEEALSVAQAGEMSITKEAYDIIQKQSLDFTYEKRDNFFVIKNADTMPPMRSKMNTA MRNHHAQHGSKISTVGPNASYLAERPGLMKQASKLNIEPLVARRRNNDYMEQPNEETN ENYSKYINRSALYRLQHSPDNNYPAQFRDVTIMFVSLGKLQVSTPEGLEMGQRALKIG MRSLIKYEGMLQQFAVDDKGATLLAVFGLPPLSHEREAVFAAKAALEIRDAYRAIELP GFSIALSTGIIFNAVVPQGNPYRRDPGIAGDAIIMAVRMLKLDFSKRNVVCDLATKQQ IGGICDFVDYGENTVKGKVKPLPIYGINKFITGKAKRVSLHNLEKNTDFIGYKIEMRK AVEFVNNWNEESNDHLLIISGASGVGKSYFCHALNKRINSYGASCCWSSSTEVEKSSK YYLIKSILLSLFELIDSESVPQNTKRQTTYLSNSPRLSPYSMEESIGSSSSSLVPQTQ SHKDRFRRLTVYSSQSRSTQTGTSGIHFELTNEVSELIARCLRKCGEDDGFLPLFRSV FATLSDIDDNKYISKLDGRARDILLAGVVTRMIRYVSEHVSLVFICDDVQWADSASIQ ILQHIHEHCQRVMLVLATRPIRDYKVTFITNLCATGTCEQIVLNGLGADEIGDIILQT FHTGVVKVSPEIVKVIQKRTGGNPLYVKNMAIILKDFNHVTVVDGELVPSSNKFDLED LMGNFDYKRIIKMQYDRLDSGFQEFLTVASCLDQYFTIYEIQAVINPNNIIFHETDPQ RIRDIIEHYDTYHFLNQMVENPLENSGEVYSFAHITIPQSIYYMVSYETRISLHLALA RYYEGQLSRENYPELLGKVTRHYLQTDQLTKQLWYLEQLVDVNMKSYLLSEATTSLQR IVEILDKNKDLAEQYGFLRRSNIYRKLGMCYTMRTKLTEGEQYLFMALKCLGEPWPQS EAEFVYKFYVNRIIQSQHRRWGVLMKYKKEHQKELARRILQIMGQLSNIYFYTGKGRS FVYSCLVGINICEKLGEVGQVYTMFLARNSLLCWLNDQKEHSIYYITQALRYMDDKDD AGTLTICAFLCFAAGKFKNARELLYQSIEAVKTLGVITDCQSFYRSAGLVITMRIFEG TLDKSPDDLILLKQMSDTAHSNGDYEAEIWLGVYNIANLLIKNRLKDSEPFAALLEAH LKHAADYNRIAIHGVLLYFYARYRNYENARRHTKYLVQILPALTVTPNIFPIFGLVFA TMGLYSMVEDEQVDLVSAGDTKNYDRFILGVSRINHAFQQVKFWEFTQPCLYLARALP YISTGRTVEGYMVLRHGVFEMHFIQEIRFLKAFYWANLGKYAFTPADRIDWTDRAKID FDSLGIPSHVYCNPDPAKLYSRGAPADLCA PHYBLDRAFT_173689 MSQLKETVDVGVLENSYVQPQINKKIQIDMEDGSRISSTSENIE DIEKGSEPQLKRELKSRHLAMISIGGVIGQGLFLSSGANLAKAGPAGLLIAYAIIGFI VFWIAYQLGEMAAYIPISGSFTVYCRRFVDRSFGSVIGYNYWACWSIIVAAELTAIPL VMRFWTDVVPDWAWSAIFLVLMFALNLYGARGWGEAEYWFSVIKILAVIVFVIVGCFT SGGLIGGTTYGFKYWKDPGAFSNGILGVINALVLAALSMTGTDIVGVSAGESANPRKA IPVAVKNVFYRIMFIYVFSVFVMGMIIPWNDPQNMRTGGRDVSVSPFTMVFQKAGLSW AAHIVNAVILITLISCGNSGMYVTTRTLCALATEGIAWKRLAYVNSRGVPIYALICTS SVSLVCFLTSFIPGEALFLVLCDLGGICGLLTWFGIAVSHYRFRKAYIAQGRNLSDLP FVSPGHPYMNILVMIACPVIVLISGWSYFVPASATGLLGSYLGVIIFVFAFIFLRFWT KSKLVPLLEVDLDTGVRYYSPEDLQQEKDESSKKRSFIRKLIAILT PHYBLDRAFT_183365 MNNSSQPPVPSSRPQTIASPPPPPPQPQPQSQPTPSSNYTYSAL PPQELPPVTTHEYPGGPLGRAGLETKPRLILLTSVGTLWGFAIGSFLGGQQAGLQYLA ENAHRLPTTVQGWYFYHKTKNYRMALGGIKRGAKFAGRTGGLCLMYGAIEAGLDDIRG EADVANSVIAGVTAGTIFSAITRLTRGSFRYSVLFGAAFGLATGGLSDLHRYAIGHPP SYIQWLKQKVSKENK PHYBLDRAFT_160265 MYQASKEWRQHSPVSSVKSSKRSQVIDNDDQSEEWTGYVPSNLD IKGTAGGEEEDEDEIWKGYPGPVLSNESNETSPRRGSSQSESSEPWKGYEATKMESDW EHELTLKVRENDWQGYTLETLDEDELDSSTMLNGEFEKSRQVRGRVEPNLFEKNNFRK GMGTRKNQPNPQNTKRFTP PHYBLDRAFT_173693 MSLFLVCKNRHTVKGSVQELHENNYPKNQRQLVMGASRLSPATA QNIATWLSDKERYECLFVNRAWNATFVPIIYRTILITSRNQRRLLLDRLVKSEKDGSI GFMVKELRFTDNVGISRDDFELLPKLCPFLEVLVFPPSVWQHLRCSKVLTRWKYLHTL PVLSREKLANYCLEIFGDQLREITFDGQLVEELHQRDGFVPMFSQVHWLTSLTISGKP RFNSYQNKLCFDPKAISAIHESCPFLQSLSLSWQTLAIGPSSQPHTLVGIQPAPKMQR LKLQHVQLDDPHWIHLFVHKYPNLASLDFYNVNWTENTHILSAKRHIAYQEAYIAIAH NLKKLRVLKLNKLEQHLWPNLLFFDAIESAGTMLNTIEILPQHNYISPTITSPAAFQE MVQALTVDARSVSFKMGVDDMYPSDMLVVLEAHCPYITELDLSGSGVLELDLHTISLE SILDRFLNLQSLRLQNFDVEAQNKRGLPVDTHCLKTFHLAKVWLTNDHVFCYLSVRCP QLSDMSLDDCTWRTNKPSMNIKIDMPYHTFRKFGVRSMHIAHHIEGIWTRLEDGTIFS VTEVKRNRQILGRKGPRAGQNGWYRIDSGMTRWYHLYQFKDGLRLRRLELRDIEMVKD YRMKLSDFEKMFEHDSMPSRTCYNPIEFWEDDIPCGYFTFRCHSIDMFIYDFVVL PHYBLDRAFT_183367 MPETIAQYEAHHAYDAQQQDEISFKEGEIIHVTDQSNDDWWLGT KDNSTSGYFPSNFVSPVNVTKDREDPDTASKDTSSEDKKEESGDEQPEEKEEPPKPIG MARVMEDYAMQTSEEMSLHKGSIITVYEKLDDGYMRGEINGKIGKFPAQYVEEIDMPG RPDIGMSSSPRHEGSDSSEGPAKPAFKLAAFGVKQGGIGGLLAGGFPTLKKAGTPKKS VEESHVEPTPVVHTPPKVPEVPKSPAIVESPAQTEKPSFGKAIVLHPYDAENGDELSL IRGEYIDILDRDADEGWWEGRNERGDVGVFPLNFVKEMEDEPTAPPAPVRTRKSVTSV GSQQSLNSPTLTGGFRLPPLPDKLSRPPSIASNISYSRPGSIVTEEQPKPSPEPMSSH KEEEVEEGVGKPKSEEVYTPKAKDIETAKSELSHSEPLRVEVPKVESPKSDEAASPKA ETPNIDQVTSPKTAPLHVERKNSDAYFPVEEEKPESESENQEEELVEEKEKDEEEEKT RDIASPVLISPAVAPPITPARPSIPSRPSSHTTSETHLESAISPVSTPKIPSHEEELV VAASRSPEIASPAQELPVLSKVATLEAAPSTDKNAENEAPAAKETNSKTDVTEETKVE HVEEEEPKELVLPSGPKLTAPTRARPTRARRAPLTPSIEPSQTEILQKELEQEPEVVS PEPAAREVSSPDRPSPPVPVKPVKPIFNKFPTPFAIGAGDISKTNLKPVQRRMWEPTP AHEPKEEKPPVATASGVADEDESAPRPAGVKNIASRFQFGGASSGGNEVLETKLKNFA KNEVDKVRRELERKLDEERTKREQLEALVQAMSEKIDQLESQIQ PHYBLDRAFT_150865 MSPKGSTNTNVFKTVPPRQSRPAKLSADQMFCDPKNIRLKAIVD EALARIAMHEEQQKVLLSKIDVIVANLIAIQEQNNALTEELRVANEHVEFLHNQLQLQ VLVPGASAFTTTVLPSTEIAPVENASASASAHGPVPIPTPSPTTGSSANPFVYLSYRH HLKYSQVRKLLTTFKIQQSCVLDIAFPERGTFSLLVHNDFKDKITQLFADIGVSVKTD FDPLDHQIIANLARACKPMQENQQITYKLHRQYLLALCLRLPAPLGKSVMRHFCKVES SSLCLPPVCLEKYLEDQNLPYGPQASASAIGTATVMVIG PHYBLDRAFT_150866 MDPAPPPEVHLIDYGLNCLPRSFKSLGTWCDWWPCLLALLRAVD KTTSSYKLPEEKAHGQILIDLAAKFRATKPTRPHRIPLPTQEPVPGDPFPHLLSEIST VPRQPPPSVPARNCA PHYBLDRAFT_150868 MRRNSLKDNFRHLLFALVLPMVGRWTVLTCPVHEVKRVKHSWEP VFLPFFEYGGTLRQRLRTLLGRNGGGSSGWCSHPYSNKNNSSNKNNNKKSNPQNKKDS NAEAGQMSYATQAKKGIDAKQAKQKVQIRRVQGQRLLQKPTGPSEYEFVYLPAKRYIK YQEMRKILSSFKIPTSRILDIQFPARGTVALLVNGEFREELIALLGKAKVVPLGNFDL TAADVIADPKLMEEAIKVMARKAQDLFDARLVKASLCMTTYLGHGVTRNFSSKKAVVK ISETAVSDYLEGRKTTSTTAPNPPKRQSLQHMNRLKIGLFNANRLRPKLVNVIDAYET NDIAILFVAETFLPESASALQCPWTQIHNFAIPKRHQSQWMASRYL PHYBLDRAFT_150869 MGYYLVDGIYPSYGNLVAAPKQATTIKEKYFCTQQEACRKDMKR AFAEDERGVHGLENLSPYHPEDIATISRDTDIDVFNALFERRREIRNKDSSLQLRQDP INHHWDIKGNE PHYBLDRAFT_150870 MQRIINYPKNSRVVVSAPKGPGQHNFAFDDIGKTCSLCGKDFDR VWNLRRHLTKYHKLATHIANDISPQYADRNLASQRQTTNTAEPTAPDHNDDSVNEDLH VESDLEDDDSSDVDDMNSDGDDNVSEIELDAGESIIEMDEDTSPFESPSPGNHLYMHI RNSMLSSASNTSSSLDADLDLLREATGSHTTWNQYTSDTHPFPDLQSMVLLAFVDGDK DMVSRRILKKILFTISLVLKLHEEAIRKKSPFKLPRLDALLNYQTRKKSKIPVFPSTK VDIQLPENNTTSAYINLPSDHVRFLAANPKKARNMFSLPDRTPNQSICLQQGEKWRTH WYYQQPMFTHNGVDFWSGDIVNFMNDSTPACFLVESFHTIDNSAIFVQGYMAYILEGS QFIGIEVESTSIKLETLLGVDLTPVDIALCYSISPRKVFHLILRHKFLLEEPHFLKRH VLDETGKPIDPKLFYKVRISPIILFTDDTSGNRSKQYNPYESWSMKFAALSYEERSSI ENIHFLSAIPKKKGASGMSLLPKIVEDFKRLENGLVMFSAKDNENVLVASPLLWIEAD TPCHSELCGLRAPTSLYPCRKCYVRLQRFMPNLQSSSYYTGRHTARTKAHYLAAASTS GRGSTIPDAPLTGNALTASDLCFANRATDALLELQSFDPSTDTPVEVLHNILLGVAKY LVNDLVKVVLKKNPNQMARLSKALKDYENSQGMSRKFTRELRHCGSFLGRDYKVLLQI LPAILVTEFANDSILSLITPSFVRLGRLCSLVFVRAVRYDYNMYIDEVEKAVTSLIQE LHHYVITCEIEGHNPYSSKPKVHLLTHLPDDLRRFGTALHYETEKGEQFNKHIRERLM HTNRLNTSRDVCLKFAKQSAMRHIIDGGSWVSKDKMREKYGNSTAEFLKENFNDNVKN ILFSGSRDFADNNDTDDITAKALCDNTFVVFMLKESRDQHAHPFIGKVSSLRVEHYRV ESSPHAQVNNYLLAQKVSNDASTPLDQLKIVCKLDMHTEFNNKLVINLSKFGSYWFFV SLFSNRQY PHYBLDRAFT_173701 MYHFKASPVSWTKYYESYTVVNGSIYNSVLIAFIRARIEYTLSH SENFNKSEPVTAPYKQKRIYTVLFYALVSNIRARRFSSKCDLWDLRLFLHLIQSHMAL PITPPDLLGDPPSTFGSPSPHSTTPSSPTTSQRTYSVASTLAPTALLPHQPHIIYGDS IDGLPRIWRAGTAPHTVFYNAPLPTSPLHNAFWHALKSSAFADSIVKGTLPSRSAPTA LEVQFLDAASCAIACAHPISVSDQHFPVCIAVAPGRKVYRVTLSRLPGVRYPDLVTGL QWCLAPFGIVHEIVVRESYTFFDGTGSVLLERPDPPAQQVAKLAYKISYNNNTTILGE WAHMGSHCKYCKQISHNIDACPARSSETRTCHSSNKPGHLQANCPHVSEPARRSATTN KRSRHLNRVPHQDCIVLPRPLTTNLPTGTSADSIHNPANKASSSLLPIEPQRKAKVVN HAEEETPSDNTAYIVDPEDDTMLDALPEQVNSDKAQLQQEPEKATDEQALLEAIQATE TERVRRLTRHTPNRNTRRSLSTSPTRRNTASCNSSLSPPPRGLPKVGRPETRSLFIRR LRSKGIDLLALQETHAHSIALQDTFTMQFQSSSLWSPHCGLVCLSKDIMLTDPLFSIC GRCITATVSHAQSMFNSFRICVIYAPATYCERHSFLTSLLHNPLLIPASPTNMILLGD LNHSLTTTTAHSTPPRPWLQFLTDRLVDCVTPTGKVPQPTFHRGTSSSTIDYIFASSD LASCVTSHSVEYIHSQWSDHCLVTVALSLPSSQTSGKGLWRANPRLAQLTSFQDELSV FLYTFVPTLPASNSPQTNWDLVKSEVTRFIKRFSRRISPSLSTLEAQLQRLRTAAIFT HLAVSPFGLWHVLRVVSLPMSFFQKIRSIMGSFLQRGTFPPISLDTFCLPRMQGGLGI IDTKTQQSALQLRWLQPIVRAPRSPPGLVPRWMSRLLQASLQSLSPLFPLLFPSMRPS GWRDLTSPLHLAFAAIDHLPHNFDNVVVNSTTCLALPLSAVTIVPASQARFPPSWQDL LVSHLYTFDPVLASLRSISITSSHPRSRVINKFLGRVQLNTLTLHSIIVRACCSPREL TEQYPSLFVQDGTSIDLFPFFNAFVPSQTWARLSTRTFRGLCSHHLVRARYFDPPRGS HHWRTFWSFPLPLVARNIWFRGLHDKISCRARLHSLLPLAFPSPTCSICSLFSDSQDH FFFTCPLKNAVWIGMWLEFFGTIPTPTALHNAFHFFSFPSSLNSSIPPSTVFGCTLLA IWRHHWTFIFDDSPFVPSAVVGTARKTLTRICQELDLNPLF PHYBLDRAFT_173702 MAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQ EPLEEAKTTNFAKKQEPLEEATILLTFNPKSDGWCGFRVFSHLKEGGEDQFPLVKKML ATMATHGKLYEHNFGMDVAEVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIA DTYNEPVCVYSDDRSVLPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHVHR SWPKVNALYFDAIRRGSIIDCFSTSWNHWGQFPKNKSYLLPSTTTTTTITTTATKSPT NSPVNSSDIIDLTHI PHYBLDRAFT_150874 MSLLVAEKLEKLPKAIDAGASFIWAHNNDGNLEQTSRLFARMIA KEIGMEEVARKEDLVQHDMARFYAEPDPHEGETVANAPECIILSLGWREKVMGIALQG LSSPVVVITLTTACSERFSSRWIKGVFRGYPTTWYLSLVERLGVEPFDRMDTGTLSAR FYAEPYPHEGEAVANAPECIILSLGWRDKVMDIALPGLSSPVVVITLTTACSERFSSR WIKGVFRGYPTTWYLSLVERLGVEPFDRMDTGTLSVLATAQICYQ PHYBLDRAFT_160266 MLMSLFGILKAETSFSNFLVYMFLHSLLQSIPVYVPAPNSLPMS DTHVPVDHADHADHADHADPGAMNIPELIVTFTTALHDSQLPSLYAAPISAHINISLF MSVRI PHYBLDRAFT_160267 MAPARCPDRIATTRQLDERCAKAQGCNSLSFHTTELKGNQLCED QDSHSIF PHYBLDRAFT_150877 MLWTCHIFTHPTIGYSLFFLTYGRHSVLPEDPCVVADQTVDQLE NVGRARKAAELRMSDMSSKCKGKWDAVIKKATFEVGDRVNLTHEGHSGLEPRLKGAFI VMNKKGQVCYVSAQKHGRQTTRILDTWYNPAASHLAWRVVMRLLDWEIIDDVGACGRS QNQKGGNFRIGIGDQNWNLQAASND PHYBLDRAFT_160268 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_150880 ARFYAEPDPHEGETVANAPECIILSLGWREKVMGIALQGLSSPV VVITLTTACSERFSSRWIKGVFRGYPTTWYLSLVERLGVEPFDRMDTGTLSVLATAQI CYQ PHYBLDRAFT_150882 MSGFDLMTKTVFKYTICIKRDVIYLPGYHQSNCSSEKHIPPHLS MTIDMLGEADEAFVNHAHSLMLTLNVYWFKLFEGRTYTGGALYLLINNFPKEDQMRPE NIILVDVMPRPKETTKFPNGTTVYTAIMCVASNILAASNAVGFRGHPITNDCHKFKRY FSAIIGSKSDVERGDLEKQSGMQFSELHRLHDSFEMVLYFSAAVIARVQCFVDGIIVP HECAVLFEKVPSGSSYMKAGEWRSWCLVHLLVVLKESMSKSDCNNWTTLVKTYRKLRD TYATYSNIGDARQLLGIASNMHLHLHLRESMLDFSPVYAFWMYSLERYNDKLKKNFKT NCRNGLECIDNGQSPSLPFNLPMFQQVVNSQWYNLIGSKALPPTTLPLKSQPLNTMSI QLGECAGKHSHSEDEEYLVA PHYBLDRAFT_173708 MTSLKIKNQLSLKQRLYEENDHQSIVELISIINVFILEQALKAD RLVNNDISFLIYMGHLFIMQERYVARRRGDQEIYGQWTINHPNLNDKDGVENNFKTLY RISKRLLKNLSIYVFV PHYBLDRAFT_68163 MLALYNRALTKRPILVQSISTALLFGAGDVIAQQIVEKKGFEQH DLNRTARMTVFGGVVAGPILSTWYRFVELNVKASTPLRGLITKVAIDQCLFAPAFIGV FFSAQGLFEGKSVEEIKHKLQTGYPTAVISNYKLWPAVQFFNFYFIPLNHRLMVTNLV ALGWNAYLSTINQRSSTSKDTQSPPT PHYBLDRAFT_160269 MICDGCDRGWHTGCCNPEISQVPEGSWLCRLCAECHSCGEQKDD TDHTQYHYATAPPSKLYDKAAYLATYCTRCYEHFEQSRFCPVCLKTFSEGDENDEEDN EMVTCDSCDYWIHTKCDETLTPEKYQSLCDDEEAKYACPLCAGKVKPIVETEAVKKAL KGTSAPCGSCVGLLGGKIKTRGVVSYEDIKVGVPEIKGTGTAEMPSL PHYBLDRAFT_79062 MMTEQAAAAGEFDGEFGAGAGAGAGAGSVVGFGFGDVGVEVEVA AVGSADFDVVDVVGIVGAAVAGSVGAAAAVVVDVDIVDVDVVDVVDVVDVVDVVVVGV GGVGGVGVGAAAADGDVELLEKNIAWVPKSLDIHYSLPYFDQLLGTFGSNSIAEFQEH ERQQS PHYBLDRAFT_68166 MSPRESVASRKRRGRPPRRRQPEKENETDDLDKQTVNMSDTDTD EEMMRLRKRGRPRTRGRASEEMIKRVKEDTPEVSEVETGDGGESELDEAGEKKVDKNG HLLQGRKYRVSSFTLPLRGDRLFMLAMDPAKVLGYRDSYLFFHRNPRLERVRITEDEK RYLVKERLLVTWFRNRDVAVVTARSVFKCFGSKIIKDGKKYKDDYFETKAREEANQEM DIESEEQVSDQKETGRRSLLSKQSVAVESYKTVQPINGATWLHHAALAVRGFNAQLYE RRVEKPAFFDIHTNTRQLPAATQPKKCIFKPVNEDEMLDSSLEFNSSPESPLRGFGQY LLENDPTSTVALGLLSEEARQEVEKIMNDMRSALCVESHISQTKPTTNYPIAITEGQH QTEFPV PHYBLDRAFT_188856 MSLKSFISVPKDSHFPIQNIPFGVFSTAEKSPRVGVAIGDQILD LYEVSSAGLLDNVKGLKDPKAVFGQSTLNTFMSLGRPVWRSTRSAIQELLSEKNQLKD NSQLLGRVLVPQSKSRMHLPAKIGDYTDFYSSREHATNVGIMFRGKDNALQPNWLHLP VGYHGRSSSIVVSGTDIHRPAGQRLLSKDKPVPSFAPSARLDIELEVGWFVGTGNEPG QRIDIKNAKEHIFGMVLVNDWSARDIQAWEYVPLGPFLGKNFGTTISPWIVTLDALEE FVVQGPTQSPEPLAYLKETVPSAYDVKLEVHIKPAESTKFQTVSESNMKYMYWSITQQ LAHHTVGGCNMQPGDMCATGTLSGPEPGSYGSLLEITWSGANKIPFDNGVERLFLQDG DEVNLVGYCDSASGYRIGFGNCQGKILPCPYSA PHYBLDRAFT_118021 MHYIADTRRQSVDQKLCQPDATKCKDSGREYLPIEDYAIIGNLR TVALCGTDGSIDFFCYPQFDSPSVFCRLLDKDKGGHFSITPTNYQSNRQQYLPSSNIL ATRFMSDDGVSQITDYMHLPTVNQRVSTKPLLPWIIRTVEVIRGTVEFDLECFPAFNY ARDTHTTEIVYPEEKTSYYAGTKRVVFKSKTLTMDLRHIVKCGDLECPAVNITIDKAG LVCSGLKGPGARSRFTLQESQRATFIFRQHHLAINPPLTASLMEALFRQTATYWQTWV ESSIYRGRWREPMLRSALTLKLLTYEPTGAVVAAATFGIPEAIGGPRNWDYRYVWVRD ASFTIYAFLRLGFTKEAERYMHFIEERCNDLNDDGSLNIMYSIDGSKKLDEYELDHLD GYRSSRPVRIGNGAYDHLQMDIYGELLDCIYLYNKYGSPVSYDMWCSVRKLVNYVCDN YYEPDMSIWEVRGKRQHFTYSLVMCWVAIDRGLRLADKRVFPCQDRDRWMKIRDEIYE LVQTKCYNKELKMFSQSVEFPEALDASTLIMPLVFFMSPTDPRLLSTIDKMLLPPEKG GLVANDLVFRYNFLTTDDGVGGLEGAFSMCTFWLVEALTRAGKYDKKKLKRAVAMFEK MLSYSNHVGLFSEEVARSGELLGNFPQAFTHISFVSAAYNLDRVLSGS PHYBLDRAFT_68169 MKSVRLALINRQFDDQYTFELKGYLSLQQFCSALCLFNQATRQK PPPGNKLIWLGSLLTAWLFITVAIYSVWQQAKHPSVLLIIPLLIVLTSLVTLWRYRFK RLQFEHTILEICSRLNATENIRGINYRFSKNGLDLVSPTRTSFLCFKPVYAIIIEFDN RYTALTSQQFNDPSEDTFYPSYLGSPAPVHVVNTEKHPSMFYDSPHPLKSFD PHYBLDRAFT_68170 MQWKLLIGLSGWGFCGATATVLQSVFSTQPVFASCTNSQLLLSC TEQALSSNDSCCVENKSGLILLAQFWDIHAGYPDQWTIHGLWTDYCTNSTWPEFCDDS RAHSNITAILQSRGKYELLQTMNNVWPNSEGLVDSLWFHEWNKHGTCMTTLEPGCTSS DKLELDSYQGIIDYFSSAVDLYSRFPVFQALARRNILPGRIYQTTLVASAIEEELGIL PNLQCDTNGTLSELWLYFYVKGPIKYLEFYPTQPDSTSSCNVSLYYPKKYPSNPYPVT NVIP PHYBLDRAFT_68171 MGNQHSRLDHRSASTVGHFDTISKTITPWQDTDAKQDPYKRHYL LPTISATSSDGRIKIRKQSSPSPVTTTTTTNATLNTNTASSSSNHSKRAFARLLPFRA FSRDNISASTLCETPSPLSVALQAPSSVHPLTSITSLPHVLTTGDDQVSLCSTHQPRR ESWSSCKHSKNNNNNNNNNNRKSQFNTFDSPETCLWIAGRKFHQTLGSSYLLPCDEEE IDRLHLQHFMVRFAIQGNYLAPVSDILRKGARVLDVGCGPGSWTMEIAGEYPKSTVVG VDINNMFPRDIKPSNCEFYNCNILHGLPFNSGSFDYVFMRGVALGVEESKWAPLIAEL VRVLKPGGWIELVEADSKMHRVGPITQEYNQHLLDLMASCQMDPRAGQRLKEKLVDHG GLMNTTTKFISCPGGQWAGKLGQLTLQSWKAYYQALRPQICLAGKATAENYESRLQAC WREADEYKTFENVHFAYAQKKYSSSSSSSSSTASINSRYSEND PHYBLDRAFT_79064 MEYFKLDNQKNDPVKKYFSEHPVSEWSYPEFEAAFIKNKPIKPN ANKMKNAYLNMMKTPKNTGNIPEDPSLSLGAYTPPRGIQCHDWCMDIEASPPSDGLSS LKLSGKMLSDGCESDDILDIHTAQVLIYILPIFINGPPGCSIEDSYVHNYLSPLLVTV FGSDPLLSMKWANSQLMSSDSKAYKPDFLVYNLSGSVKHIISISEFKHVDQNSYVESD LVKLAKQTKFTMNNLISSGVVEPKVCGIHREGNNLHTYVMDLVSPKVYRMISVAKLKL FGNLDQITLLPRILTHLISLKNFACESALKIETSVISTGSTLKQPAPLPTLALVIQRF LFSDPCYDEAKEMDDLVFFCQR PHYBLDRAFT_183373 MSYSSSRFDPSDYPYIIGIDFGTTYSGCSYFYTEDSTGEILEIT EWPKQRGAIYPKVPTASLYEANGKRMIAWGNDAIFKAQRPNNKDVLVERFKLTLDPNI ASKATLPNGLTSLEVITDYLTAFHAYVLEYLDRVLGHVYQHSKSRYCLTVPAMWDDQA KATMREAAILAGIVSRADNPDRLVLTSEPEAASLFCEKKCDQFELEEGKRFMICDAGG GTVDLIVFGIDDNNGKKTLREITKGSGASCGSTFLDARMRRIFKTRFGEYYQDNKQAI NHIMKQFVTVIKPQFENEDDEFFSMPMSLKLSEDELSEIGIEDGKLQISVDDLREEVF EPVVKQVLDLISDQVNQARKKLDAVFLVGGFGQSKYLQERVKETFESKIGLIAMPARG ELAVVRGAVIFGLSPGRVSHRVARRTYGLAMDSPFDYIQDPAEYKYIAADGSVMCKGR YIVYVNKGETIEAEECVTHKAFAFYPQNISAALYVYDGNEVPPRYTTHYRVRKVSNFT IKMPFIPNAYTNQKIGATLNMYFGNTEILVEIKIHDKVFRSASEYAAHELEKISVPID REEESENDDDDKPEYSFI PHYBLDRAFT_173718 MTSFISRLKMYQAEIMVLIFQSKIYGTHIQIVKACRNPVDSPNN QYSWKKYKHHIILRKKSLLGLEATKKEKSIKRSSGDLRAFERKHDLDCLPVNGHLDLL QTTVDKEENQQRENAQNGLVRCTNQICIPKLVPHTDTKKTTYLPEVGWVSSNRYCWFV TDIKILKFYKSKWDSLSCEAFHKKHLTFELND PHYBLDRAFT_173719 MSSPDDHFIIPQVTSENTLFEFKDKKPSRQASNENMDPEYFLHR ANRFDSYDEEKDANPTHASHPNGYQPVTSVYPTGDPRGPAGDCHMGNFGALAILSFAV VTSLLATNNLFLPDKPNNIIFPTALVFGGFAQVVAGFMCFFRGKTFNGTLFVSYGSFW LGNGMMMHPGLHTAYDAYTDKHDLDIANAYYHFVWAIYTILNVGISMKVRGGNFLLTF NLFFVFCTLFLEGFFYTTGHIVILRISGVTAYLAAIGAFYSGVVDILEEQGVELPIGV YKKK PHYBLDRAFT_68177 MTQYNSLDAIPSYTGTHETERPIETVGADWRLPRRSAKVEKGGE QSTDVRSERHRQRLNNSNVLPRHIFVSSYITSYKYHASHCRQKITHFTIIVVQKDINK ISILTNDAQNVTIPCPPRNFYWKKWRLVVIFTLGDYVSLQLLFECGDMSESVRLARTS EVRTGSTISKKYVPDKMEYLQE PHYBLDRAFT_136608 MSLLSRTFQLATHLVPSQRTFSTTLGMTEKATFAAGCFWGVEHM YNKYFKKDGITTKVGYIGGKVDNPTYKQVCSGATDHAEALEITFDPKRVEYAKLVEFF YNMHDPTTLNAQGPDRGTQYRSAIFYHSPEQKEIAEKVTAEVQEKHYKGKKIVTEIVP AGVFYDAETYHQLYLEKNPSGYECPSHFLRW PHYBLDRAFT_117950 SQGWMEKFGKRHYIKMNRIHGEAGSTDIESLQIDKAAIKEKIEA YSACDIYNFDETVLFYAAPPRTTISCQKFSGWKENKKRLTVGLLCNADGTDKWSDILM IGHARRPNCFNKNNKKQEAVDHGFSMYHYNSNDWMTRSIFHVFLHRFDRSMKAQNCKV LLILDNFSGHIVDYAPTNVELLFLPPNTTSHLQPLDGGIIWAFKAYFKRKQYAKAYQY IGMIQNDQQNKIGAIDKIFEIDQLWAMKWIREAWESVSAKTIENCWNATIFHFIEDKD SEGISKTIYWL PHYBLDRAFT_173724 MDIDISYINSLNVCVCVGRYIIFVRSISGVLILQYLAKKRKSKT KVDLQSPNKIQQPTIPPVLYICFVALNILFAPQACKNTVFLLDIETNQNSNKKDATSD LDKPPWMLLQPIEHAIIVTLILSACSQINKTTFFFLAGLLVKVFKIASLSGSLAIRNQ CLIVESALHSTVICIPQVCYIRSKLFFYCYNAVEISHTYWELIILVVILKREFYVIDI LIGRQKFRHDAKNKKDVRVIKLSCYHFINHVALIKTQIGSII PHYBLDRAFT_150896 MSPTHPLSHHLRPLFSFSLHSNMVSHLSSQCFLFDFRSLAFLVK PRSLQINPDNSKAYKTKLATTKEIFETVQLYTSPFNQRSVWAFEKWCSTYSVSSLKAK RARNSNSREGQLYILGDTCYASYVRKSPSDVDLETRSKLLQKMVDDLLDRSLVDKVYV SVSSLTSSPFNERDLKD PHYBLDRAFT_127847 MENIKSAANKFITVYNKDTPTSLKFIDVYLVYILISGILQFIYM LLAGTFPYNAFLAGFISTVGSFVFAVNLRIQTNAQNATSFKSISPERAFADFAVCSLL LHFCCVHFLG PHYBLDRAFT_79068 MIKKPTAPHVKSNEGAGVVPDFIQKLFRMLENKSFNDTFCWGPD GTTFLVKDINDFSRTILPKHFKHCNFASFVRQLNKYDFHKVRNPEDGHRMYADQVWVH PKFRRDRKDLLEEIRRKSPGKPKKDKPVLTGDADVQPLATTSTDQEPSHQSSIDSLLE LTRHLQAQVDGLRKSQTDMELQMQKMNRADQQIADELVQFNKSMAAKDNLLQQFVKID TENNQAQSTQRQTQSQNHHTSTIINMSSVENNNQQIYDTSTTSTPTPTTNYQDNTISP KLDQSKKRKDCGGACETNEDIWLQQQQQQQQQQQGQNSIPSSETTAQFREIQAIPFVH LAKQSFDGSCGMAHNPETMAIHLDQKMPERVALLTVGRLAATPNTATSNPKEIALNDC GEKGQRQIMDATTAATKVSMPVSMTNPRLMNSGSSATGKVALPGWAVSPRILLVDDDS VYRDISRKLLNTIGCTIDLAQDGLEALRKMGLEKYDLILMDIVMPNLDGISATRNIRQ YDALTPIISMTSNFSDSDIMQYIGSGMTDILPKPFSKSTLYNILEKYCAHLRAIQRVQ GSVDPSIVHRSLGNLGLLPPSGATIETAELPIYSNKADTTFSMTSFPLSSSSSSSSLT PFPAAMMATSLPAHFINPYSSSSSSSSPSTSSTLSSSSSNVGIISAVATSIAGSSVST TSASSTSAPAAVSGSRAGGSNVTTDMFWSVAQPYQNISSTSTGARTLVVAPENDGKIV WIMPPQIIDESYKDTESCKRRKMEES PHYBLDRAFT_150899 MKDKAEMNMFFEDDQLLISEAIERLEATHYYDKFDTESLFNLIE RNVDLSDKSLFTQVIVLYGRSETIPSLVEEDTYNRVRCSPNLTMDFVYIHQSPKHIPR CQQVFNFWCSLDSTKVKGWYYEFGHLGKSSFTRAMVQLIAHPLQRGDQMKMKMPIVSF YGDHSSVFDIIE PHYBLDRAFT_150900 MEDLDEAHCSLEAFCVECEILYDPDLLSPNMHLHLRLKETIVSF GPVYGYWLFSFERFNGVLKNYATNRRDGFEATYMRRYPEDAYKSDLARAIISCIRPSH AGRLVELIGSSASSASSPFVLDDFIASANGNFDITKGNEPLPPSTFPLELERETSMKE SEYLNLLAYYWETYDDQALCHYRQPGPDQKMVNNRIQKMKSIHLLGQVYKGGDGMAKR GSYIQALFRTSDDRFINAYTGQIQYLFVNTATNSFAGHSSRHVFAYVN PHYBLDRAFT_102160 NLPRSERYKKENVVLVGLMPGPKEAKTSEINHYPRPLVEELKQL YVGVMIPTAQCPQGALVRAALLLVACDIPAALKTCGFTSHASINACHKCDHHFPRLEK GHGVDYSGFVFSKWVHRTEEANRQDATTWRNASSDAQRTRLERENGVRWSELHNLEYF NAVECTIIDPMHNLFLGTAK PHYBLDRAFT_150902 MIFWFSSRRSSIWHDYSTTSPPSPLLQLLDETPSQLADAKTEHA LHILLFSALSIRNHSVVLNSFISKMWQVFRAVSVSGLWIENSRKVLFSSLPSTSVLLF YLSFWSGDILADTFSSSLSTWPLLNLFAACELQPHNFDYTLAFMTRPCLPQRSLTVQL GDPIMHSGSEVDPSTLLSFYVHIAISTYGYLIARFIVDKISMSGTAATLIPRTTPHGC ACNTSWPLQLQQWRPIFGPTLLAPVQGKVPAVVTVLWSSVLELHSELKIPNRQPH PHYBLDRAFT_79069 MNPTSIIPRSIVSKQVARMAISSPGVCSSSATQCIKRHFVKDSG EQPSTSGTTEEIKNTDTAFDSTVDPKKEIKKMDKETDKPGSPLERSGANEKATPTTSR KQ PHYBLDRAFT_79070 MTANVSHSDKDRMAEIDRCLGMIGPSSSDESKFVGMLVLPRLLQ QDNVEQIQHVFDEMNFKFIERLLRTPKNENAEIPESVLREIAVNILACFARYETMATS QPMVDKIPGLSIILTPNDSSDLTQEILHILLCVAVNKEGLVKMLDPDVLKNILEVFVE TEKEEERKLCVDLIKAIYGRTCHRLHEAKVPSLMSSLRYSLKTLFGILASVFNQDQAK LKFYCLDILANVLPDLPDEVVQAHKKEVEPEATWLVNIRRGLRQIVTNKVGDELRDQA TLVTACLVRYYGSQWLFSPLLRTKQARRLKDQDGDKKAAEEYYAEANFPALLIHIVSV EARVMVDQINDIRQAAHNQTTPRQVEADKRHKVVLPLLFEILEGAIEYLSESFDENEE SQMDPDMLLKLRTTLSDTMDVVMELLQFIQNTTLPEESEDDPVAQACMRIVALWLAEE GYEMPEGGDN PHYBLDRAFT_68188 MSNPIIYDISNIQNVLVNSSFEVIKMLPFKVVVPVKAKYIFGET RQCHRAGIYIPERDSRPAQKESKACGCNGALKIKQFKKSPTIVTFCMTRDHNNHVPGD RSEIRTLPLPFEAIKLIEDQLRSEAAVEAQEYLSFDRLTAGMTNLLYMFNSDEKASIA IWMNEKLPERSYCIFTGDLRVNNIESNLFAFGFQSPVQVRVTRIATSFCLDATHGISA RSGEVMYSLVTQHNVTGKGFPVAYMVTNDQTVRPISQWPMHLCERSYFRPLNITIDCS IPEVNAITSAFPHVAIHYCEFHILRAWQTNLDNKVRLDASFTSAQLAAYKQELKNKLK YILMESNEEVFLTRILDFKRDIPDQLHFLRYFETRWNGSEVLLKRWGRPYVDDSHRRY LTNNYIESWHNQLKTIYFGRARIRRLDRLVFDLTNDVEYFYEQEVDCIHLNNGKMGPS ITVVNDLIECCSCPRYISRQVPCKHAFLLKRYRKINVLYTIQKDANHLAMQRPAVLAK EEEEVVIVDEEDSREDAVGAQNDVDTSITDLTSSSKTRSRTHANDF PHYBLDRAFT_173733 MVLKVTNLSESLTVQVFGISKEMVLETEPIVLLYSKAHPTVILD TFKLFEMVDYEEEVQCSWLEGREEEVHNINLRKKEKTVHLFYSRQRKILEQDYKLCID IAEIFKLLGYILLLVIINAISRGLDWKVSYTLTFAIIDLYAYRLSKKIQESQITNLGR GLSLYCVL PHYBLDRAFT_150906 MALGTANKKHRSTLVSSILTSAKRTEGEPPILSSPLMSDVEVQR RSDRLVFYGLTAERSSDAVDL PHYBLDRAFT_173734 MPITKDFLICFCLSNSRKIVCTEVGMVSRRDFELVHIRNACHED ADMLGFFVTFLVKDKHNYNIYEVVTSLLLVIRLCEIRTHTLPTKTVISEKQYMVSSTK LYGERVTLFPAQSDDLKAFSQKLIEQAHLRENRIRTPITREWRLE PHYBLDRAFT_173735 MSIYLFILEPYYNISLYFFKASLSYSFFFGRLNITLWHKGILCR LSGRFVPRRAYVSLFWTYKRERTNYLLYCVLRSLLSYIIGSFLRKCPDELVVVMRNVV RKIYIINKLLLNKILAQFLRFMSSIFLFTAISVYLFSDRISFLYSGPTRENARMISCI VFYGLYCRISLAVFCASVQTSWLWNLTFSLQSIRSGRKKFRVDSLSVSFSGELTFLYS GPARENARIIFCTVFYGLYWRITLTVSCASVQTSWLWLCAMWCGKFSSENIR PHYBLDRAFT_173736 MSIYLFILEPYYNISLYFFKASLSYSFFFGRLNITLWHKGILCR LSGRFVPRRAYVSLFWTYKRERTNYLLYCVLRSLLSYIIGSFLRKCPDELVVVMRNVV RKIYIINKLLLNKILAQFLRFMSSIFLFTAISVYLFSDRISFLYSGPTRENARMISCI VFYGLYCRILLAVSCASVQTSWLWQCAMRCGNR PHYBLDRAFT_173737 MFIKYLLANLSGLELWGLTALFASSVDFETQEQDAEHRLTFLDD LVCFFGSKNNKNKSYSIYQTRLFSLIYTGFNVRLLSDHKNNKHIKNLLVPTHQFLSVT LYVLFLSEFKDPENYYVKFKVSYPVLTSAFCFVTVLDSSVHWAQLVDNVIKPVSRENQ RTFSENLAN PHYBLDRAFT_9056 KRFEVKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASA TSEECTVAWGTCNHAFHFHCISRWLKTRQVCPLDNREWEWQK PHYBLDRAFT_150910 MPRKYECDFCQCSFPDNSTNRKKHLQGAVHQANRKRHYDWFKDP NEFVAEQMNKPPCRHFFTHGQCEFGLSCKFSHIAYATSGEPILSPELIQWLQSRNQEQ NQQSIAHQKKTEQQSARKRSRYRLPTGWKVRSLPPSLCPPKKQEYDWTDTGYWG PHYBLDRAFT_173740 MTSPSPMSITQLVHSEEDSDVKSTAQSLGPFDSKENTSNKRPFP GPLITLPPLNHYPPTPSTTPSSPLPTPILGFSNPRYSISSDSTIEDDLHHQSHSHLHS LSRSHSHSHLQQQNQQQPPLPLHPHPHSLNHHLNHHHLNHHLNHLNPHHLHHMNQQQH FMHRVSNIPLVNSALRAYESSKASSSVVKYGAEMVESFAAPIYDKLEERYPSYVTSPE EQEDATMAAASALARASLTDEYEGGVRRRREDSREDQTYGSRSRSRSASRSTSRSTSP RRPYNLATKTTAIRHRTQPIGKSKWHQIVMHAGSAAGTTAAVVSEESMKCLRYCLSWL QYATQNIDHQMNILRSFLVALATHTNQSQSSPATATTTSSSSSASNNPNNENENENEN NNYNNTENNNESNNTSSSSSSLSSSSTMAQSGIVNNSTSALGAIKKEIIDTLRKVVEV VSRYAGSGLPEQAKASVRGFILALPGRWAILNSNTNGGGIGIHTILDCPTTPATNNNE SDVHETSIRLLNFGGESIEMLQSVSNVFADTIERAELWLERLRVVGVAGVSRPEKCGA TMETVPEQPNESMDLS PHYBLDRAFT_79072 MSQHSRPQRPALNRSQSSLTLLPFTNRVGGHMSMFRLAANGAIC KAVTATKERAFYEELFKHHPQLLSFVPLYMGVIRISFDQLKPQIAWQDRQRLRHYSLN NRRNNSFNNNSNNINGNNGWRTLRSNRSQEDTPDSEDYPEFDEQEYNYDEESSMSSAS SCGMSNISITNNNNNNNNSTADIKSTIGRGAEAELTNEFIVLEDLTLGLRKPCVLDLK MGTRQHGIHASAAKKASQTAKCQMSTSQQLGVRLCGMQVYHPREHVYKLQDKYVGRSL TPQSFYDTLVGFCSDGDQVLAHLIPDLVEKLRRLSELIASLPGYRFYGSSLLILYDGD NEIKGNTSPDTVTVTGHTTTTTAAAATTTKKACVDVRIIDFAHCVTQREMQDNPSFVI CPPQHPDEPDLGYLLGLSTLIRSFERIYREAISP PHYBLDRAFT_127855 MVAYNVPSANQVLVPETLLKKNQSCAKAAAALATKKAEAKKARI AKRRDLFKRAATYHAENKAAERREVFLRRQAKKNGDFYVPAQPKLVFVVRIRGINNIA PKPRKVLQLLRLLQINNGVFVKLNKATSEMLQLVQPYVAYGYPNQKTIRELIYKRGYA KSDKQRIPIHDNSVIEKGLGKFNIVCVEDLINEIATVGPHFKEASNFLWPFKLSNPNK LWHARKFLHFVEGGDAGDRENFINKLVQSMN PHYBLDRAFT_136624 MKGKKFDFSGINSPLPTNLAGECKKAAKILNQFIDPGQGIDKVI PSDILAKAQGLAIYTVIKAGFLFSGRAGFGVVVARLPDNSWSAPSAIGTGGIGAGGQI GAELTDFILVLNTKEAVKTFSHFGNVTLGGNVSVAAGPIGRNAEASGTATFKHVSAIY SYSKTKGLFAGVSVEGSVILTRNDTNEKFYGRKVTAKELLNGSVPPPPAADALYRALN AKFHTLGSTGAMYNRGSEDGGSGQTVFRSNTISAPGTLRAPPLSGQNYGNHAPQQQPP AANYDQQYPYSTPQPSTNYEQGSSYSTNQPPQPPSMYSKPVLASPFAKPPPAEPAYIM PPAYGHTATPSGPTPPSSLPTSPTPNIQRFAPPPPPPIRHKEPSARALYAFVGEQSGD LSFQEGDIITIITKSDNQDDWWTGKIGSRQGMFPANYVQLV PHYBLDRAFT_68198 MTISFISQNLSRNKQAEHEFPLMTHCSSSQFRTTLKRIIPDGAV GSEECSPNIHSLSSLLSSKQSDTLKATDKDCSALNKAKSNSENKADRTKTRHKRLRKQ SLSLNHLINFTLTEPPVPSHIPCTSMSSQSLIPHKRDAFVNANYRFLIHPDSRDTVDV VNPDTNVDWDNVEQVVVSAANCSNCPVCLSTPSVPRMAKCGHIFCLACISQFFTVQAN PYRTERGCPICMKHIERCDLRCVRIIDCFAIKSDKKMSSDDDESDQEDKQDDEQTDEE PSVKPGQTVDFCLLHRKSDYVFVQPVPEDSTNTSFRSNISKKIPFVSTKGVLEFSRYM LATRKHMEAEFEREQSTLEIEAIESLTLKDANQLGTVTKCIQEIETSNEGLSQRYSTD SNSTSMSLAKTRASWAAGYRRATANPTRPAGVGQDYYFHQAVDGQYVYLNSLNIRMLR HEFGDYCNFPKRFSLFVLYTEEATITPELRKRHKYIAHLPLYCNITFALVDLSGVVSE PTLKRFENDMAQRLDKQSDEPVDVTRSSPTSKNRRNTTNSNNNNYDEDEDEDEDEDCF YYYGTPSGQNQNRSRSRNRNPTTQSPSPSPPQSSSASPLQWQDQSPVGSLVARTLIAS LANPAPTSDSRFEADSDDEDLKYVLRLSAAEYASQQEMRQANRAETTETTGSAN PHYBLDRAFT_68199 MATPLSLQFQLLYHWENSTRNDDLKTCMYPTFKVNLNNVPIYRL IDYQFESHYISAGQLWKASGLTIIEGLHLFQLHPSDYQVDFLIPVFPFCDIWVTTKKA RSMAASLGVDIELDRFLDPGLDRFYSSDNAARNEIVHNWKVEAIPNAMYSTRALLETE FEMPDRLTANRKIRTQISRLRQPGIIMKDRFENGLVRWQVGTYEQFIQPSGFSASGIS QLRSEQRNNHNNNNAIDDDEELEDDDLEGYPQASSVWDVLQGLLCDLQTLRRQGVTTS NNIRESRVLSDTMLVGNIPLKREYLGQSMALQNMYIAVMAEKIYNEIQGMATESRTEP GNKNQNQNPNPNENENKNKSKKGIEIEREEDDDDLEEEEERSVNKVAGLRNESLGSLS SEYSLGDHIDPQMMLHDRMDFLEQSLYRMSRKSRKKVDEVVTGQQELENQILALEQWR SKSELARKSERVWMFMVMLSILIGSWVLR PHYBLDRAFT_68200 MPNGNKGASKINRRRNGRGQRKGKREGNAKILANDEVQERNQDS EHKRDGRSTVLIKRGWKDVPKFEKVQVYERKRTSQLMCHLEWATTDIAGQICYGEQPA RTMIECNDRINGVEIENEETFQGRGKRIRCQLLRMSARGKRGKMECRGCISIECVIEV DQKNQISRSGGVMGRVMIQTSNVASCQELMATNQNRCRWLFKTNFAFLEMKVLKNAAS GESSASCSMLIEKPKDKGICMKEADTELFSEVSKQVGRKFILSEKHTTATINFIDVNP SVFIVEVNEHLLKRFNYLKFLREANVTSC PHYBLDRAFT_173747 MQYYTIQCYTEQYSTVRYGTVHCSAVRLKIGNITPKLCATATRD GRTESVLKCLRFYPSIRSWFKVQFRQDLLRKRITRTSLKYELYFVIIDINRKDINIRR KNVQVLSKHKKNKFLIKSCRGGEVEWTVYCTFLSKNKNTVNSLYLIDYPSKEDFLFRM CIVFVNAVKAMQYNTTRQNKTRPDQTKSKLYFQTFVIIVTQAKAFYPK PHYBLDRAFT_68202 MPPSYSIVSTDTIELQPTGSTPSGTSARHLEDNRHGHSRRGSTA SFVLLKAGVHHDSENDTDTSGLGCSSKLAVQALPSLLISVVGLVFAGWMLDVFQHWPV FVQVSELFILVPVLLNLKGNLEMTLASRVSTFANMGHMDYPDKRNSIVLGNLALIQVQ ALIAGSIAGIFSFILGILRHPTAPTTVSESILVITSSMVSATVSSFVLGVFMCILIIV SRMLRIDPDNIACPMASSLGDVVTLGILAACAHFLMVRLDSKLSLFLLIGMIISIPFF AKSVWKNKHVKNLLYSGWTPIILAMLISSFAGLVLERYVEHFKGLAMLTPILCGLSGN LGSIYASRISTCLHTGVLEQYTRVEWTLMFMNVPVQILFLFIVWLLNLGHLDFTVYFA FTYFIVSMICTWLALKMGKSMTLIFWKHGYDPDNYVLPYLTAIIDVICTSLLVISFTL LSEYGLSDLSAPVSTSNTH PHYBLDRAFT_90618 QYARTELPVRLARRVRAFQGLPFIVGTNPYIKEIYKLYYESFES LEQYSHNTDSTDDHEFGEKLKDLVSRHTDNIPTLARGFLECKQYMNSQDMAAFLDDMI HARIGIRLIAEQCISLMHQHKASENLHEDGYIGIIDTQLNPQKVIKHCVDFVSELCEF NYGQSPETEIDGQTSTTFTYVPVHLEYILTELLKNSHRATVEYARKTGRPDIPKIQIT LSQGREDIGIRIRDQGGGVDEEDLNKVFEYSYTTVASKDDNSDPSDVFRDMAEMAMQS GVGGPLAGLGFGLPLARMYARYFGGSLTLVSMNGYGCDVFLKL PHYBLDRAFT_23225 MDVKASPEETSTSDLSENANDVKKVSKLLYSKHTQDILKVIDYF KSQTSGMTRDAGEEDEEYNLIIQANGMTADIDSEIQTVYKFLRDHYAPRFPELESLVL NPLDYARTVQAIGNNMDITKIDLRPILPSATIMVITVTHTTTSGRELSPEEWKITKDA CDMALGLDAARKKIMSYVESRMSIIAPNLSNVVGSSTAAKLLTAAGGLMAFCKIPACN VQVLGSNRKTNTGFSSASMERHVGYIYHSDTVSAVPHDLRKKVAKILAAKCVLAARID AQHESTDGEQGRKFREDIDHKVEKMQEAAPHKITKALPIPDEGPKKRRGGRRIRKMKE AYAMTELRAARNRMVFGEAEDEVDFGDETEGLGMAAKQIGKIRASVADQRNKVKAPKQ KSWNTSGLTSGLASSLSFTPVQGIELIDPTVQAERVKKANEKYFGDGAFSTFKK PHYBLDRAFT_160282 MRFEENRCFECGQTGHLARNCPERRSGGDRGGERSSRRRSRSRS PVSRSRSRSRSRSPRKASSRSRSPRRETRRSSRDKERSPRQEDDSDRTSRYNDRHGSG DDRY PHYBLDRAFT_188869 MVSYEQITLLHKDWLVSVGNVSKSQANLAVVRLPLYILAVWCSQ ASHELYPIRSQFINSSIQCRSEHEIASNLENPVESTNLDSSSASPTSQLSHAGSPSSS HPTSSNDQTRHVNQTPSSSHDSFFTAYSEAVSTFEKHSEIENSDDEIDFDILRNNPEP LNSPHASSISASSALSIPTIRPPPTSQIALVDEEMEPSIPEPLSQERLSVQPQLKKKR SLVDRLTIAPKKLLWHKAGGVFVPTMPEVPANRNPAQFPGQPIKHGVMLCMKTVSYKN GSEPTRYRATKEARLGMFKGNWRQLETVLTSDGITTYSNSLLHWPKRYEEYKIGFSDK DRPPKLRLSLVSPLDYTFCLRYESKSDGEYSSVTFRARTLTLCQEWYMAIYCLLPEVC KTACPLFCEVYVPEIDIRIHLPLILDENRLVPCYDITAENVKDVLLAMIHEDQAWANS INEQLGGGMFGLCWTRKDRAEWIYWKNNVDNDSRRDVVICPQSIEKTHQLELRRVEHT PHDVILAGDMSLKEPQPIEGFLTRLTDYYGREIKNRQLIRRRYYISSFDQYLFYTKPN KVTVADPKCFVNDREVVLTKKPCPYIGLISPYGELGSDLEANERKRRMQLMDLASGLI DLTEVAYVRRAFSADFTQSGISESGSFGATSTTPVLHRIQSDQPIYPPKRRDDDIGYL EIVMNSGVIIKYEAFSNETCDAWVHQLAKLIVYWKALKEAERDVHARNNFYNGLNHHM QLALEENPVQLPKDKNCLVDTRIWSLCAFEQCRDVMKTGIMYFQPHSRGTFTQKLFIL TTSGTLLYYNIFKRSSMVSQPLITASHVKKGSFDIANCFVFSGDLSMDMDRPPNRPPR MFSDGLVTEDSDTDCIFTLWKPLLRRSFSPQRKRISVYTSDFRPNPDGETWTFLAKSR QEREEWVWAINQIIEKTLRSQIAAKNK PHYBLDRAFT_127864 MPSTVYAFPTTDALSGGLNTFVEKLSREAIADHGSFSVAVSGGS LPKLLSKELVNNKQVDFSKWHVFWADERCVGLDHADSNYLELKKTLLDQVNVPASQVH TINSKYAVEKNAAASADDYEQQLKGFFGSNLPSFDLILLGMGPDGHCCSLFPGHPLLE EHSRWVAPITDSPKPPPERITLTYPVVNNAKNVAFVTAGEGKQEMVQKIIEQPELRLP CQRVQSINNGPVYWFVDEAAAGRLEKKLVSKF PHYBLDRAFT_173753 MPCSPLSLLDTNSPRLAHCQTQLEQGSLMNSFSQFLQPQQLASP SHEVTMSSLISKGLESPPSLNLDNFSAPVSPTSLTSGVHQDYFSANIKTSQVSTCNEK GTMLDYSGSHPDSYTRASGKKSCHNVNDYQLIKDQNTQAPVKAESRESIYPISKTSDI NSRHSHSSPFIPSTDDLHINILGIPDEGAKSRVETQIKLSIQLLTKDGTKATAWPYLR LPEKTLAKSKLRKHLDCSYQDERAPALLSDESKVLTLEARVICESDPTKKVTMCVGCV RRERKRAERKRVSRSEGAQRLAMESRFLPERDAINSTDAAFEKDRERILLFSCDPLVT FSSGEITLPIRITCYCRHHRERVGFRVLFSMRDYMGNIVATGESPSIMITDDHKSLKA PIEIRKRDSTEADLSSSSTRPIKQIVTPSTSKKSSPITSPSVKPISHPSSTSYRAGGK KTKTQKTMPPPLDIRPVNLTCSPSNLQSSPIIIDTPISLVNFSSHPAFKALTKLDTLS IEPPRMELIVPARGPTYGGTEVTILGSGFSQDLTCYFGSQAAVTLYWSATTLVCVLPP SVQSGPVPVTFRKRISPLEYKAGHFEYYTTDDQAFIELALQVVGMKMTGRVHDAKQIA MNVIQDDSTQRQPHPQNLVDENQCRINLAKHIRQQRTKALEVNSASAWLNNRSDNNGI GLQMGQLQHMHQPGISQSDWLNNPNAAHDSGFYALSKLLEEDTDELLANWDPLSNTMN DKHRTEPAIDTQALAALGTYNGLDDWLMQSMNASEMDNPSWLSPLSCPSR PHYBLDRAFT_150924 MSPNKIGEIRDFEPKIPARCSSAPLALTSVPIYLSFWFGNTLGN TSVSSFSFFNLFAARALLPHTFDSTMIRDTCKINRTSGLMDGLYLLNVFVIRACLSQN IWAVQFPDMMVCSGPNVDPSTLLGTAATLIPRTTPHGCACNTSWPLQLQQWRPIFGPT LLASVQGKVPAVVTVLWSSVLELHSELKIPNRQPH PHYBLDRAFT_136631 MSKAPTTFGFPYDAYPIQNDFMGMLYDVLTENKIGIFESPTGTG KSLSLICGSLKWLNDNDESTTNAKNQDSSDSFQADEPDWVRAYQGNQVENKRDVLKQE RKLAIKKRIDLVKSHEKNRSILELSGKEDRSRWKRTKKDTTSTSDDIKEKYDEFLLEE YMSDDEEGGRASTLSYTTVGPNSSFSKEVQDLLSKFETNKKSIGYSDDDSDDALEEDD FDEVKIYYTSRTHSQLSQFVHEVNKTPYAENIWAVPLGSRKNLCINKNINKLGNVNRM NEACLDLQKKKTEKDRCPHLPSLQEKGKWRDFRDYALAKVRDIEDIVKVGESLSTCPY YGSRQTARPARLVILPYQHLLNAHTRESLGISLKGNIVIVDEAHNLMETINSIHTVAL TLRQSQLALKQLAMYIQRYKTRLSGKNVSYIRQIIQIIKAFIGILTPPESEKKDLIIG VNEFLHLLGIDHFNMFKLERYLKESNLARKLLGFIEKAQQDQDSENQLLGLKNPQVKK SANAPSSPSQSPLALPTLTQIQSFIMTLTNPDKDGRVFISYKGTDTLEPQLKYMLLNP AEAFRPIVEECKSVILAGGTMEPVSDFIKHLFPYVPDSRITNFSCGHIIPQKNLLTMC IDEGPTRKPFLFNYENRQDTSLIDEVGKTIVNLCNVIPDGVVCFFASFTYLEEVYSRW NGAESGNILERLAKKKKIFKEPRDSGMVESTLRDYSLHIDSTKKEASNTGAILLCVVN GKMSEGINFSDRLGRGVIMIGLPFPNRGSVELNEKIKYINEHGDDPSGTAAGREYYEN LCMRGVNQSIGRAIRHRGDFATIVLLDKRYSTARIQKKLPSWIGTDIVHCDRFVKSIS KSAGFFRQHKET PHYBLDRAFT_118039 METTLTKREVIPENTSFEKSERYLDEKEERASFAETHRGTRDEK DLDYENEDFDIQIVNQIASTEDDPTTIVLTIRAVVVGILLACLGSSVNQLMQFKPVVI TLSNMFMMILAYLICIGLSRLFKSGTLLNPSPFNVKEHTFIYVIVSTANASAYGTNIL GAQQLYYSEYPSAAGGIFLLFATQIIGYGIAGQLRPFLVYPSQMIWPTSLPTVSFLKT FNTPGNENKTLIKFFFTIFFVIFVWEIVPQYMFPLLSGVSIVCLAKRDSVWVQRLFGG ISVNEGLGIGSLSFDWSYLSSYAPLVLPLYVQFNIYGGILILWLLAPLVYYCDVWHAK SFPFLSNGLFQWDPETGVSQRYPQKLVLDEHNNINHTALAEVGRPYFSGIYAIQYVII NFGVTAMISHVALFYGPEIKKIALSIFKRKDSQENDIHNRLMASYKEVPSWWYYTIFV CGIGLNIGIGYANKSQLPWWGFLLAILLSTALSLPLNMITAITGNGFGLNVVAEMICG FILPGNAVANMYFKTLGYNTMSQAGNMAADLKIGHYLKVPPRLTFLAQMIGTIVGCIF NYIVNYTIINSKREQLLDPNGNIWSGTGPQTMNSAAITWGAIGPMYMFGPDTEYYFVL WSFLVGFALPVPFWLLHRFYPKIGFNLVNVPMIMIGLCVVPGGNTSWITLSFVIVLVS QLYVKRRYNKWYIKHNYLMSAALDSGSSLMSFVLAMSVFGGGDGVNRPFPTWAGNNAD IPYYDYCCADCE PHYBLDRAFT_150927 MPHLQNFAVFLLKGFISFSFGVDRLKFVHTSCTEFRNNQQQPQA LAETDSNFSEARNRILTYNTQENNSSVAKCHLSEPVPATMSLQAPTDTRWVDYVIRWL PRDVCPISPSKHRNTWLTIIITINGRDKLDSSAPVTATS PHYBLDRAFT_68211 MTTTILGTISPFCVVNVSVRCPKAMDSSKKRKAAERNRTVYKAT SKRGTITGHYFNPLLSTTNVLNRHKMFKDNHIVMDNFPTNQHEDICKHIENCGYRCIY LPPYSLELNPIEQYWSIRKNKLRREQLLEEEILTIRIRMGCNQTLIGDLRGFFKYSIA RFDDCLNRRPI PHYBLDRAFT_173757 METTLTKQEVVSKTASFEKSERYLDEKEESGSFEETHKGSINPK DLDYEDNDLDLEIVNQITSTEDDPTTKILTIRAVVIGILLACLGSSVNQLMHFKPIVV TLSNMFMMIIAYICCIGLSRLAKPGTLLNPAPFNVKEHTFIFVIVSTANTSAYGTNIL SAQQLYYSEYPSAAGGIFLLFATQIIGYGIAGQLRPFLVYPSQMIWPTNLPTVSFLKT FNTPGNENKTLMKFFFAIFFGIFVWEIVPQYMFPILSGVSIVCLARRDSVWVQRLFGG ISVNEGLGIGSLSFDWSYLSSYSPLVLPLYVQFNIYGGILILWVLAPLIYYYDVLHAK SFPFLSNGLFQFNPETGVSARYPQKLVLDEHNNINLTALEEVGRPYYSALYAIHYIFI NLGVTAMVSHVVLFHGAEIKQIALSIFKRRKFKGDDIHNRLMSAYKEVPAWWYYAIFV LGIGLNIGIGYANKSQLPWWGFLLAILLSTVLSLPLNMIYAITGTGFGLNVVAEMICG FILPGNAVANMYFKTLGYNTMNQAGNMAADLKIGHYLKVPPRLTFLAQMIGTVIGCIF NYILNYTIINSKRDQLLEANGNIWSGTNPQTMNSAAITWGAIGPMVMFGPDTDYYFFL WAFVVGFALPVPFWLLHKLFPKVGFNLVNVPMIMVGLCVVPGGNSSWITLSFILALVS QLYVKRRYSKWYIKHNYLMSAALDSGTSVMSFLLAMTVFGGGDGINRPFPTWAGNNED IPYYDFCCADCE PHYBLDRAFT_68213 MYSIINRNETNIHYTSVKTNTTAFLGGYIKYWLINILNLRALLI ANTYSPNLEIISLLQTNIPLYLNKIRLIVQCSSYSLLVMFLIDLIGAIFQLLWLVNSS IYTLQSYMSNDHSIYLYNFLADVTINSLHHW PHYBLDRAFT_23198 METTLTKREVVSETASFEKSERYLDEKAENELFEDSHTGPTDIR DIDYENNDLDLKIVNQIASIEDDPTIKVLTIRSVVVGVLLGCLGASVYQLMLFKPVPV ILSDMFMLILAYLICHGSTRVFKSGTLLNPGPFNIKEHTFIYFIIATANTSAYGTYVL GAQQLYYTESPSAAGGIFLLFATQIVGYGIAGQLRPFLVYPSQMIWPTSLPTVSFLKT FNTPGNENKTLIRFFFIIFFGIFVWEIVPQYMFPLLGGVSIVCLAKRDSRIFGGVQTN EGLGIASLSFDWSYLSSLSPFVLPLFIQFNIYAGIVVLWILFPLVYYYDVWYAKSFPF LANGLYKLDPETRVAIQYPQSLVIDEHNNINLTALAEVGRPYFGTTYALQYIFINFGV TAMISHVALFNGAEIKRIALSIFKHRKFREDDIHNRLMAAYKEVPAWWYYAIFVLGIG LNIGIGYANKSALPWWGFLFAILLSTVLSLPLNMITAIAGTGFGLNVVAEMICGFILP GNAVANMYFKTLGYNTMNQAGAMAADLKIGHYLKVPPRLTFLAQMIGTVVGCVFNYII NYTIINSKRDQLLDVNGNIWSGARPQSMNSAAITWGAIGPMVMFGPDTDYYFFLWAFV VGFALPVPFWILHKFYPKVGFNLVNIPLFLYGLCILPGSNSSWITVSFIITLVSQLFI KRRYSKWYAKHNYLMSAALDSGTSLMSFILAMTVFGGGDGINRPFPTWAGNNEDIPYY DYCCADCE PHYBLDRAFT_68215 MQNCSTVLRTNRGISTLLDLSLAISFMFTGIKRVLLGANYFMMN CGISECIYCLTSVGVTIMTSSHKSALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLIC DKSNIRVSGSPHE PHYBLDRAFT_68216 MTFDLARKYSVKVYTIFSLKDNPSVVYSYFSCQNVYKKIKVHQQ IRIPCLSHYRDKLGVTFGILCIQNASIFLNFGNIDITNRKQFTILKTSIYITKLSESF SVVTKEHTLRAVYQ PHYBLDRAFT_117953 METTLTKREVFSETPSFEKKEEFLEEKAAIASFEETPRNTNNSN FITYENDEDDLAIVNEIASTEDDPTIRILTVRALVVGILLACLGSSVNQLMQFKPVTV ILSDVFLLIIAYLFCIGSTHIFKTGTILNPGPFNVKEHTFIYLISSTANASAYGTNIL GAQQLYYTDYPSAAGGIFLLFATQLIGYGIAGQLRPFLVYPSQMIWPSSLPTISFLKS FNTSGRENKILVKFFFVIFFGIFVWEIVPQYMFPLLSGFSVVCLAKRNSVWVQRIFGG VQTNEGLGIGSISLDWSNLSYFAPLVYPLYVQGNIYVGVLILWILAPLVYYYDVWYAK SFPFLSNGLFKLDLETREAISYPQKLVLDDHNNINHTALEEIGRPNFGAMYAIQYIFI NLGVTAMISHVALFYGPDIKRISLAIFKRKSSKEEDIHNRLMSAYKEVPSWWYYAIFV CGIGLNIGIGYANKSQLPWWGFLLAILLSTILSLPLNMITAITGSGFGLNVVAEMICG FILPGNAVANMYFKTLGYNTMVQAGYMARDLKIGHYLKVPPRMNFLGQIIGTVVGCIF NYIVNNSVINSKREELLDPNGNIWSGSGFQSMNSAAITWGAIGPMFMFGPGTTYNFFL WAFIVGFALPVPFWILHKFYPKVGFNYVNTPMILVGLCTLPGSSSSWITVSFIIIIVS QLYVKRRYSNWYAKHNYLMSAALDSGTSLMSFILAMSVFGGADGIERPFPSWAGNNMD IPYYDYCCADCE PHYBLDRAFT_183389 MTQNHFTNNDPRITGEGNRSLSPHHVNRGDQFNQVSMEPDILRQ DAQTEISNERPTVISLEIHENKLAIVGAIIPFSVLGVLIRIGLQRLETYPGAPVFGLV YAQWVGCFIMGIAVENKNAIHKWQIGLSSGLCGSITTFSSWQLDIFKGFSNYYFNPHT RGYNILDAISQLLVTMAISFNGFVFGQHAGHLVEWLVKKISPTKVCKEEIKIIPRGFA LDYLSAPDYSVIGFGILCWLGVIFAAIFTQKQKELALACVFAPVGALLRWYLSFYNIY RAQRFPLGTFIANIFGTAVLAALALSQSGPVMTSISCTVVQALADGFCGKEYNTFQIQ NAKISLLGCLTTISTFMV PHYBLDRAFT_150933 MSKPNPLDTYYIREATKEDLPEILEIFNERIRNSTSLFIYDPVP LEDREAWFNDAKKNGYPVIVAVEKSTNKAIAYASYGAFRAKIAYILTTEISLYIHLDH HRRGLGRVMLNEMIRIAKEMGLRSVIASITSENSASVSLFTNFDFKLVGTFHDVGQKF GRHLDVDFYERIFDTPPTAHGVPHFNSFPWGHYVYGGSK PHYBLDRAFT_183390 MGKEKTHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKFMVTVIDAPGHRDFIKNM ITGTSQADCGVLIIAAGTGEFEAGISKDGQTREHALLAFTLGVRQLIVAINKMDTTKW SEARYNEIVKEVSSFIKKIGFNPKSVPFVPISGWHGDNMLEESVNMGWFKGWTKETKA GVKSGKTLLEAIDNIDPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKAGMIV NFAPAGVVTEVKSVEMHHEQLVEGLPGDNVGFNVKNVSVKDIRRGNVCSDSKNDPAKE SGSFTAQVIVLNHPGQIGAGYSPVLDCHTAHIACKFAELLEKIDRRSGKKMEDAPKFI KSGDSAIVKMVPSKPMCVEAYTDYPPLGRFAVRDMRQTVAVGVIKAVEKVDKASKVTK AAAKAGKK PHYBLDRAFT_188875 MHNRACSLSQGIFIHPPTYIEYKGKRFLIVDTPSALSLPLYIKE FERWHVTDVVRCCEATYSQQVLKDRGIQVHDWVFTDGEAPSNKIVEGWLNLVEQRFGT PQEVDFEKKTPDDDYQLPCIAAHCVAGLGRAPVLIAIALMEEGMSPLDSVAYIRERRR GAINNKQIKYIEGYRPRSKTQCTFM PHYBLDRAFT_150936 MKNLGWATLFGLFAFTTQINAQCACDPNDHSCLGECVGTTQSCM AGCRDNACYSSCISNHWPNGDPSYTPSAASATVQKSEAQSSLAISTLSPTTVSALSRS SQPSSASNSISAQSSASLTGSSIIPSSYSSAVSASHAISSSLASPSASASVWPSSIWP AASSGVHTSLHPSNPSIYSSAKPSLNGSATSGASSIFRLCKELTVVAAAVGVVVVASV F PHYBLDRAFT_68223 MVDLLSNSVFTSVLLVTGGCTIALQAGCNGTLNRYIGRSASSVW SFTTGVLGCLVFFAIDVTRLGTPLPSESLRGAPGYAWIGGILGAYYVITNILTVRRLG AATTLSIFVCSLVIMACIIDNWGLLGVEVRKYSIARIFASLGLVLFVAIITRF PHYBLDRAFT_173769 MDESPTDYNQESQFNFHHFNQEYDFGIVNTNGERIRKRKSPGRK PNPPSISERKAQNRASQKAFREREQQRRQEQENMKNMYLEEIARLNKRVELLEYESNY LRGWVLQYTLSSIMERGTVADIWTSSREFLETETRPDKGDESKKLPFAINSLLKDNRV IGLKNAIITRSPGYWKGNEPSEAGNMMFRPETRLDPDMANINRLGAKLASPDNSSQDL TEPGKTCTLKPAIGTITSPPTRKTAADLAHMPPIQALQIIRLQMKCGNLWGDKLKHAL IPTELQRTIPHDARIDFLTGSSTRDRLIIFQDYYDTDECFEFYSKWSMFNGGNKYDTR NWAVTGGFPERYWFLSHEVIEDYFLERHWKVTQDLMLIPSSHEQVPQNREKNEEECEL LANPKPY PHYBLDRAFT_173770 MDPKACYEYSLGHNPIPMTLLPGQHLSACRCPTQNSLLSNHYVR LVEVDGDYLPAAPILDTSFWPEGLEKDTGPRLCVSLFLIIESGYKKLLNIKLSQDTKD YVNLTHQSECSKRTRNDRQDMSLVFVFKFYRNIVKRRSFDLFNLIVFINVITGDFQVK GINIQSIFALILLLCFHLAITKCCLNIRVADF PHYBLDRAFT_79084 MQFSALVSFVSAIFALAIFTAVEAAPASTKVSAACLGFRITSPV ASGLKWTYGQCYSVDWDLGASQVKTIKSVDLYSSSTKKKIATEKSNVAGTAGTSGNFP LLMGDDLESGNYYFQVNAVTASGATCTLSTVAFNVNVNPNSPPVTHC PHYBLDRAFT_117931 MHTPVKFDDVQGVDEAKQELEEIVQFLKNPQKFSELGGKLPKGV LLTGPPGTGKTMLARAVAGEANVPFFFMSGSEFDEVYVGVGARRIRELFAAARMKAPS IVFIDEIDAIGSKRNPKDQSYMKQTLNQLLVDLDGFSQTEGVIFIAATNFPELLDKAL TRPGRFDRHVNVPLPDVRGRVEILKQHSQNVQLSSDVDLSVIARGTPGFSGADLANLV NQAAIQASREGCNKVTLKHMEFSKDKIIMGAERRSAISTDESKKLTAYHEGGHALVAY YTPGAMPLHKATIMPRGSALGMTVQLPEMDKDSFTKQEFIAQIDVCMGGRVAEEMLFG TDNVTSGAYSDIAKATDVAKRMVRHYGMSEKVGPVNFDDEDMQLLSSQTKQLIENEIK SLIQQSEDRAKDVLNTHKVELDRLAAALIEYETLNYQEMVDVMAGKPISR PHYBLDRAFT_188877 MSFSRVFQSRIVARPSHRLYSTVPEPTAGGNNAGLVLGGIAAAG VGYYLYKQRQEAQKGTIEKNAEKLGVQAQEAFDKSKERYSDAKSEANLKYEETKAQGV AKAENAVDSAKQSAANAAELAKQKALDVQAAAGEKVKDAGERIKDATK PHYBLDRAFT_173774 MDRLPYPLLFDIMHYLDPSSLLTMAQTNKFMSIPALQSLWKKPT LSSHHMLSLFTSSLNASSTSVRPYYLWVTELTVSFSINTTYALLPDTSYVILPDTFYA SLRLIHLNRLSLCRVQINMHPQPAVIDAIRHQMTLLDSLDLFDCSPSTPTVFLMKAIQ TSLPLTNLRVSECYLSDFHVQHFAAYCPELQNVQLRKTGYLSDSSITALASNCPKLEA LAITLPSNIVQSNTITLVAIKSLAEHCPRLRQFICPGQTRISGPQTQAFIEQHCPLLR DYDFSLTF PHYBLDRAFT_183394 MALFANTIDLQVHKNRSIPKLASCNFVASARSSEALAQSGHLAV SAPSQIIGRFQFLKTLTHANLCEYVDIHRGKHDRLFVVSEHFDQSLQKARSIESDIGL TTNISLLKQWAYEIFSALDYLQQNTIVHASLSPHNILLDSKQQVKLSGFGLFYMTGQG VDVEFPVGYPAYLAPECVTDMATGKQGYDKRDCWAVAIILVEQFTHNSFWTTSDVGLI FDSLMTLSEWAEESDDIWNYKGIDSLDINKDVLRFLQTKDTDSPDNDSSEFRNFILCL LRVSVASRPSTSQVLSLAFLSDAVKPTGTWKRGPVLASDSLDPDDPFDSPNPAPKDAL DGLPISQIYHLWRLAGGDVELDLIKRGVFLSTPVIERLPRICTLHDLEVGANTNDTAQ LYSDTMYVLGFKELYQRLEEGRKTHTDRFEWDTDYFMVVDENDVNFLLASEEPAKQSK DDILSENYFLYAEDLVQQTPGHGGAFVSTPLPTTPSASRSTNRSFSWSGMSRSGSASS LPVTSPTPLTPTQPSAPKLPLFLREQDVNYQYYRQALFSELLRQYPMSRKELLHHAKV DIPPLLRGKVWAAVLGLSGDLEHDYDSVDKYTDLGADRQIEVDVPRCHQYNQLLASSV GHEKLRRLLKAWVSANRKLVYWQGLDSLCAPFLTLNFNDEAIAFMCLQEFIPKFLNNF FLSDNAPVLQEYLAVFRHLLSYHDPALSSHLDTIGFNPELYAIPWFLTLFTHVFPLDK IYHLWDKLLVGPPSLPLFAGIAILRQIRDTLLSCEFNDCITIFSESFPKVDIEKCVQS AMNMCKVTPPSVMRRVHGPKDNTQLLDSEFGDTNGMFSRKPAWWQEPLSTEEKKQELA PRIDIEDLTKLLPYTLLLDIRSDQEFARGHFPSALNVQAAQLDSYAGVLRKLNRKYHI VVADSGGPEYASQLVHKQFARVALLQGGMDALSALLISLEDGPRTTGRHVTCHCRPQK QTTAGFKGKGSEPPFVIWRCKIPPPLKK PHYBLDRAFT_150945 MPQQVHHSTTGDGTNQELPVAIHPDDARDKVFTAILMALSKMGN KPSSPKELANVIIQHEYATLGGATPFATVSSRISQHFKRAAKHNPPRAPLLAKHVDQN HSRKINYSLAKEAVSTHSLTRRISTHDIGQEIKQQTTFPRKRTTAIDIPTSDSEADTQ LQKRPRLNTVDPISTLPEDESEGEMSDYHEDMLQGDDNPNTPNTIQIPPAPPSSPLHP WPPKRTNHTGEYDFWAPHSFDHDLDTIFLSNSTTPSSCSDSLDIDTPESTSMSELDTY FHTTKKASRHHTLRSRNNTKNNHHNNHNHNNSSNNNTNNLPLSLPKKVLLANANRSLS GERDPAGLRRKSWPEQSTCEMPWDRPLKEIIDRPWTISQKTLGSLVCDELAFNDNMFS TVLKFRRLTDRQGAKDHGDLACVFLEEGHVNATQLDKLIYQLLHHHVLDISETEKTGV VQITKGPLATRGVWVPLKQAREWLKENDLEKMTGLVHILSNDNGHTEEEEEEEEEEEE EEEGEKESSSNNNKEKDRLNVPLKTQSPYNSSDEISDYTEDTFVNYGEDEVKMEIKSE SIIVPSVAPTTPVRNAPMNKAELPSIVPATSSVDSVGIPLNTTGGAPTVDLSSAQSIA DILKRMNVRSCNLTALHQMTAAITGLVHLSPNIDLTRIISACMQLAAKNAAGPSQPTP KSSVQQTTVAPDKEIEENDPKIVYAIKPSSTPMYITVLDSTPVCVAVFEKTDSTPEHR ILRRLDSGTVHGTSLLTAGGIETERERSMILSFEMNRIRVANKASALYGTWIPLRRAQ ELAATCSIQDRLGPFLTEQMFEYFPSPLPIDLPAPRPRRIVGTELSLPTNKKREFFMP LSASKAAQLHQLMLVPQTVGGSFASKPPVLGSFEGDEDPLPRPCCVVSSSSQDSSKEK GKRRADQDIQEIQDSQDSQGGQGNHRWNMLDPLRKEETDDDTDTDTDVVEVRARLWRV REAAMNQMDNDIDMPVDPNNHQKSRLERFLEHVDTVNKESSVRPVAAHGRRRPSGGKW SANRPSNETKIVSSAIKKAASWNGALSTGRHPNSSIRKKASPRKSKEEKQDEKNIHKV VEHAPSSKGETTLPLCTVVEEDEDEEIDIGGSDRDDDLR PHYBLDRAFT_150946 MTTISTSHSSAQQTPIRVQQKRSSRAAPGEKFDDYIIRRKHEIE QENKTMSIWTSPILVLSYFGMYTFYEVQSAFLYFYNHSRLSLGIIVSTVLSLVLYTFE GPHQAAVQLIEQQIAWYGYWLLLGVASAIGLGTGLHTFLLFLGPYIAEVTIAAYACNT TDSLTRNLTSYRLECVSPVGLEGVDNLSLWSIYRQICWESFAWGAGTAMGELPPYFIA RAVALSGGKSEELSGFESGLNKKPKDRDLKETVSYYLYDGIQRLGFFGILLFASIPNP LFDLAGITCGHFLVPFATFFGATFVGKACVKASMQSMIVILAFSSDSLSIFLTIIERN VPYLHTVVKDLIHDQADKFGKGQGQTNPESEEQINMLGIAWNAFLSLMIFYFIISSIE SLGLAYMKRQHKEEMKRLELERDQD PHYBLDRAFT_150947 MSNFNNTNYFAIASEASSKKYDAALTEFNSIFLAGREFFSTVAI REAVKAYGAKHNIIFTTYSSSSTRIRMISKPYGEYRNTEKAEKVVSEASVNQEIPLPG WERQRKDGKIVAHSCKIKYNHAIVEDRRAYFIHQKLLPEVMTLVTKHLEDNDDILTSS SYTVGNSEGNNISMVFFFHEDTIGNARRMPETIVTDATYKINSYRITYVNFFGISNVS GDIRTTLKTFTITGPWVEQETIDNCLWVLGCLRDAFWLDVSDSSSNSENNHNSTTTTT ALLPRAFITDNEKSLRNSITGAFPESKKLVCSKCIKDNFKK PHYBLDRAFT_173778 MDDKEKWVNYYVYKYLHFGNRTSNRAENTHASLKHAFCTSSGKL KTATMKITRWYEELVDNRKRRLTTGCLGESTTVVFDKVNSSRLNYIRHKMCLFAVDRI NLELAKSIIPEKLTKECECLINYKYLLPCYHQLVQYNKILISSIPRRWRIYYFEGEDH SIIHNALSVPKNITKITTTTPQLAYKLERVTQILTNAQSEQQQIHSEEYIDKIIELDS KKKFEDLNCTKIVKAIKGRQKKQQQKTVAMEVCQDQERWPEVKDRMLEEFLTYQHTHY RGRIENGHMSAPTNPLIINLQDKHSPLPQQHWFDPPCNPEVVPSVVSFDLNDSPKAKT ITIFVKESAWKEVAEISQNNKIK PHYBLDRAFT_173780 MVAPLSLNHLPEFTYPGGENKTKTLVSIAKDSGKSINSSATHNI YKQNLPKMKVSQNPHRNHHSFPIVLKQSLDTLLYWIRTQANEDVANISCEYHFMEASD FILATSAGNSTK PHYBLDRAFT_173781 MFAIMVSLSISRLIISADSHCIIWYILCQCIAHFTRPVTIVVAL AVHEILKRPIVKIVISLPFYLKMTVSFALPQFVVPIHLALITSLNIVSPNVQNAKPAY IGDIIKQSRSKLTTPYIIFNKQYTKRVCHCPNILLQKRMNMPRIISSLDSGPKVTSPK LSAFVVKCQYTESLNFLKDSILLVLWINSFPQLYL PHYBLDRAFT_136641 MATEHLSPHVLQAVEVYTKGTRAWFEDDRDGWVSASVVSNQVDS TKVKIVFENDEDSRRVRLFVFSLFEKTNGTTLPPLRNPPKMENTDDLTNLSYLNEPSV LNTIQTRYSQRNIYTYSGIVLIAANPFARVQLYEPDIIQQYSGKRRGELEPHLFAIAE DAYRCMIREKKNQTIVVSGESAKFIMRYFATADDKEAKGKASKEGAASMTEVEEQILA TNPIMEAFGNAKTTRNDNSSRFGKYIEIQFDKDTNIVGAKTRTYLLERSRLIYQPEIE RNYHIFYQLCAGVPPTERKEFELKDWTKFHYLNQSGTGTIPNVDDVEEFELTKRSLSM VGISLQTQWQIFKLLAGLLHLGNVEIGGRGDATLAEDDASLEIATRLLGIKKTSEFRK WLLKRQIITRSDKIVKSLSPAQGTVVKDSVAKYIYASLFDWLVVVVNSSLSCQTPGLV TAFIGVLDIYGFEHFKKNSFEQFCINYANEKLQQQFNQHVFKLDQEEYVREKIEWKFI DFSDNQKCIEMIEAKMGILSLLDEESRLPSGTDQGFCDKLYANFSTPAYKGYFLKPRF SNSAFTVCHYAHDVQYEAEGFIDKNKDTVPDEILTLLQNSESEFLVDMLRTATDAATA TPTETVKKMTTPKKPTLGSIFKLSLINLMDTIGETNVHYIRCLKPNEAKVAWGFEPNM VLGQLRACGVLETIRISCAGYPSRWEFPDFADRYYALINSKYWDPNTHTNIDFRELCN VILTTYIQDPDKYQIGLTKIFFRAGQLAYMEKLRADRWNECAVLLQKNMRRFVVRLRY LRMKDITLMIQRVARKKVAQAVLAHKRQEKTMIMIQSQCRAFMARKKMARQQNFVVRV QTAIRSRLARKAFAGFRENNAATQIQSLFRGWAARKKYLAQRNHIVCVQTQIRRRQAR KQLAIYRSEARSASHLKEVSYKLENKVVELTQTLTQQREEKNQIQSKATQLETQIQSW IQKYNKLDKKAKELEASLAESAAVQTELTSWQEKHNSLQSDHESTLEKISKLDQEIAR LSAENLKQKEESQIVALKAQLSQSLRRQPTRQQSINAFSRTLSPARNDPYRRGVSPEG ASPRGKSPTGSSIASFRRNSVGEPPARPETHASKVVYNEPEQMRPMSIDHINTLKNKK MPNGSNPDEAIHAIFHDLETLDKEIHIGLVQSLKIVPPNVQNPPSPEEVLFPAHMIAI CATQMWRLGYLAESNSMLFSVMYTIQKYCASFTGEDTIIPCAYWLSNAHELLSLICSA EQELEREMHYNSIHGRRAVGWHEFEKLVETVKYELQCLEDNIYHNWLTELKKKLTKMA VPAVIENQSLPGFIANESNRFFGKILSSNNQPAYSMDDLLNFLNRVYRTMKCYYVDPY VVAQTLTELLKLIGVTTFNDLVMRRNFNSWKRAMQIQYNITRLEEWCKAHEVPDATAQ LEHLMQAAKLLQLKKATLEDVKIIYDVCWLLAPTQIQKLIQNYSVADYEDPISNDILR VVASRVSNGDSNDILLLDNVSLDDSPYSMPENHVVEAKLYLPAYLNLRQLQRLTSLVA IVKQNPSRQESL PHYBLDRAFT_150953 MRVKAITLGTTHPSALSLYMIPIQDNQPLDFFSLDDLRYIFTII HSPTSEEIHSITRLVQSSPESICTDQNGHIYLQSQLVIAIACRLQLYALAELCRLSHK DLLAGTANPLLQSLSFTLKPNTHPHITEHTLILDWSITDATLSHLAHLFIEQHHISSD DGEFTQESVSDTEQTLLEW PHYBLDRAFT_150954 MSSDEDDLDLNDDTTSQLDNATDDGDVMDIEDPLPSARSSPAPQ QELMDTNTSHRQRLCLCISPDARICRSYDCVAAIHPNPIYSLAATRCYRWIFTGSDDG YVRKWDFFASMNGKLLLTQAQRHQHVESVSMAGVLSSWWEHEEHPEPVAKIEPVDSTG EESAGTQAVQGPLLEPKASPVYSMDVHAEALWMVLGCDNGSINLVTVRHEEGKCRNVL RHHKGPVSVLKITPSETGVISGSWDRTVAEWDLHTGTIVRSYQGHNSQIASVAFQPIY TPYVPTNTSKDTQDKSVLDTTDNPDSAQPTDPSLIWDQKNPDILLTTSVDGQCLLWDR REPSNDARKLGVPERTPPWCLSACWSTDGTKIYAGRRNGTVDEYDYASQKHIQTFRMP SNSGPVSYVSSMPNGKHLICASNDNVRLWDTSLDSAFTIRPGVEQEFVKPSKTPSVIP FIILPGHHGGVISHIYVDPTCQYMITTSGNRGWEGSSTNACLFYDISPVV PHYBLDRAFT_173785 MHSNSSIVISKQILADTVFSERLEKHKSTMEKQAYITGICIGRS LKPGCYLVSFDACPEERFIIQHKYVSEKEYTLDKEKTIPADKLFWKSIQYPQNYMTWA NTKPGRVCIYNYPDTPRQVFTQNTLVISEKDRKPLSFQFLNLLPGDYIRNTIIPTTNM RLKKLNEFLPLLDYGEFLIWISVLMIRMDHMYEEIEDFWHYLSKAMRPRDINMYMTLD RFFTLTSEIALADISICSVEASVEKFEKAFNEKLATKIIPEKYLVLYSRKLSISKHNN EVTTLIDEATSSIIQVNIKTRKKVQYYTKAFIKRKINDFKEITKPWHHTKRTIVSNCF HGTPHIPVSLEKCGLFTILQIYQPGSEYKKMPEYKYIKNLLEKDSEDVALISENPYWY IAPHNAAEDQLIISNCGITTKYIADNQTGKDLPKQTKYQDTVFQEYDSIKDIEPRIKE ITERFENTSFLSLNIFARYIAFFLEITFHNLCCLRAAQSNEPISLYKSLRLEIATALQ DNVYDLKQTLANVSSYDKAFLEISNILKIDNLDEGFNYFKTGYRQEFIETLVEVAGIQ LKAREIEKQV PHYBLDRAFT_118030 MTPTEEPKGDQALSEKDRGNFLLLVILYLLQGIPVGLSFGSIPF LLKSHMSYSQIAVFSLSSSWPYSLKLIWSPIVDAVYTPKLGRRKSWIIPIQTLTGILF LWLGSRIDAIMNAEHVPIYYLTFLFLTTIFFCATQDIAVDGWALTLLSKESLSYASTA QTIGLNTGYFLSFTVFLALNSAEFSNKYLRSEPLDTGLVPLGAYMKFWGIMYFVVTAW LAFVTKENDVPSEDDQMGVRGVYETIIKICKLSNVRSFIAVLLVSKIGFICHETVTAL KLLEKGFSKEDLALAVLLDFPLQVLFGYYAAKWSNGSRPLKPWLYAFFGRLVCSALGM LVVAGYPKDQEVGPIYFAIIMSSTVLSSFMSTVQFVSISAFMTSIADPVIGGTYMTLL NTFSNFGGTWPKFFVLEAVDYFTVSTCSVPDSLNQVLIVKLFILEFSCGSEDGKAQCK DLGGSCEITQDGYYYAGTMCVIVGLIMLIVYVRPVTKQLEVLSKRKWRLGEK PHYBLDRAFT_79090 MREPNFSVPSQHKAAVVISSTLYDRRALDCTATLPLVNSLTHLA YMTSTSPRIREILATDGGLERLVKILATCQHTDRRSLWKWSLAFQCVVNVGVRGTETI RTRVVNAGTIHIVLAILENFMDALEKVKLEKDERKPDTTVTALSLPTMPLHPSRSTPI TPRRLFNLPDSSILSSTTTTIVPFSTPTRRTFIIRTPKTKPKTKPSSTSAFIPLLSNL TPDAVIHREDDIILSLQLLAYLSKYPQLRQALHTNHHRNVFSVVEKFTHRFHPTSIIY WAGVIMRNACRKDETQGGRRQCAHMQCGKWEQYPREFAKCRRCRKAKYCSKSCQSKAW AEGHRWWCVERTQSANSPATNAPLNTTAAVVAAAVAAAPQLPTVTDQVVPLDQTTGTE VVPITIDHQTIQVDTEPVEEDTHRSSLSMNSPDHTPDQPRRDPRLFYHHRRRNSRSTR SLASGSSTEVEAEPDTTQPRHDLMDVD PHYBLDRAFT_102335 TKLVMEQARQDGSSGIGLLLDQEKAYDRVRPEYLRQVLQHFDFH PSLVTRISQLFFSTQIQINVNGHLS PHYBLDRAFT_68245 MALPAKVPNPPNGSTTTLSQPNTSTSQTSATTPSTPTPRSYLDV ATAASKPVQIAFAAKIPPLTRFFFTSPAAPTLTDEFWTALRANVPEECTLGVLFPNKQ PLIHEIPLTSSAISTDICTKGFPVGSQTYFPYMGIAPGTKNLCIFLAQLPFLPRPLLQ EAIETALAAYGTVREYGLDLRYWFCLLLLTLNNHLTPMPLLPNFHTRSRTWKQMGLHC KYFKAMEHDIENCPERPKDSRRCFTCYQTGHLQHACPRAPPVDAISSKKPRKIPVKPV SHPQPPKSTPADCLKTPTKQLTLPQATMNCRGLVKFGNTNTRSLFIRYLRSLSLDILT LQETHASTDALQQTFHLQSQASYSLWSPRCDRVTSPDVRPMPIFHRELSSSTIDYIYA SKDIASYHSPSTVTYVQPLWTDHCLVRTCLRFPALSQIGRGLWRANPRLTHNPSYCSC LSDCFSSFLPLLSPSISPQSQWDQIKVEVARFTLSYSRTTRPSLATLQVKLQSKHDHL IHKFRYRPAQDFQLPIVEPQLQRVQQERIEILALRAGKHWREQGETSAGFLKRTVATR QARTTIASIRHSSPGTLCTDPDDLMEAAAAFYEELYTPDPPAQTAIDDLLLHLPPDLF LSDTPSTLHLG PHYBLDRAFT_150961 MPRDNLRRAIEDRYRLHRKIMREPENMSEESLLSTTHNNDGRVE PHDDQSYRSHTHSHAHRGHKLNPIPFFYNHGLTRTANPILNAQSGRRPISTGNPAVIG NWSFAVVTILLGTFNIFLPDKSNHIILPTAIMFGGLAQYVAGFIDLYFGGTFSGTILV SYGAFWTGSGMFMLPTVYPTLSSLDDERDLGRANAVYNFLWAAYTLMLAVISVKVKNG TFVLTWNLWWVFMTLLFTAIYNITDIVPILRVSGVFAYLAALGAFYSGIAIVMEEQGV QMWVGAFRPYSND PHYBLDRAFT_188883 MEVQPGIVLQSKPFFSNCVSWSEDCHILACFESAVHVLTPILAG MASDLEGHIHEGILAIDLEPSEFGESQVFEQYVDPSYAMPESFRWATWSPSGLSSSLG CYLTAVTTKHRIVFYEHPDNGQSRWIPTLDLTKDIYKHVKSTDESAMDIDEKAAALAP SDEEDDEEEEDDEEENESSDDQKESNPKKLNSQFQFKNIDEMKRFQTLYCAWTSKIIV DPLAEMPGVLALSHKSGHVTIWRALKEKGKHSYSRRHGLAFITEFRPTNNYVNLLQWS KWKISGNTYTAYLMASSNSGEVYLSSFTITVNADAKGQVHIYSPIVKKLFTWFKDSPS IPILLTTWDDFSDDGSTLKLVVSKGISILTAFLKIESDSAEMIGDWHRYIPEDTSMGL SSASWTTDGEYLQAFTHEGQGLVLNTKESTISLNLPRSCALTDILLHKYKFQCMEIES KAEEDAINPNIDIVPIMWGTANSYSSLYTAIAFTMKPVIDISNRSNSVFDTYMGFILQ STREETETLEMLCKNIEKCIKDPNYIFTYPIKNIIHEALEYVANDESVDTIFTWLSTV GSLMKDTHRKPRDPNTLTKNIYSDTTSAAAMTMCTVKLVLKYFELPKMINEDLRKWCA MARKITRENYADSVLQFAIEREDEDFREFDEDDIMVIQLICDDALIEGNVSSYLLDTI RNVHDKLESCFPDLGPYDDLLAEIDRASQFSKTTEPSEKVIGREHCPICQDMIMPSRG TFASCTSGHTWEKCCMTMRVLSTPSARKCTSCNSKSLQPGSLDFIRLPNNNPEHMSFT DTILLKCQKCIFCGSDLIDINAL PHYBLDRAFT_32690 MSETATFAAGCFWGVEHIFNKHFLKDGIITRVGYMGGQLENPSY KQVKTGTTDHAEVCEIKFDPSKVSYETLVEFFYSMHDPTTLNFQGPDVGTQYRSVIFY HSPEQKSIAEKVTQEVLEKHYKKEGIATQIVPAQQYYDGEEYHQFYLEKNPEGYACPT HYLRW PHYBLDRAFT_118022 KKSPMEMSSKRAVGRFREVVPIAAEKRRDPRFDKMSGQFNQELF EKSYDFLDDYKQNEQAMLRDRIKKEKDPDTRAELESALIRMVSTSREYSAKEAKRKQL LARERKKVEAELVEQGKTPFYLKRSEKRKLDLVDRYNKLGKKNMDKILEKRRKRNATK DHRRVPFSRRSE PHYBLDRAFT_68252 MIPVGYQRASRAEHTARIDIFEVVHSNSLPHCGIPLIFTRYWDL RNSQQGLTNMNIFQVIPIGVTATGRHGNRYKRRYIIGRGLQSNAVHFNEFSKKSKEES SRIRIKMTREKYTEKFIKELTNIARDSSV PHYBLDRAFT_117989 MLGTGVIAGVAGTALYETDDRFRHVIFAIQRSGISTSIGVRVAA DYKWTLSKKYSSKEEEIKAKKECDQRCAERVLIGLQKLGGIYVKLGQHISAMAYILPF EWTSTLSALQDRCDPSSPEDIEALFLSDYGHSIDEIFEEFDWKPIGVASLAQVHKARL RPDVNGVRLGNDGWVAVKLQHPRLDEFCKVDLGTVSFIMGYIKKAFPDFGFDWILQEM KESLPQELNFVHEASNAQQVAQNFAQERAQNQTSLVIPDIVWAQRRIMFMEFIEGARI DDLAYMKEHDIDPSTVSTELTEIFSKMMFLHGFLHCDPHPGNVLIRPAKDPKSKYNFD LVLLDHGLYRILTEELRTDYAHLWTSLIRGDEDGIRKYSLRVGCRPEAHRLFASLLTG REWETIESADLSSNRTDIEVQRVSGRAKNFLLKVADILARLPRVVLLLLKTSDLLRCL DETLRDSVTKHMTYIIMGRYCAEAVWLDAKKSLFDSIATYGISWNVLKQLFTAWWEYR SLEFGLWAYQARMSNWERLVRWKLVQA PHYBLDRAFT_136654 MSQKIAILSVYDKTGLLEFAKDLHALNVRLLGSGGTAKLVRNAG IPIGDVSEITKAPEMLGGRVKTLHPAVHGGILARNIESDEKDLAEQHIEKIDIVVCNL YPFEETIAKPNVTIPEAVEEIDIGGVTLLRAAAKNHARVSIVSDPSDYKKIIDELKKG EITQETRNTLALKAFNQTSDYDSAIADYFRHQYSNGVAMLPLRYGANPHQKPAQIYTK EAKLPVTILSGSPGYINFLDALNSWALVKELKEATGLPAAASFKHVSPAGAAIGVPLT ETDKKVYHVNDLKEPLTPLASAYARARGADRMSSFGDFIALSDTVDVATAKIISREVS DGVIAPAYEDAALAILQKKKGGKYCVLQMDPNYEPEEKEIRQVYGLYLEQKRNTVKID ASLFTNIVTKDKNLPKEALRDMIVATIALKYTQSNSVCYAKNGMVIGLGAGQQSRIHC TRLAGDKADNWWMRHHPKVLALDFKKEAKRADKSNAIDLYVTEQTGEGVERKAWEAHF NTVPTPLTAAERKEWMAQLKDTVVSSDAFFPFDDNVFRARRSGVKYIAAASGSVQDEA VIAAADSNNMVFAHTNLRLFHH PHYBLDRAFT_75064 MGQSGSHLEDMVNSSNFSAEEIQRLYKRFMKLDKDNSGSIDKEE FLAIPQIANNPLATRMIAIFDEDGGGDVDFHEFIKGLSAFSVRGNQREKLQFAFKVYD MDRDGFISNGELFLVLKMMVGNNLKENQLQQIVDKTIMEADKDMDGKISFEEFMVMVE NTDVAKQMTLESF PHYBLDRAFT_150971 MPTRPDLSNRKRSRSPSPVQSASHKRHHEQPEEPQDDLLSDLTN VLAEIRTTPSTGEISAELLGTLRGVMLQIEHLSADETNTRAREMKDESDRCLETWFDE LLARCEADGELDLASLGYEEMGDSEDEEDSLALALALQDEEDVCQEDEDAVSITNSTS SHSEVQVSA PHYBLDRAFT_188887 MSPQPSLVLAFVAAMAFVCNAHVGISPSTGQPGQSINGSFHVPH GCNGSATTGLSVSVPSEIVVLTPLPVSNWTLDVKYTKLDTPVQVNGVSVNQTVSSFSW TGGYVPADGLEEFGLTFTLPQVDLTNTPNVTIYFPIVQTCVVGSTEWTGIPGTASYNA STGSPAASFVIANSVDSTTADTHDHDHATSPTPSSSSGTSTSGASGFLTASLSGVTLF AAVSALFI PHYBLDRAFT_173800 MSTKPVADKSTTDQDKKPENPSDIDEYPRQDQASEGSTTLVREC YIIHSNFCKQCGIDVFQFCHESWYYIKNGTCNVCISQITGFFSESPLSVLYNLSYR PHYBLDRAFT_68260 MEDVAEELAIDLLTGRKYHVYSGKQKVSSYSFGRIRLWKTTPFT HKAQIEPRTTQNWVKLSAVESLTKTFENFRLKETSTRNFILYECTLNFKRTNLQSLTR NSGRDLENRYQWVKKLVEYTEMSYLTSWVLADETDFNINMRSEIRKPSNPKKRNAKGT VTGHFMKFISKNSDKIEKFPKLSKFYVAIGNAPTHILEDIVKLIVTRGYRAIYLLPTS LS PHYBLDRAFT_127894 MAYVTGNYVGLTPQFYTQHAIISVHSESTPGADMYSGRKFKIMF NDNPTSTYLIYVLGEKPLTLQKVGMNNLIASEPYSGIIRIAKLPSPEHETLLDNQHDV WATGGELVASSDGSSATYSIKWKVVGNPQRTLLTYAYPHHINSFAGDIQKTGMHLESS TKGMMTAVLGDVWTLNENHLSNVTWLPLNPIPEASTRNEIMRTIELDIHSNYAAETQK GDNYFSGKGLQKFAMLALILNKPKETFLHNPELAKISLEKIKAAFTPYLENKQEDPYK YDVVYKGIVAKDGLPRIMGGTGNPDAEFGHTYYNDHHYHQGYLVVTAAIIHHLDPKWR APELVRWTETLIRDVNCPVEDDPYFAPFRNWDWFAGHSWAGGIKNNGALDGRDQESVP ESVNFYWGTKLWGLATKNSAMIHLAALQLAITKRTTYEYFWLLDNNRNRPAEMIKNKL VGIFFEQKTDYTTYFGRYLEFIHGIQQLPMTPALADDIRIPAFVEEEWNQRLSAVAPQ IESPWAGVLWLNYALINPSDAYPRLRTTEVDDGQTRSYSLYLTATRPDFRRRPLSRVH SKNPKNETAVGGYKPAIRGVRHISFKDAVTFGS PHYBLDRAFT_68262 MATENAIRKRAYQTKDKGKQMEKDVGDQIFFYYNPFNLVIIAFG TGMKGKYATKFKGYCVGGVGVLYRALKSKEMSGDFLVADVDKFRVPKRTIFFEHFKES SVFCETRQIIGCIAKKFSKQKSENSRMVPESHVLYQHKFALNASK PHYBLDRAFT_68263 MDIKKLLCSTSNSTRHQSGDYSLDSLNYDTQNYTPSIYPNHQQT QHPSTWSSLLNSVSPEIVPTSHRHISFSSPFLSSSSLSPLSPSPSSFSSSSLPSSSSS LSSLSSHSGQKEGTSALGWSDPSMSIPPPPYYYSGNESNAINSINNSDLGTKRPLTRR LSQSHTRNSWTPEEDELLKQGYSEGLSWAMISTTYLPRRSRGCCWGRFKILQSKIAYS RRQSKQELYLPVLSVQKRTQLFKHAWRLVAQDMLGRFWDEREFESFHLANITPKQRAT FLQRI PHYBLDRAFT_150980 MWADKSGSSRQTTIRHDETLGSSSRYTPATTEQKQKFRSQPPEL TGQTNDHFIRSEEQPELIFSNANVNPSIFSPLTGHQHSQAASFLSRPIEHPGDGAEIA AFLNSGMYNDEIHSDDLYTDSNTYTSYRHQADHDHSVAEKEILEQNLARLLGADDIVA YLSEARYSEDVYGLPPAVQALVKEAQKEVQEVQSDTQKAPRQAVERLQMIREHFMGRA KGNANVAAQQAHTLQEQDWDKFF PHYBLDRAFT_79097 MSNTPDNWYHLNFASSNAVNQYAPNTVIEPVHLDICLKLDDLES NILQGKVTLTLRYNKPTLVKSEKERSSLVLNAEEFVNVQVSGEGVSHTYDGHHIQLFW ESPFKVDTERKVVVTYIVDDPVAGLYFQKEDPFFKSNPRWAITDHEPEKARYWLPTID YPAIRTTLTWEITAPSEYVSLANGSLVSEETADGFTTTHWKLDYPCPSYLVCFSVGDF VCVDDGEVQGIPIKYYAAKGADPADISRSFDQTPAMIKWLQERVGVTFPWTKYYQIAL PAIRGAMENISLVTWADRYILDKVNAQERKYMTDLVNIHEMAHTYFGDLLVIRHFEHA WLKESWATYIESCKYFFFLFSACWLEDHLSNDNFSYEMLQNQIHYFKECEKYMRPIVT RKYDSSWDMFDMHTYPGGAWRIHMLRKRLGDEAFWAAIKLYIETFSEKTVQTSDFQTA LETTSGLNLTRFFDEWIYSKGYPKIKGKYSYEKLTGLVKIVLTQTQENEANNNNNNNN NSNNNSNGNNGSGGVPLFAFDLDIQISDNKGNVHHTTACFDRENTVTVFVQLDKENSP ETLRVDPEGKILFAFEMPVDQEVLVNTAKSSKDVLNRIWAYRELIKSESKIALKKVQE IIKDEPFYGVRIQVANNLSSLQSHLSIKILTEILDREQDPLALSSILNACQFKDVRVR ESVLRFLSRDYTLPYRAHASALLVLAIQHNPEDISLLLDVAQDQTKIGQYSLVRGGAL EALGYHRSLQGFEYLINHVEYGTEPTRARPLAIEGLAYSAQWQEERHKKRAEEVIVSL LRDPDPNVRAQAVSSTIGLSIKSAHTTMESIRYMYSKDDQTWLDRRLSELIHSGSSTA PQCSKEYIEKLEERIKKLEARLEDKDDAQKTE PHYBLDRAFT_150982 MKSFAGFFWTSKLNQALGRIAALKHQIQHTVPIQIAWKTCPRYT LSIVLWNINLAGALNFRHIFNRL PHYBLDRAFT_23219 MGIFGRLRASPYTIPTLKALSWVPVVLFVLENGVSPSKVEGRSM QPTLNPDSNQMKRDIVLLNKWSATSHSFQRGQVVVLTSPTNPKRQITKRIIALQGDTV KLRQGTEKVYVPKGHCWVEGDEGFHSNDSNLFGPVPISLISSIVTHVIWPPSRFGPVE VNGIESKRVTLGFIPPDSDDDYCW PHYBLDRAFT_183408 MGQARSKPHGSLTFGHLSNTVTIPPSRHDSQDNDLLLRHPSLYG LSRPNQAVHPSHPDLAICTQTHTQAHTQTQTQTQKLTPTQTRFERADSGYLGSKPSDD LVADLAYIDQTYYGRTTQLPRKVTRPIPLIALSFAEVSKVSLHQTMKIIQLSSRTLTR LNSNIGLLTTMRKLDLSYNQLTELPEEIGYLQQLEWLSVASNRLTHLPVTIAYLGSLA ELDLSENRLEYLPASIGYLKKLQMLLLSTNCLRSLPVEMAGLIGLSTLDLSYNPLDVL PAEIICLQYLRRLKLDECPLLSLADVALAHNPPSLKETCARIALRHHLHTHPLSDILL KYLASSKACSCCGGPYWDTFVVRKRLIERNERSVPLEYRLCCAHWTTETDRILQMFGP LPSSSCLPPQPTLPPLLPFPHSNQRPCRPCNTDAVEEEESKKRSWRSQHKKVMNRNHS GFLNLSKLKRASSRNDKLP PHYBLDRAFT_117905 MKANLAKPIQIKPIQFNSNQIKSNQIKSNQIKSNQIRSNQIKSN QIRSNQIKSDTCIGHQGNSHL PHYBLDRAFT_68268 MPAQFIHSPEFHIDLETDEILMNGSTEESAGVLLHGSVFLNCQE PTKIKAIRLSFTGTAKVHWSEGNGSIQRPYREEITLIQQDWSLLPLTGKSHSLEGQHR WEFELALPGHLPESIHHSLGSVTYRFKAIIERSTFLINYATSRYLHISRRLRPSFMLA QSVVISNVWANKIMYDISVPSKVYGASDQIPVTFSISPLTNTIRVLSVLCLLKEYTSF RSKGHHKAESRIIRYCRDDHFPMPQSGRWVKTEKIDTASSEQIQCDTVSELIQVKHKL KFTVSLMNSDGHVSELRAAIPVIISPEVPEELDSLPVYEEISQLPCIQALVEGVCNDW TNLSRVPSYGTALRSPASFPVIASPAYETIV PHYBLDRAFT_23306 MAEDSDPFECRLVFLSLLNKLNASQQSIYKVASYAMRHRRLSED LYSCLIEELEQASINARLNIVYVLDAIFSASLKSRFTGYVDLTRQDLGRIVQAVVPSD PKGVVNLPNMRKILNHWKQKRIFEINEIEQAEKPLLGRETSSHTTGDGFSKDDILRRM EEDRERHKKLREEIWIRSVDESPDAQFEELWESTDPLDPETDYEAMMVQNMMRLPHYA WHMMLSQRTTTTQDQDTEMCEVSS PHYBLDRAFT_68270 MQLVVLRYMLDIYNSLRNGDCGTLIIFRRLLLKPRIVLPIEALD DELKQVLDDKSWSIMHHILEMAKQDPSFDTLWRLFAEHLDVMSSLYGMLPESTISECV SSMLHHEEWVSDSKKRKECSELLLKSGFLYVDAINNQWRDERIWKRKETELILMFMYL SVIEEAYKQEIRSAMAHDESYENFLVVFREPRSWEYEEWGSSYKAMMSWVSYYRREAF GRVSDLLQGLHNHRENKKEEYDSYEDYVQNGFLREGRQELVDNEFEHCQIERRMRRMR L PHYBLDRAFT_79099 MRILFFAGLLTSLVRLVYSQTSSAQDMSIFLDRKNQNTVQTALA STVPNTWINNLTSQGTWPDIDYSTGCDGRRANWPAQYHFQRIVTMASLWYVNQSDNTL LEKSSLAMDYWFSNNYVPDSCIDMGGLSNNTCPCGTPGFWSTNWFGQMILMPRIISNA CLLLKPNLTGTQLGNCTSVTKRSFDKVDDFIFSIGYMTGSNMLDVSSIGMAEALLTNN LTLMTRALDYFYKQLSITPSNQDGIKQDGSFLQHFGQLYTGNYGKDFINSVLRIFLQT ADTAFAPSLDTQSAFEILLEGTEWMIVGHPNQTLWWDYSTIGRMVSFPVSSQQASGGV AINISQITEATTGWPHQPALVDIVDRLRTNTTNSPNRGPLLGTRHFYSSDYLVHRTRQ AIVTLKMYSKRTTNGECNNSQNPYGFHLSDGAIYTYRTGEEYVDVFASWDWNLVPGTT VDVGKTPLECNLTQWTGVESFVGGATHGDIGIAVMNYTNPMTHKLSWQKTFVFFPQGY AVQLNNVRPDPQNVTTTLDQRRLNGPVYINRQAVADGSTVSVSVKANEHMSVWHDRIG YTLIADELQINTAPKQGNWSTLGISNDTQTMALFTPTTTNLQAYLVQIDVEPDYVPLI PGFVLVQNNTGTAEVRGAYTPDFWVLAFWSSGSYISKTVSITVDRPIVLILQPTISVS TRQWDLSVADPSQTIASVHIDVQWPQQPVQPFDVTLPSGNEAGNSVLVSF PHYBLDRAFT_40485 MTSIINKLRRRHSHEYAPYQHLEQHFESAEIVRDTIIGLSDGLT VPFALAAGLSSLGNSKLVIYGGAAELVSGAISMGLGGYLAARSEIDHYRTERLREERE VEECPQDEEDEIVEILEPYGLDRETLQPLIDKLKSDPEKFVDFMMKFELNMEMPDPRR SWISALTIGISYFVGGLIPLLPYLFLEDAMMALYVSVAVTSLTLLIFGYVKSKLVNPD GAFTGAIQTLLIGAVAAGASYGIVKLLPSEPGF PHYBLDRAFT_68274 MLYDIVSDARDFSSEGLNFGICTQIQPPPFHSHRKRFCKYFSTS HSKFSQSNEQFAKYRQNKCFGAFATVRELGTYTRVAQSECNYLLRLSLYSRLAESQAV CLNGTDINGFECPDITGLFTLKKPFAYYTCSWCISKLPRLLLSPFRKDIEKHVGYFWY KGARRVLCVGAKKSDNDNRNNKRRFLFSLAIYGQS PHYBLDRAFT_150991 MPSRSSSANINTNRTLVGMERMERKAMGRKLDLVFYRQLFEYGC YECGRREYQAKKLLHGSKIAKVLKDMLYSLYQRTPGSLRELALAGLLLFVGRVCSISH KRNLDLAEETDAICTALLPILAAVYKSIVMMENTNKLAKQKLVDIDLDLDLDIDQCKT IS PHYBLDRAFT_150992 MSNTTSSVKYWTRSMEKANIELHRDPKTFKTSVLITELYTTKTR NTCVEFVQPFPNTD PHYBLDRAFT_150993 MNPFVPTGVDYPPHIDIEPESSQHDIYTSYEGQQYFTSLTPQNF QPVQTAPTHILPHPQLQLHMPSQNIQPQPPNMPPFVPDFGSQINPNIGTNTPLTYDYH DPYTIEDQVNVPLSLSTYHNQPTESSVNQPLKAETEFNPIMGIDMYPPSDYNQTLDTQ VWVSNQALTDIQPSFSTSTPITSFGSNFPNAITFSNDERNFELVVIQQPLRARMCGFG DKDRRPISPPPILQLSIRTKDGQEINPENVNVSFFVVLCDSWLEDGKTEANLVYHSLA VSQIDNLTGEISQTVKIRNMVGSSVASATKLYDAQGNLGIYFVFHDISFRSEGRFRLG FSFIDIGTSIFSENSVRDSQQVSIQPKPALKKVFTNPFTVYTAKQFPGVAQSTLLSQC FARQGVKIPIRKDTKPKQKSSERNQEYQYNIKSEENEEW PHYBLDRAFT_68277 MIECKVSKDLKVYPTIMEEHVLFKKKKEYVGYSFDISGEEACAT IVWLKQIEENEDTCKLHWWSSAFILGYNRCYYCGYVIRDVSLVYSNNIWKDHRSVGKS TILTFLKRAKKKKREAWVYFYLVPCSHFISHEFDDFNASEYNQC PHYBLDRAFT_173819 MRPVFYILRGCITYFTVLTSRAQTGSLELINQVYLEASLFWNYM HSDTSDGVMIVTLSGQPIVKYQYSIISEPSSTIVGQAFVSMGFHHLGINIHNPSLYHF FIQNTLIYRHIICFCGCRNIFLAFLILIHTTPRRLDPLHIFQEQVEKYSNFISILKLD PIKKLFDEHISCRLAHTNSTKITGLDSWVLDTWCGRWLQVTRLSYRTLWFIISDQHVL LYGDSICKKSFVAVAFVFNYKLTASNVPIETIFL PHYBLDRAFT_79103 MSTFEKVVVIDGKGHLLGRLASIVAKQALNGQKVVIVRCEELNV SGEFFRNKLKYHAYLNKRCVVNPRRGPFHFRAPSRILYKAMRGMVPHKTARGAAALDR IKVFEGVPPPYDRMKRMVIPDALRVLRLKPGRKFTTLGRISHEVGWKYQDVVAKLEDK RKAKSSAYYERKAALIAIQKKAVESKAASLKTVNASIAALGY PHYBLDRAFT_173822 MLNPFVWLTLLSLSMLVGSFLAGSVPLSTKLSEAKLRYLTALGV GLLLGSALVVIIPEGIETLYDSQRHLSSDSHSKRQEHDEASHAAVGITLVLGFAMMFL IDQVSSLHVHASHPSDEYNELDTIPDSTDPSNTNSNLSAQTRSMTPTIGLIVHAAADG IALGASATHPTLSMVVFVAIMLHKAPAAFALTTVLLGDGLSRDKVRKHLLIFSLAAPV GALTTYLALLVLPTNATPGNLDYWTGILLLFSGGTFLYVAMHALQELQPSASAHCRPS DKLGRTHMATVLAGMLLPIFLNINHAH PHYBLDRAFT_40491 MSRFFRSASDSESDSESSDNESYISDDDHESTDDEVEQEELGEA QPKKSRFLKGTGSDSDSGDDEFSRKRQVKSQKDKRLDEMENSIKAIESGQKNNDWGRI LAEFDKLSLHVTKAVTGFDSIPVPKGYIKTIVDIDALLQESKNSKKKLNVTNSKAMNV MKQKMKKITKQYEDLVAEYNKDPEAFMEEEEEEEIIEEKPEPVKAPVAQIIDEEEDDG FTSVGKGGKRMVELTADNFFSTLKEVLENRGKKNTNRDDQIVTLQRLLKSARSPFQII SVLLLLISSRFDINLSMAGFLPVPVWKAAEKELNLLLETLEKNPQFVVREDAEPLDED DKDITPAPGQVVALRGSIVSFIERLDDEFTKSLQNIDQHSTDYIDRLRDEPQLYTMLV RVQIYGEKYNMDNTVSRVVMRRIDHLYFKPDVVIRSIESSAANLLSKNLVSKIITSEE PSELIHQLCAYLYRQKASALRTRAMLCHIYHIALHKQFYTARDMLLMSHLQESIHQAD ITTQLLYNRAMVQIGLCAFREGLIKEAHAALQEIQGSGRVKELLGQGIQTPRYGQQTA PEVDQLERQRQLPFHMHINLELLECVFLTCSMLLEIPAQAQAGPNTKKYISRPFKRLL DYNERQPFSGPPENTRDHIMSAAKALASGEWERARDSILAIKVWDLMQDTEAIKEMLV QKIQEEGLRTYLFTYAPYYSTIGLEQLASMFALEPTRVSAIVAKLILNEELSASLDQV SKVIVLHQVELSQLQVLALQFADKAASMVDQNERLSTGGRENQKNHTHHHHHS PHYBLDRAFT_68283 MKPILHQDITTNKNSYVATAPILAGTVLLTQAALASIPLPEVRR QRCNSCLKKAPLQCCSRCISAYFCSNECFRNAWLHFHRVLCEPQTRDLYANIDKNQWL LERVALTLHSHAHLSKQHSHSPPYLQRAIEALEAHKKIGTDILPDNTAIETLLKQCEM SLEELGELSHLVRRTAFVIQDPDQHLDPIALGLYPLTSLHIPHSCQPNAGVIYKGSQQ VVIAVTDISADEPITLSYVDLVSTKQDRLEALQARFGPEYVCHCARCEATDGIDYLLE RCPPKDISHIPQEIKTWSVLDKVKLYSAKKSAPGTITPGHELDVPDFTHYTSHCMSPD YYLATIQHRRHPLNGFELETREERARFAARLEFTMQALSKIPELHCLNLATIRTIEGL MQQRMAESNWVEAMRCSLYLLVVYKLIFPVLHPTVTYHTLVLARASWNSLVQLELTGI GKRLERIYENGVRMWIYVARTSVAITFGQDTSLWREVVELEWVFERDQKLKQQQQQHQ QQ PHYBLDRAFT_173825 MTNDIHDTLQALLARMEALGARSAAPPVALDGSPADEDDTMLPT DHIVERPIASDLTPFPELIKAIPGMERDFFRQPLDEASRRRFLLNCPRNVLRQYQALV LNYSGVGTHTKRTDAQLADIQFRLSGLTRPIDLFAHDVLVEGSIQVTQALGFANTMHE LLSDLASVVTQMRSDNICRDANLPITPIVTNSALEPKPLLDSQRIVEQAKLQPIFPSP RLEEGFSQSPTAVQVSDNQYTTVGGRLQLFRNAWTKLTNEHWVRHTVEQGYDIPFTRL PPISSSGPLTNHNRMDSNVIEQEIMSLLCKKAIEEQGYDTNHPSLQVERYPERSISPS PQPDNHLETAFLVHRQGPGNHFGSPSRAPANPATDIHTQPSLVPRIAMDQSDTPLFHG SAGTSVVDRPAEGMEWTLVPPRTSDVHDMEMGSASICDGRTFCTLDNLASPISLSAVE PTSPHTAETTTREGSRYVDNAQLVERPLVSSPPPAVVPPANTHPSSPGPSRTRLRRPR SPEEPTLEHDRVGHKLRRLEDQGFDDNANIIILNRDRNHSRRSYNRIQRAYIDWAHHH DVDPFIPNPVHIVNYLAYGATHLKWKASTCQAYRSAILDLYSDKDSIVKDSTYIEFFS ALNEQNLLSFHRPTYDIAPVIRFIHNLGPNDTMNAIDLTRKLCWLLAICGFLRPADLE RVDDRRTSRDNGILRLVIVAPKEKRSGRRIERIVAIQPHEDPLLCPVATYLAYKSNIA FSVCVRPHPVLSQVTLQRLVRDVRNYDRPIGSERISKHIQFLMEKIPRPSGVLLPKAR ALGPTLALASGASVEDILVHGSWASSAVFDTFYRLSRQTVSNFSTMTLTSSSGYLDTQ PESLANEE PHYBLDRAFT_151000 MSTFLFDNGDSKVYDEQGRETHVYGMAVDNNQYSLEQFTTYDQY MDLKPPEKPVKIMEQEAEIPVSEDNSRIDLAGETVTGHYFDFVAATLDVLDKHEQFKR HYIIMDNAPIHTHLDIKKYIEGRSYGCVYLPPYFLELNPIEQLWSVRKNSLFQNSETV WKESLCSIIERK PHYBLDRAFT_79105 MNPAQANKVDLSQLTEQEQKLFRMYGKLPDRKDLLGHKLKERKY FDSGDYALSKAGKEPLAIGSEHPSPDSIPHTSPSNNNFVTSPVRESPLAPESKAAKEE EEEEEEDEKEKEKTH PHYBLDRAFT_183416 MGMGMEKCCCFIPLRLGTFIIALWFFVIYLLDAVTGFLGVNAVI VYSGQSAKAWYYIDLLFTVLVCLGGLLGIVGSCFASRGFAKFFSVIIWINCGLSIVKY AVSLALMVVHREDLIRSCLRSGFVGFSNAQTPISSTVTISESPYYAPVKYPGTLNAHA TDLEQCQYNVQSFLIVYGVVIFAIEILQIYFASVVSAYASRLRNGARHHRLHDQQIKD FEESRYHMSTVY PHYBLDRAFT_188898 MTYQQLFALSAALTQPNDISDGLRILADAFPDQSQLPFPTSVDE WLADDLCHSGLLAMPPIDPLSIFKQQQPIHHQHQQQQQQQQQQMCSNTSQHDLQQALQ QLLPVSPPLTASPPNLDRSLESPEHQLRVPLFPEIPRQRVLMPKTSTNCPRTIAPRPS TMPVTVESKKRSVDDMNNNKDGDDIAVKRQKNTDAARRSRLKKLKRMECLEERVSELE TDNTRLTTRIAVLESEKSGLESKDRGLEERVRILEQQLAEAHRALTNNR PHYBLDRAFT_173830 MGNSSSQHTQVPDAVSSTLSPNVRRRISQNPGFISFFEGTPLGK NANRKKSRTPSLAVSSSSTLSSKNDARSSIPPLPPLPNQQNQPVPQTTRSSSGLSETS TLVSPLLECADSNNNSTSDLIFEVVDGRRYLVTPGTHFYLPCDDDEADRLVILHFLLR YAFSGNFGAPIADTLRDRRLEDKTPPRVLDIGCGPGTWVLEMATDFPHAEFYGTDVRT MFPTAIKPSNSHFCQHNFLKGLPYADNSFDYVHMSLLLFTLTHAQFMNLLAEITRVLK PGGYYELKDTEYRIERPGPVCDSLLNQKVRKTMMSQGIELYKSHHISTFLMTQPGNNG FVDVHQRRITIPLGWGGQLGDVHAQNLETFFQSLNPRIKEAARSSDPDNEDVLSNEVI QHAMRECKKYQSHLNWFVCYGQKPPMNSADTISNPPTPRKATFSASATPSPASEADEH HHLADMTWESINDFVEGYID PHYBLDRAFT_79108 MGIMKKLVAVSAFGFLSYAAFLLLLTFPTPQRMIIYCNWVQFPF RPKFSLPEYYGFGHNQVRNVRIKTSDNVTLGAWHILPSDYYRRQDIRYQNVTDTIYDD ALADPSYSTVVYLHGNAMNRAAPWRVDLYKALTNRFGLVNLVTIDYRGFGDSDGVPSE EGLRSDAKATIDWLVQRNVPHGRITLIGHSLGTGVATTLAYDMTKAGTPPQALILKAA YSSLPNLIFEYRMLEIFPILGPLNYVPQLQNNSNSNSISISSITYGGVNWVLSKLVHT FDSISRIQHIDCPVLIVYGGSDIEIPGHNSHQLFHRAIYGSDAPAPFTKHWLENDRMV KQQTIPNEATVYTSLERNPNARLVKLHHADHNNVGYYDYCYEAIAETAHWKQ PHYBLDRAFT_173832 MRLRKHIHTVTHEFTLLIIVSRSFRTDTLDKQLYRGPVNFSQGP PSIFYAMEFEKYEPLNLQQIALKGSETPELQCFHKFGNSLGLRLRLRRWFNGLDSKLA IRNCFFMSFAISTKTTSAITAFFLKENILVLALSI PHYBLDRAFT_173833 MERMNAFTGFPDCSDPAKAIQGFVAPPSPMPPYQQCMLIQRKML SCRIYFSCAVFTTIFIIITTGLFIYYTSRGPDAVIDLSVIHLEEEQKALPHRWRSDSL RKRGLFHTVLGAFGNLVFIDTVLVYQSFTAIEKCDVLLWGVLLGYYTWIFAMCAQTYR LQKLLRLNKLIVRYMSLTKSERLKRNDEPDYRWMVKHCSKKEFSNVCSAIVYIITVAI VIAACAIGQAIAFYKYGQRCYLKWGIYLCIGLAASFLLVVAPYIGWALRSEKDAHGIK RELLMEVSIGVPCFIIYIVWTFVFLPRSFITGTFTYLLFSSVNWIMFFVFICHLLSVV LPLVSTLNSRFFEKFSPILWGFWNSKVLLRKRNKANFHIKTRRIFGIVVNHRSLTVRY NLDMAPSSLEYVFNTPDLLKRLKQLAIEDFSVENVLFYEKYGQLCDLVKQCQCSPQNH HTSSVLSHTSTDTDTNIDTSFDITKEGAPEFESKSEHGLLINKPIEEYLWDIFMEFYQ TYIRDGSPLQVNISYQARHQIDTIFKNLFLGGTRKQSRTTAWNRANSQDNQPQKEKKN SAGTLDDIYMDRGQASYGKAGCSSAAHRESTVNSLDPVILTPAESEGENKQTFTLGVF ETARKEVFWNIFAGLYPRLVDNVNSD PHYBLDRAFT_188900 MYEATKIHTAYIVNIKLMFGQHLRRVINILLDIKNKQRELTQQL HIQRATEERIKRMLTEHIFEPTRMFKEGVSTRRLEDVFIGEGYRTAFMEISPILTAYP KRYEFQKDSIYYDSKASPHNHFLALFRMVKLLEHLGRRSFNCFPLRRSWSPGYMQIDT RILSQGTIHTDGVGISIIRQNKDTTQGPNPRRATTINPENIPYIHDLSPEQHEIICGR CVLIDPGRRGLLYCMHEESTVKTPRCYRYTSNQKNIRLKRTKFRHILQDLKAQAPNVI AAEREISQTSGRANNTTNFAFHTSVKAANSSILSSFYTSTVSRTSKKPLFRKLRFSSY INQRKASERLAKDIRAKFGQDCVLVMVNWSAHHNRYHEHIKGVEMRNLLRKHGFNVCL IDEYATSRYCPRCYQPSLQTFKQVENPRPYRRSQMLTMTRHGLLRCTNLNCLENMDGS EVMHRLWNRDVAAVLNFRRILNNLRYDGTIPVRFTRVIRIGRIRRQAEEDLQEGRRLR QRLTRIQRK PHYBLDRAFT_178280 MTRVAPQPALDFSITDDQVANIIASVIEEELATNNAIAALKPEE QTYETIVVPLARIENNLSGKIQLASSLSQISPDAVVREASVEAEKKYEEFSIEQTMRH DLYEVIQEFINKTDLSTLDHEDARMLKKMETSFRRNGLHLSQEKRDEFKELRKRLSEV CIEYMKNWSRESSSITFTKDELEGLDDDFIGGLDTREEDGVKKYVLTMKYPDVRPLLK LCKNENTRKVHMTAFESRNRENVALLDEAVKIRRECAKILGYKSHAAYVLEVKMAKSV ESVRNFVDDLATRLKEAGIKEIEILKNLKEEEKALRNEPFDGKINSWDTSYYERILLE KKYSVDQEQIKKYFSLEPTIQKMLEIYAKVLGLRFVKVPSDKAVVWHEDVQLFECWDD VEDKSFSGYMYLDLFPRDSKYPHAACFPFQPSYIKEDGERVAPIAAMVANFTKPTADK PSLLKHEEVVTLFHELGHVMHHLCSRTKYARFHGTSVEGDFVEAPSQMLENWCYDSKS LKYLSSHFETGEPISDEMIDLIIKSKNVNAAISNLRQLFFGIFDMELHTSDDENLDSN KLYNDLREKVSLIAAPEGSCGQAAFGHIMGGYDAGYYGYMWSKVFSSDMFYSKFEENT LSPEVGYSYRKCILEKGSSKDGMDLLKDFLGREPSSDAFMRENIGIN PHYBLDRAFT_68295 MSSLPDEHSQNVQEPNKSESSRDSFAPSKDHPPVHTLASMSRKK NQHSSRPSLVNLRSAWSSEFTPRFVSESNQITLPEHSFHLQDQPILQEIKHLALVVSA LCLGNAVYVVRATTSGGLLVSIPVVGWAIYVVWILLHNKKDQRTLQLGYFGAIATGFI QTLSNYHYNNYHYYHYSHYSTYEWVTVGNNLIIAVLFYGLQRLWSANFQGRKSVQDHY TVKQQDAQSHVNEHLEKYTQEQTTFIKTITEEIQDAALMVLTTLEQFSPSSLLTNTHE LLNACSIPVPVASVTAIHTTIRQVFHMSAHLQLLSQLVRQNTRVDSDDKHLQSSVQLS FDVGEMVQSVGDAIAGMASQLDVGFVIYHSDNVLHHATILGDEDAIQHTLISLLRNIL EGSTPGACIELGLNIVSVDTGLKVTFEIIHTVSPAIPPGLKATLLPNPNLTTRLIQYI GGELVVEDLGKQKTRFEVTLEGTSPGNSFERPLERNLSSLQYSREPTLGELVGFIGQL KGVKLVLHAPEKSVFAKHLTSCLASWNTDISHIPISHWDDTVMEPESVDTSVSHDSIE SSSANSGSSQTQIPKSVSPSGSLHSNHSATSQRSNTSSQVPSPALEEDNIRAIPPAFI LIDDDAATLKAKLNEFRSQPPASSSTLQAHHQQSRRKHKTQMPHNFFHQGTTGIIFFT SLHNYRDVRSILQVYIGASQHQLPFSMPRVVVVPKPAGPRRFLTAIHTAWNNAIVEPQ FLPIATSPSHALVSAHGMSTPVPEKDDVNTRIPDLNAIGTEIDGSATNEMIGGDGVSG VSGGSAGAGSPPSELQRRAMANSGGLFTTSVGLVPDAGFEKGNYFFEPMHQSSSGKRH ARAQSGPFVSPGRRALAASDMASDYVTIGSTNSGQISGHIHGQGSPTVGSPLKQTIAP LMEDDTTNNNNSLKITTVVSSPAKSTGSSNHNSGQLGDASVVSLVANNTQTIEAAQTT AKVPTKKSMRFKISNRKKKDKGKGSGVSSPPIKVLIVEDNMINQAILSTWMKKHKIKY EVASDGREAVDKWKKGGFHLVLMDIQLPVMSGIDATKTIRSIEKEQKIGVLPTIPSFH HQQSHDSTLSDTLSLEGSESMTPGSLQVLPPPPSPFRSPVIIVALTASSLESDRQAAL AAGCNDFLTKPVSLEWLEQKIMEWGCMQALIDFEGWRKWKQAAQPLVTAVPTKTTIPT TTEGENEAETTVLSLPKVARSSSQRQGIVLPGASGLAVRRREDRSTRRPNPVKQASEK TSLEKKFETKES PHYBLDRAFT_173837 MLKTITPKSFGQRQQQRPKTVTFFDEEDLYDDDISFMTDDDSVH EPGQTTLGLAKFLATTGPEEFDKKDCSSNPSSSRASRLLNKLRKRPSFKPPAGGGGGI GGERRNHIPLPVYCPTTSSEPRPTLRDSGIYSMSDKESSIAPPPPVPSLPYVASPRLP SSTSLSDLHFPMPPLAAPSPTSSTSLRPLRPNPLPAAVASAAIAAAMHSHRAMSVCSD LSENPQHRPLPPQVIKRRSVRIRHAQVQTDDLDYTDLLKMVESLQLQLEEERALRETN TVP PHYBLDRAFT_127912 MTCLSKTQWILCILMGLSALAIHVILDAFYHPYDPPACSMSYAR PSYHQIPNVVSRLSEKYTLYLYREVTVDREDLSGVPVLFIPGHAGSYKQVRSIAAQVA NHYYYEIPLHEGSASMDIFTVDLNEEFSALSGQLLQDQAEYLNSAVSRILEFYPSASS VMVLAHSMGGIVARTMFTLPNYQPGNINTIITLATPHATAPLVLDPTLDRLYRSMETF WKEGYANGTLNDVSVISLAGGSLDTTVNSDSASVADWVPESHGLTVFTTAIPNVWTGC DHRAILWCNQLVKVVAAMMAEVVDQRKPQQTIPVEQRMAVFRRHLGLRPQTHPWVHSS SLRNLQLRTKINQRGPTKRQGIRILV PHYBLDRAFT_160306 MAVGKNKRLSKGKKGLKKKVVDPFTRKDWYDIKAPSMFEVRQAG KTLVNRTQGLRNANDSLDGRVLELSLGDLAKDETRAFRKIQLKVDEIQGKNLLTNFYG MDMTTDKLRSMVKKWQTLIEAFVDVKTTDGYLVRIFTVAFTSRRRDQVKKTTYAQSAQ IRQIRKKMFEIMSEEAVVCDLKELVAKVTSSASASAQDSIAVRIEKACHGIYPLQNTY TRKVKILKAPKFDVSALLALHGGASAGDSDVGAKISKEFVEPPVLTSV PHYBLDRAFT_79113 MTQDKLRDKYRELKKRIRDMESENEVLQVRIHKARRGVRQLKLE RTVLLERMERHQGSFGDNDHYEIGSDNGSQDESYMLKYDHGKHMDKIIGNHAKAPRKK KDPNAPKGPGNVFFLFCRFERDKIKDENPQESLGDVTRLLGLKWKSLSKEEKQVYYDM YKKELDEYEVAMKTYTSGVAGFPDVMEESTASSPAILQTEPLLNDPNPQDYTEDNMLM EEVGDEPLELNLPKSEAEEEAEEAEEAEEEDDDDEEDPIS PHYBLDRAFT_188905 MLRLTQRRLTSRLYSTSHEHKIYALPFKLDQSKVPEIVHLASYV SEHKFLAFFKILKGMFQKKIPHVEASAKSIEVRMAYMPMWYYDMAVSADVIPLDTSGD KETTLKRMGPERQALGIGIDCYWPGHTWSPMSYLSFGQPGMLKKADLVPFTNEMVGED VQVLPFTVSPLEDLAPNVSALENLELESKVLKGTWTIKNPKLAFSACYPIYWPVYIAQ FQTEHTENKPTTVVIGGHSPHPPIYKWDESKSGPEQWINNGPWLNLEVTEHSWQMNFG GSPMAQLLQKYHDNVVGNFSPTKVDWAEKRIQPYPVYHEQNKKYLKQLFKVWAQQNML TQLGTMKDDELTIGVGDSKFQFKKAGDFRKDIEKKVGDELEVLEEVEPEWLRDYVIEQ RQKKDALKDSE PHYBLDRAFT_68301 MFSTALKSVGVLTASATRAVSFNTAFTRSIQTSAAIDTTTKTSL QKLREQLHYYAIAEVAGRPFLITQNDKLVVNRLKDVNVGDVLKLDRVRELGSKDYTLK GAPYVNEAFFDISATVIEHPKSKLIQIVKKKRRKNYKRTIEHKQTHTVLRISKVDINA LD PHYBLDRAFT_68302 MTAHILKYIFYGVLDQACKAATQNSAITIMKNIAFVLTVTNGWS MFYANVISKGPKQAFRELMFRSKSIASKSFDNIRFPRGNSPNKSIDNIDNTDTQSPIE EINGPA PHYBLDRAFT_136683 MITGASAGIGESCAREFASEGSNLILAARRTDRLDALKNELMNK YESIKIHTLSLDVSQKTSIENAMSHMPDDLRDNIDVLVNNAGLVIGMDPLAEVTEEAY DIMFNTNVKGLTFLTQAVLPIMKKNQRGHIINLGSVAGKESYPGGSIYCASKHAVEAI TRALLHELIDTPIRVSLICPGMVNTEFSTVRFGGDKQKADGVYKGIQPLVGRDIAELV TFTASRPPHVNICDMLVFPTNQSGARTVYRSS PHYBLDRAFT_117938 MREDLITSAISFLSDPKVQSAPLAKKVSFLESKGMTSEEIEEAM ARSSGKVATSSVPSSVGIPQGPGMHVSGPMVMQPPPVPQRPSYDWRDIFIAAVLAGGV GYGVWTLAKRLFGPWFKVPTQKELEEDKEKLDAQFQAVEDSLKDIKEQTNDALDTVSS QSKKVDESIVSLEGVLRDLKQGDLSREEEFKTIKGDVEALKELVPKMLDRNKESQGLI LNDLQTEIKSLKSLLLSRRPTNGASSLLEQGSSTPVSPVHTSTSAIDTTPVGLSPRLS AAFSSSPRAGIPSWQIAAAAKSTSAADPKPTIATAENATSTEN PHYBLDRAFT_68305 MVSFQCDGCSDVVKKPKLNQHGQRCRATFTCIDCSTTFMGYDYQ SHTSCITEAEKYQKALYKGKKAVPQKAATPKSTPAAVTKPVSLVEQLKQKKAESEEPS EETKKRKSSDELKDAAKKQKSTEWSLTEISSDMAENMEFALKHVLKENSLSLNDARKK ALELIENHPKSSLSKSEKKDLKKQFDKSLQITLENNTLTLKRKLSWNP PHYBLDRAFT_23190 MKPRKKRNFKNLTLPDSPITKPTETKPKDDDWQDNTPLTVGLGT FDISVELRLDLRSEDFETLDELGRGNGGTVLKVMHRKTQTVMACKMIYVQANNAVRKQ IMRELQFMHDCHSKHIVSFYGAFIKGGDISICMEYMELGQAINMDYNMCFSYRSLDTI YKKHGPIEILVLNKITYAVLDGLIYLYDTHRIIHRDLKPSNVLVNAHGQIKLCDFGVS GQLINSVADTFVGTSSYMSPERIMGSPYSVKSDVWSLGMTVMELALGRFPFPSDGRPL SIFELLQHIVHEPVPSLPVQPDKYPPEVVSFCKACLVKDVQTRATPNELMHHPWMIGG ITTKVNLEKWAQSMMRPC PHYBLDRAFT_32712 MALQSGVVLANATFEEILDDLSSRFIINVPDVELKSVERICFQV EQAHWFYEDFVRELKPELPSFQLKTFSAKIFKHCPLLRQWAHEHERAYADFMQYRFRI PVCGAIMINAALDKCVLVKGWSSKSGWGFPKGKINQDEEYDCCAIREVLEETGYDVEP LLKQQDYIELTMREQRIRLYLIVGVPEDTDFVPRTRKEISEIAWFKFDELPTYKAINN VPEAHIRTGGYRFYMVVPFVSKLKHFVSQR PHYBLDRAFT_117908 MEQLNNNLLDPQELAKASKEVSSLSNSRQLFLDWQQSSQDLAQL GELLSNTDDEDMLELAKEEFSELMEKRVMIEKDLVTELAPKDSADEASAILEIRAGAG GDEAALFSAEMTRMYERFSQLRRWKWEVLSLSEDTSSKGLKDVTVNITGKNVFGLLKY ESGVHRVQRVPATEAQGRIHTSTITVAILPQPTEVSFVDVQIRESDLRIDVYRASGAG GQHVNTTDSAVRMTHIPTGLVVSMQDERSQHKASKSNKAKALKVLRAKIFEKAREETD NLRRDSRNKQIGTGDRSEKIRTYNFPQNRVTDHRINLTLHELEPVMTGEALMNVIEPL QEHYLAESLLN PHYBLDRAFT_188909 MSKQNQMNSVELLISTVDYHRKDPVFWIEANTDLQKYKQKHRRF PRYYSELQKLHDHLVATLEDVFVPVLPACPIPRYDKEGRRVVRQWWFNVGRNTIIQSD NNDNTDRVEYKLQRWLDRIVDHPRIQPSEGLREFVESEVGFRPLLKKGRQTKPATVPV SERDMEPAYIQQQAYLADILQSFQDLSRQIERTSSEHKMLSQSWVELGSSWITYGGRE RNPCLFILYKGITKGCQQLSAIEKSQACSTTETLGDEVNYQIRNTEAAQATMQRRLGA LSEYLTSRKQTEHSLRQVERLKSSGSIDRTRADGAIKDLEIARRTERDSLKRYEAIDH HIEQDMREQQPKLSRDMISCLREYARSQIFLEKQKLAIWESIQSSV PHYBLDRAFT_188910 MASRTFSFARHATKSISTHNINKFTRSWFPTTSQIHRQVTTVVN ATNATYPPYLPLFAFVAPKPLVNLVDFTSTEGKRLFRGAMDQGQAESFFKLMGNFSTQ SSPALAGVSSLAMALNALEIDPKRIWKGNWRWFSGDQLKTCSPKESVYKRGIPFDEFT CLAQTHCSVEPKRASVGGYNQFLVDLERVTSNPDSQMVVNYARSHLGQQGEGHFSPIG GHNTKDGMTLIMDVARVKYPSVWVDSRTLYESMLLQEESGGSRGYFVLTPGQQINSAA SSSTGGGSAANRPLKCNQCSRLCSKKKRSS PHYBLDRAFT_173853 MIETQLFFVSANCNVSDLQENQYKNLLKLVELYFVIARFLFLNT VQGPSLGGCACSIAICLLPKFRQFKEKRFIFLISSHFVKRIIKHAILYNSIEAFCIKF IFAKVDTLQSLGVLINSFAEFNFFQKLKHLDGSHRRYMKIFILKRKVM PHYBLDRAFT_178284 MSTPSRRRLMRDFKRLQSDAPGGVSGAPCSDNIMQWNAVIFGPS DTPFEDGTFKLELHFEETYPNKPPQVKFISKMFHPNVYANGELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAANLYRENRKEYVRRVRETVESSWE PHYBLDRAFT_183433 MAPIKKTKKNTDTIGSRLQLVTKSGKYTLGYKSTLKTLRQGKAK LVIIAGNCPPLRKSEIEYYAMLSKTGVHHYNGNNIDLGTACGKLFRASVLSITDAGDS DILSAQ PHYBLDRAFT_68316 MFVTFLPAYLDFKLEKHTILKRDKLESFIHKILYALTLPKHHSP AVLIIVYIKNSHNYLYLHLHLHNLIPMPMFMLMLMLMLMLMLMLMPISTSTLLVLWIF SFHSGLVWDILCGHHVSFTDQKLMLLHAYNIDSLQVLQPSDI PHYBLDRAFT_173858 MSYSSLLKVFSAAALLPLCFSAPESTHWLMGDPQDHPGRWMNKV HDIPTWVIPIENYDENTFIAGNVFSGMLVGFSAFYAFYHVYRVYLPSAPQGKRNIGNL NKDILGYLSSSFLASFMYSVLSLGKIWCGFGVLHNLYEAALLLHIVTQQRSTSSRVTY GILFAYILIVVATSLVLPWPFDGVFFKYQGLVVDYVLSVNMVRLYLHNKSIAAKVNVL TPAPTENGTAESGTVEDSNTFKGKQSVTFMRPVHENLFLLAFAAVVHTAGNTMIIFAN TATLWLVFQFSYAVAFPIYSYYVSEEPDSSRINWYPIKLLKEVIIGVVSFVVVGVLMA IGFISSGVEL PHYBLDRAFT_127928 MLKVADASQPLDGLIDLVLLDMPRTEIYGYMLGLFERLDLCKAI GITSSELLDFLIDVDRGYLPNAYHSFYHAADVTIVLFAMLSDYDAKQYLTSVDMASLL IAALCHDIGHPGKNNNFQVNIQTDLAKRYNNKSVLECYSCTLTMDLLTKHQLLQHIEE ASANTGTPTTEAEARISIIKMILATDMIFHYELQENLAGLANDGNCLSPCKLLSKLER EVLCQIMLHAADISNALRPWSICKTWSNLVCVEFFGQGDAEKAFGLPISPNMDRGQTT QATISLKFGDYIVNPYFEIFAAVFPKSDRLLQLLAENRKEWARL PHYBLDRAFT_173860 MAFNASFNKPYFNNTIVSLRPFQIGRLTLFGRLTLFGRLTLWSI DTSLLLRRLVRKQTKKPIAYRKRLATRKDCIKEAKKKKISMVPTCGLHICGATRSLFI LVAENRMLTIDTVWNHSWINHQAPRNDSFCSLVLPYFHNWINPFIQNPKVLNRIRDHV IRT PHYBLDRAFT_23209 YIGIASIGTPPQQFRVSFDTGSADIWIPDNQCIRCGLHNFYDPL QSSTATQENKKWIIKYGDRSAVEGVTVKDTIHLGDIRYPSQRIGLARNETPTLTKDSF LDGIFGLAFPSISRTGLNESAVQTMYRTGAIKSPVVGVWMGRTVADNGAGELIFGGVN PNHFSGRLKYIPIAKKGYWQILMNGVNVNGKEQLEDPLQAYIDTGSTLIILPPALSQS IHGVIPGATYSRDGGWRIPCKIKQDSKGIDISFRLGNHNFPIKVSDIVREIIDENNPS LCYSGVTEAGGGIAILGDTFLKSYYSVFDFEKSRIGFAPSKS PHYBLDRAFT_173863 MKIAIYSLLLLALNSTIVSGFELDYFEQASSSHANPSKPSIIKD CGDKSYMVEIKDITLTPAVPVPGKEISVVATGHIKEKLLPGAYADVLVKVGDVDVLKK QYDLCQVMKDNKTNIQCPVKKGKVKFTHKVTIPKDIPKALFKVEVRAFDVNKKKLACL NLAVDFKNPENTFYDL PHYBLDRAFT_183435 MQTEEDLPEISKPEVQTFVRFFNSLSQPTERTIRFFERNANDTQ YYTCHGQDAVHIAHEVFKSTSVIKIWTASASEELQTTTVSKNAAENFIREALLHQQLH IEIWAQRKNTSTWELSRKASPGNLQDVEDLLFVDTGMVISPVILAVKISITKDHKVVG VAFADVSVKEIGVSEFLDTDLYSNFESFIIQLGVSECIIPVQDGEKDGEIQRIKNILQ RCNISTTEKRKADFNSKDIVQSLDRLINTDLSVATLPEIDMKNAMDSCACLINYLSLL NDKENFKRFSLKHHDLSQYMRLGGSALNALNLMPGVHEGVKQTTHLYGLLNKCNTAQG SRLLAQWLKQPLLSLEDIGYRHDIVQVFYENTELRHILRDEILKLIPDMNRLAKRFQK RSANLEDVYRAYQVVTCLPLFLTCLTNYLPMDQDKADLIQKTYIDVISRHYEEMFTIK QLVETTLDLDAIQNHEFVLKAQINPELTAINDKIVANKDMIDSIHNSVARELQADTDK VLKLEKHSLYGYCLRILKSNAGKIRNKKSYIEYSTQKSCTYFTTPELKRLNNDISDLN NEYQRCQSLLVADVIDTVAGFCDTFKLLGSVIAHMDVLVSFAYVAIMAPIAYVRPTMS PMGQGNVILRGARHPCLENQSDVSFIPNDVKMIRDESEFLIITGPNMGGKSTYIRQIG VIALMAQIGCFVPCTEATMCIFDSILSRVGAGDCQLKGVSTFMAEMLETSTILKSATR NSLIIIDELGRGTGTADGFGLAWALSEYIATEVRAFCLFATHFHELTTLAEEVPWIKN LNVAVDVTEVMGKASEITLLYTVREGVCDESFGIHVAELANFPSDTVKLSKEKLKELE ESEANSDKNLYGSYSVQDVEDGKRLEDEYRTELYKIVNRNGATDSEIIEEVSALNKKF KPVFEQNAYLRDFHK PHYBLDRAFT_68324 MVTSNFEAIRLMKQTAKQDNMNFLAKPATSKICYKEDSPASEGG SITNTDSESSSIELDWEEEEKKLRIMEKIDSNLTERAKKINEQYVLFSWENVVFGDKQ PE PHYBLDRAFT_79125 MDDPNADTEWNDILRAKGILPPRDEQTKDEIEDMYAEALHARRR EEEDLDNKTLDELDELEDLEDDRIILEYRQKRLQEMQAVSSKEKYGDVVQISKPDFVK EVTEASKECYVVVHLFKDYIPACKLMNQHLAVLAKQFKATKFLKIVSDQCIPNYPDRN VPTLLVYGEGDIKANLVGAAQFGGMKMTVQSLRTLLAQYGAVPPEKESVEKEQPKKTI YSSSATAALSSDEDESDNDDRGYY PHYBLDRAFT_117876 MQLLNTANGLLKEDKSSADRNLKARTYAVIPLSDHSGMIQWVND ATPMFALYKRWQKREHTTQMILTNEKLDESEDGLRVTANRRHWPKHILKKAYMRLVKE TPESLLSKELWCTSSSSTEWLSKSVSFSRSLAVMSIIGYIIGLGDRHLDNIMVDYQSA EVIHIDYNVCFEKGMRLRVPELVPYRLSQNLYNALGIAGADGVFRIAAEETLRVLRKH KEVFITLLDAFVYDPLVDWESEAEEMQERQILEIQANLGLIAARLSK PHYBLDRAFT_173868 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTGDGILKSGL SFIEEVIL PHYBLDRAFT_151038 MAKRALLVIDYGILLKCEISTFYECMNKLCDHSNHLPKNFGHVI WRKTTIWSNTSHCDQLAAETNKRPIRIYPSSPKMLCANFLPLVFLSNESENQQPLIRN HINNNH PHYBLDRAFT_68328 MEVDSPKECDKDHDHTHSKDGFEPLKQEYFEGKHLSEPDKWKLL PAFLRVKGLVKQHIDSFDYFINTDLRKIVKANEKITSDVDPNFYLKYTGIRVGMPERT DTDVANRSYTPHECRLRDLSYSANIFVDVEYTRGKQIVKRKNVIIGRMPIMLRSSHCV LRGKNEAELARMKECPLDPGGYFVVKGTEKVILVQEQLSKNRIIVDVDKKGNIIANVQ SSTHERKSKTAIFVKHGKIYLKHNSIAEDIPVMVFMKALGIQSDREIAQLVCGNDHDL HDAFAINLEESSKLRVFTQLQALEFIGSKVKVNRKLATYRKTLAEEALEVLATVILAH VPVENLNFREKSIYASVMIRRVLVAMGDEVQVDDRDYVGNKRLELAGQLLSLLFEDLF KRFNSDLKMNADKVLKKPNRTQEFDIFNQLMLHGDHITSGFIRAISTGNWVLKRFKMD RAGITQVLSRLSYISALGMMTRISSQFEKTRKVSGPRALQPSQWGMLCPSDTPEGEAC GLVKNLALMTHITTDDEEDPIRKIAYALGVEDINMLTGAEIYQPNTFVVMMNGVILGV TQRAQKFVTDFRRLRRAGRLSEFVTVFVNIHQRTVNLSSDGGRICRPLIIVDKGKPRV TQQHIDDLIAEKLVFDDFLKKGLVEYLDVNEESDANIATYEADIIPQTTHLEIEPFTI LGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIGYNQLNRIETLIYLMVYPQQPMVKS KTIELIGYDKLPAGQNATVAVMSYSGYDIEDALVLNKSGLDRGFGRCQVMRKYATLVK RYPNATHDRLADPPTQDSDLWNPSYDAIEQDGIAGVGEPLEAGSIFVNKQVPTSSGLD PGVASSAQTVSYKSAPMRYKSPQTGYVDKVLFTTTESDQTLIKVNIRQTRRPELGDKF SSRHGQKGVCGIIVQQEDMPFADTGVCPDIIMNPHGFPSRMTVGKMIELLAGKAGVLA GKLQYGTAFGGSKVEDMSRILMDHGFNYSGKDYVTSGITGEPLSAYIFFGPIYYQKLK HMVMDKMHARARGPRATLTRQPTEGRSRDGGLRLGEMERDCLIGYGASMLLLERLMIS SDAFEVHVCDVCGLIGYAGWCQYCKSSKSIKKMQIPYAAKLLFQELMAMNISPRMILE DAL PHYBLDRAFT_89450 IQGLSAIFFSSWLNLLLIFIPFGIISHFLWSPTVTFILNFISII PLARMLGFVTEDISLRTGEVIGGLLNASFGNAVELIISIIALTKNLVVVVQASMLGSI ISNLLLVLGMCFIGGGLKFKEQSFNVTVAQTSASLLFIAVSSLVVPAAFYSSSRDSMS PLDLSRVILNISRATAIILLIIYFSYLYFQVSLSLKIHATPKEIRHSQESLMKKIKKH LRCLHGWLFYCNLFLITVLVSISAEFLVSAIESVVEQWHISQTFVGLVLLPIVGNAAE HVSAVTVAIKNKMDLALSIAVGSSMQIALFVTPLMVLLGWILDVDMSLFFNIYETAVL FIAVIMVSYLIMDGKSNWLEGMMLCSVYIIIAISFYYYPD PHYBLDRAFT_173871 MAHSNVQPVYAAPPVMVEQVQQQPPVAYCAQPTPVYYSPNRMSV VNTPNVVPVAQYGPYPRSFCEPPDCCCFRGQLCKKKTISRTTLPNPNTVRLKTIRVYR ILVFLNSVITKLFFLLSLNSLRFGPITTPFSLIFHYVQKTILDHFSFASSPEFMTLTP NTYRWIWS PHYBLDRAFT_188917 MSGLTSILRESGHVSVATKRESTPVNIYYEMYGYGEEKVLLVMG LATPCQAWDNQIKFLTQTGKFTVLIIDNRGMGHSDAPVGLYTTKQMAADASDILDHFG WTSNVHINGVSMGGMISLELVHSQPERFASLTLTSTTATLNIPTLKAVTTISNLLLLS NEPKSRISVIVELLYPKEWLASKPSDPTLGFNTNRELASHRLVEHAKLSKLQTLQGHI GQLSACMRHYVSDKRLLFIRNNGPPVLIMTGTWDNLVRPRYSHLMHNVLGGHLEVFNG SGHALPEEQVDKYNKLFFDHMSAATQNKTVASNL PHYBLDRAFT_68332 MDQDDSSNHSQLSDQDHHKRRPHSETKRIQQNRAAQRAFRQRKE QYVRDLESKVRDINKWKHRVEQLERENMQLRKQLWEVSDQGSDNLAPLTTKPPHLSRR TSLENDDKIWSTVNHSSLAISCLPQTTTLKPNDRIEEESLLEETKESKFTSRSPRYPF VPPDDPFLPQDENACTFSMIDDKPKDDNNEVLDDLIVLLRNRYRPPIPFQPILCPDPS TH PHYBLDRAFT_188918 METPFYEQSPESVPETTNKSTGRPKKSSKPQKDKNGANANSKRI KIQRACDACRKRKVKCDGVQPCERCRKADCPCVFGQPPTKRGPPKQYIELLEARLQLV ERALRTIDGPARRILDDTMSLQDTSGLFEDTTDVGIVQENGPGRVSVAAPYSPGLSPT MMADRFTINEIGQALYVSDIKERVDRIPSVHTDKAGHSPSHSKYSGPFGDSHSDAGST ADSTASSSAAASPAVTQGSVSSCGHRNQMIEADIVPPEAYVAVQQFLGDIPLALIEAY FDHVHTYAPMIHKPHFYKKLVSKTDCPSKLLLYAMCAVASRWAPASQTSQASQQSPGA PPGFFFYQRAFALIDEHSDVPRVSTVQALILLTKYQEHYRRAGFFCRPGLFLSVASKM ANDLGLSRVDTSGQHDAQTIETKKRTFWVIFVYDLMTSIEEGREPFFASTQCTTDYPQ ATAEEGPTLEELIMNHNSVIQLTKILSDIYMMTRRVATRQQQQANARSAHQVIEEHAR LFVLHTHLETFVHELPAPLSYMPTQHSTSYPAEKQQVTDEFIGFLHMMYHFSMILLHR HYVLYPFPEINVPLNAFPHQELCASSASNITNIIETIIDTMPIDIFSYPTRGVQLTIH CAATAATVHQYEKDMAKDIGAAECAKGQYFRTLSTLQRLASESPAVEFHSLLRETELS QLYGQMAVDTSSSSSAGIDSKLASDDINVHVPMPPVQTPSYYPMTQSSAVSIASSSSP SVHYSNDPSSTSPSPVLPSADTSRGRPAKTTKTSRRHTLTGPMGQRVQNNLYALDIGP PQSVVSQAALFTSSASLADPARYASILQNGGLQQMSAFNHHQQPLQTTHHHFQPSLQS QQPPTKQTLRRKQPGFNSHGSHSLEDLRGVRRSHMNRPLSQGGYPLGGPKSRSPSLYR SSSMYFPRTHTNTPFSSDISADFYASLETQNPSGPESRQPSPIFYDNHNNHHSPLTAT NMSSVGGHQRRHTISIPGGPGGFDPSIYIDTSPGMTQQQQPFMTPNDTTPMQATQPIS KLMTTQNPMMSAPSSDHAHHMLLDTSLPPTIADEDETMNDLSYTEHLTWPMAPFEDIQ RSEGHVMQ PHYBLDRAFT_68335 MSSMPTDDLTLSVIDASGRQISLLNNDAPNPVRVSLPLLAGTAT SDQDIPMSPSSRMPSSPNSYSSDEAPGSNGYDTNGTPSDQQSKRKYHCSEPGCTKSFT TSGHLARHNRIHTGEKNFPCLFPGCQSRFSRQDNMMQHYRTHMSPKSRRTHKKSAAEE ARPRPRLHAHHRIRSDPVQVEPPLTIDQHLSNYHRSLRTPAPAERFSPVRCNQFPKPL FNPVIPKKTSSPRPHTPHTPHTPHTPHTPPYLGRRSRYRHSWIFIW PHYBLDRAFT_79128 MSLNWAMLGPNGQTPVLLPGEKVFTTQNAVKMVLDCNENGYPGN AGGYWEADGTVTLTNQRIVFAASSPSQTFQTLNVPVLMWKNWKLEQPWFGANYISGIL LPVPGGGLSKNGKLSLTFREGGSIEFTTIYRSLLERIGETNQTPQHYEPLPAYEGPSG GASGHTNYPPPPMPVQEGSTSGGNQIVYPPPSPPAPAPFPSSTYMPTPQIQPQCTISP VNQRVYPPPPMPVPYEHPSLNPNPGLDQSLSDLPPSYDTISNK PHYBLDRAFT_136701 MSDTSEETLPTLYSYFRSSASWRVRLALAWKGIEYNTEYVNLLI NENKEKKYLDINPTGKIPTFVTKEGKILLQSMAIMEYLEETYPERPTLPSGPVHRARV RAVCQMIACDIHPLQNLSVLRYVSDEKEKQEEWAREFIARGFKGLEKELENLSGTYTV GEHITMADFFLVPMVQNAHRNNLDMKPYPLITRISNTLMTLPEFKQTHPFAQPDCPPE LRFK PHYBLDRAFT_79130 MSVLPDQIITKQGPLARVWLASHWERKLSKSQFLQTNLEKTIDA ISITQQQEPYALRVSGQLLLGVVRIYSRKTRYLLEDCNEALVKIKLAFKKGNVNMPDI NQSVANLGSITLPERLTEFDILLPSISFNALYTNPVDSRDAVLDNLEGLSFSQDITLT DVQDGLMSGSWGFDMIEQRRRDMLTDTERPFDFSNNTFFDIEGGRRDAVQTGEFSDFF GMQDIEEPMNKMGLEDNVQPVLDNNDSVDFDFDLGDDEMNTRPQTNNEFAFPDDQNDA LNRQRETSEMTDAPFLETLTQTDVPAIQEPARRRRRLVVDKVTEIPHDELKQYMQDTS TIIDKSADEQQYKELKSIEKVDLMRPSALTSVSGLDNLFASLSRKRRASQMIVENQDV HQDNVMQDDTGFDNYDFDAPDMDDIYESRPPQDLAFEGSQDSYRPNSQSAISTHAQMT LEKIEKITVGNRSVVFRELAPTTKADAARMFYDILLLSTKDKIQVKQTNAFGDIQIRP HAAAF PHYBLDRAFT_183442 MSRLTWASTAFTRALKLKYPIIQAPCAGHAASDLTAAISNAGGL GSLGLATTQPEAMREIIRDTRAKTSLPFAVNIFCRQTPPPTREELAKIYPTDTFLDNI RHELGISQPLQFAMRSPPLDSQATVIIEEGVQVVSFTFGYLPDHLFKAFKDAGIYIIG TATTVQEALILAGEDSPEAQPKADAIVAQGLEAGGHRGSFLSDQGKEMSTRDLVQAIH NTLTSKIPILAAGGLSDGPTVADALLKWGADGAVIGTLFMLSKESPTPFAHKDVMLHS QEPTKITKVITGRRARCFPNKLVRNIEDSVGSSVEIPPYDIHSAKIADIVTHGTKNSL TDYMYLLSGDNTTKAAKYSEEGTLSATGIFNKLVSDIQKHA PHYBLDRAFT_117948 MPSPRQSDTVSITSSISTRLTEETPLLSPKTVDHEETTWRKEFT WLIVNSLPIIGTYLLQNSFQMASIFTLGHLGPTELGAAALGSMFASVSAWSIAFGTTT ALDTLCSQAWTGAHDKTLLGIHLQRALMILAIMFIPIGIIWWNATGILLSLNQEPDIA FRAGQFLRYLLIGAPAYITFEATKKYLQAQGIMRASTYVLMVASPINLGLNYMMVYPL GLGFIGAPLATSLSYWIMLGLLLLYIRFVAGSEAWGGWSRESLEGWWSFLKLAIPGIL MVCTEWWSFELCALAASYLSTVDLAAQSILLTTISATYTIPFGIAIAASNRVGNSLGE AKAKKARCATIVAMIYAVIFGSLNSAILMATRSWFGYLFTSEDEVVNRVASIIPMCAL FQIADGLAGVCGGAIRGLGRQKIAAWINIFAYYIVALPIGLFLTFKAGWNLSGLWAGL SIALFIASIGEGIFLFSVNWPAEVKRTQERVKHEEDDQSDDTTFEA PHYBLDRAFT_151051 MSKSKSSSIPPTATATATATATATPTPTPTPTLLLLRSYSYFSY HLISSFLLTIPNKSQIVKYLPNCMVNSDIDSDTNSDIDMLFYVLRSRNMARATAETRQ IIVFLFLST PHYBLDRAFT_160317 MDTLTLRGTLEGHGNWVTAIATTSEAPDMVLSASRDKSIIVWHL IRDELNYGIPRKSLLGHSHFVEDIAISSDGQFALSASWDKTLRLWDLNTGTTTRRFVG HTNDVLSVSFSADNRQIVSGSRDKTIKLWNTLGECKYNITQDGHSEWVSCVRFSPNPA NPVIVSGGWDKIVKVWDLTKFKLRTNFIGHKGYVNTVTVSPDGSLCASAGKDGIVMLW DLNESKHLYTLEAGDVVNALTFSPNRYWLCAATASSIKIWDLESKSLVDELRPDFAQV GKRKTREPECLSVAWSADGSTLFSGYTDNLIRVWQVSRTL PHYBLDRAFT_127943 MEFASDLDNRLLFAVPKKGRLYEQCLELLKGSDVHFRRNSRQDI ALSTNLPVALVFLPAADIPKYVAEGNVDLGITGQDMVVEGECEGKVDEIVELGFGKCR VCLQVPVKSGLTTLDDLVGKRIVTSFDAYARTVFSPLDQATKKKTTISYVSGSVEAAC ALGLADGIIDLVESGETMRAAGLHDIHTFFTTQSVLLANKNTKHPELVEKIATRVRGV IAAQRYVLCTYNVERKNLEKAKFITPGRQAPTVSTLDSHEGWVAVSAMILRKEKGEIM DRLTEIGATDIMVMAFTNCRV PHYBLDRAFT_151054 MTTITESLGTHLMVYLTYVCHKCTSPPKVFKTPFNLRRHLTSPA HIEALLSQYVRRIYTFVTGETNVVVVGDTANEAPSQRKKTFNFHPATVKPASKLVKIT GEIVSALQPILTIVKDDLISDQTNVLSLKLYPTAHNLIVIALKAQLADLPRFLWTFEH KNDLDKDDTIFVNIIQCVLADFYRKSQRSPHYQSKYERTFWIDRVVHIFRALGNHSQL LGFQWCKIPTEEHMEFILDPHTLKRNVSNNFHDDVGYDDRNRNRLSFCVQCSCINITL SITTLDPENDGSYITIRAICRYSNQRTNNNT PHYBLDRAFT_68343 MSINNTQSNETIYTLATISQALECSSVPEVMTLRLENIIRVKTS EWKECLTEIGEACAVKWVINNTNKQPTNITAEEAKATGIKLCFSQEYSCHRWGTYESK VALRVVQKRTKKNKCPALLRVKGFFKTPEFYEFVVTKDHAEHTPGDMRSDICTLPLAK KYLHELAQQL PHYBLDRAFT_173887 MTSPTTQYNPYTPDQSDNNKRKKASRACFHCQKAHLTCDDSRPC QRCIKRDLAATCTDAARKKAKYLQDLTPQDNYSRLSYPEMPDALNNNHFVDTSDLFNF TMGDLDFGSETAGLEYGFIGNMLQTPLDLQGTLEDMNSTHHQNQNQNQNQNLNQNHSQ QQQHQNFTAFNSTSIPTATNSPMTNNFPLRTSFGQPVQSPTPPPVSLPVTTKKPSLIE YNSPSTSTPISSSTSVSAPVSASASALALSLAPGPGPGPGPGPGPGPTLVSSVSAAAS VSGPLSSTPLTTPVSTVSATQRVLTGNRRRNITAEEAYQSVQRPFNYAKGFHYLIQYV RDRMGQDDLMRISRALALFRPSFLALIMNLTEEDLIFMEKCLQRTLLEYEKLISFSGT PTVVWRRTGEVCLVGKEFSLLTQWSKDMLMHRKTYIYELMDNQSAVEYWEKFATHAFD NTDKSCIYSCILRTPHQKAVPCTFCFTIKRDIFDLPSVIVGNFLPILG PHYBLDRAFT_151058 MLATTALSHCRPVLMADKELAVRSDDDGFFKGYGTFFHPASEGG PLGSCGPWEDDDSPIVALNLDQYGNENGKSEWCFKKVLITYKGRSTVATITDACPGCS YNSLDLTPSVFAELEDFKVGVIDIVWCVLGEDDCDE PHYBLDRAFT_160321 MESSTPVLWPTPRHMVSSSSTMAALSPRYIDQCVKSNPRQLIKV DILGDTVELPVYALRRRNALVHI PHYBLDRAFT_68348 MCNIMSRDWIQYPQLCFCTFRIFGGIIIILVEGLFEDRQQIRII GNTALNNQLVDLANGVKNKRENEKKRIKISDQIVGEQGDRSMDYGRGGDVDQLQHQIC NVI PHYBLDRAFT_103689 QRQPSISSTNSDKIYSFVALPGTTQKKRPRRRFDEVERLYFCSW PECTKAYGTLNHLNAHVSMQNHGPKRHPAEFKEMRKEWRRQKKEREAMRKSAEK PHYBLDRAFT_91928 YGSGYGSGYGSSYGTSGYGGYGGYGGGMNSYNRMGSYGSPYGRP GMMNGGGPDDMGLQQRMEIGTRPAFEVVERIVGAFGGFAQMLDSTFMATHSSFMAMIG VAEQFGRLKGYLGQVFSIFALFRLFKRVKNRVTGSGSSEMNLSEFERFQDPTANGNGL QPKMSKKPIMIFLFLVVGLPYMMHKLIQLINVKNQHELQLQQQQQMIDPLKLEFARAM YDFTAESTMEISLKKGDIIAVLSKQDPTTQAAVGAWWRGRLRDGTMGLFPANYV PHYBLDRAFT_151064 MVNDFCLILIILLMPPLGIFLIDGCGVDFWINVCLTLLGYIPGH IHGFYVLLKSRERRQFEQTNTYIAIPPPNYGVAPPPYK PHYBLDRAFT_183450 MIALTARSSITTRSILCRALVNNTQANVTRSYATKKKKQTTRLN PDALDIQGAVRVLKALEVGNPEHQFEAHVQCKVEKSTPLIRGSIVLPKSIKQEATILV FASGKKADEARAAGAHFVGGEELIEKVKNNEIKFDKCISTPAMFPAVTKIAKVLGPKG LMPTVKKGTVTDDVTNVVRTSKSSFDFKADKLGVLHTGIGKISFEGEDVEANLRAILE ELKVFGKANNLKAMIQHVVLSSTRGPGIVIAGGHTL PHYBLDRAFT_151066 MDLPPIVKTFVCTRLQDTMITSTDYTLCFSALVNMMISELRTSE FFFDNNDIKIKKVPGQVREYVGRQKDNQQTFEQSEDARHQSPETSTAEQSVYSRIMSF NIIFVIECTTPGFNCIISVNDPEYR PHYBLDRAFT_160325 MPLASFGSRCFTTRSHVNPCCITVFPPHFPPLIVRCVERKLTLK TIFSTHAHSSFLSGTHSGSRISAFPPNPLTFTMRYTSFPSLPPLIPLHILPQSLALFF PHYBLDRAFT_151068 MMLGIRCPLLLMRGNREHLINGHTNNSPTITTPTPGLPLTYLTV LTNTAPTFRHAIVLGSNDPLTKPRTWRENTSQFSVYYTTPPETSPEFIVFFDALLQSF LPGEIFGLNPSNKAGTLFELHLSSKDVCARACRVGFRYNNETVLASPAIASSSKLFKL TLSKLPRFPPQEYATLDTKLRNALTKYGYVHDISINTLFGFMDGSGHAYVERPPYEEG ALLPLRFKMDFDDNTTFLATWLNMGAHCALCQTMGHDRDNCPTRPKETRSCYGCHQVG HLRSKCPRAAEVDNSYKRDRKVPKPHGPHRTTATRNTTNRPTVTHSGSHMKKTLPPSF TTANPYALLDPSLSSAGSQHNPANTTKAVPADSRTKIPKTPPLPFEANLVDRETLPAD DPTLTDDDLAEVEAYFEKNCEDDPMKGIEETIPQ PHYBLDRAFT_160326 EKRTLDSLLDNPSHSTLVSNSVFNSPGTPNSCSSVKRAPSLLLN NIPFRGVNPFDYRKDDVRLGVAALQSELDGFKAALENTERLVRRVQADVDQTRSRMEL LIKNIPESNFSALKRLEVDIEYILASRAKNPWLDTAYALLSYLLTVFALGVWTVICIL KYGRRVFSFPWRLWRDYKAHMRERNKAVKQASLYSLSGTSSSRARQI PHYBLDRAFT_13374 GKPVKPLQPLKSARTIPKLDKITLHAMVNDAIGNKSNLLSAFMA FQSITATRPEVVYARNSVANWKLREGMPIGVKVTLRGDEMYQFMDKLVEIVLPRLKEW PGMSMTSGDGNGNVAIGFPPSALALFPEIEGSFDVYPRMTGFDVTFNTTAYTNTDGRL LLSGLSVPF PHYBLDRAFT_151071 MNSNNTNLIINDIENPKVSETFINKASDSINSPKYFVETLYLAC DHHGSPRKHKEEAGDKPKAKRIHTDSTKDGCKTKIINTLCDGQKVQDEIWSRRPNDVK QWVVLHVDNSMDWKTINTLLRIHPQRLEELLEAGFTISSFPTSLRINYNDVQNVINAH SNKLSGRDAVDKTSVEEWMSFLKNEKCCIVHMKFHEGNGAYLLSWASPWQKKHMLGTA DEWYIGSTSITNKGVPVCFFITDADSIEMGVLENVVWQSVQILSDCLTSLEETDIASQ PHYBLDRAFT_127956 MEDVSALFKPKPVPFWKRKRFHFVVGVTVGLMGALYGASTTPMA QTHLNDLQAFLALQIAEMDIQSMLPATEAVDEMLGNFTSFLKPAPTSDIPFMPATAFK DELDLKPHFPVVLIPGIVSSGLESWGTSEKSRKYFRKRMWGTTTMFRSVLLDKELWTE HVKLDTTTGLDPPGIKLRAALGLDAADYFVAGYWVWAKIIENLATIGYDSNTMHLASY DWRLSFSNLQIRDKYFSRLMATIETSKKTGGLKTVVITHSMGGVLFPYFLKWVESPQG GNGGPTWADDYIESFVNIAGPMAGVPKAMTAMLSGETRDTMAVGSFGAYLLEKFFSRK ERASLMRSWGGGSSMLPKGTDLIWGSHERAPDDEEDESHQSHGNMISFVSPSLLPQNN LKPDITDGLIQNHTSEDAMNLLHRVAPFDYNEMLRLNYSYGITTSKKQLEANSEDPTK WANPFESQLPKAPNMKIFCFYGVGLPTERSYYYSASTDGTSPACNNSSRCDESIDGNC TLGGTTDNITEIYAHQLQAPHLFIDSTVNDPAARVETGVRFGNGDGTVPLISLGYMCT PSGGWTKHADLYNPGHNPIIIKEYINEQPESKLDVRGGSKAGDHIDILGNWEMTLDVL KVVSNQSFNVTSRIITKIDEYAQKINIQHQV PHYBLDRAFT_160328 MAINPTIPSLPNDEGFASTLEEQQTADIIRRKKAQSLNSLASLT QTINETKSNQKHMALTERLLWLISVFWALWKLQINDATPLDNLTVIGNYNSSALFFDE QPNLGLEMVQQYKRLLLTGLEQNKKVGLSV PHYBLDRAFT_160329 MKAAFTDMRKLFILRVYLLAIIKNLPNVSMAKKPIMYLDNYHCS ADKIWFYNLIFASPLKF PHYBLDRAFT_68359 MSSYKHVNNIHNMNDTDNIIESLLLAIQLQLSVLHANQEQIKMD INSLGNEIMIKESPKQNLSLFINISPDVIKIGHPLQESVISGKAVKGGNLQLCLIQ PHYBLDRAFT_173902 MSTITKLSHHECSICHKCYTNKKLVAKCEVQCLEKYDIEHEDSM ENDLTIMDVTENVIDNTPPQLAYDFSAPVPVSGYADAKNLKLMKIIKEFDISQKAHIS LAKDFNEILSKSSEISYRVLTPYLGTKLLSRFLGVDEETYHICCNRCMLYNNNQQTEC PHCDKAYYNTGKRSQDTSENPIPASTMIQLPLGRQLALALMKCMTFVTALANRSGGSC VASMEKIILSFSLAAQKEIGTAMVSPRRSILTSFHGAWINIATRSGYFRAVDWADFIL FVIPTLVAERVRDQAAHKALLDLVQICNLLMS PHYBLDRAFT_173903 MLITGYMNNTFHWFLKEIDLLFQDAEINKYTMFLLLKDQVNIIL PLTILERSAHFVEKILIAYEIYDTTLPVVFQMIATMLSQYEYVLICDKPNIQVSRSPH KDLELDLFFS PHYBLDRAFT_173904 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGVRSSCNCQLTKTSASVRGTIIDPMHNLFLGTPKRLM DRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNSKIGKQFSYMKADEWKSWVLVYSP VLLKDVLAKDRFENWINFVDACRLLIKPTITFDEVNTAHQFLQTFCTRCDELYNAEIL TCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLLKNLKTNRKIGFEETFMKKFIEDV HKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIPSIRQRTFRIQSFVEASEDPNVLV KGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKVAYNNEQLVHFQQASESPYFVDNT ITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFTHSFTPPP TSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPENDKSREYEHVETCFPTFSPDDFQ CVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_173905 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSVAPSVGPSVAPSVGPSVAPSVGPSVAPSVGPSVAPSVGPVVLT GANAGELSKQDRTRVLALIRGELKKHNFKSNKPELVAANDSKRSWDVNVDYRLPPNRQ LMHDLHAYLAPKVVGTSVRQADISDCIYTNFCGTRRRVKESYEARKKTNSRSRKAGRE TDHFDRRELTYHTFKAEIDMKVGKSCDGLLQKEAMSEGESEDDMPGVSSNRAIRTVRP SWRSDEYNHFLAVVDDFMRNRMDFNSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDE FQ PHYBLDRAFT_173906 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMTILASPMYSLGLQINPFASGSILS TMVRIHDAFSFCLCACGKNPYTENANTSYYPAVLTFSYVRKLVLPPMIVELTHVRILC PFLLHCSKTVLIAMRAILAATLYILKAASLLPPASVSGFQMSQTAFLVPCCSALFSRV DRKLSLFCGGAGWGPCELLI PHYBLDRAFT_173907 MPTKMAILLKLRYLPFKYPKLELKAKAMYKAEMKLNFTAYHCYR YLSQYLKWQETAEKLLEKQKNKGKGGMKKSMSVAVEGQQQDDDGTSDMSGTRPAGRKQ MKARELGVQRFEEMMEEMHAMKASSALKLKERSAHILKSIKDQAEEQMRAAKAHQLLD EEEMASFKRRCLLEEEEIASAKICQQINEKELASAKTRQDMEEANARLIKINTLIAER KLLSFDASSILDPAARMKFLDLQAQVMEENTDSK PHYBLDRAFT_173908 MGYYPPDGIYPTYGAFVKSLNDPRTPKEKNFAKAQEGVRKDVEC AFGVLQTRFAIVSGPSRMWDRDTLHDIMTVCIILYNMIVEEKRKSMEIGHADNSERIA DERQCININHVSTLPPSAHFLRGALLGTFRDIWKSETKTSTSK PHYBLDRAFT_151080 MNNIGFTLKQTKAVEERRNDPDVTETRRKFVESLPELGVVYVAH CIFIDEAGFNTKRALNISILAAISNNGVESMSAKLWFILDNAPIHRSHLVRDFMATTR HHIKFLPPYSPFLNSVEESFSKLKILAKRKPGPDYCLKNPLIKMDNNDLNTQTSGNSI DKVNVDFSDFEYEANLVRNNYISNHFTTLTNADNFSSDRQYDLRDFIPEEDISCHAKT GSGYFHVCNVVEHRDSSNHSESNESDLGEAPRVSSYELNSHAEAASMKLFSMFVENNM SCNVFDKCVKIVNKYMIECSLPAINALMLHYKMDTPLNSEYTVRSVIYSICQKDYIHF DTVEAGQYKDEE PHYBLDRAFT_117917 ENHCCCTRHLLTSQSNFSGQKTAIQKVVKEVDHKFELYSKFYCE WNWIEHYWGAAKRVACLNCDYSFKSLEKILLSFLDSASPVAGSRTMIKRFYKKTWRYI EAYSKFLDAKDADAEIKKFTSRISKSHRSIGTHE PHYBLDRAFT_68368 MMREEHLSGYLVLEFDNTLNNNNNNNNRANNSNSTSVGELSVDN TGNITFPTLSHSEEENLVAGSASTTLGEPIITLSTSDVDSARSARGSHGRRSSRGGRG SCGRRGVDHGLDSVIDQDEAIAPTQASNQVNLSWDHQSIVILLNDIIKPNYMSLIDMS NMQLKGERWNQMAETFKRHLDQHGLDMALTGTGVGGGTGEERWLFYNYVFEILRDDPS ENSGINVESMVRGRCHGVTISIESRAKAAITELQRNIEVAASTSAVELSLLNTLDTNI ATATLNSTNTSGPSSRLPTREYEAERDDMLFERLLGMHREIEEHSKEVLYPLADSMRE ENEAFQRDQQQQHQQMLETLKRVLRESDDRLLSIIGPFFNTRNRNGENNSNDNNS PHYBLDRAFT_151084 MNNNSNTSNTDYSVEILRLSAFILNFHRNAYLTNVKISLNQMSR QRLILQQQVEQSQQQLLQWATNIMLESSQLVSHDYFYMVYTCRPHSFTLERGPKEVNH DRYGVFRQWWYVRHPSLTNDLGSSSFQLQYQMSRANFELLLNIVSQHQVYDAALNGNS YPIEIQVATVLWRFANTHFGYCLAENFLGVSVGSYTRFTLWFITAMSDYSDRFVNWGV HDSATAIRKAAEFKQPERRSIRLPGVIGAIDRKLISIQKTSLHGNA PHYBLDRAFT_151085 MTQREGRKFCAKSPIITIDTKKESVEDQPVEEYNWELLDLDMNT MIAASCCTSFACRKALIVYKHLKDEELEEIREAYEKISEMIKPPGSSDSELGKFALFE VSKHIVVKDYFRRLFNNCKKIEASEKAAEIFWTKPSKYHAEAGKHAKRSSIIDDEDLK KKAIVWLRAQKAERQTVVDLKKYLDEMLFPSCLDVKGNVAISTA PHYBLDRAFT_68372 MSATVVHVMNRSIDSKLKYERENMIQLAIIPGPKHPENIASFLE LIVEGLHMLQTSGLRVQTISGQRYSVNGPNVSRNLNTLTSLAFFGLDMMHLIGHWISH QLYNALNSKFVMINDTENNEVQQSDFDQQQQQQHQDEQDNVYMFALHIGLDQIDSCIG KPRPDIPANFTGSWRLLKETTGRQKAVNWLEFLLFVVPTVVVHKFVFARTRRAVLDLV LAYSIAQQCEGTEEEIHTMERAIGHWHFFLRCEIQERKLKPIIFVMNQHMLVYLSYMC IPSCNWVLEGHWEEHEKYPSLQSWVQHCLGSRVARRRLADRRTSNFEIASNDVAGSQL WSNLVRKTLAAIAIDCDMNYHNLCTESGLLLTADMFETMTLLCSNTWKINVCVTGKTA LINNVARLVNTCAEIVIFFDSKRTC PHYBLDRAFT_68374 MHLHLHLRETICDFGPVYDYWLFGFEQYNSLLKNISTNRKDSFE ATYMQSFVQDTFKVVYHIPGLESYQFPSFSSFVDNQITKLRSINLLGQVYKGCNDASS RGSFVQSLFLGSQGNNRLAYTSQIQYLFFHSFTPPFQIEHDCSRELESVDICSADFIA CDFECILTVHQISSVVATCDY PHYBLDRAFT_68379 MDETDYAHLLQHYKTSYDLPDLVSYQYATLTNSFVDNEITKLKF IDLLGQQYRGKNGSASCGSLVHVMFVGSDSRNTLAYAGQIYNLHLTRMVHDHRHVFAY IKWFNTSSDRSREDDGLEFCLPTFSPDSRHCIVPVHRIFLEIATARITTSRNVSKMLV IALPKKLYA PHYBLDRAFT_151091 MTNTRRECAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGILATTSTNTISAIDSLARTPLAAPVRAELTVAAPVVISNHEPTCEES NAVYLSLRTPENILANNLKPRWDTNVAFNKSPNREIAERLLSNLERRTCRERMSDDEI AETNALTQRAARADDNECCRVLAYKDNKEAIDLVMLRDCANTLQKAVMSDGESANEMD EDGIKHVIHIVQPGWRSDECNRFIALVDTYAVQAMGSSANQRIRRITTSVSNSAVPDN ISPNFPRWALRDGL PHYBLDRAFT_173918 MKETHSLSHSDAPSSQQSSGLARVNEHPSYERAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFVLGTSNKIFHPKYNKLAEQEVAED IE PHYBLDRAFT_173919 MSISQNIILTFVNLDSDCEMNIIPTSNRRLAPSLDSTDVQLLQA LNAMKEEMKAIKDKITLMGTRIDVVITGNITAINDIDALSALPAPAHVPTSVASTSAA LPTTESGDTNAVFGYIHGYMWNPKLKSRDQAEIQANAIKPKWAVDVHFDRSSNRELVK QLLYYLEKKFAGTDMRICDLRKCIYTNFCSRRCQQRELLETERALNTNSRRSGRETDN YTRRHLAYDAYKADIDLKMG PHYBLDRAFT_151095 MPKINSKMRALSQKAAAKRLSHSQSESVELVRKEVDPTPEILES SGSDVKNLRLSWTKKAEDALNRKYSCNRSSYSSQHVRRLKKAEREAAKGSARVDFFFL PIANSSSAETEIGLDKESDSADEVESKEEFKSRVKDAIIDLSKFAVSVISSTSEQQKL GVAEMGKYE PHYBLDRAFT_118013 MISEFQCPCHGTMRGYVGDQYKTSRVIFYPGAQYEGNWKSSHMC AQLADGIPLFNAIHPNAVAVFLFDQSSNHKAYPEDALLAQNMNLCAIEVKDSDSGQGK FCDSSFYNKKYRKYFIGLCGILQQRSIYRNEAERYSLKRSCNNVATADSRSYTIHIME RQPDFANQKSALEEIVEGSGHKFELYPKYHCECNWIERYWGAAKKEA PHYBLDRAFT_173921 MYILRMSKSKEKQKKIMAPRTNINQNARTNGSTSRPLINAVNTG RIGSSNLMIAPRPENMSIPVSDFNDVVSLLATLNDKMTDVSSDVSELKVQCQVGAQST GMQAVLDSDMDPQDIINSSRHPKISNIIWGRLRDINFKTDDLELIRENDDKPTWDVNV GLSDEFNKNLASELMLYIRRQPVAAMIPPKELCGIILNKKRKRTYTKHKDAGTEKFNW DYNGVFYRDAMSGDETETNTSVVASRPDWRSDEIMFYIKWFFCCLIPDLARDDLGKRA TQLKSQSHVLIHKTIPRGLVTKMSAWSKRI PHYBLDRAFT_173922 MDTWIANNLLDDKDFVEMQEETNRIVLPVGYTPLKIKIGKKFPF MKADEWKSWCLIYSPVLLKTCLELTKSSITKNGIKKAHERLEEFCVGCEDFYKPDVFT QNMHLHLHLKEMIEDFGPIYGFWLFSFERYNGVLKGFETNQKSGFKNTYMKRFLESSY NGNFCQGHLRNVTSSLLLSLFLKLSGRKIYNPALSPHPLIPSFFHLPTFLQSAEKPSK QTFGNEPLPLSTLPLCLKLPTTIRKSEYDCLLDFYKIEYDDDSLCSAKTTIRNYWFVN DQIQKISSINLLGQFYTGGEGLVVRGLHIQVKFIEKSGDSEERYAGCIKYLFLLKHGI ELYEPAFLKYDYNNILPVHRILSPIAIGSHVSGSGAAKVVVIPLPRKLYA PHYBLDRAFT_173923 MTDKRTAKHHAQNDNDRNIDKIINVLTTEVNTGEADMDVDQIEE HIEYDNYSIGAPSPEQYANTRLPLLVEESLFETEEYTSEYESEYESSDEFEQEEQNRE QEQESIENLPENIWHQVIAVFTVIFISSFIVDEDAVILITFINTILKHYGEDFRLPTS IPGLREMT PHYBLDRAFT_73284 MTGFPSAEGQFYLPRPQVKPAKLLQCKVFPMVDFWYDRILSGVC QQTAAAHGFLELLKQLRITFLQNSVSVKAFVDTDVKSTDILLQRALPLIARKLADMQN VDSGFCREVLREFGVLHQKIDDLTNNDQQFFNRHKRIFDHIKKNMVDNSLMLILISLG KTNLLSHLSPLKLQSPSAVKNHFESIREAGFTTFLQSNTQVLIHACRPVLGVDPILFL PASCVERGHLIRWRMGWLPDKPKKYPCGSDHTSRRHLLNCPLVPATLFEQLPQPDHDQ IHRIDFAMTFLPLSSQEPRPAY PHYBLDRAFT_73285 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLECIAESVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGHMVKHDIIDKDYPTA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQSPPLTASVEPARKRSRRSNIFVLIYYTWESFYYIVNAYFTEQVSILYKEID HSVKAAKKKQEVVLELKAIEQKKEFADTITSFCRVA PHYBLDRAFT_173927 MTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTI SYKCACNFEDSEGEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQF INTVIRDYDDIMMEPGAKISHGETVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQES CTDPDQIQTPAASMKLMSVGDMLSQMLADPATRELLCYRANQESVAGQLTNIFDGDNY KQLVQQGLFSNPDDIAIGLYTNGFVNQKKGKNSYTIIHCIIFNLDPSIRWHGMYFDDI SARLRPLKDFKSKNIYQLSIYTQLSTFSGSSFFALDELHLIARGIGKLVYDLITITLT KYFKHWHSFLYQQVQNNTLSHSVFRPVQHYLVNIPYIIKQQGPLQCYSTRSMERVIGV FSKLIKSKSKGGQNASFLVKRFAIHNYTSTAISICDEINLIWPKPYGRESYMDLPNDP SGAQLWEPFHQFVNLNNDSVEGVGSPSVKEALLKYYQRTTGLTGHEFGDSVVVVAARL WMDSTVYSSCINRNVIVHSWLIGTVQFYFQHVDFYGFPHFLAFVEVMKEHDAAGHDSS VPIVKQRSQSTHTLGHQTQPTYAVISVNDICHQVSLVQYPPNGNQFYVIAPYYIFNNN MRITKGNLSIL PHYBLDRAFT_102253 NLPRNERYKPENTLLVGSMPGPKEPKSEEINHYLWPMVDDLIRL YEGLAIPTFECPSGVRVRAALMMVTCDIPAARKTSGFTSHNSTCACYKCNRHFPRLEN GVNVDFCGFDFSWWVLCDGVENRLHAEEWESASTPSERHRLEVENGVRWSQLHRLGYL DLVRGTIIDPMHNLFLG PHYBLDRAFT_151106 MSNTIFNLSNVQNTLVNSPTEGIKMLPLDIVVKKDTKLCYCEAT IFIKQYVNNPEVVLICMTNGHTNHVPGNTSEIRTLPLPSEAIKIIEDQLKGGSTCRNT RISVLKQIEEWGVGIRKPNYEDIYNRMRKVYEEYTVKFHPDENKSLDIWMHEKLPSQN YCIFTGGLSAYSNNAQHFVFGFQSPSQMMLMRISRSFCLDATYNISACNIEILYSLVT RHPDTGKGSPVAYMITNDHSVSPINQWLVHLRKKSCFTPLYITIDCRIAEVNAITAAL PQAIIHFCEFHVLQQLGKYKYELKANLKNILIESDENEFLRKIQKFRLCVQSQQQFLT YFEHKWIGTEELLRRWGRPYVANDHQRYLTNNYIKSRLDYLVFILTNDVEFFYNEEVE RIHIQNGRMGLIKNELAQHSFSANTIQDDMLPFMIINSLNEIGNSMEDSNGKWTVKSF TDEAQWENNYLQLQRLLASEHEVAAVNEKVENETNTVVVSGRNNSVWLQRFMTQNTTL HHQREDLEQLMDVPGIDEAEL PHYBLDRAFT_151107 MISARLELATVCVLSASPTTSQLPVPLLSSPALFSFSEGGSGIL PSTSHFFVPVSDLSFSALPASGSHEIFFQAPTTMIGSQKQVINSTGRPTPTALILSGL KADFKKLHNVLSCSHCQTTGKIVSNGSTSRTGSPQFKCKCGATFTASYMQSLINAVQH KIPEVHSASEPVVSPSVSILGHSISMADIHEIESDIAPVLPTVMPTLQDIWDRFQAYD ERLSALEAVQKENIELRKALATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQ ADFPSLPASQTHHSTEPTKTFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIVYV PNAIRLPISTQRQRLRKLKIDIARVLDLHYPDRKVMGMLVHNEYAPELKTILASYGVT TLDNFDPLDPVHLRDPALASLSLDDRATKAIHVHNDRMLRAIEFIRAPVKFAVAHSFC SQGWISDDQLAEIVPP PHYBLDRAFT_173931 MNPVTITLWNANGLAKQTIPTILSYAPLTSLLFITETWLLSPHR YPTSWQQYHTYGQSVPNASRGCMGISLLVNPSFPYPISIVPSSSPYVLSCIVFDCLIH CIYLPPSLSNDDAISILYDLPLSSSSIPSSNTIFCGDFNSRMGSFLGDHAITSRGTSF LQWIQATGLTCWNELLAFGIPTFLSGGSGTSRSSVIDLFLSTSPLLNPSMQIRSDLSL GSDHKMVNLTFTPYVSPPPPPTNHPRLLWNLSKLAQPDTLKIYIDTASASLDNLTEQF SAFLSSSSPPPVDSLCSAFAQAIYDALDTAVGRRTPRTMQKYWFWPVDLQEAMDLRER SYQRWRHSSGLQKAICWMRHQDACHAVRLSVQRRRRETWKEFCNKLATQDFAKTTATM KRIKSHRQTSPVFVDPGGPQVDANKMADHLQQIFSGQFLPARRPPDQTVMISSPIAID ESCPFTHLSVESAILKLPTRKAPGVDHLRAEMLHPIVKQVSPVLCLLFQLSKGTIDPS LLISRNCVSAINSMRALQSLGVNHTGLSRLLSIRLYRQFIRPQFEYGLAISCFNIKQV AVLEKAQNTCLRMIFGGHSTSSTSVFRHLGNLPSMRERILTLGFKFVYRAFWLPDEAL FTLLRPVLTNPAHQWFKLLANPIWLSLSNRQNADSKACKHAIRSFLNQGLSLQRSQQI LLSACRPSLGVDPILWLPMTNYERSRFIPNPIWLSLSNRQNADSKACKHAIRSFLNQG LFLQRSQQILLSACRPSLGVDRILWLPMTNYERSRFIRWRMGWLPGRPQPCSCGLHTT SRHHVIECTGAAIRLHLYSTVQPNPIDYVLNMLPLKKPKNNKNNAFWIFTWPILCRIM LDIEQICLPGVNLADHAATDRGQLFLNWLPK PHYBLDRAFT_173932 MIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSHCQTT GKIVSNGSTSCTGSPQFKCKCGATFTASSMQSLINAVQHKIPEVRSASEPVVSPSVSI LGHSISMADIHEIESDVAPVLPTVMPTLQDIWDRFQAYDEHLSALEAVQKENIELRKA LATANATIARLTKENVDFSVGAAASKYATIAASVPVVSQADFPSLPASPTHHSTEPTK TFVSKTPRKRAPTARAIAAVVRGMTIKENADQGFQIIYVPNAIRLPISTQRQRLRKLK IDNARVLDLHYPDRKVMGMLVHNDYAPELKTILVSYGVTTLDNFDPLDPVHLCDPALA SLSLDDRATKAIHVHNDRMLRAIEFIRASVKFAVARSFCSQGWISDDQLAEIVPPRPT KKDLDISIHTASITIPSFSDL PHYBLDRAFT_173933 MWLLSPNRYPTSWQQYHTYGQSVPNASRGCMGISLLVNPSFPYP ISIVPSSSPYVLSCIVFDFLIHCIYLPPSLSDDDAISILYDLPLSSSSIPSSNTIFCG DFNSRMGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGGSGTSRSSVI DLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPHLLWNLSKLAQ PDTLKIYIDTASGSLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDALDTAVGRRTP RTMQKYWFWSVDLQEAMDLRERSYQRWRHSSGLQKAICWMRHQNVCHAVRLSVQRRQR ETWKEFCNKLATQDFAKTTATMKHIKSHRQTSPVFVDPGGPQVAANKMADHLQQIFSG QFLPARCPSDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDHLRAEMLHPI VKQVSPVLCLLFQLCWQWAKGTIDPSLLISRNCVSAINSMRALQSLGVNHTGLSRLLS IRLYRQFIRPQFEYGLAISCFNIKQVAVLEKAQNTCLRMIFGGHSTSSTSVFRHLGNL SSMRERILTLGFKFFKLLANPIWLSLSNRQNADSKACKHAIRSFLNQGLFLQCSQQIL LSACRPSLGVDPILWLPMTNYERSRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIECTG AAIRLHLYSTVQPNPIDYVLNMLPMKKLKNNKNNAFWIFTWPILCRIILDIEQICLPG VDLADHAATDRGQLFLNWLPK PHYBLDRAFT_73292 MWYLVVCRKCTRKDQLKNLRRETNTKQNKLVLWWLKLKKFLRGR IEDLRLGTKIRENLCRFCLLRKDFVSKFDEVPTLNYYCYLYYFRLGKLYDWGQVCSPN EVLTSKVNVFMSYNYAYNNPLMYLILW PHYBLDRAFT_73293 MIESQKLVTHPKGFPTPTAQILFSLKVDFKTFYNVLVRSFCQTA DKFVCNEFTTCTNSFRLKCKCNIIFTASAMQALINMVQHKISEVQSASLSVFSVDSAC DNSFLMKDIADFADNTAFIIDALRVVY PHYBLDRAFT_151114 MFSIANPDEVRCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAEVNTGEADMDVDQIEEHIENDNYSNGAPSPEQYVNTHLPLLVEESL FETEEYTSEYESEYESSDEFEQEEQNREQEQESTENLPENIWHRVIAVFTVIFISSFI VDEGAVILITFINTILEHYGEDFRLPTSIPGLRKMTGYNDLTNGVSKYVACSNCHTLY DYSNNTHTSCNFKRVGSKTHCKNDLYKSSMKNAMIPKCTFVYNSLTTTLKKMFTRPSF ESMINRWNCEPKVDGTLFDVYDGKMWNELVDKDGVQF PHYBLDRAFT_151116 MLLYKGITIDTYNCSGALVRAALLMVACDIPAARKTCGFTSHNS TCACYKCNRQFARVDGTTAVNYFGLKFSEWVGRTKEENRRHANLWKNAKTLTERKRLE IENGVRWSELHRLVYFEPVRATIIDPMHNLFLGTAKRMMDIWIANNLLDDKDFVEMQE EANRMVLPVGYTTLKIKIGKKFPFMKADEWKSWCLIYSPVLLKTRLRDDLLGNWIHFV DACRELTKPSITKNGIKKAHESLEEFCVSCEDFYKPDVFTQNMHLHLHLKETIEDFGP IYGFWLFSFERYNGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQAHLRNVTSPLLL SLFLKLSGCKIYNPALSPHPLIPSFFHLPTFLQSTEKPSKQTFGNESLPLSALPLCLK PPTTMRKSEYDCRLDFYKIKYDDDSLCSAKTTIRNCWFVNDRIQKISSINLLGQVYTG GEGLVVRGSHIQAKFIEKSGDSEERYAGRIKYLFLHDFTPNLTHTNLSPCHNPQHVFA FVEWYKIPRHQPRIKQGIELYEPEFLKYDYDNILPVHRILSPIAIGSHVSGSGAAKVV VIPLPRKLYA PHYBLDRAFT_173937 MSVYQLFSGINYIDYSKCRTMYYLPLITPIYIIKSYEPMRHSRT CLVLEYRSYKNVKNHFNYKKGEIMAPRTNINQNARTNRSTSRPLINAVNTGRIESSNP MIAPRPENMSIPVSEFNDVVSLLATLNDKMTAVSSDVSELKVQCQVGAQLTGMQAVLD SDMDPQDIISSSRHPKISSIIQGRLRDINLKTDDLELIRENDDKPTWDVNVGLSDEFN KNLASDLMLYIRRQPVAAMVPPKELCGIIVNSYYNRLAASKLTEEDRQTNTTSNRRGN RKTALNKRRKRTYTKHKDAVTEKFNRDYNGVFYRDAMSGDETETDTSVVASRPDWRSD EVMFYIKWFFCCLIPDVYIHL PHYBLDRAFT_151118 MLTLLPSSVKQGMLPDLTSFLRNMQAQFISLQQHTNELESLAAT NARSTAQLAPRPPFVQRVAASVRMFAISTGPKGYQYVYILRSHHFTHREVRNSLKILG VDTGYILDINFPTKECKLEEVAAELHSNRCLKALKYLCPHVAVSVSHFFCDQDWISKE DIPVHSVSGPGASIHDF PHYBLDRAFT_173938 MSSTQRHCAACHMLGHSRSTHKQCLMNPKNISLHIPQKRTNVDE YPTESSQTAALRIRSEPVQDQNLDIETLTFISVSELTEFPLANETITEVLEAVVEEEI EETSSDEEVTGREEEVEVTSSDEEVTGREEEVEEISTVNRGSILPHCPHCNGTDHRQI TSRFCPNNNSSRARGSRNRGRSLNNIARLPAISEPAVDNRGDIDIECRFCGAMMWAHE KNSRSSLRSPTFSMCCNKGKHVLPQIEPTPTGIAELLNYRTRDGKKFLENIRSYNSTM SFTSLGAKIDTSVGNNINGAYNFRIHGTICHRIGSILPVTESDIAHSKFAQIYIYNSA AQIDQRQYHSPQLERSVLEKIQSILMETNPFIHLFRTMDQISREKGQSIDLTLCLVAE GPQDQRRYNAPTANGWTIDASSLSGEHVTVMQWYSNRLMYRRNTQHLLHLFGRLFQQY IVDMYAKVEHDRLHFITSNQNRLCVDLYSGIQDAVIHNDCGTGKTFVFNALLQKTCQQ GKIALAVATSGIAALLLDIRLAFAMTINKSQGQTLESVGLYLPAPVFSYGQLYVALSW VRKPSTIKIMLDTPANSNEMANTVFTDNVVFKEVFDI PHYBLDRAFT_73297 MITEARTARHLQYEDFTAGWPLTDESECVGAGSDIEFWGPLRNR TIQDSFEGISCLSKLLEDFYKSKGEECSMIEAAIQTSRKAFVNGCIQVDENHDINSAY SPVYKDFFGKVVVFFEHRLNNKRWPLALVEIAAVHLVNGIPVVNNRQMKPKVVHLADV KELVGLVKLDATINTTTTTITITYIVWPELNRGPKLSLGSLADL PHYBLDRAFT_73299 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSIEDLNLEITALQSQLENRNISNQHTLPSVSAISSANTIRKLVSIFREITLKHICC GG PHYBLDRAFT_73300 MISEDLGIEVTSNEKVTLNMCTKLICDDMAAHPSVIALGSNPSW GSIPVALKKEMCARHANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKRLQSYFFML PHYBLDRAFT_73301 MKRCPIEEPTKENQKAEICNMYLNSRGAKLSASPTTSQLPVPLL SSPALFFFSEGGSGVLPSTSHFFVPVSDLSFSALPAPGSHEIFFQAPPTMIGSQKQVI NSTGRPTPTALILSGLKADFKKLHNVLSCSRCQTTGKIVSNGSTSRTGSPQFKCKCGA TFTASSMQSLINAVQHKIPEVRSASEPVVSPSVSILGHSISMADIHEIESDVAPVLPT VMPTLQDIWDRFQVYDERLSALEAVQKENIELRKALATANATIARLTKENVDFSVGAA ASKYATIAASVPVVSQADFPSLPASPTHHSTEPTKTFVSKTPRKRAPTARAIAAVVRG MTIKENADQGFQIVYVPNAIRLPISTQRQRLRKLKIDNARVLDLHYPDRKVMGMLVHN EYAPELKTILASYGVTTLDNFDPLDPVHLRDPALASLSLDDRATKAIHVHNDCMLRAI EFIRAPVKFAVARSFCSQGWISDDQLAEIVPPRPTKKDLDISIHTASITIPSFSDL PHYBLDRAFT_173943 MGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGIPTFLSGG SGTSRSSVIDLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPPPTNHPRL LWNLSKLAQPDTLKIYIDTASASLDNLTEQFSAFLSSSSPPPVDSLCSAFAQAIYDAL DTAVGRRTPRTMQKYWFWSVDLQQAMDLRERSYQRWRHSSGLQKAICWMRHQDACHAV RLSVQRRRRETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQVAANKMA DHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTRKAPGVDH LRAEMLHPIVKQVSPVLCLFMRALQLLGVNHTGLSRLLSIHLYCQFIHPQFEYGLAIS CFNIKQVAVLEKAQNTCLHMIFGGHSTSSTSVFRRLGNLLSMRERILTLGFKFVYRAF WLPDEALFTLLRPVLTNPAHQWFKLLANPIWLSLSNRQNADSKACKHAIHSFLNQGLS LKRSQQILLSACRPSLGVDPILWLPMTNYECSRFIRWRMGWLPGRPQPCSCGLHTTSR HHVIECTGAAICLHLYSTVQPNPIDYVLNMLPMKKLKNNKNNAFWIFTWPILCRIILD IEQICLPGVDLADHAATDRGQLFLNWLPK PHYBLDRAFT_73992 EYVLRCNKSNNQVSGSPQSCFNIKQVAVLEKAQNTCLHMIFGGH STSSTSVFRRLGNLLSMRERILTLGFKFVYRAFWLPDEALFTLLRPVLTNPAHQWFKL LANPIWLSLSNRQNADSKACKHAIHSFLNQGLSLKRSQQILLSACRPSLGVDPILWLP MTNYECSRFIRWRMGWLPGRPQPCSCGLHTTSRHHVIECTGAAICLHLYSTVQPNPID YVLNMLPMKKLKNNKNNAFWIFTWPILCRIILDIEQICLPGVDLADHAATDRGQLFLN WLPK PHYBLDRAFT_173945 MSSQHSAANSLPREEQSPLTEVGIPSPVLNEQTHLTEIGDISPL LGSTALSIDGDIEMIYTSLDSLPPLSVVGSVPPTPVPTVPSAINSNTSSSITLEMLLA SAKEDLSIKKNNFYVAYANYVALSKVNPMSDAARHASSIKKEAQELFEDAQKTLKVLE KANAPPAILEDKKSMVVPSNLPFLQLCTETRVKQNRDVFDSVYDFCQEFTMVLESHSL SLDSCWERLLPICLNKEERSWFEDKLKGKAYKRFLNMGRVWKMMQKKGESVRAFGAKF QTARRQASLEDGIQMVLCFWWNLRPEVREASLIPLSANYGTKLPSKVEDIISLVSVAT SDSTALLNQPAESGTPAKWKSFSDAHSISSSISHKGKKRAIARDNDPKHAKKSWNFKK AIKDNVCFSCKGAWEKGHTCPERDNYLTKVSRMAVRSPADRSVASSPACGGFPPSRDS HFSVRGNSSPVGSSSTSWLMDQDNTSALAKMALNCKDNHKDMIIKKDFKNMSTNITFS ILANNSIRTIALLDCGATFSSVDKNFCLQNKISINYVNHINKDLVNNSNVHKYFIRLA DSNTHIKRIGTCVISITCNSKTIQREFEVMNLTNSYEYDFSIGTDYMSTLGIGIYGLP LSYDDADSSKERREADRCFNNKSDLLESIERENEQKENNPAVGPKQFEDAMDYICPFI KDNQDIPKGSFCTIPESVVCLDTPENATAFRSPYPIPYKMQGVVDEQEYWQRIGEAPE SIKDINKANKRLLKDMKVVNSIPKEKSGIKRKNYAKTALQKKKRSKV PHYBLDRAFT_173946 MAPIRKPTVRKECRCSICKSKTLGFDRVSVKTFKRHQEKDNHDI THVQTPHEDTCNTISSAVSEPVNQEEDSFEFEQEDVEMNSELRNLNDTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYEEEYEDESDVEMDNDEGAVILIAIINKILQFLFDP FRLPVSVAGLKRLAGFEALTSGVKKYVACSECHAIYDNEAAPLCCTSPNFDKTSLCGN SLFKSGPGSKAPKKTYYFDIVRCTIIDPMHNLFLGTAKRMLERWVVDGLIDDKKLVAM QKAVEKVVLPPNYTSLGTKIAKGFPYMKADEWKSWCLVYSPVVLRDLLLLPEFKNWIE FVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLYDLDLLSPNMHLHLHLCQTMIDF GPVYGYWLFSFERYNSVLKNIKTNRRNGFELTFMRQYIEESWKGDFVHQLLKPMHALA CFEIFDKFTTNNNNTNTNTNTYLSHSFSISEYLEASQNLSMIICGNEPLPLSALPLKT RPLSFMPKHEYDCLVGYYQAAYKNPQISGCKDVIDDSPFINDWIEMVKSIDLLGQSYK GCIGTNGRGSYIQAYFTERTGSEHAYVGEIQYLFVHNFRPTVSSLTYRNPHSSQHVCA FVKWFKSTSDKTRELEGVELLQDEFYKQDFQSILPVHRILLTVAIVDYKTTKNVNKKL AIPLPKKIYY PHYBLDRAFT_151128 MPSNSSRKTDRKGKGKASASISTSANRVLAGRRYAEIVEIFNKV NNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKAHTAFADFATAYANNQTRMASLGP SLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDDPALVAENESKKKWNLNEKIN HRNNIAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHSHRTFHESPEQKAKKNSKG RANSRTLQMSIQRKSTYMDNWVAIDAAMGHKTGNSVEKAYLKLFQKDAMSDGESDIEI FNRLLTMVDDIDHTHHVLNAGVGTKPRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_151129 MDKNKKLIIKTYQQQKFIRTANISMYLIEWLVCENRFSLNNSQC ALCLVLTKVLPSLTSEIP PHYBLDRAFT_173948 MNWVVIFWLLLSIIPVKGRPTQIGNDKWTHKIRRYEFRLSASPT TSQLPVPLLSSPALFSFSEGGSGVLPSTSHFFVPVSDLSFSALPAPGSHEIFFQAPPT MIGSQKQVINSTGRPTPTALILSGLKADFKKLHNVLSCSHCQTTGKIVSNGSTSHTGS PQFKCKCGATFTASSMQSLINAVQHKIPEVRSASEPVVSPSVSILGHSISMADIHEIE SDVAPVLPTVMPTLQDIWDRFQAYDERLSALEAVQKENIELRKALATANATIARLTKE NVDFSVGAAASKYATIAASVPVVSQADFPSLPASLTHHSTEPTKTFVSKTPHKRAPTA RAIAAVVRGMTIKENADQGFQIVYVPNAIRLPISTQRQRLRKLKIDNARVLDLHYPDR KVMGMLVHNEYAPELKTILASYGVTTLDNFDPLDPVHLRDPALASLSLDDRATKAIHV YNDRMLRAIEFIRAPVKFAVARFFCSQGWISDDQLAEIVPPRPTKKDLDISIHTAITI TLWNANGLAKQTIPTILSYAPLTSLLFITEMWLLSPHRYPTSWQQYHTYGQSVPNASR GCMGISLLVNPSFPYPISIVPSSSPYVLSCIVFDFLIHCIYLPPSLSDDDAISILYDL PLSSSSIPSSNTIFCGDFNSRMGSFLGDHAITSRGTSFLQWIQATGLTCWNELLAFGI PTFLSGGSGTSRSSVIDLFLSTSPLLNPSMQIRSDLSLGSDHKMVNLTFTPYVSPPPP PTNHPRLLWNLSKLAQPDTLKIYIDTASASLDNLTEQFSAFLSSSSPPPVDSLCSAFA QAIYDALDTAVGRRTPRTMQKYWFWSVDLQEAMDLRERSYQHWRHSSGLQKAICWMRH QDACHAVRLSVQHRRRETWKEFCNKLATQDFAKTTATMKRIKSHRQTSPVFVDPGGPQ VAANKMADHLQQIFSGQFLPARRPPDQTVMISSPIAIDESCPFTHLSVESAILKLPTR KAPGVDHLRAEMLHPIRCKVLLNYLIAERIIKLWHLFFLDVTLN PHYBLDRAFT_102024 NLPREERNKAENVILVGLMPGPKEASTNEINNYLRPLVNELMLL YKGITIDTYNCSGALVRAALLMVACDIPAARKTCGFTSHNSTCACYKCNRQFARVDGT TAVNYFGLKFSEWVGRTKEENRRHANLWKNAKTLTERKRLEIENGVCWSELHRLVYFE PVRATIIDPMHNLFLGTAK PHYBLDRAFT_151133 MAPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIAPRPENM SIPVSEFNDIVSLLATLNDKMTAVSSDVSELKVQCQVGAQLTGMQAVLDSDMDPQDII SSSRHPKISSIIRGRLRDINLKTDDLELIRENDDKPTWDVNVGLSDEFNKNLASDLML YIRRQPVAAMVPPKELCGIIVNSYYNRLAASKLTEEDRQTNTTSNRRGNRKTALNKRR KRTYTKHKDAVTEKFNRDYNGVFYRDAMSGDETETDTSVVASRPDWHSDELNTVFDFL DELARDDLGKRATQLKLQSHVLVHETIPRGLVTKMPTWSKRV PHYBLDRAFT_73304 MSNNQKKDSYVICKCPNCTKLNSCGKKQKRQNAQQHYEKHIVPV VKDDAMDVPEEHFDDMEVDSIDSDNDNDYDYENKGEGEYEDENKEQNIEFDQEVDLPL SQEESIFTAEDTITGAFVVDGNEIEEGDTGFDFEQEENFDETSETSIVESVRPSSFDN IPLYIRFVAVFIVIFHLIFLVESGGSILIEFCNTLLFLCDMSGALPLTINSLKHKTGF NMATDGMTVYIACSQCHSIYPLETSQRVCTFKKFSQSAICNNNLFKVSTGNRSLPAMV YPFNSLNDLKDINGRRFVDDSQSLMLTLNIDWFQSFDGRTHSSGAIYLSINNLPRSER LKSENVILVGMMPGPKEASTDSMNHYLKLLVDELLEMYIGVRRTKTMNLEHAVSWANA LNNTEQTRLEKENGTRWSELHWLSYFDPVRFTVIDPMHNLYLGTAKQMIQIWRKCNYI NEKNQLTMQELANGIVVPCEYARITKKIADGFSFMKADEWKLWCVIYSAFVLKHVLPA KNLENWILFVDACRLLTKPSINDKEIDGAHSKLQLFCTRFQTLYGKSAMTPNMHLHLH IGECVHDFGPIYAFWLFSFERYNGLLKNIKTNQKDSLKSTMMKRFLERTYIGSFIQSF VNYLPQFAIDFLHRISNSQDQLAALHPSSTASTFSPSDFVEYLLNPHHSALGCEPLPL SVFPIKLDQRITMCKGHYECLLEFYRHAYGSHDLFGHYSNCESNQIFVNNRIEKMKRI SLLGQKYSSGSYFRAYYLENNSEDKAEFPGHILYLFQHLITINETVITHTFAFVEWYS SYSSGSYQPMLNEGIELWNEPSSVFNYECIIPVHCLYSLIAITKYRFTITSEFKRLVI PLHQKIEA PHYBLDRAFT_73306 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSLGRTRNIYDVYEKLDTMNGVLKTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSKEKLYDQYNTNENKNSEGNRLVLKSVTDYLRCQEEGK KVDLPTLQTKIVRHIGNRKLQEKKQEKRNRKRIDGPVFVSNV PHYBLDRAFT_173954 MLGDFNYSSYANASRAGLAPRLWLHFVANHFVDCVTLSDAQPMP TFHRDLSSSTIDYIYASKDIASCHSSSTVTFVQPLWTNHCLVRTCLSFPMLSHIGRGL WRANPRLANIPSFRSSLSGCLSSFIPLLSPSISPQSQWDLIKVEVARFTRSYSCTTRP SLATLEVQQAALQLRWLRPLVRSPLSPSGLVPPWFSYIPRLDSSSADPLVPLIFPSLR SSHQHDFDSPLATLLAAIDLLPHNFSDVVVNLPTCLSLPLSYLTTAQPDHPPFPSAWR DLWVSDAYEVDPSFGVLAQRPLHCILRCPIVLHQFFKRLYTRSLVLHPVLYRATIPPA ICAIQFSLLDMPSGTAVDVRPFLTALVPGIPWHRLSTQSFRLLCNFHSKSARPISPTL VPRQLRWFWSFPLPHGARNVWFRALHKNIPCRSRLNCRIPTTFPDPSCALCSHPLDNQ THFLFQCPVKLSVWSSIWTLYFAQTATPTILLSGLQSFTFPPCTDSSLSAASIFGCTL LAIWRHHWLFIFDHVPFVFSAAFSTASSLLDCLKSKLALDFPPL PHYBLDRAFT_173955 MALPAKVPDPPIGSTTTLGQANITTPQPSTTTSTTTNTRSYLDV ATATPAPGQVPVVLFSNLPTSTDRVWRKSTSCHSVFFTPPTDSTLTSEFWTALRASVP MACTLGISFTHRQLLIHELHLTNSTICTKLCSKGFLVGGQTYFPSMGIAPGTKILRIS LSQLPYLPSPMLEEAIKTALAAYGTIREVGLHLRDNFFDGTGTWKQMGIHCNYCKVMG HAIENCPDRPKDSRCCFTCDQTSHLQHACPRAPPTDASSSKRPRKVPTTHDSPDCSRK TTPGASHRPPAKKSIPPRGTPMMAEGSQATTTTSRTDSSTPRRPSVPTVPTSPRQSAN RFAALDGLTDNSRTGALFDPTLPLPQTHNTQYDPVFHPLHNAFLPSNYTSGSTKDEEE FHPSTFDGDNDQDSQNILTDDEMVDGDHS PHYBLDRAFT_118132 MNNLPREERMKLENIILVGVMPGPKEAKINQMNNFLEPLVDELV ELYGSITMKTPEFPNGTSIRAALMCVACDIPAARKTAGFTGFASTNACHICKHHFTVV AGTSKINYSGFDHENWVSRTKEENATEAEMWFCAESDAERAVLEKQYGTHFSELHCLH YFDPVRCTIVDPMHNLFLGTAK PHYBLDRAFT_151141 MSSNMQQSKKTKKMTTKKSVQQTAGTAASTRQREILPSLTVSTE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYH LPTVSRLIRSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEVKSVSSAVVE EKTRSHILYMLQRAKALPEKIAQQNRISRRRSRKRNILADYKAIHLADKANLESKFGE TVANLLDYDMLSDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRLANKGPDVIGNSV YPIILRNTELSNEKKACVAAWIHTCQQ PHYBLDRAFT_73313 MRMRFIADLRREIKSFENTTMLYEKLEEYNSAFEKNMEELEEPK KPEDPKSSALSTTDETPKKSRGQYQIPMDKDLKKLLYLYFIRGLTIKKVSKIVNMKQT TADGYILK PHYBLDRAFT_151142 MSTSNNSLKFVMDKHCEMVSHSGSADQTQFSGIAFDPLCNFNQE IVDEMHGEVILRSVTDVFVNHANMKWTCTLAGNPAVMNLLSLRSFLPTEVNKATTKTI VTQKPKTNLLEKVDPDSVPTAESIGRENQTAAATAREIGINVWTARNYVRLAREKIQA NFDAAMVETDESNGLEMVEVEEFFENKLDATLEQARIAVMEEFSGLQITKSAIQKHLV KKCALTMKKLEKLPEKRDDVSTIEMRQDHILEWQQLADFNYLSNCVFINEAGFNMHIK RTFGHSVSGTPAKTTVPMQRGASITILGAMCKREIVSLLLKKPTAVATKKKRKLNIYT NVEVNGRIGTRTQHYLNFLSHTMDVLDSQGMQRHYLVMDNVPIHKANEMKDFIFSRGY KCAYLLVYSPFLNPIEEMWSKIKFGVRREEITESDGLILRITESAKTVTLSDYLGWIN HAISFFPCCLNREQKL PHYBLDRAFT_118157 KTIKYGTAMTYFAAIMDMYQKQVKVGVNNYSHPRKACIQLLDNM KKVEFADLQTVMLDCEEPSKCPAFVLVLKQGKINQFGQIELAACLQNSKVEICPFIAL GCYFFWK PHYBLDRAFT_173959 MSIYLLLNGLVARIRRSHRRGRGSIPRWGRTFAVLNFGEQVSFP IWVGLPFTITKSKGQTHWGSRSILTSARKEIPDRDLTKGRPTQIGNDKSVKMYKAQRG GRTPNLEIKSLTLYRLS PHYBLDRAFT_173961 MKAQIEELKLEIKISIENLNLEITALQSQLENRNILNQHTSPSV SAISSANTIRKPVSIFREITLKHIFKMISEDLGIEVTSNEKVMLNMCTKLVCDDMAAH PLVIALGPNPSWGSIPVALKK PHYBLDRAFT_173962 MSSNSILDSYQCNQCKERHTNLKKAMSYRAQCFKNHHRRHNDIQ TSQTTPVPNQVSVVLNTVLNDTIDRERADAIEDQIMDILNSEDNDDAIMNIFSNDDND ESMYDAKLGNDMDIIENETSPLVFDFSQPAPNPDKDDAKNLEFLKIIKDFGISCNAHE MIVKHFNSILETSTCITYRACTPHLGKKLLKRFSGVEETVHDICQRGCMLFTSPSQTE CSNCRQSRYKTRRGETKGDDLVAAATMMQLPLARQLALATLPSTNIRTLESFQNFSQA SASSRKGLNGQSPLATLKVFSGPLFFALDEMHGLCHGISKQIWGLASGTYRTDHCFAL SSGIQKEIGTAMYKTRNTIPTSFHGDWRDVYKNPGSFKAVDWADFLLFVVPMLVAERI GDATARNALLGLVQACNLLMSWELSAEEQASIKSKLEIWNMYLELLLTSGKIKINIFT INQHLLQHYPLMIDAYGPPRAYSARSMERAIGEYSRATKSNSAINVNAGNIMLGLAQI RQAEAGATVMITEARTAQHLQYEDSTAGWPLTDEDFYESKGEECSMIEAAIQTSRKAF VNGCVIDFALDQNCVREAHNIRLQIQVDENCNINSAYSLVYKDFFGKVVVFFEHKLNK KRWLLALVEIAAVRLVNGIPVVNNGQMKPKVVHLADVKELVVIKCNLHLLKISNKEIK TNACPQEKLKECNEIKPKSNISIVSYTELVFFDTLALLQILTLTLTLTLKFNHTLAPR PSKEHADLIARLDAMQQSLKDMDSKVGYIVKGNADDLEVLDTLTGTSNNVLEIAPTSH PHYBLDRAFT_173963 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDNNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSVLHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNS KIGKQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEV NTAHQFLQTFCTRCDELYNAKILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIP SIRQRTFRIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKV AYNNEQLVHFQQASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGST GEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPK NDKSREYEHVETCFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQ YI PHYBLDRAFT_173964 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGIFSAAPSVAPSVGPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELK KHNFKSNKPELVAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADIS DCIYTNFCGTRRRVKESYEARKKTNSRSRKAGRETDHFDRRELTYHTFKAEIDMKVGK SCDGLLQKEAMSEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDF NSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_173965 MISVFRPFGPLVFCSFCFFFFIYKFSLFSLFPTFIIFTDFLDTR VLLPSDASPSQCPSGLAKAISPKLLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMI GEVYGSKQYKKKSLRLDKINSNTTKPCKNWHIHKLNNDILQQNVILSCRFSACGKNPY TENANTSYYPAVLTFSYVRKLVLPPMICGDPDTRMLDLSHLRTYSYWDSIVAIIFRLR DPKFRSNNAALIAANDSKSSWNTEIHFNRSPNKELTLALMAYLKPKFAAGRLRPSEIR SSIYTNFCGRCSAERKSPSALDAGRSRSRRASRATTNFDCHELAYSIYKADIDTLMGK DCEGLINKAAMSENESKDEIPGVPGNCVLCTVRPFWRSDEYNQFLEHVNKAMLRCLNL NVRQMAKKTFGRDADLAVPSQLKYSLPQWAFRDKL PHYBLDRAFT_151152 MSTPMPTSIPITTPTICQRTFRLQSFIDYSKNPDLVIKGNEPLP PSVFPLLTAALIPMSNIHYLHLFEYYKTAYQNQALVYYEEASSSLYFVDNLINKLKSV NILGQTYKGQNESGHHGSLIQAKFYGSTGQHVLAYTG PHYBLDRAFT_91875 FEAVGISSSKVTHINQKSAINMVSHQGVSSDQQRQVGRWELDRM VVFYLSDLPINVIKVLAGFSIRREDYFPDHAIITPPIELQQMI PHYBLDRAFT_73845 MVCDRKFKRNDDDTSVPLGRELIQAYVKAITDIYYQQIALDLNK NPHPRGPIARQFLDTNTKKKTKCKRVEYEDRGKNTLNDRYTKNELLLLSQYFFEQDST VGVRNHLCFLMSHAMLLRSETVLGTQYPNLFKMELEDQDVSPCVALVATIIYGKINKD RKI PHYBLDRAFT_173968 MYYEKDKWFAKKSGQWVQNKIKYVKGVRHILEEYGLWLEKDLYN PIKKWRLDCKSKDTSEDSKYCAHHFLASQPDFMSQKTALHEAVEDSGHIFELYPKFHC KCNWIERYWSAAKREARLQCDYTYKSLDKNIHTFLDHTGKLPNIRWYYNRSWRYIEAY SQEMNVKEANDVVVGH PHYBLDRAFT_95102 LEQYTMSRRIFSVTDVWREYVFSFNGSPSIQSLENKYGTAWRKY RKESRFFSRRKELYDAINERAELENISPEEAAQRME PHYBLDRAFT_151157 MEASEKASMEIWLHKNTYHPAAIRKYAKEYVDFRSIALHQQGKH LRRHFLFSDEDIKSTICKWIQNQRPESRSLIEVKKYIGGNILPRKLGISGNTSTSTIW KYLHEWGYVFKKNSKDIYYNGHEREDVIAYRQKWAKRMMVYKKKMAAFSENEETVVLP VLRSDEIEHVLVTHNESTFYTNDGKDVMWLIEDENPIRKKGPGMSLMISEFKCVCHGT MARGAWSSREVFRSGADRDGYWTSADILKQLKNNVIPLFELIYPGCKAVFSFDQSTNH KAYDQNALISSKMNLNDKEIEDDDPCFLQDTVSDS PHYBLDRAFT_151158 MANLQISNMQGLPTELTSFLTTLQAQIMHVQNRTDQLERLAAEN ARLTTELDQARTTIANLQKQLGSQSASEKNFSEISLSNPAGAVGAPDKNKEPGPEAST WASKASVSLSVTAPKMSAVPSARHIAASVHMFALPSGPSVHSSLCTLGVDSSRLLDIN FPARGVIGILVHIQYADTFKAKLTTASVEILDAFDPLDSDNVADPKYASLSTHELANT AAMLHHDRCLQALQFLCPHVAIPVGHFFCEEGWISEDEIPTRTTLTNATETWLLSPSH LPTSWSQIHLYGSPVAGTYRGSMGVSVIISPHCPYAVTQIPMPSKYALAVKIGSLRIV CLYLSPNMPTHDVLHVLSSIPLTHDTILCGDFNARLDSVTGDYASKSRGLALCSWIEE RSLSVVNADLAPCIPTYISFRNNYEISSIIDLFITNMLLINPSLHIATTAKDNRFK PHYBLDRAFT_173971 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNVVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLRRQKEGK KVDLPTLRTRIVRHIGNRKLQEKKTGEKKQEENRRACLRQRRVKSCERRQSALKANRT HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFV DELDADYEAAHDKKNNTCPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_160337 MHLHLHLGECVHDFGPIYAFWLFNFERYNGLLKNIETNQKGGFE STMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNS PHYBLDRAFT_160339 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPRSERLKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_173973 MPPPLHKTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQVIVQN PPLTRPNIDAITDEFNSLIYDSLNSSIGHRPSRPNHWKFFWNVALQTAADRHNQCYKK WRLAIGIDKVVWWTKHKHAQAEFRSQVQQAKHQSWHVFCQSMERDFSKATSKIKQLKR RRQPQHTFQHDDRPAVAAATTCDYLATVYSGHILPATRPPAPMTTCNSVPFASDDSPF TSPIVEEFMQFMPNHKAPGPDHIRAEMLKPFAGNGHMFQSIATHRRSGTLATMATLNS VGACRSGFSLLLSSQLYKTFVRPKFEYDLAISTLLKQDIKVLESIQDKCLHMIVGGHA TSSTIVLKHICNLPSMKFRADVLIAKFCIRSRFLPAQCLLSLLHHHHTIYSSLVSLGK TNLLSHLPPTLKLQSPSAVKNHFESIREAGFTTFLQSNTQVLIQACRPVLGADPILFL LASRVERGRLIRWRMGWLPGKPKECPCGSDHTSRHHLLNCPLVPATLFEQLPQPDHDQ IHRIDFAITSLPLSSQELRPAYWIPLMTILWHMDVICNSDGDYSHETEHGALWI PHYBLDRAFT_74027 MSQLLSANYMQSLPAELVTFLTSMQSQFKALNERTAHLESLAAE NVQLHAQLANVRQENADLRSQLLQNNVTGPVPSSASLPAPQLFSDKTGPDGFEYVYIP RSRRIMHSEVHRSLRTLSVDTGRLLDINFPACGVIGILVYVQYLEEFKSQLASAKVSL VNNFDPLDPKNVADPKFANLSVSGLETQALVLQNARCLQALKFLRSHLVLPVAHFFV PHYBLDRAFT_173975 MGVSLLVSPSCPYAVTQIPIPNNYALAVKIGTLRLICLYLPLSM PTHEALDILSAIPLTDDTIICGDFNVRLGSVTGDYASNSHGVALEQWLEERSLTVLNG VLLPCIPTYISFRNKVEISSIIDLFITNTNFANPSLHIATKLSLGSDHRLLSLSFTYD LQHSPPAPPPMRQTWNLSRLYEDDVRSLYVTTFVTKSTSLLTTLQDLVQNPPTICPPI DALTNSFNALIYDSLSSSIGSRPPRPSHWKSFWTPELQAAADHKDGCYKQWRRACGID KINWWSRHQHAHKEFRQQVQTAKHLSWHAFCHSMNSDFNKATSKIKQLKRHRQPQHTF QHDDGPAVAATVMCDHLASVYSGHILPDIRPSPPPLNTSLMSFASVDSPFTSSVVEAF MQFMPNCKVPGPDHIRAEMLKPIWSHISPLLACIHGPSIVTHRRSGALATMATLNAVG ACWSGFSLLLSSRLYRTFIRPKFEYGLAILPLKRTDTIQLEKIQDKCLRMIIGGHRTS STTVLKHICNLPSMSFHADVLITKFCIRAHYLPSGCLLSLLHCHHSQSSSLVTLRHNT LLQSIPIDLNVHSGKALKHHFETFRQFKTDQLRLSSNQVLFLACHPLLEVDPILFLPA TRVERSRLVHWRMSWLPGTPKDCPCGTDHTSRRHLAVCSLVPAHLLACLPIPSDQNCN PIDVAITALSSSSQAPCPSYCVALLTILWHFDKLCNPDGDYTHETHFGTLWVGLS PHYBLDRAFT_151164 MKLEVWTCHSVDSLSMSTSMSPVPPIMAHSRTKPPKKPAVWYPS PETGQTGICLQHT PHYBLDRAFT_151165 MAQILQAKSLSIEAHLRQGMSAAKVAAIVGVSDTMVKRHRRKLE IPAFEGKGRPAQINATLARRIVCAFKNEEFLTTMEAAGQLCSKGFTVQPPAIRTLLKT SSFTCEQEQPLKVKSNPPFWRLKKAVTKKTKNASPPVCTGVHIMVWKCFSSKGHGKIV KIVQNMDSPKYVAVLQQDLLKTLEEQNLSKSEIEFLHNNAKSHTAANTKKWLKKEGIE VINFLPYLSYLDLIENLWAYSKNKLYKYKKAVKSMRELRAL PHYBLDRAFT_173976 MNNFASADYLFNNFMYAAIAHSAAPGSSNFMLPDNAMTAMMSTH SQATKSISDSLILMMSTMNNQLEGLANQVLLMTGDITLSNQTMTCLQKTATNILAGQT VIHDVASRCNTTSSTELTFIKNHVKTQNFTSNNTKEIAANGAKSEWVMTSYLMSSDNC GLASAMSAYLQRQPCSTGMATHVLEGMVKNHFSNQVPESCRSTKTTGRKNTATRTRQR DVALLLWRELAYKENKDAIDKFMDRVDCAHAIQKVTMSDEESDNKDSARNKTLMAYCS SWRSIEIIYFCRDLNSKSSLLPLTIIPL PHYBLDRAFT_151167 MASEGKNNILISEALPGTWLYSHSFTTLHHSLIYELISSVPTLV TTISILATSYSLTASGFNLKNFLDSAVVTIDNVKGNELFPPSVFSFNLRKFMPMEEDE YAHLLEYYKTAYNNTSLKSYRQAVFAMFHENNGHEMSAFTGQIQYLFVSDIINLVTYQ ADRHVFAYVR PHYBLDRAFT_151168 MREKLLSQNYCIFTGNLSAYSNKAQHFAFGFQSPSQMMLMRISQ SFCLDAIHNISACNIEILYSFITRHSDTGKGSPVAYMITNDHSHNLDSKVKLDASYTS EQLGKYKYELKANLKNILIESDENEFLRKIQEFRLCIQSQQQFLAYFKRKWIGTEELL RRWGRPYVANDHQRYLTNNYMESWHNQLKTIYFGHTCIRRLDCLVFILTNDVEFFYNE EVERIYIQNGQMDDMLPFIIINPFNEIGNSMEDFNGKWTVKSFTDETQWYQVNVPNNL IQNCTCLNFASRQILCKHAHLLKRFIGLDFAYTVQRENNHLQLQRSLASEHEVAVVNE EVENETNTVVVNSRNNSVWLQRIIAQNTTLHYQREDLEQLIDVPGIDEAELQVISDLL GEAMNCIDTLRNANSFHFRNLNTQR PHYBLDRAFT_173979 MTIKQKQSIYVMIFEIDNVICGDPDTRMLDLSHIRTYSYWDSVV AIIFPIIITVSTVDNILKIVKIIISYCQIWYLELVYAFLHLSFQRFLNMENHFTTKRN TFLTPTATDNRTFVCTVCETERVLGSLQGLRRHYTKKHPNEMGEYEKLLKRRPAMFDG PSSSASTATATTTATTTATTTATTNLNSNNEPAPMEFIIENPQDTYGHEISDEDEYSD DHILSDSSDDYDETTDDEDTDTRVEYDSQDHIARMAAEMRTFQSLSHAINAYSNEDSS RQTLYRPNDFADIFTGPTRPFKSKVEFILHALFYGNEDLASERSIKKIMFAMKMVLDV CEESGVALDFPTPNAVINYHKQKKNQIPVFPTASFDVVNQDNERHVLWMNKPSDYIKF TMTCPGKSSQISALPDFTENQRLNLNQGKKWKENPLLQHPMITLNGMDYWVGDVVEVQ GSPNQYLLEKFFIKDRSILANAFQVYGGHNPWLNHPDDTHFLRFGNSTNFAVSTLKYT IEVDRIMSTVQKDSDLFLRRGFSVSYCPAKIVTYALTGVQSDLWLNKSCVEEFKRRLP GSGLMKVVVCPLNLYSNNTSGNLTKQYNKYDSYLICCPLPVMISLGWRKVLRCILRIM VKLFLLLCPCYCLWVTTLVNLSLPCMRTFTKKFCRKCLIPLPLIEQGSISDTLPYSAV DHCGSEERMRDFLCVFANADSQSGLYVNGCELSYIKNGSEKFLRLEAFDSTKDMSVEI LHIIPLGLMKYLMTFL PHYBLDRAFT_72678 MSRFSKKNFFKQVFTFKPKVHLLHHITDNIVCFGSMLQYETENG EQFNKFICKYLFKTNHHSTSRDVATRFDKQFVCWRLCNRGSYIIERPAGNGTRSVRSS IGDFVKLPGFNLYFFDSCVNSDNSGLLTPTLCDTLAGVFQSNSQLFLGQVALLLNMNI NFNTPIEKMYSLKTTLSFPENDYLDKQSVLEAVNEYALSNNFSVKIKDVKFPTLHLAC SKAGAYCDKCNISEDKRKKAPNSSLTGCPFLLRFSFKNKSQRYLPLPARGDNEHCHNH PITPNNLASSHQGRMSLLTLEDAIIAKTMLENHAKTRDIQKATRDKITGMRKLRISNI NNLKYSSSCGGESSIHGATGLIRTMKAKGFSVLYQFNERKSLTHIFFTNETIVVNEY PHYBLDRAFT_160340 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_160341 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINEELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_173982 MKSIAQLEQLFRRCEGEQQICNLMAKVNDLINNAEVAFPLSSEV KAPGRPKHVKRKTALPKDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLK KTKTTNFAKKQEPLKEAEKYFSGIKRPKHLQDDYWYDLPSPKKQNKNVHDFALPAQID QATISLMFNPKSDGWCGFCVFAHLKEGGEDQFPLVKKKTLATIATHSKLYKHNFGMYV AKVTEVIAFGSDIDPALGENIPSCPSSMWFSAPDCAQIIADIYNEPVCVYSDNRRVLP VTFLPLHDRTPLKRKLLPMVLHHVHGCHWTKIKVKPHVHRSWPEVNALYFDAIHRGSI IDCISTSWNHWGQFPKNKSYLLLSTTTTTTITTTATNSSTHSPVNSSNIIDLTYI PHYBLDRAFT_151174 MATLRNMILCYTDQSTIHFTCNYHLRLFQLAYNSSVHAFTQFLS FFLIHGCEARIPATIAQDPQQTNPTDYTSGIKTALFLALDLAQLINLQSHISNVFTLS KLQKLPDFQVGQEVLLFSKPLSQSQKAGKLTCTWKEPYCITLISGNCYSLLPLHVSTN RILHNVHAHRLKLFHAHTAPSAIEDTCL PHYBLDRAFT_173984 MSKITKAPRYQCCTCKSTPNNSRTYKRCLKRCMTNRAELLANGE VSQTVSLPDQSQFTSAMVPNLAFEENINTSNSEDMDIIDSTEDDESMYDFGEECENVI DEIEGTTPSLVFDFSQPLPVPSNDDKKNLTPHLGNKLLERFLSIKADGYDICIRGCMQ FNNENDIACVKCGEARYKNGQTSESDTRVPYFRMLPGTQTRSLESFRNYNLASSEDRK GLNGQSPLASMETFSGPFFFALDEMHGLCHGIGKQVWGLVRRKYGIKHPLCLSLATQR EIGTAMVAAKSTIPTSLHSAWRYVTKNADFFRAVDWADFLLFVVPTLVAEHVQGLVAR KALLGLVQTCNLLMSWELSAENQTSIRSNLVEWNLFLESLLSTADIDIGVFTINQHII QHYPQMIDLYGLPRAYSTRSVERAIGEYSRSIKSNSQVSVNAGSIMIRLAQSQRVAEL TTIANTKTPPANLLVYSAYTNRWPVTEGGDPANAECEIEFWGPLKNLSIFDSFEDRSH LSLLLKTFYDLKGEECSMLEPSIKTSCKVYLNGCVIDAAFNQIKPKTIVIHASDIVEF VGLVSSNVNGSHYIIWPSLKRGPKLTLGALSDI PHYBLDRAFT_173985 MNNTDNTVLQLLQGIQAALISLKSGQEALLGRQEALEKKQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTGIIPRPVSKINDITLKHIYKMITDDLRIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPAWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGILASTIHE PHYBLDRAFT_151177 MSTEDYSEAIYQLMDLGITQKQAHKALTRYNFDVARAADYIFSG NADDEEIPVNTTTTASNSQQEPKDWPIWNQEDTAIVQDTHSMDSTRGSAGSTQVLQDS EYEEEPIRPESNKPDQWSVVPFVGPPATPILSSDTAYTPSALTSPLGLCPPSHHFTYA PALFQALFHVPLFRKAILAFRPVPIQWGTPLNYWKGFGDAVSPFTYCSQDPMSDADES DKKKHLQNSQELNTSEEGQSNYDQSQEEREGGINGSGGNSNDDCDDGWPDLNNPDLKS TLEKDIQSMPLSLRVLAEIQKLFAFLSLSKRRYGNVSPVIRALSARMASPHWEFSDKN IDGFLDMLIGCLVETDGLSEKSNESTPSFRDFHECLDPLVYESESTKDDDELSSNSSI APIKITKEKNTDLDVGNDNAEIEAKDIVEAETEAEAETEAQNEAKTETEITTVSDTTG DQINIKLNTSYKDDNDIDDGQVKCKVTTFEQIPPILIVTLEDRTEETESSDQSDSEES FRLDHTIYLDRYLTANKSHSKEKYQQAEKLRATIAQNKLKKRQLTEITIDNFNKFHTT TILEDTLKYLQAKKDQSHIPTTATDTSNTTASNTHSTYNHNNVNDNDHNSTNTADTTK VSKVIENLKATESAIISAGEFIKKELKDLEANEKELGRKLESLFDENEMKRCRYDLYC TVYNDGQEGKGHTWAYIRVNHTQSEGHWFRFRDASVEPVTAERVERETMTPLMLFYMA STSTANNDNDYDNVSKQEQDQELEHVKEEEKERDCITASDCLSVIPKDLVAFVQEDNA QFEEEIYSISDWQTLERLPISCASTSEGSLVFDDGDSVGTAVGFTPTNRIDDGNNNNN NNNSSSSSSNANSDDDNGEDGNGNGNNNCHIPLSPNSYDELCERRENLVRIANQSTEV DLLQQQDALDHFIDLYWRNPNGPVEEKKARRDDELGWVFAEFDSFVRIGKRVARGLMW FGQGDHRKALQTLVQAKREEEIWKTHLLVDTLGASYHGLETLGFGGPIEQFGKHSLKI LNLAAYQKVKHVSYRTRGLEDALWIAHQAHTVIGPERLGTDPVFGQLGEIWYSLTDEI ENEQPSAGLTTVQAELLNSLMMAYLDGQTCLINDPEDEREKEEEKDGHTTDKEQAELP IWKMYEQGHRAATKVLIES PHYBLDRAFT_72671 MKRFTTSSSDSLYKSKNLPPVDVTVESPVFYIGPATTENPMSHV RPKLMGTVHFNDRKIKWNRVTLTLVGKAGLSIDAPPSALPRDHAFNSHDLQDESVLTH LQTTVPVCEIEKELIFSGEAKIDFGLHLPANLPPTVKTDHAFVDYMLVANFSAGTFFK KYRIQRPVLICRHYLPSSSAMIPSVEYHGVREWFEWSADVPKAVAIESGEIVIALRWS VEKELVELGRAELSLEEVETYRFCTKMGVHSLPPIVTSFPSAPYHPSGVTTSSETHFI RTPIPLQKSSTVKRPVRTHHFSPFIEIAHRCKLTLHFDSPAIEPLVLRFPIIITDYPA SNNNYNEDFTSTSSSSNNNYTNSSSLPPPLMSYSGINTASSASLSNSSSARPQFNTVM TNVGGDDAAYVDFDLPEYTPRYEQRPDTLLTH PHYBLDRAFT_151181 MKGLVQMISDGEWLEDPLSVLFLLVLKRQWTWFDVVFVIDVALV ALKPMSKRSAGETSPGRPSIQSQLRGAVLPMTVDIRYGMNPRERILGPCVCKEIGRIG RLLGSSREEGWVVNTKDRHILTSSLHYFARLK PHYBLDRAFT_151182 MITTNGRQNPPDPMHGTINNPRISPQPSLNGSPTHLHTARIARG KPVTIFDDASAKAELAQQKANQMWISSNEDNAVVFDITDSGLDAAQFFQALKSQYPSV VGALGQDRRDRNIAIISFDTIEDVPRACSEGVVVGHQTLLATPTFGGDSNILRVHLDK LPLRRADKLEPQVQEVMGLFGRVIHIGLYMDPQFQLFGDKGFVMLDTAPKEGIEYIPL TYKIDFRGEREIYAKWQNMPVACNYCHGEGHKKANCEKRTKSPRLCYGCKKPGHIRAQ CPDETIEKERKRQRQEDPQVISPENGGNNRQLEEELARLVRENAKMQEALVQSENALE DKIALVEEQQRSLEGITESSEITVGPTTEAQGGIAGDEDTIMTNEAMANPPKATGRKS RLRNDVDPTLIINGKRNRNNKPTQGLFPDPSAVHKTIVADGSGQ PHYBLDRAFT_188937 MDPVIEIQEVYRVGSNKGLRKFVVKERCRIYEDDLQDKEDFNLM IMDIYELILNNVTFKNWRKKHLREEDFGCYWKAIFDIVFRGTSVSLVRGEACCPATEY ERQINEYEYGNVSTGVHCNF PHYBLDRAFT_173993 MQKSVKDDLLEPVNDAGYIPAPDKAICGRYIDRFFVSEFIPIMG FTGYGNFQILSMSCKRIITESEFRANAIIVSKNTASISKNTKLIWIGCDIACWKHCIS VYAWLFCTYLTLVGEDSVKS PHYBLDRAFT_72664 MSKGIIIWKLAFLLTASVDHLLDHSKGRLEERRTVFGSTKSIFS KANLVICSDALKISQCMLVQDLNIFGGSYQKVFAMSLLAKTAGMVWNHDINAKENISN IPHQTIQDSTCPIPFSR PHYBLDRAFT_80421 MSMFLSKFLTGRNISKSNLELLTDAWLSIQKYYETAADPLKDAV DLTPIPCCLQNIVKLIQAEELEYERAPDSLETGPCLEFLLHKRVLEELVEFAKVDTPY GMRIHCLRFFCSLVTNVQAQLLPERAVHVPLHKLINSCHRLIAHHYEQLATDADGCSE ERYAAISELSLELVKLMHGVFSHFKGANAALMDLFFERGWCRGLGENVWRSSKDGKTF HKRRESMDEKIAWTMFLMPRFDMFSFLIDYMNIPGETGEIAREAILFALRLLDGDPEY VCYVVEYSGLCEVMAERLSLSFASLPKNVGSFTISANNRVVPTRPPKRPIHFSVPAMI TNHSLMITVNVHNSFKKKRRKRSPFDVNFVRTLDPIREGERGVDEFYSFWEYLNDVAR VADRRLMIALMAQLTTIFWHPVVCTALSSSSAEAATAATAYTTEMIRSLTDQKLLHAF LVVLVGEEGGIGKDLEPEIRTSKTYHDLIEDESANAADDDQSERTESDKEDKADDNDD DDDISNEAMTLRVLLVTRIDSDYQELSLATLRLFDTVMETYNQFAIYNLVLRNFLDIA PDGEYIEEKHDGKDMTDTSSLGSKVIDDHTSTTDEDNGKIDDLAIGETENEDTVSTPT IVTHAKDNKTEKVRWLVERVLSLLPLEDELERMKTPPLCSVIPSDSFASTVHGRDNIG SPSIITTNPAGAINGNNNSRHGSLDETATSPASLHNVVVPGNSYDDYFHEAQERFQYG LLARNFWQTPYPPPKTRKDYERESEDQKGRPPRPTTNNASTANGSSNKNTNTATQSTA TKSDDINDSSADEHAAEVSSSQGSVISSQPEGFEGLFLSQIFDQFFKMLECTMEQNLL VTSILQKIAGIVDRRVDGVICDWRAVRVGIDGALYGGVWTLPSAKNNRRSLYSLLEQV TFEALKRAQLVPNFETRISLAKKRGVMTNGVIAGTSNSTSSANGQLQPPSHHHHNHHN HHNHHSNNSNNNNSNSNSNHSHHQPPLKNSRSATSYTLTPSISSPQREVNKQMARHPS LSQLVFSKSAAGPRSNSSPTTSMSTQPPGLLSGGRSGNNIHNGSGAGGNGSGVGNGSG SGSGSGSNVGRAIPPMLRESLSVNTNLPPMSVSSSSSTTNTTNTNATNQALSPSSSFF QQAQRTNATPVTMTNPFAKLSNFVNSYIVLQEFCKELAAVILVRHATNYDDIGFVRYQ NQQRPSERVDAWVHEEREDNNEEDYKLGLDKWKNRISMVSTLADWKSVRSMDTIDEAI TSRVSNSSLH PHYBLDRAFT_173996 MFLARRLIVKSSVLYSQPSLVCLRKYQAAAGPDEPVNDFVYGYT SVLAALEAKKRQRLKKVFLQHDALNPYTKKKDESFLQKIRDECIARSIPTETVDKGTL NNLTGNRPHQGIVLKATQRYTEGITGLNSLEKDGSYEATPVATRRNKTPIAFTAPKDR QPFWIALDEVQDPQNLGSILRTAHFFGVDGVLMCSKNSAPLSPTVSKVSAGAMEVMNI YSTNNLGKFLKASSDNGWHIVGAVGDPSIAATKSTNTTATATTDTTTTTTVQEPRPQQ QYNQTYYDICTLKKTLNDPSSETGQKPMVLVFGNEGNGLRTMIKASCHSFVTIPRQAS HLPSFKGEVDSLNVGVAVGVLISSLLLNF PHYBLDRAFT_136727 MSPSALTSDGVGIAHLPNQRHKIVSKRGANFTVMVCGESGVGKS TFVNTLFTTAIKEPKKLKARHSKQIEKTVEIEITKAELEEKNFKVKLTVIDTPGFGDY VNNRESWLPIIEFIDDQHEAYMAQEQQPTRAGIIDLRVHACLYFIRPTGHTLKPLDIE IMKHLGSRVNLIPVIAKADTLTPKDLAKFKRNILDVISAQDIRVYSCPIESDDETVTT MNKSIMQSMPFSIIGSTDDVVTADGRTVKGRQYSWGVAEVENEEHCDFKKLRNLLVRS HMHDLISTSEEIHYENYRQTQMETRKFGEAKSKKYENPKFKEQEEQLRQAFTEQVKKE ENRFRQWEAQLVAERDRLNKDLEAQHAQIKTMESELENVYQMRGTIRR PHYBLDRAFT_136730 MTANKDAIAEAGKTAAITGGLGLFVSAMQNTVQKHTEGAKGVFT RTGSTVAIFAAMGGIFSLGESVAHSVRGEDDALNAGIGGCAAGMVSVLRTKSIAKMCA ACAGVGATMYAYEYSGGLKGSLVGKSLQEKKEIRDSFFTQPNKVEEEA PHYBLDRAFT_118173 MTKDDKRESVLRELNSDPFRQVPASTILGFFHPYCNAGGGGERV LWTAVRDVQKEFPHVISVIYTGDLDATKEQILYKVKVNFNIDLDPHKIIFVYLTTRYL VDDSRYPRFTLALQSLASLVLGFEAVNKVVPDIYFDTMGYAFTYPFISFIAQVKIFAY VHYPTISSDMLQRVYEQRQTQEVQVSNKGWLWSTGKLIYYHLFAKAYGFCGSYADVVM VNSTWTKGHIDQLWSTDAQIVYPPCDTDRLNELPLKNRLPMIVSVAQFRPEKDHSMQL KAVAQLLEKYPQWKTCEGFELVLIGSSRNQGDEDRIQRLRQEVVSLGIDDYVRFEINA SYDVLVSSLGKAKVGLHTMWNEHFGIGVVEYMAAGLIPVAHNSAGPKLDIVTDYAGKQ TGYLADSVDGFASSLHAALSLSLEEYEAMASNARASASDRFSEVAFSSKFLRSLRRYF T PHYBLDRAFT_188942 MIYVRMHMLVAVKRIMQEAKELAVEGSFDYEAHPLEAILDNIFE WHFTVRGPSETEFENGSYTNKKILSCPYIISMIQPNGRFELNTKICLSITGFHPEYWQ PAWGIRTVILAVMGFFPTEARGAIGGLDYTKEERIVLAKSSKAWKCPVCQISNEEVLK DIPSGLQRPQRPPEDMPNFSLTFKPEGQPPAEKSSQDSSKDHNLSIQPTEDSIKEKLV DRQPLSTVGHVNDNNDSLDNLDDLDLLVTTEPSQEAEQVNKKKTNMELQASSCATDRT PDINPETVSQIHQRTNTNTPNSMPVPVPVSVPAHHNPNNILLATENTPTNLGRSPIWL DVLIGILAVLLFGLIARRFV PHYBLDRAFT_118100 MDRATSNAGRSRVITVRRNFVLEDGLRGLSNLSPTAWKGTIRVS FVNELGIEEAGIDQGGPFKDFISLLVAEVFKPSCELFAATPKTNLFYPAASSHIIGAS HVAYFELIGKIIGKAVYEGILIDAQFASFLLSKLLGRNVFLEELRELDEDIWRNLTFV KHQDNIEDLGLTFATDEQINGKVVTHELKFLGSQTAVTDSNKVEYVYLMADYKLNQQA KEQTKAFINGFRSVIFDGWIKVFSPPELQRVISGEDTDFDVHDLRRHTDYQNGYFDQH PVIKLMWQIVDGLTSQEKRAFLKFVTGCPKPPLGGFDYLQPPFTIRMVSPDKDQQSME GLGIVKSFFKINGLQNKGGRLPTSSTCFNLLKLPGKKIKHLYHIEPSK PHYBLDRAFT_174002 MKAANEVLRRRGRLLDEYIFGTVKMQFNLYVIYILYEIDDDFVM LISCCGHLNISIYEYKASIPTTTIKSYAKSIDIIYLSFKKKVCFNLICTFTYINPSIF LFPVYLIYSHEDCFMLYMSDDIIKLRLSKDQLPDKSQLKQAGVKKLKAWLTLWSANH PHYBLDRAFT_136735 MATVSVISPVNQEPAFSVRCITNEEFDQAVDRAEKAFSGWRKVP VSERVKIIQKFCDIFDTKQEEVAESLVQQMGRPRRYGGGEIKGVRERSSYMCSVAEES LKDEVVEEQSNVKRYIKKEPLGPIFIIASWNYPYLTAVNNVIPALLAGNPVLLKQSLQ TPKCAELFVKVLHEAGVPEDIVQSVVLTDSGSEYATNHPGIKFVSFTGSVAVGKKIRK SLGTTKPLIGSGMELGGKDPAYVLSDSDIAYAAENIVDGAFFNSGQCCCSIERCYVDA KVYDKFVEKAVAITKGYVLGNPNDMETTIGPMANIQFANNVRAQLKDAESKGAKLLIA TEKYFSQDKTGSTYIGPQIVVNVNHDMVIMKEETFGPVLAIMPVSSEEEAIRLMNDSD YGLTASIWTTDAEKAIRIGDQIETGTWFMNRCDYIDPALPWVGAKDSGSGFSMSKHGF NAFVRQKSYHLKLKQQ PHYBLDRAFT_183467 MKKKKVLLMGKSGSGKTSMRSIIFSNYIARDTRRLGATIDVEHS NVKFLGNLVLNLWDCGGQEAFMENYFASQRDRIFKNVEVLIYVFDVESRDWEKDLHYY QSCLDAILANSKDARIFCLIHKMDLVPEHQREQIYDERIRELSTRSEPLNIRGFRTSI WDETLYAAWSQIVHCLIPNIRVLESHLDHFCRTCDADEVVLFERTTFLVIANAATIKH QDLHRFEKISNIIKQFNLGCSQSQTRFKSMEIRGSSFTAFIDVLTNNTYAMIVMSDPT IQSAATLLNIAAAKKHFEKLEGAQ PHYBLDRAFT_151197 MSAYHTRRTFAKRDLLPTHSNGPEKEDTIFHLSRKQLSLIVLVV QNSTLVLMMRYSRIIQRPDQSMYVASTAVFLAEVLKIIACLAVMYHQQGSWRDFRRMV QEDILAKPRDTLTMLIPSGLYALQNNLLYVALSNLEAATFQVTYQMKILSTALFSVLL LGRSLPRERWFALVLLMVGVTLVQSQSIQSEPSQSDEQSPIVGLLAVLTSCVSSGFAG CYFEKILKTSDTSMWVRNIQLGLSGAFFSLVGMIAYDREVIWAGGMLQGYDWLTWVVV MNQALGGLLVAIVVKYADNILKGFATSLSIIVSGIISFYLFDFQPTIPFVLGATTVMV SSYLYGIDFKTAIKPH PHYBLDRAFT_151198 MDILDERNVKNILIVIDSCRTCIVLDLFKWSKLTVKVYPVNLVN LVNLVNLVNLVNLVNLVNLVNLVNLVNLVNLVNLVNLVNLVNLVNLVNPIWSIWSIWS IQSGQSGQSGQSGQSNLVNLVNLVNLVNLVNLVNLVNPIWSIWSIRSIRSIRSILPTL LNMFYCNICSTSFDNQKTLKSHNRDQHSSSLRIPLPNGEMTTVYRQSNSKFLCFCKKQ FETINGFHRHYRKYNCQDTNNVLAIIHRDSEDTDNLTNINETSLSQVKDLKNSDSPFD TISFSTGTSEKIKLLTNSFYDAFDMCFKKMKEEKKWKLRSKRVVEDILYSYGTDLERE NAVHSFIIDVSDSHVKNLFTDDEWAEITSDNNKKYTTLSEEILSLLKNINKPTVNEIR KEIFKYADIRYNNYSEDKSFHIDKICYAIETLIHKYQRNPNPLLVQQDEAWYNSHIWV PFIDSFYDNIDGINCVRNGPASHSSRKRKRAMQGEFEKKQTGSKPDMSIRIVSGGGKP LEFGACEASSFYDGPTDKKYRHESRIKLPKMLKDMLFDLCEYSDWDVEKIRHIEVVGY IQSALVIEFLSMNHPNGYICRLSRSKNYEIGYNVNTFPKTLEVLAMSLMMKFRVENCV KLITHPTFNINTVEDQPSPTLIESIPTP PHYBLDRAFT_183468 MENFTIEEIRELMDKVTNVRNMSVIAHVDHGKSTLTDSLVSKAG IISAGRAGETRFMDTRKDEQERGITIKSTAISMYFQMENPEDIKEIKGQKTDGSSFLI NLIDSPGHVDFSSEVTAALRVTDGALVVVDCIDGVCVQTETVLRQALTERIKPVIVIN KVDRALLELQLAKEELYTTLRSTIESVNVIISTYPDEALGDVQVYPERGTVAFASGLH GWGFTLRQFAVRYSKKFGVDKEKMMQKLWGENYFNPKTKKWTSKSTDAAGQPLERAFN MFILDPIYKIFDSVMNFKKDQVDTLLTKLEINLKAEERDLEGKQLLKVVMRKFLPAGD ALLEMICIHLPSPVTAQKYRVTSLYEGPMDDECAIGIRDCNPAGPLMLYVSKMVPTSD KGRFYAFGRVFSGTVRAGLKVRIQGPNYLPGSKSDLSVKSIQRTVLMMGRNVEAIDDC PAGNIIGLVGVDQFLVKSGTITTSEVAHNMKVMKFSVSPVVQVAVDVKNANDLPKLVE GLKRLAKSDPCVLTFTSDSGEHIVAGAGELHLEICLKDLEEDHAQVPLKFGDPVVQYR ETVTAESTIDCLSKSPNKHNRIFMRALPLNDELANDIDAGKIGPKDDFKIRARTLADK YEWDVTEARKIWCFGPDGTGPNLLIDVTKAVQYLNEIKDSCVAAFQWATKEGPVAEEN MRGIRFNILDVVLHADAIHRGGGQIIPTCRRVIYASVLTATPGIQEPVYLVEIQCPDS VIGGIYSCLNRRRGMVFSEEQRPGTPIMTVKAYLPINESFGFTADLRAATAGQAFPQA VFDHWQNMTGNPLEAGNKVYDIIRAVRKRKGLTEDIPGLDKYYDKL PHYBLDRAFT_188946 MADTTNKRPKTSDSDSSDIESDSDYSLDYEFLGPRDPNYRDSDD ADSDCSELSEELPSRPLGFDMWDEDLHSTNDYTWQSNDIRLTHFVRHHHAATNQTGPS FWRKDRGWPRQLPASRSTTNHDQSANAMCLVVSNYGVDEQLYAVYRDRILESGSPSRT TRSTKNKKIASPRQTTWLETPSNHSLVLPPPPPISSSLAPISAKSQSQSQSQLSQSEK FNETHASSVHPTTQPKRHISQPKLTTDPCLAKFKRFTKYVRRQDYPAVPLGEAYLPLG FEPLCLAQKYGYMAIGGIEGEFELYCCMDRKQPIKIWGTKFKGKNNIMLMTNSVQIVR WEISPGKYEHLLIVCVNEAGVLIYTLPPHHHCAQSSHSTQSSLAPAAAKQHTHLRHFD RVPINDAQVSPNGKHMACVGDDYAVFLLDIQLARNSVLFGSPTKLPICPNSLSSFSAT KPAPAYSSQYVAWNTSSTQFAHTSDSHHCVLVWDVAQQHIIRTIDSAGYTYAIQFHPH LENMLVFTNRYGYFHTVQWQDNHSPARHEISLVSFRGEKDRRLRILAKINGIQWSHHG KCLYVSTKRRILAYELQMFAHKVPSLASIASHQAKEHLATKFSLKRKRSWQSPDHPHW KSIPLSVKEELFREASLSSH PHYBLDRAFT_26444 MIADRDNDHDHFLRVMVESGGCHGYQNKMELTKTVDEDDIIFEK QGVRVVVDGVSLQFIRGSNVDFVEELIGSTFQVVDNPNAKHSCGCNISYDIDIDMLTT N PHYBLDRAFT_174012 MAKNLDTYDFSFCHSALSQLTVSRYWFWERSYYIEEAEHDSRVR LDLANDQYMMIISYLDRSLCKETIIIMILIMLSNLTLAFNMSHMLLIFNKKSNSYFGD QANNFSKIKRGIHTLYRLTKYFVVLAFGHLIDACYKIMYLKQNTIGPNYTTRKNDLSK KKGHFHQKRKTLTRKLLGCV PHYBLDRAFT_174013 MLRHCRRLYLCHIRPLVSFRSLSSASPLYKRITQANAEVLFAEL PPSLPPLESRQAASGSMVLDTVREYTKKYPLCVLLIQVGDFYELYESHATHYAAQLDL KLTKKDMATGVTVDFAGFPLRSLDRYLDMLVNRLGCRVALCEQHGTATRDDGSVMGKR RRVTRIITPGTVIEERFLDAISSNYLLAVLPSTTFGKKNDDDDNDDDYEVGLAWIDLS VGEFVLQQSRLQAFKDDVARIRPREVILPTWMKPRTGGGGGGGGDSHPIMQSLGQDPS IAITYQGDATFDSQKGHELLESIISTPDATKQDDVDHSFEKEEEAVLSDGLDVAAAVA LLHYIDSTHMGERPRLQRPVRFSPEAMLRIDSAAMGSLELVRSLKDGRRADSLLGTID CTITSAGSRLLTTWLTSPLTSMGRINTRLDIVDFFASEPNILGSIRRTLHLSTDAQRA LQRLAVRRGQRSDLVEISSTFEAIRSLQHQMASVFSAQPLGRYTSAVKKLFKTLDPHQ DLVDFIQSAVNPDWIPDKERERAYGYVNRNFNPELKKLHRRLDQLESERDRITSELKA MCGNSVNLVSQGPYKHIVELNASQAAKLTSVYSCTLVNKTKSKHRYQTDFWTPLSVQI ETHQNLLVEMERQVFEQVVQRVLDHSSTVLDSCKSMAQLDVLTSFAWLSRERRYVRPT LSLANQTTIVGGRHPVVEAHLARKGREFVKNDLNLGQDQSVWLLTGPNMGGKSTFLRQ NAVIVLLAHIGCFVPAKYADIGITDRIFSRVGASDNLAQDQSTFMVEMVETATILQQA TARSMVIMDEVGRGTSTTDGFSLAYAILYDLCSRIGCRTLFATHYHELARELDNKEHS AFDKIKCFMTSLHESEDGSFIFLHHVQPGVCTQSHGLKVAQLAGLPPSVVHMAQGMWA HLNQTGSMSPKPYSIYSKQKDDHEKDVFI PHYBLDRAFT_58050 MGGVSAAVSKTAAAPIERIKLLIQNQDEMIKQGRLASPYKGIGD CFSRTVKDEGMAAMWRGNTANVIRYFPTQALNFAFKDKFKRMFNKDKKKDGYWPWFAG NLASGGAAGACSLFFVYSLDYARTRLANDAKSSKKGGERQFKGLVDVYRKTIASDGIA GLYRGFNISCVGIIVYRGLYFGMYDSIKPIMPEHLQSSFLATFLLGWAVTTGAGLASY PIDTVRRRMMMTSGAAVKYDSSLHALREIVAKEGTKSLFKGAGANILRAIAGAGVLSG YDQLQLIMFGKKFA PHYBLDRAFT_174015 MKNQLRLCAKKGLDKSKIRAQETFILNFGIKSTDFNPFFPTFST SNGRSLLQVRTLMGYLIPHHLQAAIIAIIVNFTLEIITASMVADKPTCAICIDFPLFV IFSFPLPTTS PHYBLDRAFT_80411 MNDQNALKTLIIDNYDSYTFNLLQLCKNETNVVVIRNDQFTWEE FKTRILPCFDNIIISPGPGRPERTTDFGIGGPLLQAQSDPAQKSLHRPIFGICLGHQG IAHYLGGKVDYAPRIMHGRMSQIHTIRHPSTTYKDIMYHCPSPFWAVRYHSLVADEQA LPECLVVTAYCYENDADVDTLKTAAYLADSDTSASSTDLHKNHFLPHPPTNTSSGTEQ KGKRTIMGFQHKTLPLWGVQFHPESVSTECGELMMQNFAIQTLEWLNKQQSDRSLCYK PLDLDVLNISVAHPKLPLLHHIDEATKSTFEVYSSKTKCPIWIDPELLVEEMLRNQTG HNDIQTKAMSWLDSSRKSSPYAKMSILSVDPAFSLTYSTLHRQVNRQDRNGKLSFENL VGQESFFDYISKILGKPDTTVKSHPLNHTDKLSFEGGLIGYFGYEMKRESLDGYKTPN EQMCACASSQSQKHQQHPSRPEECCASCCLYEPDAAFHFIDKFWIFDHTSHSIYICSL VRPETSSQNPGPGMDPISASRWLEQAQDLLKQTQIAHQKKMMAEEYTKLTPVSSTCTS PLPGIPTSVDINNMAGSDLFTANVQHDTYLDSIQNCVNEIKEGESYEICLTTRFRCAL PKELRPRMEDPALWRLYTDYLRKNNPAPFSALISFPMANMTLMSSSPERFLSVTDGVA EMKPIKGTVGRALQCVCKEDTCDRSLNCEEERLREDDKRKQRLWQDVKERAENLMIVD LIRNDLAQVCKPSSVQVPKLMHVETYEKVHHLVSTVRGTLRPHVTTVEAVRKCFPPGS MTGAPKLRSVQLLDQFEHNKPRGVYSGCLGYFSLNGNADFNVVIRTAVITSQNDNVEV SVGGGGAITFLSEPEQEWIETLLKTKSVAPSVKEFLDDL PHYBLDRAFT_80409 MYFQPTQLSTSRRPDLKAGESLIVQQASVGLYEGKNKVESAQDG VCYLTSHRIIYVDSKDSGQNSCEIPLNSIREIESYARFLMSSPKLILHLDVKALSDHS RPPAPVISTSVAGVWACPICFFTNQATVDKCQLCGVRHVTTNDNNSSPSQPQKQTQSV QQQHRQQQQQQQQQQNQLPANDDGNGSHCRVCTFINHPSLNQCEMCGADLITEPLFTE NAIESLVIEDDPHVRIAFRHGGQPRFLQNLKNAIQVKAWEAPVIEESVPVIKSKGVGI SAIRERVEQSTQKANDTMSDAFQDLNRLMTKATEMVKLAESISLKMSRDITTDNDKDM ATLKGYLLNLGIASPVTRDSAGSIYHQELARELADFLTKFLDSSDSIKSLADVYCIFN RARGVALVSPEDLYKASTQFESLQLPFRLKKFPSGLLVVQSVAMDDDQAASRILSHVK NHGHLTALQLAEIEHWALAVASEQLLMTEQKGLLCRDEGPVGLTFYENLFCSVN PHYBLDRAFT_136746 MKLIGKVLEKDASGQVTLYPEELEDMWHVYNLISKGDMIKATTI RRLQAETSTGSTSSQRIRLVLTITVETVDFDPQAGLLRINGRVASESPHVKMGSYHTI DLELNRNFTLFKPEWDTISLERVEDACDVTKQADVAAVVCQEGLANVCFLTQHMTIVR QRIEAPIPRKRKGSVANYEKGLQKFYDQIYQAILRHVHFDIVKAIIIASPGFVKDQFY TYMMDQAVKTDNKTIMENKSKFILIHCSSGHKHALNEVMQDPSIQARLADTKAAREVQ ALDKFYQMLNNDPDRAFYGFSHVLKANERGAIGTLLVTDELFRSADVETRRKYIDLVE QVRAMGGSVYVFSSLHVSGEQLNQLSGVAAILNFPLPDIEDEEEEEERLASET PHYBLDRAFT_72639 MERYRKTGSAEGRARSWRPKLLTSKDKQTLSQESDGHLREKLLR CPIHQSPKVLFEEFYAFLDPSRCIVFPEALLAETYIDARKKRDEEWKTCDLECRTSFG LFKTDRPTHLWRSPDDSQGSREMENKSWFRVVSGQEVLVPSLSWMDI PHYBLDRAFT_136749 MAKHHPDLIFCRKQPGIAIGRLCEKCDGKCVICDSYVRPATLVR ICDECNFGSFQGRCVICGSPGVSDAYYCVGCTRLEKDREGCPKIINLGSSKTDLFYER KKFGFKKR PHYBLDRAFT_151214 MSGRNIKIVCRFRPKNSIEINEDGVPIIDDEGTVLQMKGKEAQA TYEFDKAFNMNTPHKEQLDYFIQGIVDDVFAGSTGTVFAYGQRGFGKTFTMMGIGIDN ENRENIFTCIVEHIFDSIFRAPSNLEFTIKVSHTGIYMEKVCDLLDLTNDGLEIQEDK ANGVYIKRLLHVYVGSFEDVYEVVHMDVESSQAHSIIAITIIQRNLDTHGTKCGKLYF VDS PHYBLDRAFT_136751 MSTLPILEYDLIIVGAGIIGCAAARAFGRDGRQVLLLERDLTEP DRIVGELLQPGGVNALHNLDLQDCIEGIDGIPCHGYGVFYQNKLVEIPYPVNKFTGEK AVGKSFHHGRFITKLRNAAKQTPNVTVRELTVVEMLKDKYNHKEDGQEDKGDNEEREE RIIGVKAQSKDGQVLQFHAPLTIVADGLFSKFRKQVTVKTPDVKSNFVGFELKDLVLP LPEHGHVILAHPSPVLMYQISEHDTRVLVDIPGQLPSASTGQLKKYLQETVAPEIPES IRGKFLESLETERLRSMPNGFLPPSINNTKGMVLLGDAMNMRHPLTGGGMTVALNDIV LLSDLLSVENLPSFTDSELVTKTMKSFHWKRKSYCTAINVLAMALYRLFAAGEDADLA VLQRGCFRYFQLGGECVNGPVGLLSGLIQRPSVLVYHFFVVAFYSIYCEFQRAGWANA HYSFIRIFTVLYTACVTILPYLFSETKY PHYBLDRAFT_183479 MKFSAVLVIVTFFSAVAFAAPRRSSQDAIGIGNEGRVSGLGNNL FKGGFASDNSQKNTVNQRN PHYBLDRAFT_151217 MNRLWFLVPPSQNRLSPVLRVTCPRRLVSSSAGQDNNLSLWVSQ PPNTKLSGAESRKLYRTFLRAGSKAVVGTNRQRKQIWQWTRTKFDKNLTETDPEKLGD LWIRSQNTLAFLKIASQRKGLEHKIVRNLCDFQFYQNRFNERPNILRRNIPSDISYMH AHCMDDLDLVIEMLNQELDLCL PHYBLDRAFT_183480 MNPSQFLQLLPIDSQSPQKRIIHVCHQLPFEITYQGSEEKWSFL PRRGHSAMHAGIRSLNGDQWRTLVIGGAGKIHISPEAGLKDTVSPPWLCGQEKDRLVN QLLEDHNCIPLLLDSESAAGHYDGYCKTMLWPLFNYIIWNDATDGRLERQQWDAYCKV NQAYADMLVEQYTEGDTIWVHDYHLLLVPMMIRNKLPNARIGLFVHASFPSSEIFRCL PKRQEILKGMMGANLVGFQTYANARHFISTCTRVLGYESTPDGVDCDGHFCYVGTFPI GIDAESIDAQRSSPGVLPKIKAIAEMYTGKKILVGRDKLDLVKEVVLIQVTDSTTAES AKLEHKVSEAAAHINGTFGSLEFTPVHHYHHHIQPDEYYALLSIADAAVLTAVRDGMN TTSLEYVMCQEENHGPLILSELAGTAGSMGSALLVNPWDYAGVAKAINDALVMSEEEK ATRHMQLLRQVKSNTASFWAHSFVKSLNYTMALSDMNNYTPLLNNESLLASYKKANKR LLCFDYDGTITPICKTPGAAVPPPDMLRALETLCNDAKNEVWIISGRDEAGLDNWLGH LPGLGLSAEHGSFIKYPHTSKWINLAEHCDMNWKHDVLEIFTYYTERTTGSFIEHKRC AITWHYRLADPDYGAFQAKECQNHLEGAILSKMPVEILVGKKNLEVRPISVNKGEVVN RLLVQQQQEDGGFDFCLSCGDDRTDEDMFKTMSKAESIPSQDVFSIKVGIEGKKTRAR WYLPTVKAVIQTMQAMASVSASASVNESL PHYBLDRAFT_151220 MDSDMDYMSSCHGLLHYFNFLASIMDVVDGISIHKPHWRLIDIK KSSRHRLFRHSMKDVDDLNCVLCGKLEGDEHLFWACALKKTI PHYBLDRAFT_174029 MFNVRNNNKNSVFLVALEAWFAFGSSNIPNEILTKIADYLSTKD RLSCALTCKEWRYPFQRVLWRNIRITTIEAAKRLVATIQESQRESISLGLSVQSLNIP IYCNMEGVQDTDILMYLPNLRHLDLGNVSYVDIYTKTTRSYSVWKSLESLKFVSNKRE WIRPGKNLLEFVNTCCRLQELEISASPFNHRIEFSVNDFDVMHQNLRQLSSIKVDMYL NPDFSSALGKIPDTRPAFGVKSLNINSKKYVSRYEYTNQWNPLWLYYFGYKYPNVHTL KIDATETCHNPITSDQKQKIISLFQSNPNAFKHLETFHFANDRYFESSDFFLWDVLWA LRVPLKHLDLDATVNQGADHSHTMDIDRILQSFSRTLETLSLKGFIYFESSLNPKLRL SSYYPLLTYLCISGHIVSIDLDDLLDRCVALKQLGFSGEELFVTPRTIDNKPKQHHAL QILTLFECTTSAKVFRRLSFRCRKLRYMALGSLWITGSISKKTGRLFIDMPYTLLQDL HIDQVQYITSNQEFDITKAINMTHLTQLYDPILADELNERKRKEAADPKAPTMISHTI CWVYTYGYFVTSRYHRQGTYELLEEEGDYAQQYFQDFKPKKTGIKLRDGSYFDEDEPE TFWEYELFKGYGEFRFGKVADYITGGIYPPGNYDD PHYBLDRAFT_56362 MSSAKVFSGNSIKKAQNDRRTVYKSVLDSPFILKWPAVNNDLGN KITELLLLSLEPIGHYRKAVHLIKQKKSQKVEPKTDDLKPPAEPDILNRVHVGVNQVT RLLEKLIKDKCAGQPSATPTNTDTNAYTAVFVCKRDANPPHLCAHLLSMCALANIKIV SLPPCSEPRVALALGIRRACAIAVEIKQDKEESLRLILQGIQPVNAPWLKSALSAPQE YCPTNIKTFQTTAPIIAKTQKRKPEEMAQNEANKKTKKQ PHYBLDRAFT_151225 MTRIARKKLVVVGDGGCGKTSLLVVYQNGAFPERYVPTVFENYI ANVQLENGKHVELALWDTAGQEDYDRLRPLSYPETDVVLICFAIDLPTSFANVQDRWL PEVTHFCENVPKLLVGTKIDLRDDRNRIGQLNALGHHLITSEEGDKLAKDIGAKYYEC SAKRNQFVDEVITAATKAAMSGGIMRLHKKLCIVL PHYBLDRAFT_160359 MWQTASSLISKVRGSLLNHRNHGLRIHAIKCLQVLILMQTKRER NDGPRDDISLYLVRPDHRLLNLSQLDEEGKQMFNTMIEWLKRTDEHGSVLTAVISCLV PLVKKRPQFARQVAAAFVNCFKSSPAVLPNPQKRNVEKAIRLSLVTMIRADQLAQYRN EIISAFGAVGGNTAIFQNRQAREQREREKREAEEARRSKRSLTGKDDGGQGKRARVLE QAPISQPPNPNMQQSSSLDSQNARASIKPSVRPPPTQTPPNPLAGFDVTTLPLNVVVE LCVAVLQNISMETISQRIHMVSFVHI PHYBLDRAFT_160360 MKFLSAISLVISSAALVHSFQHEFTVQRPVPIELFVMSKCPDKV YCESVIAQVLEEVRVPVTLSIDYIGTTDKYDPLRTTCMHGPSECLGNKQELCFKRLNP DIKSWFPFDLCLNRNYDKIGTSDSLFRACVNEQGKNANAVHSCATSQFGARLLSESVQ HTKSLGIRKSCTIFINHKLRCVRDGEWKDCEGGYKVSDFVQTIEDSYYQNEV PHYBLDRAFT_151228 MSKRDYHFLQSKKPGWANEKFLFLLAQLGFFDLNGYAVNIANQR LPRSRTVAYINESTHSVSPTYLSKATVPTLRQKNAAYQSNVNKRGHVKTSLKPKNKFK IPITYWAMALIAFTFLGGALVQVLNLIV PHYBLDRAFT_42205 MYNLAKSTRRAIPALARSNGVMATLARPYSSKINGPVIGIDLGT TNSCVSVMEGKNPKVIENAEGARTTPSIVAFTKDGELLVGQAAKRQAVVNSQNTVYAT KRLIGRQFKDPAVQADLKAVSYKIIPHSNGDAWVEAQGKKYSPSQIGAFVLGKMKETA EGFLGKKTQHAVVTVPAYFNDSQRQATKDAGKIAGLDVLRVINEPTAAALAYGLDKSG DKTIAVYDLGGGTFDISVLEIQNGVFEVKSTNGDTALGGEDFDSHLVRWVLEDFKKES GLDLSNDRMAIQRVREACEKAKIELSSTVQTDINLPYITADASGPKHINTKLTRAKFE TIVGELVQRTIPPCQKAIKDAGITSKDIGDVILVGGMSRMPKVVETVKSVFGREPSKS VNPDEAVAMGAAIQGGVLAGSVTDILLLDVTPLSLGIETLGGVFTRLINRNTTIPTKK AQVFSTAADGQSQVQVRVFQGERELCRDNKLLGDFNLTGIPPAPKGVPQIQVEFDIDA DGIVNVSAKDKATNRDHSMTIAASSGLSNDEIENMIHQAEANAETDRARRETIEMANR ADSVMSETEKAMDDFKEQLDKAEADKLKEKIVALRVEALKAQSGDDSVKPEELKTKID ELQSSSLKLFELVYKNRAAQNDNTGNTDSNSSSSNPQ PHYBLDRAFT_72114 MSITTLPNEIFLRITRNLSKKDVDSVNLTCKALNGYLKTATWKT IRINDVSIIFNALPTTAYQTLLYQYGHLTKELRLETFDPDENWTLDSLQQTFPNLRYL RIYGNYLPTCGTSTKVNHTKWQYLIELDCNVFVRPSKMSIIEMINKKDLQIFNELENM FCFVNRVEKLHLYNINNQVYSVHPLDIVETVHKYFKQLKTFGTDITPIPFEGQYWVRA TGSLPEEQLTWLTLRPCDMKIRWLAYFARKYPNLRTLELERVSHDYTNFYHIQGRHQH PVLETVEFDGIQHPFSCLQRIKISSTALSYQFCAAFWKTLKKLDIPLKHVSYDDSDIC HYDSRAAYTFFYSLDLVESNLEVCLNSCPKFMETFTYKGLRNIGIRQAGPHVRFEIHP VLVNLHIEDSILPIHLDVVLNRCSALRKIRLVHTNISIRSETSGGPMMHGLEIAELRS SIMTASVLEYMSYNCRNLNYLNIKYSTVHGTISRTTGIMDIDMTYTSFKTLYIRCLVL KPSEENENNFGSRDEAKLVAISQEEPDHNTNDSISGVLLDPPFQHQGKNDKNKKIWIY TKGILPRGQYKEDTRRLNKEEAEQAEDYFQNYATNEALSEERRNAIFDKLFIEMNHIN VPFQYTYIRCKKLVSYTLGTRWKERESDSFWDDLYESL PHYBLDRAFT_174036 MSITKLSTEIFLRITGFLSKKDIDSVNLSCKDLNGYLEETTWKK IALNDISLRVNEFPPTVYQALLYQYGYLTKELYLKTKGPDDNWSIQSLQYIFPNLQCL RLYGSCLPTCDNSTKLECTNWKYLVEFDCSVSSSCKNDPYTYEDLVKIFPFVHRIEKL RIYRTRNRVFHEHTLDFMQTIHDNFKRLKTLQTDLKLVPFETDDLQRITKVDPSDELT CFVLQANFLKMQWLGYFTRKYPNIRILEFKDVFYKAMKPENVPSQLVLEPIKFQGIEH PFSHLEQITISSAAISLKFTVTLWKSIERLDIPLKHIYHPALVDLQIENSILPIHLDV VLNFCPSLKKLRLVDSDISIGAETLEKPTMHGLQIVEIKGFFVSKSVFEYVSYNCRSL DYMNMKCPFLHRPISERSRIINIDMSFSNLKALYVCVKRLIPPNENHDGSKESDEDAH GDSNVPLTLAPHEKVQNNKSRTFIYATMSHQDKILKLDTSYLTQEEIEEFEYHLHSYT INDGLSHKGMDKLIDRVFIDRNTTYPLRYIHIRCRNIADFFLEDIDGLIGFGSSWDKL YKSI PHYBLDRAFT_188958 MSSAERGPVALSIETKITEALSPSVLEIINESSKHAHHAPMKGN TNPETHFNLTIVSDAFVGQPLMKRHRLVYALLDHELKTGLHALVLKTKTNAEYEKDLA KQQQ PHYBLDRAFT_26103 MTNKVCIITGAGSIYGIGRATAISIAKREPKAIYVTDLTLENLE DLSKEIHEKYPGVQCIARAVDAASSSAVEGIVNEALTTFGRLDVFVANAGKATMSRIS QETAESFTDMMRINSLSVFLAIKFAGEAMKAVGKGGKERSGGSIVATSSVAGIRSGAG SPEYSASKAAVASLCQTGSCQYAGFDIRVNAICPGLIETNMTKLVFDNARSRGTTHKI GQLNPLGRSGVSSEVGNVIAFLASDEASYVNGQVFAVDGGLSASHPVAPGKFF PHYBLDRAFT_72118 MIESHLKTEPKLFGLNSVIYKLQDSINNTLENMPYFELCIKECV LLGKPDIRLIISYTTTSNNISCDCTNINSHVTIYTMAPAFSLILDQDVNEEIALMYPE LYKDLTKAKY PHYBLDRAFT_156494 MRSDSGPIALRKMLPFSRRGGRKPSTSSDHASDEDHLLMDEELG QKKKRYTPNIVINQKYNIFTFLPLVLYEQFVVFFNLYFLLVALSQFVPALKIGYIVTY FGPLSFVLLVTISKEAMDDYQRYKRDKEANSQIYQRLSPSGPHTIPSSKISVGDLIIV RKDQRIPADMIFLRTTEETGACFIRTDQLDGETDWKLRLALPSMQRLSGDTELMNVEG TVNADAPHKDIHSFMGTFTYLDKTTMAERTESLGVENTLWSNTVLASGSAIGFVVYTG KDTRAVMNTNHPKTKVGLIDIEINRLAKILFLVTLCMSVIMVGLNGFHGLWYIYVFRF LILFSSIIPISLRVNLDMGKTVYARQIENDTSIQGTIVRTSTLPEELGRIEYLLTDKT GTLTKNDMELKKLHMGTMSYSLDTMDEIQAHLASACGKMNMPGKSRRNIAWRVKDIVQ ALALCHNVTPSFNLDEEITYQAASPDEVAIVRWTEQMGMVLVHRDINTIRLRITATGE YLEFEILNVFPFTSESKRMGIIIQDKQTQEIFFYQKGADAVMSSIVQYNDWLDEECGN MAREGLRTLVIAKKKLTEEAYDLFKEKFHEAEISMHERNENKQAVIESHLETELELLG LTGVEDKLQDGVKNTLENLRNASLKIWMLTGDKVETATCIAVSSKLVSRNQQIYQITK VSSTMEAFDEIDNLQSKTDCCLVIDGESLQFCLDACREEFIEVATRLPVVVCCRCSPT QKADITCLIKDYTKKRVLCVGDGGNDVSMIQAADVGVGIVGKEGRQASLAADFSITQF SHLTKLLLWHGRNSYKRSAKLSQFVIHRGLIISVMQAVFSALFYFAPIALYQGMLIVG YATLYTMAPVFSLVLDQDVNEEIALMYPELYKDLTKGRSLSYRTFFTWLLISVYQGGA IMVLSIILFEDEFIHIVSISFTALIFNELLMVALQISTWHKIMLLSEITTMLIYIGSM WLLPTYFDMSFILTERFVWKVAVITAVSSLPLYIVKIIRRRFAPPSYTKLI PHYBLDRAFT_151235 MGSMYGCHCFICDYIGEKRGSCKDKCPVSTPDCVNCDGCNRNLE RGGNGERKPSTSEKPGNIRNGPEPTHLPQYQCPHRKLARAGEDEVLERLYRRETRTKR RALHAITNRAKAYQCIASTPTLRTS PHYBLDRAFT_118095 MAQASSGSDDSEKIKITLPESSFEMYNAEKPSLEVELSKAELLD MYTKMTTMRRMEMAADAMYKAKKIRGFCHLCNGQEAVSVGMEAAITHDDHVITSYRCH GFTYVRGGSIKSILAELMGRRDGISKGKGGSMHMFSPSFYGGNGIVGAQVPLGAGIAF TQKYLGIPSVTFALYGDGASNQGQVFEAYNMAKLWDLPCVFVCENNKYGMGTSANRSS ASTKYFTRGDYIPGIYVNGMDALAVYRACEWAKEWTTSGKGPLVMELATYRYGGHSMS DPGTTYRTREEIQHMRSTSDPITGMKQIILEHGVATEADLKAIDKEARKIVDEAAKEA EASPEPELKEFYTDIYIPGTEPKVIRGRVPSESKHF PHYBLDRAFT_151237 MALPYSPKKLFRFFAFVLLAFALLLCIPLFFTSTPPQKPQKPPI QQQQQQQKQQLTPPPQQQQQQQQGQQEQQGNKQQSKPESIPIPHKHVFNEWRWPQFEN WLQDSAWSFPIARHHHQTNLRHSSQPLSFIRSLQTYTIQGHDPTALSGLVANKPLVAD SNKLDITAIISVHSKQEAIQRINSVLSQSIQPSNIVIVSQTHSSTSIEEYVKELKDPQ SASSLLLLSQLSSSSPYSSSSPSSEQQEIQLPPLQYISIDTPEDAPAGSAASAAWLQL LHSVESAWVWAVDTVVPSDELENIYRLMRTSEYEHALVGQRGVLLPANLNKDPATAIL CLPDALDLLPRVTQPVDMVQGAWLLKRAWIPYLLADRRPDSLASPVGHFVSQNLLQHA NIPTILAPFEPSPLRSSSSVSADQQQETAECLEVKHAFETNTQWQTLLAKRTSPSALD SRQITVRQHHDKHSAVFFADGPEQAIALHPLICRFNQTAHVVVTGHSRGGLGGQALQL AFDHTNCSTSVTIHDLDLEPLDDLALTSAVVWKMTRLIQVIRPQILIHIQNPMDDALA QMASLQHVVAIALPADDVRHVLWIADLSLETLQFWHTIRIQLVVITDRRPHSLSRLLQ SSGRAHFLGDKVNLVVHMEQSADRVTKMLVNSFSWHHGEKTIRHRVRKGGLMPAIIES WYPNDNDDYAVLLEDDIEVSPLFYCWSKYAILKYRYEQSNPALYGISLYSPRNLELLP EGRRPFDPALVLEPMHPPRMPYVSQVPCSWGAVYFPEHWREFHDYLTSRIEDLRQEHL LNITVPGSRSDRWKKSWKKYFIELVYLRAYVMLYPNFQHYESFSTNHLEFGTHVKKAR LQVVIDNFRVPLMERDTIIDQLPGHRLPAFEGLPVLDLWGRLKTHAMLQDTAAVWHRQ VSACARTHGRYDPQDLLCPFPHSRQEIEASLAAAAEAAELKRRLKPVKTKEAVEHIEY VTVYVAPDSEKQQHSLSVEQEIQEQEDYLPKPIDVSDMLSLEEDHGFEDDEMQDLESE LDRLNRLYYHVYPLSWYDGAPANPHDRNVYRSDDGLTYY PHYBLDRAFT_174042 MTPPTSSITKPKRQELDAFIRGRIIGQWEKDATYEEISKALDIP KSTVGNVVKAFRDKGVSKPLTRLGREPKVTKRTQSAMVRSFRSEPFVSIAAQHQRLVD VGISICMTTFRTNFTLSLNDYFPLSDLKIV PHYBLDRAFT_118208 FGECVYGTQGATSLLLGYMSMAFWFNAQLPQLITNYRKSSAEGL SLPFLFIWLLGDTANLIGCILTHQLPFQQYLGMYFVSVDVCLLVQWIYYNKFSPPNHL SDTAKEYEYNRAKISAFQHPSVLTPLLIQTNPGQILTDEIAPYSVSASPSNTTFTTIA SAETSITDDPLIIGRIFAWTCTCLYLMSRIPQIRKNYKRQSVEGLSPSLFIFAVGGNL TYALSILTHPGQTLNSLLDALPYLIGSAGTLSFDATIFIQFFWYKRRDTSNNLNIV PHYBLDRAFT_26125 MTAPTSLCQPQLTLGVIKPDGMAHLDLILDAIDRHGFRIVEQHT TTFAAEVIDEWYSDKLGRDFYPSLRRYLTRGECRVLVLERVDAIKALRRIIGPTDPQK ARRVDPQSIRAQIGGSIQENAIHASDSEEAFERERRVLLPL PHYBLDRAFT_91808 IGYITKDEWMTSMHQLGTDSIHSFKQKLPMFEASIHDPDTLKEI YRYTFGYAKNKGQKCMDVEVACEIWNMLLANSFPLTVQFVDFLREADPVRVINKDQWS NFFEFVSSVSDDLIDYDETSACKILYI PHYBLDRAFT_183489 MPNRDVYNPLLFEVAWEVANKVGGIYTVIKTKVPVTVHEFGDRY CLIGPLSYKTAPMEVEALEPPNAQIRETLEEMEREGIKYLFGRWLVEGAPYVLLFDTN SALHKLDEWKGDLWRTAGIPSPPNDLETNDAILFGYLVAWFLGQVSPKLTQPKAIADH NNSTRNNNGHRSHSDVANTNGGSSVGQDRPPAVIAHFHEWQAGVAIPLIKRRHIDVAT IFTTHATLLGRYLCAGSVDFYNNLQKFDCDGEAGKRGIYHRYCIERAAAHCADVFTTV SHITAYESEHLLKRKPDGVLPNGLNVVKFSAMHEFQNLHALNKEKINDFVRGHFYGHY DFDLENTIYMFTAGRYEYRNKGVDMFVESLERLNARLKAAKSNTTVVAFIIMPAATHS FNVEALKGQAVTKQLRGTVDEIQDRIGHRIYEKALRGEELDPKDFLSEEDRVLLKRRV FALKRQSLPPIVTHNVVGDAEDPVLNQLRRLQMFNNAHDRVKVIFHPEFLNANNPLFG LDYEEFVRGCHLGVFPSYYEPWGYTPAECTVMGVPSISTNLSGFGCFMDENIENCEDY GIYIMDRRLKSVEESLQQLCDQMFRFCQKTRRQRINQRNRTERLSDLLDWKRMGLEYI KARQLALRRVYPDSFDGEEEEEEEVFRKIPKPLSAPASPRIRHEVNSAYFPADDEEEE DPYFMPPMKFPALRRGSQEPTREEQLLSEGDLQALNKLTLENKEANRERQQ PHYBLDRAFT_160363 MADSSTPKGTIRKRVTSRSNTSTPRGGVPGGSTSSMMRIYSDDS PGLRADPVVVLVLSLAFIASVFGLHIVGKFLRN PHYBLDRAFT_73927 RAQFNARIVNNYLSGNIVPKPSVEELIETARLPTRPDSPEISHI SPVYFKIFWIRGRTLRINTKNRAKSNLERSIDDLKEAIVLFTNHIYLCPNDFYGWYDL ALCFSQLAEEILVYSTARLPSYKNEIAQYQKKAFLAFVRAWYLANEYNLKFSDSTLFE LYAHFGELVFSMTGSPMNMEAFKTTSVSRFTLADGKVRIVADPEMGKKLAYKLVIKLF SKALKYDSPDDSHWKFSMHDSDYISYDDITIIWDTSVDYYKHNYNS PHYBLDRAFT_73929 MGRFLQHQSFPPIRLDTLCLPTKMGGLGVLNPKLQQGALQLRWL RPLFQSASSPSGLVLPWLLYLLQHYLPDVHLHLPFIFSDLRHPRLRTYISPFFNLFAA CNLLPHDFDSTVINLLTCLDIPLASAVVVPHGLPAFPASWRHLQIQDAYEINTTLDIL SCHLPSSFPHSPCILHKVLQRVDDHSLFLHAFVIRACLPQSILTEQFPDLMAHTGTEV DPSTLLSALSPTFPWKRLMYHTTYEPSNGVNSGLSSFYHFLYACPLKLPLWHTLWLTH FGFSPQFSDIHNVLYKFSFPPPLDPTSHPASILGSVLLALWRHHWAFIFNQSPFVAAN ATTTASSLLSHLQSEENLDQRPFSV PHYBLDRAFT_72130 MVMKNELEDLIREAVWSWATCSWRVRHTFSYVFNAKFSSQRGTY GIREAEIVGKVAKKKWQLQSCHQAQCFGDVLSGLAFCNCLLEVFCGDLSILSPMFACT QGKNFHSLQLDLLQLSFNNGQLEVLGGLLSELVD PHYBLDRAFT_151248 MASSAIQPDTPPTGKHLINSHTNNSPTITTLTPGLPLTYLTALT NTALTFCHAIVLGSNDPLTKSHTWRESTSQFSVYYTTPLETSPKFIVFFDALLQSFLP GEIFGLNPSNKAGTLFELHLSSKDLTLSKLPRLPSQEYTTLDTKLRNALTKYGYVHDI SINTLFGFMDGSGHAYMERPPYEEGALLPLRFKMDFDDNTMFLATWLNMGAHCALCQT MGHDRDNCPNQLKETHSCYGCHQVGHFRSKCPHAAKVNNSYKWDCKVPEPHGPHRTTA THN PHYBLDRAFT_151250 MKAQKYKMLLILDNFSGHIVDYVPTNVELLFLSPNTTSHLQPLD GEILQAFKAYFKHKQYAKAYQYIGMIQNGQQDNTMRKKEVHLGVHWGAAQGAAQEGEV DVVIQTLGCWIYHI PHYBLDRAFT_72134 MQADIKNRKNVRKKNDLIDVKDCNASQGWMEKFGKHHCIKMNRI HGEAGSTDIESLQIDKTAIKGKIKGYSACDTYNFDETALFYATPPRTMISHQKFSG PHYBLDRAFT_188963 MSHLPGVLFFWKDLERPIDMILLQSDQSESFGKEEHLCYWEIRL TGIAEDIILSFSLTLRFSSNQYSFTTPSMELPYMIRGWFSMHDSDYISYDDIIIIWDT SVDYYKHNYNS PHYBLDRAFT_151252 MPSVTLFSRPAPTALEVQFLNTASCAIVCAHPISVSDQLFSACI AVAPSCKSCTQNCGLQELYFFDDTGSILHEQPNPPAQQVAKLAYEISDNDNTIILEE PHYBLDRAFT_151253 MPALHTLQKHIPVTAATSLAIFNLTVSTSPNLLIGQQSLTNALA ISTITSADSIYNPANKALSSLLLIELQCNANVVSHAEEETPSNNTAHIADPEDYTMFD ALAEQEPEMAANKQALLGAIHATETKRICCLTQHTPNRNMRCSLFTSPTYKNTASHNS SSFPPPWFTCQALGTEAKSNQ PHYBLDRAFT_151254 MDNQSSLSVRPLSIPLLSYVMALTYTSEVAKHRCSMDYIFEKDR DYNYRCSGVNSMGATCCKRERKSETLDSFFAQRHLSYDVVMQGIYYWLNQIPRMTMGV MLGVSLETIRHLIASIHQLIQMNLTNNGGIDANEKTAERKTFLVTIPQRDAATLLQVI KKYVKPNSIIHTDCWAAYGGLSSVVDMNYTHRTVNHNLESVEWNKSELQGQIANKTNG AMDVDGIHMEKKI PHYBLDRAFT_151255 MSFVGIELIIYRLLYDTLQFLTNHLVDCVTPTGKVLQPTFHCGI SPSTNDYIFASSNLASCAISHSANPHFTQLTSLQNELSVFFYIFVSTLPTSNSLQTNW DLVKSGVTRFIKHFSHQISPSLSTLEAQLQKILALWAGRQWPKHGETSAGFLKRTIAT CQSKKLITSLYHPVTDYLCTESDSMSEVAAAFYETLCSFEPITSVAFSLNTVCQGASQ SLNASSSGSDGLSYKIL PHYBLDRAFT_72140 MYYQNTLFFHKITPPTSSITKPKRQELDAFIRGQIIGQWEKGVT YGEISKALDILKSTVGNVVKVFRHKGVSKPLTRLEREPKITGRTQSAMVCSFRNEPFT SIAAQHQRLVNVEISICMTTFRKNMKLLGFSSHSAACKPELTDKQKENRLK PHYBLDRAFT_72141 MFDNNTTLLNSIQKIEIDLSEIKQALRKLQRQFSNRFAPAVSME DLTTMQQSIIEQSSLECIAKSVKRAQLTEYPDQLGKQVINTGGEFKGKNEAQKYNLLL QILYKQDWKARCKEVPQGQPLPPLVSLSDHDLTVKRLHLKTLDCICARSLLQESFKSN NQMHKRRMAEKNKTQQDISDSLLSSLDMSETSDVESPIMADVLSPLPTASVKPACKRS QRSVNAYFTEQKLTILLKLQRKNKRLC PHYBLDRAFT_174059 MSSTSDLYNKKCYCTKCSNNKQGYSFVPIRILQRHNKRARYEDI ERSERNVSVQRNLMDIDFETTSNQQTGPIEAMGGQTNSPVWEGAPISDNEVAFSNESN GESSDGDENDNDKESNGGEESEDNEENIVEIEVEEFDTEHPFATPNMPENLVHRFIAT FVVMFASRYVVNKGAVILVEFINKLLLI PHYBLDRAFT_174060 MFNGWMHYVKACRILVKPSISFIEIDQAHRYLQEFYQSCEDTYE PKVLTCNMHLHLHLHDTIRDFGPVYGYWLFGFERYNGLLKNNKTNRKDGFETTYMTKF TADAYKADYVRNTLSCPSLIPFLPLFEKLTSMTTPITTYATYAPTNQQPFQLQKFVDS SLDQAAPIKGNEPLLPSTFPLQSLKESTMSDIDYPQLLDYYKIAYAMPNLISYHDARL SQYFVNNQITKLKSIDLLGQTYIGNNSSVISPIIITVSTVDNILKIVKIIISYCRHVF AYIRWYNLTNDNEHRDEGIAICLPEFSADNYHSILPVHCIHLEVATAVDVTDMNKERM LVIPMPKKYYA PHYBLDRAFT_151259 MANQNESHPTRRTPAEREMTNSLAILRCDMTTVMKDVADLKAKT SNTSVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNSKPRWNTAVNFSQSPTTELIENLVAYLERNFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNARNRRSSCEKEHLKHRKTAYQSNKTAIDDEMKRDC SSLIIEEAMSVSESDDGTLPHVSYSGLCLRRSGWRSDEYNHFITLVDNKVVADLGLNS HQLLSRAFGKTVERPVSDAIASQFLQWALRNGP PHYBLDRAFT_72145 MYSFSQKCPLHQSKRILVDNDNKYDMDLSITGKITINTHGEIQA YYCEYSAFYSGVLPIYTGTKADLSTKKTLFQTLFFAVIQSVSMLKINNQKLHHMCIVE LSLSE PHYBLDRAFT_151260 MTEIDQSILDDVDMYHDENDTSNEDESVSSSGYTMESMELDNTI LYKCACNFEDCESEAHIYDSSQINTNTFTKAKLMSIHLSQLMLQHRIARAAYRDIVQF INTVIGDRDDIMIEPGAKISHGKTVDALLKSKSSVKGHEYDVYSSGCQLYGINDNQES CVDCGKPQYKTDPNQSQTSAASMKLMLVGNMLSQMLADPATRELLCYRANWESVAVQL TDIFYSDNYKQLVQQDLFSNPNDIAIGLYTNGYTNKYLLQLAILPGPKKSTHLDSFLI PIINELGDLEAHGLVVKHNGVELCRSKVYLLLASGDIPAVADMAHIGSYTSLFGCRFC ETKGKCSTNRWHGMYFDDISAQLRPLEDFKVGNPPSIYTQLSTFSGSSFFALDELYLI AREIRKLVYDLITVPLTKETKFYYTHPDNTLNTTEYPFYIPRADLVTIGNFQNNTLSC SVFRSVQHYLVHTPYIIKQQGPLQCYSTHSMERVIGVFSKLIKSKSKGGQNDSFLIEQ FVIHNYTSMAISICDEINLIWTKPYGRESYMDLSNDPSGGPSVKEALLKYYQRTTGLT GHKFGDSVVVVAAHLWMDSTVYSLCMYRRKKNETSCGNYYMMLTCPYRNNCNVIVHSW LIGTVQFYFQHVDFHGFPHFLAFIEVMKKYNATGHDSSLVWFNTHQMETSFM PHYBLDRAFT_151261 MRCGPMTATQRQQRKIEMSAEAVPAYMHANMIIRPSKAMSLNAT LDCGDPDTQMYMKPYKHMHLLHIHMSGFAFLSVAPANNVLPITISGEQFVYDNTMSID STRESTEHEYAIEAFEYAKNCSLTTRHNEQDLYQLMQYATEEEAEIIRAAYAAPIKAF QEIKAKYEAHFRILNIQCH PHYBLDRAFT_160366 MTSILSSFISVTSTAVATSKCMRCTGLKFGHCCYGRKLERVTRT YQNCCSQYMFGQGDQLISIL PHYBLDRAFT_151264 MSNQNESYPTRRTPAEREMTNSLAILHRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVADFSTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRNFVGAGLRKSDVRDFVYTNFTSRKRAANKSQAKKKSDNAR NRRSSREKEHLKRRKTAYQSNKSAIDDEMKRDCSGLIIEEAMSVGESDDGTLPHVSYS GLCLRRSGWRSDEYNHFITLVDNKVVADLGLNSHQLLSRAFGETVEGPVPDAIASQFP QWALRNGP PHYBLDRAFT_72150 MEFYFEQEVERIHFNNGNTGKITINTHGEIQAYYCEYSAFYSGV LPISTGTKADLVTCAQTCYLQVVPRKLYFKLCFFAVIQSVSMLKVNNQRLRRMCIVEL SLSK PHYBLDRAFT_151266 MSNNNINNTIYDISTIQQVLINSPLEGIKMLPLNSTILVKASEW EKCLEQINVLCSTKWNKKHKYSGKGLVFEETKKCHHAGQYITNCQLRLAQKDTKACSY TAALKIIQHLNNPNVVTFCQTRAYVNHVSRDWDEVRTLPLPSEAIKIIEDQLKSGSSC ISTRISVLRQIDSWGVGVRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAE QNYCIFEINLSVYNDVLIMRILQSFCLDATHSISSKSNKVLYTSVTRHPQTGKGFPVA YMVTNNQTAIPIKLWLDHLRIKSSFVLMNITIDCSIMEVNAIKEALPHATNHYCDFHV LYAWQHNLDSKIKLNASYTSEQLRNYKTALKNYLRHILIESNEDMFLRAIEDFKLMVQ DQPQFLKYFEKK PHYBLDRAFT_174069 MSALILEVALGILLVLVVSAILATEAQISESSSRTTVLTVLRFM FSEMLFMFSSTHVHLENEDLSTPVDDYMTEDISFDPFKPRTYKASCNFKAGDEGHIYN DNIFTENTFTTSQLLSIELYDIVTSFNDHDKLSKEYSPEILRAGPVNTLLKNKAAIKP HAYDICVNACKLYNNTQNKEECPHCDSKRFMEATDDTLAHLVLVKTMKMMSLGNQLAR LLSNSDTRKKLHYRANRQLISSDLSDYFDGEEYRALKTQHLFQSPDNVAVALFLDGFV NQKKSKQQLTIVHAMILNYDPLIRYINEYLIQLVIIPRKSVDLDSFLLSIIAEVISLG KYELIIKKFDGERIAAKVHIVMASGDIPQVTKYCHHKDHNYRYGCYICEVLGEAPLRG RDMYFKNCCAPLRPMIDFVNGNPNTSIQESNIFAQLPTFTGSSFYSLDEMHLIGHGVR AINYIDFLLYAIPTLLVPLFSKAATRKALLALSLNEELIVEMENHFSIWHQFLQVEIS KNSLSISVFSLVNHYLTHIGYITRKMRNLRVYNTRSMERTIGRYSKLIKSRVFSGEYA GNLVERLAIRGYLNCAFNIEQQLNLIKPYRTSLDDYLKLPLPSPHEQNHRLWSLFESI PYIKEFTNLLTFTKELQTYYTKSNSHQTDPQYTMNTIEIAACALIGSHVYGSEMYRRK RSEFRRGNHYIQFHAIYQNCRQFLVLVSVMNDHSAMDYDYFIPVGTLETASIYQRLVV ISLNDIQNQVGLVQTAVDSTKYKVVTPYYIFNEDMKSTAGKLRYIKL PHYBLDRAFT_174070 MHEDGIVETNWGRLSSQQKLYYSLRLKELIFLNYQFALHKCRDQ WAAALLLQEVMKAERQTEKWRIAKLSGEVQEEELRYPWWAESSVASSPPRRNMLSHCV PHYBLDRAFT_174071 MAVLIVGTAQGGISLQEEEYRYLHEHHQKYAHYLIPLWCLKESS DMKKSRTLIFLSLFLNYSHGCLGFISASTMTHILLGKVYPNITVLASSAVISVGCLLE LRIIHLTTQDSPISSKQSLHSDVLTFIVVSSLRVITPGTDVHSNASEIVAKYKCFH PHYBLDRAFT_72155 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDQARTTIANLQKQLGFQSAPDKNKEPGLEASTWASKASVSLSVTASKMSAV PSARHIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVRSSLRTLGVDSSRLLDINFP ARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDNVADSKYASLYTHELANTAA MLHHDRCLQALQFLRPHVAIPVGHFFFEEGWISEDEIPTRTTLTNATGGSLFKSGTYR GSMGVSVLISSHCPYAVTQIPMPSKYALAVKIGSLRIVCLYLPPNMPTHDVLHVLSSI PLTHDTILCGDFNARLGSVTGDYASNSHGLALCSWIEERSLSVVNADLAPCIPTYISF RNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHCLLSLSFTYDLQHSTNMPPPLR KTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQDIVQNPPLTRPNIDAITDEFNSLIY DSLNSSIGHRPSRPNHWKSFWNAALQTAADRRNQCYKKWRLAIVLPATDLFIYLGVPF ANKGISSKSIATHRRSGTLATMATLNSVGACCSGFSLLLSSRLYKTFVRPKFEYGLAI STLLKQDIKVLESIQDKCLCMIVGGHATSSTIVLKHICNLPSMKFRADALMAKFCIRS RFLPAQCLLSLLHHHHTVYSSLVSLGKTHLLSNLPPTLKLRSPSAVKNHFESIREAGF ATFLQSNTQVLIQACRPVLGVDPILFLPASRVERSHLIRWRMGQLPGKPKECPCGSDH TSRRHLLDCPLVPMALFEQLPQPDQDQINRIDFAITSLPLSSQEPRPAYWIPLLTILW HIDVICNPDGDYSHETEHGALWI PHYBLDRAFT_72156 MLQLLPANCMQSLPAKLVTFLTSMQSQFNALNKCTAHLESFAVK NVQLHAQLANSTADLRTAASTWATKTSLILPAKTPRVPSAHQVAASQRLFSDKTGPDG FKYVYIPRSRCITHGEVCRSLRTLGVDTSHLLYINFSACGVIRILVHVQYLEEFNSQL ASAKVSLVNNFDPLDPKNVADPKFANLSVSGLETQALALQNARCIQALKFLRSHLVLP VAHFFVQSEWIGLEEIPARPVAEHFGDAPNKKRALDALTAMIE PHYBLDRAFT_151273 MPGPKEAKTSEINHYLYPLVMEHNQLYGGVVMPTIQCSSGALVR AALLLVACNIPAAHKTCGFISHSSTCACNKCNQQFPRLPDSNAVDYSGFVFSEWVSRT DAENRCDAKLWRMASSDAQRKRLERENGVRWSELHDLVYFNLMECTVINPMHNLYLGT AKRIIEKWRSSGLITDAHLAKMQLDADKLVLPEDYMPLETKIGRGFPFMKADK PHYBLDRAFT_151274 MPSIFMAHLDEAHQSLEAFCRKCKKLYKAPFLLPNMHLHLHLFE RCNGILKNYATNRKHGFEEIYMKKYLEEAYQGDFIHQTLPIIRPEHSAIILELTASTA NSIATSTSTAISIQFDINAFLDSPEINFDIFKRNEPLPPSALPLALKGEISMDESEYE HLLEYYCKTYNDQTLVHYCQAGHSNNFVTNLIQKFESIDLFGQIYKSKMKNQHGSFMQ ALFETSDGRSIKSYAGQIQYFFVNTAANSFAGYASQHEVLLQPRAGEGVEVNEVGFED DSMNSILPVHRICYPVAAGDHLGLESKVQMCVVPLP PHYBLDRAFT_151275 MSSKHFNGKSPVLSAQTNLSRMDASANTQADTSLQAIGCICNKM TKCIPTAPHQPNLRMNAVLNSTIAGIVAPIDTLTPEVAVDTAPEVQVAVTPMDHVLIL LAANNVSMQSLQENAKGMTDAITHLKNGLDLSNKTNEFLKNLVLQLMTANAKIKKAMT SQNSVMPSAVPVDSSSSMDDDLDLEAKHHLLISQLINSYIKKPNFVSTDPLKVAENNN RSAWSMTGTYGNKYNKPLALALFKYLRSQRCCTNVSKSVIMNIIKNHYQNQLLDRHII TYQTYTEAIHEGMNQYDCRNIRSIDVISDGELDGDNKVRAYRPS PHYBLDRAFT_151276 MSLSYCLCALFESMIMLDTTVSNEISSQREVVVQSNSESSTSEH SDASAYSGKRQSKFFGKIVKNMAKRSVKSIEDIGHGIKEFNDAQISLLESKYEEELKN LKEKMQKEFQCKQQVKRVILMAKIFDWSEEKTKNELEEVYN PHYBLDRAFT_72160 MALLVRKSSFYKLYDLVKIHELYQQLSGFYSIDVRLQISIVLDR LGSNGNSLSSDHLARHSGIGKGSIENITIRFFKVILSLEHKFIYWPTKSEKAEIKRAN EYLLGFPNLVRYLDGCLFKLAKALSWKPEQFFSHRSAYCVNNVACELGKFPEQFFSDD EYVLADVGYKATNYIVPIKKKPRNSELSLADQEFNTKISSMQVKIEHAFGILKERFYY LKSIPKAKRRWEDREKSEVARLRKTEYSEESGVVDGSQIIDGNTRWIQTQHVVMSKEK NKSS PHYBLDRAFT_151278 MIPSAKKAWLPGKPKKCPCGSNHTSRRHLLNCPLVPATLFEQLP QSDHDQIHRIDFAITSLPLSSQEPRPAYWIPLMTILWHMDVICNPDGDYSHETEHAAK WRAGSAIEAMYPSINIFTQNDPSITKNIPPLPKVVQSLSLVSQLSAKKNSAQSDNIMP SAFSIPMANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAENARLTTELD YARTTIANLQKQLGSQSAPEKNFSEISLSNSAGVVDPHINNKESGLEASTWASKASVS LPAIAPKVPTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVRSSLRTLGV DSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDNVADPKYAS LSTHELANTAAMLHYDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPTCITLTNAT GGSLFKCQCSSSVTMSE PHYBLDRAFT_151280 MFNNVFISVLLDNFSSHSFSPATGALQDSVLSLHLYSIYINSLP PLLCTVTQSHTTTHVPSLSPTSLDAYNALLAPSSVDGFEHIHFSTPINSLLFADDVAI FGSLSDVQAMLDLAADHSLTLGYRWSPTKCEVIYPKTRASLPVPLLLYGQVLSATDQF IYLGVPFANKGISSKSITTHRRSGTLATMATLNSVGACCSAFSLLLSSRLYKTFVCPK FEYGVAIFTLLKQDIKVLESIQDKCLRMIVGGHATSSTIVLKHICNLPSMKFRANALI SKFCIRSRFLPAQCLLSLLHHHHIIYSSLVSLRKTHLLSNLPPTLKLRSPSSIKNHFE SIREAGFAIFTAK PHYBLDRAFT_174078 MNSTTKIYTVICTCSSCTKNAIGGILQNAQTFKRHNDANKLLDI GSKNRETHIEMGDVSDTLIDYEDNYSIISAETTVQSVSFLREDEIFQFEESDVKTTSL ASDNGNPDSSDESEDESEVEVAGVENFGHSCFQFCLLRKGFVSKFYQVLTLNYYCYLY YFGSGKLYDWGQSQ PHYBLDRAFT_151282 MSNLPNTVNLEANTSGKKDPRKNFTIAEDKQLCHSYLCIALNAI QDYAPEGCIPHNEYSLPACWQIINKGVNKYVSILLAIVHSNSSGTNNEWNEAKADEMY FVETKTKFNLLAASAPNPIGEGIDEEEFLARSAGRKFAKEQEATKRKWEKNIERLIVL HSESIAKGNERKKLLKKTMESNVLVAKAIREKNAILQRTQDIKVLLIDTSLIADPVSC QMMLDLKKKVQERGIVNTPISNNDSMSSNLFDISSKGEDCDGN PHYBLDRAFT_72164 MDDIYPTYAAFVKSFNDPQSAKHKNFAKAQEAVRKDVECAFENS RGEKEDVGESNGVEREVVGERSEVDTSLTGMTSLISRSEIVLPDGSFASFIQRYMAI PHYBLDRAFT_101143 PSQSSDLNPIEHVWHALKANVQERKASINNVEELKTCILQEWER LDPELLCTLVASMLDRVQAVIKACGDHT PHYBLDRAFT_72167 MSPSHISTKKFKCYCLVCRIKAGEYNIIFSQTLKCHKRDEQIAI RGQTNRFEEIPEVVSHNEIHFEYENNEYDIVYVFRLNDSIEEIEMSAQIKDLSLSESN AVFGIKGNEYAASNNFDGKEDESDDNMSDDKGLHIYSNNESVTVYCMSPVFGSNLFLL DVNSVPFVNNDHSIMFTFNIDQFQPFDGKTYSCGIITPKSKESSKSDINNYLKPLVDE LELLYKGMKIRTHQHLEDISIHDALFMVACDIPATRKYTIVNLMHNLFLRTTKIMMDR WVADGIINNKKLAAMQKSVKKIVLPPDYMMLQNKIGK PHYBLDRAFT_151287 MASIRKPTVRKECQCSISHEDTCNTISSAVSEPVNQEEDSFEFE QEDVEINSELRNNVQYTSDTYEEEEEYEDKSNVEMDNDEGSVAGLKRLARFEALTSGV KKYIAYSKCHAIYDNEAAPLHCTLPNFGKTSLCGNYLFKSGPRSKAPKKTYVFHSVKK ALKTFFQCPDFENKINSWNRSPKMYSTLFDIYNGAMWNEIVDVDVISFVDTKQSLMHM LNIDWFQPFDDVTYSCGAIYLAINNIPHSEQFKKENMILVRLMSGLKEASTSDINNYL KSFVDELMELYKGIKIKTHQCPNGTSIKAALLIVACDIPAARKVCGFTSHTSTNACHK SERQRLEVAHDVCWSKLHCLQYFDIVCCTIIDPMHNLFLGTTKRMLER PHYBLDRAFT_151288 MQKADEKVVLPPDYILLGTKIAKKFPYMKADEWKSGCLVYSSVV LRDVLLLSEFNNWIEFVNACRYFTKPSVSKEDIEKGHKCLEEFCKGCETLYDLDLLSP NMHLHLHLHQTMIEFGPIYGY PHYBLDRAFT_151289 MRQFIEESWKRDFVCPLLKPMHALACFEIFDKFTINNNNTYLFH SFSISEYLEASWDLSMTIHGKEPLPLKTKPLSFMPKHEYDCLSIDLLGQSYKGCIGTN SHGSYIQSYFTERTGSEHVNSHSSQHVFAFVKWFKSTSDKTRELEGVELLQNEFYKQG FQSILLVHRILLTVAIRDNDWDRVAETEQGDNVAEYLFILSFVPTPAFDT PHYBLDRAFT_151290 MLNIKEVVQEKIPSNSSRKTDRKGKGKASASISTSANRVLAGHV GPQEIASSFSSATIQDQQYAKIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVV DMSGKTHTAFADFATVYANDQTRMASLGPSLMSSYVPQTSLSDVEVSVIISEIFAEKL WDWKFESDDPALVAENESKKKWNLNEKINHCDNVAVINYLKSYISALTRLAGTHPRVI SDKIKNRYKHSHRTFHESPEQKAKKNSKGGANSRTLQMSIQRKSTYMDNWVAIDAAIG YKTGNSVEKTYLKLFQKDAMSDGESDIEIVDNLL PHYBLDRAFT_151291 MDKNKKLIIKIYQQQKFICTANISMYLIEWLVFENRFSLVKQQS MCTMPGSDESTVNTEVVKEETDVEMRDVSDTSIDYEDNYSIVSTKTTVQSVPFLREDK IFQFEESDVEATSLASDNDNPDSSDESEDESEVEVAGVENFEDIDMSQTSQFMALFGI IFQAFYLVQAGGTAMLKFFYHLLVTFDKDTDLLLTVDALKTMTGFNFMTKSIVKYTVC NKCFAIYLPGNHQPNCTFKKYTTTSPTYCGNPLFFEPEVDHPIPLMRSRETINSTLLD IYDGAMWSEQLDKDNEPFVNHDHSLMLTLNVDWF PHYBLDRAFT_151292 MNNLLREERMKPENIILVDVMSGSKEAKIDQMDNFLEPLVDELV ELYGGITVKSAAFPNGTIVHAALMYVACDIPAARKTAGFTGHASTNACHKCERHFSVI ARSSKIDYSGFDDESWVPRTKEMNAIYTDMWACAESNAERTDLEKQNGTQFSELHHLH YFDPIWCTIVDPMHNLFLGTAKHMISVWKDLGYLPAAVLVRMQRLADGIIVPPGYTVL SAKIELGFPYMKADEWQSWHLIYSLVILKDALPEKDFKYWTLFVKACQKLTSPHWKN PHYBLDRAFT_174085 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKQVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDYDLTVKRLHLKTLGHTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLVKNGGLHIHQCKRMWCARSLLQESFKSDNQTHKRRM AEKNKIQRDISDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPAYKRSRRSVNAYF TEQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFF PHYBLDRAFT_72175 MFSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYSSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDNIM MEPGAKISHGETVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQESCVDCGKP PHYBLDRAFT_160368 MFTCPYRNNCNVIVHSWLIGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDSSVPIVKQRSQSTRTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFY VIAPYYIFNNNMRITKDNLSIL PHYBLDRAFT_160369 MNSRIGVLATSSTKTITAIDSLSRAPLISPTNTMANVSQPAFNA PSEFSKKASNDVYNTNVFFYKLPNKELVVRLLENLKHKFTHEEFREADLRARLHKNFT SRVSKARKTEEEIKATNTCSRRAGRARDVSVISK PHYBLDRAFT_174088 MFPSTQTHTLDCHCIKCHNSHQKSSYAAKRTETCRNKRARVEAA MRNMDVDTEVIPTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIE IEDFNSEDPFAASDMPKNEVHQFIAIFIVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACN CNLTKSISSGALVAKREYVYQSIKNTLSVFFRHPSFEAKILHGTIIDPMHNLFLGTSK RLMDWWIDEKTIGPEEFASMEKIAETMILPRDYITLTTKIGKGFSYIKADEWKSWVLL SITFDEITTTHNLLEKFCNACNIDYTTTILICNMHLHLHLHECICDFGLVYGYWLFGF EQYNGILKNFKTNGKDGFEVTYMKNFVQNAYKDDYVNAVLKSSSQIPFIHTLSKLVTT SIPAATVTTLSSCPFRLQEFVQGYTDPYNPPKGNEPLPPFTFPLKYKKLSVMDDSDYL HLLEYYQVAYSLPDLASYQDTSYNCSALDNQIIKLKSIDILGQHYRGTNNSTISCRSL VQAKFVGSNGNIILGFAGQIQYLFTHSFQLPPTHNLHLTRMVHDHQQVFAFIKWFHTS SDRSREDDSVEFCLPTFSPDSYHSIISVYHILLEVATATIATSRNVSKMLIIPLPKKL YA PHYBLDRAFT_151297 MSLGRLTVNINAHESGTIHHSLLSDQTTKGIHRPFNGRISAFQA EGRGSIPR PHYBLDRAFT_151298 MIIISKTRNHHNMHMYRLADMERCLFDTFDFTPVGGPCYHLYDD SAYVNSAVLTRPFRITNASKDNNVLNAEMSRVRISVKHKFAHIRSFFAFLNTSKMQRV DQCSISLYYNIGTFLKNLHICYNGGNQTSAKFDVSLPTPEQYIAGLLRQ PHYBLDRAFT_174090 MMNNNRRTTATVTTTPTSTTPTSTTRKTTPWITGPRHLSCSEII SVRDEVRDLINAADKDPKGISTSTIRRRHTQLVENHRARQRQGRRMTGAYKKFPVLEQ LLTNLMEIRNYLPSKEDGFAFAEVEREKEKECETFRRLQKENVVKDRATMFRIMAADI NSARKRQKTTSTAPAVLAPIVPASVISVFSSGPSPFAGLVPSPRVAPVTSTSKHAVAT TPIAIDHVERIIHTFNKKLFALLEKVEDDEVFGAIERLETKVDNEIMVIYNEIQTVNK QIEMMEKNMSDKINMQSQLIQALLRQLSQ PHYBLDRAFT_151300 MANVQPITTTTPLNQQESVNEVNSFLDAYYVSASEACWRIFSFK LHKEYPTHQRLAIHLKDYQQVFFSGTDNPAATISFQDLQTVEGQLYETNQAACHALGL LMDDNKWEVCMEEAASYQLSSSLRLSCSGFTLPPVPAASNRTRRRHGLQTLMHEQEDL CHEAALLPDPATLSFNIDQQLACHSIKEVLNVTTFTSRLFFIDGLGETKKTFVFNALL CHVCRQGKIALAVATSGIAALLLVGERTAHSCKLSNSQSTETAELIIWDEASMISKDI CNAVNHSMQDIMKSRYVNHLNLTINMQISQATMPEEETELRDFAAFLLSVGSDTILSS VFGHCQLYVALSRVRKLSGIKIMMDISDASTTQNTVYTDNTVYYKVFDEPTD PHYBLDRAFT_174091 MNPNAPSYSAATSDVNVRMMEAQVSTSREAEVNRPENTKRSYAS KQKEYKDWCDEAFLIIPLENCYTVYGDKLHLFLKDCVVNRTHRRDTGKTIKSVEAFVE TDVEPTDILLQRALPLMARKLIDMQNADSRFRREVLREFGVLHQKIDDLVSGRIPLWS LQEDGGERGGARSTEVQAFPVPANNSGPISQLPDISVWYRMSRDVQTMPDLWREWHVG LSGCVSVHKMETRCKRIVNLIKKYMVDNSVSEETAVELAEENQRTRRRTLDFLSKNSS QIFQ PHYBLDRAFT_174092 MNPLVYNKRARYEDMERSERNIDFETTSNQQTGPMEAMGSQTNS PVWKGAPISDDEVAFSNESNGESSDGDENDNDEERDGGEESEDDEENIVEIEVKEFNI EDSFATPNMPESPVHRFIATFVVIFASCYVINKGTVVLIEIINKLLSIYKQDF PHYBLDRAFT_174093 MFNGWMHYVKACRILVKPSISFIEIDQAHRYLQEFYQSCEDTYE PKVLTCNMHLHLHLHDTIRDFGPVYGYWLFGFERYNDLLKNNKTNRKDGFETTYMTKF TADAYKANYIQNTLSCPSLIPFLPLFKKLTSTTTPITTYATYVPANQQPFRLQQFVDS SLSQAAPIKGNEPLLPSTFPLQSLKESTMSDIDYPQLLDYYKIAYDMSNLISYHNARL SQYFVNNQITKLKSIDLLGQTYIGNNSSGKCSSLIQAFFCSSNDRTSSLYTRQIQYLF IYLFTLPPHPNYRASTLHQDQHVFAYIQWYNLTNDNEHRDEGIAICLPEFFADNYHSI LSVHRIHLEVATAVDVTDMNEERMLVIPMPKKYYA PHYBLDRAFT_151305 MTNSLAILHHDMTTVMKDVADIKAKTLNTPVSAVFAESADGFSA CCCSCEHGNELNYIFNSLPRFNRDFFGSVCGTLSSSQNIWLEFKPRWNTAVNFNQSPT TELTENLVTYLERNFVGAGLRKSDVRDFVYMNFTSKKRAANKSQAKKKSDNARNRKSS HEKEHLKRCKTAYQSNKTAINDEMKRDCSGLIIEEAMSVGESDDVTSPHVSYSALCLR HPGWRSDEYNHFITLVDNKVVADLGLNSHQLRSRAFGETVEGSVPDAIVSQFPQWALR NGP PHYBLDRAFT_174095 MSKIVIDTNVFFLSDVFSLQWSSGLVQTILSKLFPTIKQDHQHD ECSSAKHAMNQKPLSLGAVIGMKFYRIKSTLFYYNNRNMFLEVSGARFPKKPGGTALV PRDMSLGRLTIDVNAHKSGTTRHGSPPDQTTEGTHCPVSITRNCLKLNLFTTHMTHIF SADELTSWIEILKSGIQSVIPFNICPQCYVKSRSGISFLSLVTKSQNQYHWGSSSL PHYBLDRAFT_174096 MSNNQKKDSYVICKCPDCTKLDSCGKKQKPQNAQRHYKKHIVTV AKDNAMDVPEEYFDDMEVDSIDSDNDNDYDCKNEGEGEYEDENEEQNIEFDQEVDLPL SQEESIFTAKDTFTGAFVVDRDEMEEGNTGFDFEQEENFEETSGISIVESVRSSSFNN MPLYICFVAVFIVIFHLIFLVESSGSILIEFCNTLLSLCDMSGTLLLMINSLKHKTGF NMVTDGMTVYIEYSQCHSIQQS PHYBLDRAFT_72196 MHNLYLGTAKQMIQIWRECNYINEKNQLTMQELANGIVVPCGYA RITKKIANGFSFMKADKWKLWCIIYSPFVLKHVLPAKNLENWILFDDACRLLTKPSIN DKEIDEAHSKLQLFCTRFQTLYGKSAVTPNMHLHLHLGKCIHDFRPIYAFWLFSFERY N PHYBLDRAFT_151311 MSRNLNNNSVNNAFGEELSVGRMIMLQHSQGTVSNQRRLAPKRA RLNLEGDLSGRTGNIHDVYEKLDTMNSVLNTVLMNTLSEKAEATASNAVEQDMLPGRQ PTLNQLLCDYLSEEKLYDQYNTNENKNGEGNRLVLKSVTNYLCCQDEGKKVDLPTLRT KIVRHIGNRKLQEKKTGEKKQEENRWACLCQRRVKSCERRQSTLKANWGHFVNSFGEN VNSILHADYMPDLESDDEREEEKQDSSSEKSFFLEIPPKLEKQRGIGDRFVDELDADY EAAHDKKNNTRPFEHKFKGIRDKQLSKTKANKLPS PHYBLDRAFT_72192 MSCLNRDGLNDFQFAPLILSVSQNFSGPLTKTYTLGGFTKCHWS LSRSSFFDYTPTLILYRQTNNVIVFCVCQLFCFLNCLAKKACRSKQWNTVGVDCTRGG PMDVRKE PHYBLDRAFT_151312 MSSNTQQCKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMRATNGQNNNSNHSPIGQALTTGEYIKYR LPTVSGAFSTSNHPIADVVQSYTHQQAEGKRFARKDSSTKSDFLTPVQKEKHYKAIHL ADKANLESKFGETVVDLLDYDMLSDIKSDEEKNKTRYTPRNRHPLMDKYFTVLKKQRL ANKGPDVIGNSVYPIILRNTELSNEKKARVAAWIHTRQQ PHYBLDRAFT_174102 MNSTTKTYTVMCTCSSCTKNAIGGILQNAQTFKRHNNADKLLDI GPKNRVNTEVVEEETDVEMVDVSETSIDYEDNYSIVSAETTVQSVPFLREDEIFQFEE SDVETTSLASDNDDPDSSDESEDESEVEVAGVEDFEDMVASEILAFVVASLKIHEMSQ TSQFMALFGVIFQAFYLVQAGGTAMLKFFRHLLVAFDKDTDLPLTIDALKTMTGFNFM TKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTEADRAVPLMVF PYNSLKNALAQHFAKPGFEHQIFCAESDAERAVLEKQHGTRFSKLHRLHYFDPIRCTI VDPMHNLFLGTAKHMISVWKDLRYLPTAVLVCMQRLADGILVPPGYAVLSTKIKSGFP YMKADEWQLWCLIYSLVVLKDALPEDDYKNWTLFVKACRKLTGPSVTYSEIDSAHQLL GEFGKECETLYGESSITPNMHLHMHLHESTLNFGLVYVFWLYSFERYNSKLKNIKTNR RNGLEVTFMRVFLEKAFIGSFLRAYSTNFSSPLIEFLEGVAQVKSNSNSSSPLNLDAG HPPVLPFSLAMFQQAATNPWYNLQPLTMMKDDHYQWLFEFYVKAYRSTSVSFCVVGRI SIGENVFVNNRIQKVKKISLLGQEYCSGEKKKRGSFVRVLFLERTNDDVSEFPGQIEY LFTHTIKIGGVKRVSTFAFIKWFPAYHSSSHQPLADQGLQLWDKGFMEEDASCIVPVH HLHSCFALTTHKMQSGMQKHLVIPLPRKVVT PHYBLDRAFT_72195 MMKLSRKPVDHYESVKLWVQELNESENTTLFTVHKNGPFFVWRV FEWQKEFLENLEEWCIDSTYKISKSFNTVASKGPEDCFQFTISVQNPITNKGLPVYFF ITDHEYTSILSQ PHYBLDRAFT_151314 MATFNIAANYNNHIIPDYIESVDSFDLSSEDEFTNYHEKNTVFV GKPLENPLKPAQKRITTTKSIKIGYSASIYKHTMTDGTACIKYNWQHPNHDPFKIEEI SLSRLPDELKQ PHYBLDRAFT_151315 MPPFSELTFNSPSHITKRTPPNKKSRDVNLSSLACAMNNLSSPP IKADPSSTGRRPVLTSWKRTEWGGDRERWGVRLAMGLKNKREGKLNYPTPTTPTTPTT PPIHTTPTPIHPTRR PHYBLDRAFT_151317 MAKNPIPKTAPNHDGTLIKFVCLDSVSLVKLVGQLPNDPDSSII ANYHDKNGGVQANAHDELTTTHTAILVGFGQGTGSQTSVSVPLGGSLVAAGSTDRIPA GITSEISRENKAKVFKLIRGYMRKEKFTSTEPVLVSANEANPRSLSKKIVANFLGYPI PKLAREGIKTGEFRTMVHTNFRSIILKYREDLIARAAANARGRRAARETEHFNRRVMA CVSNKTAIDVLMEKNCSELMMESASSEGESDDEFPGRPCKRIFNNLNFIIDEIVRTNL GSNICQLLDRNLTRLSEKPVPDDVALCFPPWTLRDGPQ PHYBLDRAFT_69285 MMSLRRNKSLHYDQELISNDRYIALCQDLFSDPVSFIPCLHTYC LQCTKNLSRANSETNCPICREKVQGVRKNFMASSFLRIYLEVNLDIKKMKNEESGISS ESPNARSTAAIMARTFQAVEYDQFRWDRKNFAVTDYKPCRSCIPNNPTGYMCPVPLEA GYATSELNPIGHLFCTFCQTYMPARGTFGMPALDQACSFCGVVACDSYWGCFNHSHEA KLFLLKDIGDLDDFEQIFLTKDSSLSSPEEGYLNFVEIKYLKAYLKDNKISWKEAWKS CTDMFDSQDYICSAASSLTLPAQDICRQIENAGREHFTSINVIAPRGNTIKRPQKPHE PTTKNINSTPTSRTTSNTSIGDRQGRSLVEPGIPRNQVSSRLNGFTDENHPSGHLMAC YSCANDITNGQLFGYWKNIPVESLPAHIGLRDVCEWGEECTVQWAEDGEHAKQYSHVD HI PHYBLDRAFT_183491 MKIWNAVFLAAFAFQCKVLASSTDATDGLVKEDPLPCNGFPEER DIPANYFFYIGAHVNRLDSPTGFNHQTTDQSTSSSNNPSITQDRTVTEMLDDGVRLLE ISLCKGANGKTFLCSAIDQETDLHNREPFRDFTDQLFEFIQTRPKQIIVTHISSNASP EKQVSIKDIESAIDEVCKVHTERTEGTDLFKEGECPFIYAQKDAKRIWPTLGELVNYD PEMAQWEGDGVDVGVKGMLIFTHSEELIEPQGYTSSYFSEVFWKSSYVPGQEPQDIKD RLHYMCKKTGGISLKAYPDTSSTDYVSANEKLYEPRLLEELISAPDGCNFNNAPMHTY FNSIIVDFYQKHLPYLKELQARMVAINFSKWDNVAKPFTPSKLLPEQKQEPVHHERDE L PHYBLDRAFT_174110 MSSVADSFLANLKILGFDPEVYATGIFSNIRFGNDMFTRNADNN KAFEITSHFLFSKLDPIQTKKTFRDCWPITDYKRHSREYRAAAYRWLEQLKRDNCLLG PVVLRRSYFEDCRGEHMNTIMLAFSTHVLQRTIDREILPNSPLLSMEHDRIQNEIDGI PMNINHIPYVQSLDSATNSLQDLLGNYASKLTAQVNKMKTYHEQWEKSAKFIANNLNS QSDRDNSDTSEENPNYPSTGSTALPQQMNAQNIRDRLKNILDTLRAKNEDISDAVSNN PVIVTRANQYKEQWASLYSRLREQLPEEKEDNIGFVLPEITSVPNESEAVDLVKRNVL DIISNETREQKLKEQSSKNTSLNSTKGYDTLTDKNNPPSSTSFITENNANIYNREITP ELYPEHDTVYKTPDKQQVRPATTSSDWVYHKNTPGHYQKSQDDISPFSSNLSPIHAAI ATDVIENFQRQRTPIHKDQSPSPYREWHRTSHHSFTHKRNRQPTDQLDVGGSSNQKIQ KTTPTQKLQSPYREWHKRRRRSFEHRTTNPPDASILENDSPTYVHAAQQFSNQSSMEH SREASSQEIGPKRKSISHYMDSPTRNRSLDTVSRGLNKEGHYLADRSINQHESTQKDD IWGDLFTEKNFTEDSRKQTMDQTSRYQSPIRLSSHDNPDNQQFAHLFEHTPVHQMSSR GSYSYAEEDAPDIFGESQPSQFYHSD PHYBLDRAFT_178302 MSIEIESEDVIRLILQFLKENKFDHALSTLEEETSITLNTMDSK DAFVQDIVQGRWDTVLKQVAKLKIAPRKLLDLYEQVVLELVEMREISAARTLLRQTEP MEILKNQHPERYLHLEHVMSRTIFDTKDAYPHGMTKEKRRQIIAQALTDEVTVVQPSR LLSLLDQCAKWQQHQGLLPPGTEFDAFKDSATIQTEQEDAFASKQYSKIKFPGTGTYA ECTAFSPNGQYLVTGSVDGFIEIWNYLNGKLRKDLKYQAENSLMAMDESVICLNFSKD TELLASGSTDGKIAIWKVQSGYCQRRFSPAHSQGVTSVCFNKDGSQILSGSYDQTIKI HGTRSGKALKEFKGHTSFVNSVLYSTDNLHIFSGSSDGTVKVWNIDTETCLHTIECGS TNHPLLAVQSLVLLPNVTDQVLICNKSNTLSILCGDGTISKRFSHNIKSGSDFVAAAI SPQGELVYGLGEDYELYCFNASTGILVGNLKIADTEAIGLAGHPFSNVLASNDDAGNI YLLKA PHYBLDRAFT_118265 MDIEEEDDEDDEDSGGRLRSKQDNSEAGYDRYFQDLQTSAKTSN NTLSKLAVLNPKEFNDILASAPKKHDIEIKRLLNAHRSYFSQWVFELHSGFNLIFYGY GSKRRLLNDFAQSSLSNGPLIVINGFFPSINIKDILLKITSGALNITCSTGQVLEHAA VICDYFSDDEREFDHLYLVIHNLDGANLRNERAQTVLSMLANSPNIHLIASVDHINAG LLWDNVKASRFNWIWHDGTTYDDYLVETSFENSLLMRSGEMGGVRGVQYVLTSLTSNG RGVFRVLAEHQLVEMEMSSSDGSDNSALGLPYNKYYQLCREGFYVSSDASLRSQLTEF KDHKIIHTKRLPDGTEVFYIPLDKATLTSIVENMA PHYBLDRAFT_118276 MSPGNRRRKDIGDYWLGKTLGRGSSGRVKIGIHKITGEKVAVKI IAKSHLASNVSVEKAVKREIAVMKLINHPNIMSLIDVIDLSDSPNLYLILEYVQGGEL FEYLVSQGRLQESEARKYFQQIIFGLDYCHRHLICHRDLKPENLLLDKEKSIKIADFG MASLQPAGSLLETSCGSPHYASPEIVNGIPYDGSASDIWSCGIILYALLSGHLPFDDD NIRQLLNKVKVGKYKMPEHFSDDAKDLIQSILVIDPAKRLTMKQVQDHPWFTVDPPEN PSTLPDPPTAEEIGRPVSDASEIDDRILATLKDLWTDLTAENVIEALLNKE PHYBLDRAFT_151325 MGQTHSRKGPEKARVSTIVINDTPSIPTTHRRNPGIERPRSGYQ HVKRKLKRIELFSLHQVFDDLKTTFPDDQFECIEPKRFLEHLDLPPQIEPAGVLLFKS FSYLGTFPNCVSSGAVPLSLDAFITAFVLLSGRMDTEDDDSLFEDLFFESLAILPAPR TTEIPPAAEAIVPQTVAEVETGAQEPSSSLPRGLSLADLGVQFDDFDLENDTSPEEDK EEEEEGLSILRKDLINLFELLLWIVEMEKQESMIIPLLKPAKIDFDNISIMAERVVNS IKPKDASNTSPQQAADQCISHRQFRTWKERNAPHLFKTIQSFIYSKFAMCTQHSLAAM SDLVLKQDTVPVPNVSDILDPLYCSLLCWRLPEKCLKNKQWERLYSGDQDGFSMNRFA SHVFKYPDPTLIIIQAEITSDSPNSASSSSPPLTTLILGAYIPEPWKSSKHYWGGSDC FVFELSPTFEVYRPTGRNDQYVYYHPDVGIAFGGTSVLSLPPKANTKRTSAAAAPQLD NFLITLDNSLQNGSYKQESYPEKPTFEKSAARKSFSYTFQTVDMEVFGLGNEKARNAQ EKEWQFEQREAMKRAGVNIRRDDQSVDKEMLRMAGIINDEDRQER PHYBLDRAFT_101903 NTKVLLSVGGWTGSQMFSPMVTTHEGRKKFIDWNLDFIKKYNTD GVDIDWEYPAKGAAACNKFTPGDSQHLLSLLKELRAALDQKFPKERKLISMAVHVEPF VGKNGPMKNVSAFVPYFDHINIMTYDINGAWASVTGPNAPFKAEPGRPEVYSYTSAIQ AWKNAGVPAEKITAGLAFYGRSI PHYBLDRAFT_151328 MSNQPRCTCGVPALSIESLKPGPNQGRWFWKCSRSMCSYFKWDT SASPYTTHPAKAYAIAAASRNIHSNKWALQHMEVQREKGPSLRESPMKTIVEFSLLSE DQICIKTTHNDTLLPVLRSIPDGEWDDENERWIIPATVTSYENAIQRMPTETPNLNLK VQPLLPGIVAHMKDKMSEKSMIDQNDREFDTRVSRVKNSSLWNLLRDYQKQGVRTGVV MKGRVLFGDDRGSGKTVQGLALALAYEDKWPALVICPASLCLTWKDEILRWLELKEEE VHVTLRSSDVLGKGNLRKRSKPADAGLHIPKKRNANLELPTRFKKDIPQVSDSESESE SGSNQDSINAVKFYIMNYDIAARYAKEIEDMDFQIILCDESSQLKNRMASFQKIIISN RPRALTRVFHRTKHLIMISNTPEDARPVDIQTQLHALQSVKFPDFIRFSQRYCKPTHH VFGWDYSGTSNGAELRHLMIKTVLIRREREFIDYELPERARQIIYTEIPYKSRIQLKK TKKAMKKAEGNEAPEDQDNIVDPEMLECGKAKVEPIISYIDDVLANNDPPSIIVFAYN NEVLDSIQEKLKEKKITYGRVDKDTEFSIKQTKCDGFNANKRKKMVNTILWNIHAADP NLKFEGVNIAIFAEISNNPSELIKAEDLAYGNQSCVSFQTKYFIGVDTIDERVWENIQ NSVMIYESGVKAVEDTEIRNGLEDPQEIDENSTDNSDRPATPERILLTRDSSDSSEDE DEITFEPGPTYGEIMAKQLKKTTKKTTKKSKRKSRA PHYBLDRAFT_174118 MGELDSWMDENYVSQLWWSLGEQVTCRMGVDKFGASYAFVDFSS PEAASNVLMSYNGSLIPNTQKQFKLNWSNGGGSRYALHKVIWKFDDLTRGSSSSGHID GPEFSIFCGDLGNDVDDQALLAAFQTRYASCKSAKVMTDIATGYSKGFGFVRFYDEAD QLKALEEMQGAYVVSRPLRVSIATPRNRPTVLGAASTQTESYHQDTISTTVFVGGLNT PISEEELHACFMPFGEISYVKIPPNKGCGFVQFSQRESAEAAINDLNGSVLAGSKLRL SFGRSQLDRQFGFRPPTAPYSGYPTQFSFFSGGYPHTVSQPELIDPRESFSIEHQNRL YLKQQEETYERWDKEINTI PHYBLDRAFT_69296 MYGAKRKFELMANSLGVTHTTVDALTNNNAELALKDTELANKKA ELAKKKNRLHNNVKHIWETDDDLSTMQHQLNNIQSEISTMTMNFKKYLSTESGAIGLK YFTREENETHIFEYGVISLLVEKIITDTLIHWIYDKPIYFELPVKLPYAGLSKWQLFT TTKDWSLLLREQFCFLAVRPEIVERLSTLSEIFIGANSYLEKKLITIVEIAAQVSLAM PVKRKKLVKVNDKAQGDMRFQYFCVKRSNNRIIDIIKNIFNLTRVWVLIKEVQCIVDT F PHYBLDRAFT_151331 MRLIKKYLPDSPNYHQPNTSNQKPFISASYSNPASSQSSLFFDT DSCRSGDIATIKDILSHEPQKPRTSIRNKISDFAEKLPVVTQNKSRRPEEIQAELDEQ KKYSNLFGITQAKNNGTERSYPNSFQPENLAGILNADLFTELVKYMKNNAADNKTVHA LIDQETTEESVKKDAEYDFEYTLKKNLDKINTKYAVLCKANTSLKRGIKNAEKSKETI TCQAQLRDMNYQKEIRDLQDSLAATKLELAGEKENSEILNKSIGLLKGENDKLKDDND TLKNENDTLREENDKLKEKNTEILNQAQSRERRCERIINSLRLQSTTSQAELIEKKME YQILLSEFQSHVDTVPTAEDDLFTIHAKLNIVQTKISDLCMSLEDFLNPNEGEVYNIF FDNWKEDSFRYKVLMEKRGDGSYGFDYGIISLLVESFITEYLINEVYDVPIYLGLPIN TAYTELSEWEPFKNCANWSRKLRKQLCSLASRPEISEKLNENRAQVTRNILKPLSAIF TRITPATITKVTAIVNLASQISLAMHSQDVPVKCIKLKEGKDKINNTMRSLYGSIEGE TTIQIVVCPPFIANEGQETESVLLEGKVICFERPQKGKTLAVAD PHYBLDRAFT_85806 MWKPSASVQPQRLNRANNSTRNTPVATRDYRPLALGSKNTQRCI HITRLHPKTTEQTIQVVFSQFGHIEKIKLGKGTPVLGAEALLTYSQPPESNALLRTQI TVDGFPVNIAFRDLDEYVQNTPPEEEHQRCKIPVSCFSMGFLRSPKTFMTEWSTIDNP DFVIDYDHNQCEIHFFSVGNQYKLEFGFKDLANDFNFEYTNNSAFFTIPLKTPPKFWK KSGDFSVKDTNEESGWVRVVEIPMSAPRPGPPIRTPITPMVPDGYMKLNTWVVYRVEI NPPPDYYRDFQDILQTAVSYRLIQLEYISTFHVFDASDFPIPLNHNDRAKRLPFDMLY LLESSLLSRQMDEHSLDKEFYDTLTNLDPAVASGILTLLVQERKRVWNPATAFQDMWK NEDIRIFHQQKIPSHCGMVRKVLVAPTGLYLEPPIVETTNRVIRHFSEHADRFLRVNF VDEDFGPVGTLSSGINNEALYSRIFKTLINGIKIGPRRFDFLAYSKSQLLEHGCWFFA PTQNLTTTMIRDWMGDFSDEKVIASYGNMMGQCLSSTYPITPMEGKEVDYIDDLIRGN NLFSDGVGKVSPKLAREISSKLDLKRIPSAFEFRLGGAKGVLCLSNYLNGRKINLRPS QIKFESRSNMLEITRASKFLPAYLNQQTITLLSSLRIDDSVFMTMFDKMVNQLSRMLE TPLEAINALTTSIDDHSTVLSMARMVESGFLLTKDPYLVNMIHLFRASILKNLKKNAK IIVPEGGFLMGVLDETNTLGENEVFIQISNPLSGTARRIIKGETLIYRDPCFNVNQMR VVTAVDSPQLHHIYNVIVFSSKGYRSIPSICSGGSLGGDNYMAIWDLKLMPKGNYPPI KLAGKAPIEVEKINVLHVEKFFVSFVSNDNLDQIIEAHTAIADQSKLGALDGHCSRLA ELHSQAAEFANTGRSAEFPLDLRVKKFPDFMQKKDKESYASTKILGNIFRAIDPSYYK QYRSFLTDNATYDNRLWMPGMEPYIAEARELKIVYTNDVMALMSQYGVQTESELMSGF VIKWLKKGDVKSKARLGKQVMAAVKSMCKLWKRRFELEFTENAADFETRQIKENAMNT KAAAWYYVTYHPQERSQPSPHGVFFSFPWVVKDKLCSIATSYQEGAGQGEIKPLDESL VKNYKKNSTINVSLEMFYSASESEEEDGSDEESEDEGWGQDVPTVNIRISDLRI PHYBLDRAFT_183496 MSKNILTTSNGNPVENDQTSQTAGPTGPVLIQDFHLIDKLAHFD RERIPERVVHAKGAGAHGYFEVTHDISKLTKAKFLNGIGKKTPIFTRFSTVGGAQGSA DTARDPRGFAVKFYTEEGNWDMVGNNTPVFFIRDPSKFPDFIHTQKKNPRTNLPDADM FWDFLSLVPESIHQVSILFSNRGTPDGFRHMHGFSSHTLKLVDDKGQFKYVKWHFKTD QGVKNLSASEAARISGIDPDYSTRDLFNAIERGEHPSWSVYIQIMEPNDAKSYRFDPF DITKVWPHSDYPLVPVGKMVLNRNPENYFAETEQAAFSPSHMVPGIDVSPDRMLQGRL FSYPDTHRHRLGPNYTQIPINQPVSRVANYQRDGFMTVNGNGGSNLNYGPNSFDGPYQ NDIVGSTYTAEEISGFTGRFTYELKDVDFVQAGDLYRVMSPEERTDLVNNIAGHLKNA KKHIRDRQIAHFKRADREYGSRIESAILGLTAKA PHYBLDRAFT_151335 MALSTNTPEPPGVKNPSTTGSSPPLPTSFTPISPSSTPLYSQVA TQNALPLLEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTVPPKSSPKCDPFWRALLSA YPREVNMGITLGSRSSPDTCELHLPTSADCERACSQPLVVGDSSFPAQPAVPIGTIVR RVFLTKLPRVPYHDLATQLAKCMSPFGKVREIAIHESYGFFDGSGYVVLANTPTDDVP SDSLTYQIAYDDTQKILGKWPSMGSHCTYCKEMGHDVAKCTKRPAETRTCFGCNKTGH LQANCPYITDPSKTSKTSNKRSRHPNRNSKLDRPIIAPKPLIPTELSLIYGGSEASKH NPRQPALRELSKLSPTKTTFTLPTPTETPTSSGPRPRSRSVDTPTRGWDKEIDDRMIT NLMDRDEARALRLQSASRHTHLRFSRPARPTGRNTSLSPPRFTHPQTTKALGAEANIN Q PHYBLDRAFT_69303 MNIQFLFENGKGFVVNEYGRPEPMDYIVDEEQFCLETLSSHTQY LAQLSLESEKRIDTMQLEKEVKPNGDVIIRETSVKGNYTRYSDQDKVRFFKLLFERCL SAAAAAEQLGIHVRTAQKWAAQYEKDPDSIFEKRRKTGRPSILHDEHKNAILVVIQTL GRWTYYI PHYBLDRAFT_174125 MEKLKQVFTELKLSKTTLFDFVKQHCNLSLKKARLQPIDRNSEE KIQERLDWVRKWDKTDLDFTRNCVFLDDSAFHINLKRSMAWSRKGAPAVVTVPKTRAT TTTILGAISAEGVIKCSLRLPQPPSNKKRKREDGVGQMSKGTDDAQLPLIMISIGSLI TFSYKKTLFVILGPLSS PHYBLDRAFT_188971 MEKLKQVFTELKLSKTTLFDFVKQHCNLSLKKARLQPIDRNSEE KIQERLDWVRKWDKTDLDFTRNCVFLDDSAFHINLKRSMAWSRKGAPAVVTVPKTRAT TTTILGAISAEGVIKCSLRLPQPPSNKKRKREDGVGQMSKGTLPLVQKSRKRAKESES SRQTKTIKANAIPVNHEFICPSCPETFESLEDQGIHLKSHIITSVLDTPNEGDDLAPT NSLSESMSIIDPRLPHYPVWKPITVVTQGRTYDEAVLHACNVSNVSDQEKCKTLYVVD SLSLRPFFHVDANGCEENGIASQRLVNKMSGEYQAIKSILPLKRSFESMNTSICINCS PEIVPTLTNIISSSPYSKLLMQRQYTELTPDVCTIINKDWDFFPQIKFATVQIFAGAI LYNTTNGQTIMLNTVEAYGRTTKLIDPHREPFGKLKGFTKPTSLPPPGKIPYSDIWPV SLHASEGSKLVIGTLVSNILVTSNMRLDAVSKPSIGAVTLNFKLDKKDALATKIFLDH DNLQVALSIATDPAVTRISDTNIVYQLRQIRSKFDADNAYSLCRASDYDRGTSPLSSL FRHMATIVLRLGVRATLGKATVESCLGQGNLDTQNAFTVIIALYGESDEIPILGNSEL SKRLEILANSLAPSLVATNDYVAKEIALAFQFYN PHYBLDRAFT_174127 MNIQFLFENGKGFVVNEYGRPEPMDYIVDEEQFCLETLSSHTQY LAQLSLESEKRIDTMQLEKEVKPNGDVIIRETSVKGNYTRYSDQDKVRFFKLLFERCL SAAAAAEQLGIHVRTAQKWAAQYEKDPDSIFEKRRKTGRPSILHDEHKNAILVVIQTL GRWTYYI PHYBLDRAFT_93748 MVRYAATPVNPAKAAKSRGSYLRVHFKNTHEVAVAVQGLKLSKA YSYLNNVNEHRQAIPFRRFNGGVGRTAQAKEFGTTQARWPVKSIKFVTDLLKNAESNA ESKGLNVEELYISSVIVNQAPKHRRRTFRAHGRINPYMTSPSHIEVILTEKDEVVPKA NDKKVVRLNARQLARNA PHYBLDRAFT_69305 MSQATKARVSIEYCPKCRWMLRAAWLGQELLTTFEEEIGELALI PGGNAIFQVKVNNSGNKTALLSIVLVVVVWDRKQDGGFPEVKELKQRIRDLIAPHKSL GHSDRKPVKKEEEDCKTCPAV PHYBLDRAFT_174130 MTTHTVHTEQFSELEEPHVNETVSESLVGNAVSDPEVLITSEEP STAAPLSDPSKAAVTRRISLFFSKAKRLVSTSEKPLTEKRLPEEIGTAIIEAPQQQAT EELEPVAKLDTKGSRLMEIVSRVKALSKESKVAAVDKEVVSEPMQEPVLDASTQVLEQ IEQETSVPPKENDKEHTRIDQVIRHSIVNKFFAKKKEIPTEEIILAADSNQTNDVEQV LTDSSEKAEAGKKSFRSTSPLGRKLVQMLRLPKKDAKPAKDTAETTVHDTVEDTVLEQ ATVPLNQTPAPVVHAAA PHYBLDRAFT_136783 MASLGWDRGQIYSTSVLPGEEQRDSHSELTSMFLSFIQNFRLGN NYIYRDQLSDNLKVKQYFIEVNMNHLINFNAALANKLTGSPAELLPLFENAVKESAKR IMFTKPGEISNLDVPDCQVMLTSDANVIQIRDLNSNYIGKLVRIPGIVIGASTLSSRA TYVSIMCKSCRHSKVIPIDGGFSRISLPRVCDSTPLDQTMKNSCPMDPYMIVHDKCKF VDSQVIKLQEAPDMVPVGDLPRHTILNADRWLTNRVVPGMRTVVMGIYSIFQSKASKS TGAAAVRTPYIRIIGLQIDKHNSGRGKAVFTDTEEEEYIKMSRQPDLYKTFAASIAPS IFGNADIKKAIACLLFGGSKKVLPDSMRLRGDISVLLLGDPGTAKSQLLKFVEMVAPI AVYTSGKGSSAAGLTASVIRDPSSGDFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAI HEAMEQQTISIAKAGITTILNSRTSVLAAANPVFGRYDDMKSPGENIDFQTTILSRFD MIFIVKDEHNEARDMSIARHVLNVHMNRQTQEAVMGEIDLDKMKAYINYCKAKCAPRL TAEAAEKLSSHFVSIRKEVKEAERETQVRSSIPITIRQLEAIIRISESLAKMTLSPVA TEKHVEEAIRLFKYSTMDAVQSGGADGMSRSEIMAEVQTIEAEVQRRLPIGSQVPVAK IKSDFLQQGYSEAAILRALTILGRREVLLFRNQGKTVLRQAV PHYBLDRAFT_128021 MSASLQFKQAIAKQIAQITNCNPQLLTSLIRVPKIQSEGPFSLS IPKLKVALQNQVPDDNIQSNPVQWCNELAQKFKPDTLIESATPYGSNLHFDVNRTEFT QRVLQQVYSENSRYGWGDVEPKEEKPIVVLDYSSPNIAKPFHAGHLRSTILGNFAKRI HEVMGYKAIGINYLGDWGKQYGLLAIGFERYGKEEGLKSDPIHHLYEVYVKINEDANL DPEIHVKANAYFKRMEEGDNIALAQWKRFRDMSIDSYASIYKRLDIEFDAYSGESQVN DYIPKVYDLLKSRKLLSKTESGSLAVDLEEYGLGVPVIQRADGTSLYITRDLASIMMR REKYAFDKAIYVVGAEQDRYLKQVFKTAELLFGDNQINPWVKNLHHLGFGRINGMSTR KGTAVFLQDILDTAKESVLEYMQKDSTKYDALEGVLKEDKDSKLEDVADKVGVSAILV QDMKSKRVKNYTFAWDRMTDSRGDTGVFLQYAHARACGIERKANIGIHVNCDYKLLKE RQAFELAQLISHFPDHVKSSFSTMEPSALVNYLFKLSHAISAASATLRVKGSDTEVAK SRMLLFWSARKTLSNGMGLLGIKALKQM PHYBLDRAFT_178307 MKVNIVISQQTQANDQFVADLAACVARSKRVLVITGAGISCSGG IPDFRSSDGLYNLVKKKHPKTVIRGKELFDATLFRDENQTKCFYTFMAELKSLISTAQ PTETHSFIRELQEKGQLMRCYTQNIDCLEERLDLPVVQLHGSMAKVKCTLCSSSYNFS SDYEQQFREGSPPPCPKCEHADSERVRLGKRTLATGTLRPDIVLYNEEHPNGETIGRL QASDLKKKPDFMIVMGTSLKIPALKKFIKQAARMVHSTRTGRVVFVNKTPATKEWDSV FDYEVIGDTDEWVKMTQEKLEDEQAMLTAKLTLSGPTRSRIVATRSSSSTANCSELIT PHYBLDRAFT_75134 MYPSVAVQSFSLSGHYCFASILMTVTNKYVLSGFEFNMNFLLLS VQNIVTVTLLQSFKFFNLIKFRGFDKDEARKWMPIAASLVAMIYTGSKALQFLRIPIY TIFKNLTIILIAYGEVIWFGGAVTPIIMSSFGLMILSSVIAGWADISDTLSSIIDLDT TLIGYFWMATNCLSSAAFVLYMRKRIKLTNFKDFDTVYYNNLLSIPLLIVPSLIFEDW SSENLARNFPADVREQMVYAMIFSGVSAFVMSYASAWCVRTTSSTTYSMVGALNKLPL AASGILFFGDPATFGNVTAIIIGFVAGLVYSYGKTAGNNKPKGHQPQSFPMSASSQSA MDAAKAPK PHYBLDRAFT_183503 MKASYILAIVAIFAAVVSADRSQEAEAIGNKGGVSGLFNNKFKG GFAADNGVDNKVSQDN PHYBLDRAFT_183504 MYFRYASNAKFYPFNHIVQPVNVLRPITALLASGYFLEFSSKLP TFARVGAFKHQMQHIMIFQDISMGISEKTKNGQKPVKLPKSIFVEWNAILNDFSQKYP NTLYIPAVASIKKLISKADEPWDKQAACLRESAKALNIAAAKKQLELHDIEQIKCILE PVASSGYFAAAENLSRRQFVPLLEAAVKHTENTTFEYFYTKYITYDYDESALSDFSLA QKALTIYATTDFDLGKRTVLNTFEKTMRFLVSGLVSAQQQLINPANDRVSTSKLAPQT LSADQLMNDLQYLSKTVLALVSRYGATSLFDFAKTLKLGPIETTEDTQIMSTLISILL DMCTRTNIYTKDCSQVAGMILGSIINLAQDPSFGRDWAIGWFFSADNEKLEVSEHVFK MFGVSPSNDLIGSSGWLKRDAPMLSVVRGLVSTVRKDILLLKCPAGFSLTKPLERNPS RNLHEIVFFSILSFCSKADLESQLKVVAFDALAMWLLETKNYITSCGNDAIVMDMISG VLTPQNVDIIIRYVWDHWDDPVDALNSKVRTIFELTLGIMETRASFYNKKSEYDEFLS VLLNNLLIMDWHRKVKYALLNILVPKVGTDAFFQAEPEIIWKCFRAMDSLVLPPQITS LVLSLLYQRIEETIPGYSPFKGQNVTLKEKDAETKTAIDNWIKVWAVPVLKCLTSDSE ILRRNASGFILQPLFKVSPQSFWYIIKILQDTDDKHWSELDPNFRLNAFIAVLKAGRS LDIVDGSAYSYDRASLENSKISVDTLKLAIYHLDNQIRIDALGLLCESRKASSTVTNI ELDMVKMFLPLNMNCTSPEFRQTLCAHITKFLTRLRGSMYAQYRTYRSRLVYVENNRG KRSEEDIKAVLAEAASMWKAIEDGKAFLYWLNEHNATSLYPGSSFQRVSTALRLIGVV IKIFGIEEIPIPDGFTVRPEFPFRVPTASPRNTKLLIDILTNPFDFNRNLAFDILEQF PSPLPGIESREDVQSLLWWGLSNVVSTRAGESDSGAMIFRLIFTKYITVLGFDLNPEQ NVAPSKGSGDHDGSPAVVFTGKLMDMLDGQVAAAKNNLLQAAQYKPMHGTLLALQYVF RELEYHNPAVFSDINAWKKAHSRALTLIHTVCNTVMEVLSNPSPEGNIPASIQDMEEN EDDMIIDNVDSEWSGPKYQIILSSCWRAVKEASSLLEVIISKAPIVTHHSKEGVITYD DLVESGSLLRSLLTTIRHRGAFSAVYPAYVSLNSRLLASPMTDIARLPSEWLKENLES LTSSNISITRRSAGLPLCILGVVSSEKPNKRDLLEMAMQRLFMLASVEAPSDADQKID LPQVHAFNILRTIFMDSKLGTSVLKYASDGFSLSINGFSSPSWAIRNCSVMLFSTLLQ RTFGTKKIKDEHSGLNKLTGREFFTRFPQLHPYLLKELDIAVKQLLADSMAASVHPGL YPILTLLSRMHPSVMDGTDEVISMAPFVPLVMSCASSSIFKTREMAARALVPLVPLVD LTKTVSELLTFNQRLSQNEIHGRLLQVQFLLRGHLYSNLPDEVLVEFFNVIPSSVAVA FELLFSNSVSCMTQALLLEIIGEFFFECTWMYADKDGKAVKEMQTISSEKLQVVRNEV FNYCSSTVHQSDVNAAEIGRYLVRQNMARVIMLGTLKKYQPNAQLINVLFLLEDRDYE VRLEALEQLQGYFEKHQDVSIIKDSGIELLQCKLVQMTNGNEENQNCFVLAAKLLMSL FSASPYPSNAGLHLGFTLKQYWDKLNEQFTLKKSTSVTESVLPLLGALLAQILKNFST EEWAQKCLLTWCNYVTKYSHQDVTLTLREAVVESTHFTAKTVFAYANETNDAVDEMKA TVQFVIAQLLQDDDIDIRNNTANIVSVALRLPAPVHPERAVELVNLHLSKNAKYSTHL QSTLTNVFEAEQSLDCVWKEELSETKVLFARESPNIYKEDLLDLQWVYIDIDILNSRY PSQFKNQSQYLCNGTFGKSVNQVIDLCKKLKNLSTFVMQNGPYGITSRPGIFIAAYRA IVATNSLYDHIDTLEGISDSFVGITKELNTLVNNVEQDAIHPLLWKWLRGEDGLSSKI KPLLKRLGSVTYSEMFLLAPDSRSYA PHYBLDRAFT_57737 MTKQSRMTQPNAPTDAEKGQSQDFDLTDFLRNVDDETSAAGIAR KHMGVIWKDLCVKGLGADAKTISTNFSVIKTFVQFWKWGKTQGTDFTILKPLNGFCKD GEMLLVLGRPGSGCSTLLRVLANMRGSYTSIEGEVTYGGIEATEFSKYFRGEVCYNEE EDLHYPTLTTKQTLMFALKNKVPGKRVPGESSKEFINKVLYMLGNMLGLTKQMNTMVG NAFVRGLSGGERKRLSIAEQMTTRSSINCWDCATRGLDASSALDYVRSLRVMTDVLHK TTISTLYQASDNIFNLFDKVMVLDEGRCIYFGPTSQAKSFFEDVGFYCPSRKSIPDFL TGLCNANEREFRPGFEGKTPLNSAQFENTYLQSEMYREMMRERDAYESQIAKDRPDEH FRQAILEAHQKRAPKKSPFVSTYYQQVVALTIRQFQLIFGDVGALVSRYGGVVVKGII MASVFYKMPVDANGAFSRGGAFLFSLLFNALIAQAELSAFMQGRRVLEKHKHFALYHP SAFYIAQVISDIPLAIIQVIIFQLCVYFIMGLKMDAGAYFTFMVVLVFTNLCMNGFFR FWGAVLPNFFAASQISSIFLIACLIYSGYQIPYKYMHPWLYWIYWINPLAYGYKALIS NELHAMHFDCNGPAMVPYGPGYENTDYRTCTLAGANPGEPFVLGDSYLDRGYDYQVWQ RWIDFVAVVLFFVFFTAITCLAMEYFGLQKEGSITKVYKNGKAPKAKTEEELMQQTGT REEEHMEAVSEGTTFSWHNIDYTVPIKGGKLQLLNGINGIVKPGHLTALMGSSGAGKT TLLDVLAKRKTIGKIDGSILMNGEPLRNDFERVTGYCEQMDVHNPNATVREALQFSAY LRQPAEVPKAEKDEYVEQILRLLEMDQIGDALVGDLEEGVGISVEERKRLTIATELVG KPKLLFLDEPTSGLDAQSSYNIVRFIRKLADSGWPVLCTIHQPSATLFENFDHLVLLM RGGRTAYCGEIGPGSATMIEYFESNGGPKCDPKANPAEYILECVGAGTAGKSKADWAE IWKGSKEAKALQDELNDILSKSSHDSSRKTSTYALSFWQQFMLVYKRMNISWWRSPSY NLGRLFNVCFIGLISGFTFWKLGNTPADMQNRMFSVFTTLLMANALIILAQPRFMQER MWFRREYASKYYGWGPFALACMVVEIPYLIFFSAIFLVCFYWTAGLENISDRVGFFYI HFFVFMLYSVSLGFMIAAFSSTPPMAAVINPFFTSILILFAGIVQPPSEMPYFWRSWM YWLDPYHYLIEGLVVDVMAGVKIVCGAGDYIKINAPPGQTCGDYFANYFESGGLGYIG NPEATGTCDYCQYTVGDDFYETRIGWSFSNRWRDFGILCAYYVFNVMLFTFFVFLFRK ANR PHYBLDRAFT_188978 MAKQVVDSKYAWLGLYFILNLSLTLYNKAILIDFRYPWTLTAIH TLCGTAGCYLMYLAGYFTPAKLGNQENLVMIMFSFLYTINIAISNVSLSLVSVAFHQV VRAMTPVFTVMISIVFLNKSYSSMIYLSLLPVVLGVGFATFGEYDYTMIGFLLTILGT LLAAIKTIVTNRVQAGRLKLHPLDLLSRMSPMAFFQCLFYSYATGELETVREFSSYNM TTNLAWGLFFNGVLAFGLNFVSFTANKKAGPLTMTVAANVKQVLSIILAVIIFKLNIN VTNAFGIILTLVGGAWYGKVEAAEKQKVPTLPTIMETPEEQETFLSEVVPTEKHIPLG DLK PHYBLDRAFT_151354 MTSIFTFGFNRHSKDSSNNNNNSNSNSNNNSNIHESSNNSNSNS NSSSIHPLQDLQNVIKRLDPSRWWAQLRHKQPPDTETYQWRTLSPDVPPLPFTGIQSA ILRTDTTQTLNSNLIRDRSAVAIGTFLPKAPPNKRQRPPFSPFDRTSTRSETRTLYTI VEESDVLLVPDPLLHSVLRVEEELLLVDDSCNFNDMLTTQSSDCITHHSSIGSSHSSF DPHDELQEVCAPREQVAPVHLPVAVKKDENENKNKNKNEDEDEDEDELNNQDIIKQKF ISMINVAIAYRQKHIHDAPIETQVVFDYNNNVRQMRMLINGNVEYLDFNGNPQYIPPE VSINRKYDTEMADVWVLGVSLYRMLAGKYPFEAPNDRILFEKMLQSDYIFPDSIPPDA VDLIQLMLVPDNTRIPLDFIISHPWLDPPDRDDILEPPNPFGHRFTKSPPPLDSPSLP RSRSVEFQKPPKQKKFVKRKISRLVGLLFKGPNQPPQYPYRDLANVGRPATTTIINII N PHYBLDRAFT_183506 MWIISRVFGESRWTRAIFCLSVIQAVLAIVFEAVIFQSHQTEIA GIERLLSSEKNLEDSLGTVQANARSLLVYFILFMLAQVFTVVLVVDAIYQKNTIQLIA LVAFELGMTAYSVIQYHQSATLFNPLEASGKLVIDYLGTSYHASKWAEITQICVMIVC SMIFVFLAYKLYLEFGWHIYKKIGADLAMRDRYKMYQIFMMLLKFDFFFFLGFSVQYL VLMVVAWWPEATTDDKWRVLIQQLVEHIILSCVFSIAMIISAFWGLIRERKIPMYAFI LLSLASMGYFIYMVTQVAMYPSKYLGSRVFLTFFLCVDMALILVSVPVSVICLRNFNH GLNSHITHATAASGSNHNMTTIGHEKPESRRWSIE PHYBLDRAFT_183507 MQVSNPPLGDQALLYLKQVVTNCGHVERQQLLHYLENVGNFDIV GHSPRHISTQILKYFTPQELCKLREVSRRWNLKATDNELWQAHSVSYSMLPESHHLKS QTDFDQESAFPVYHDLFRRGMTTAKNWETFGSKRYEYHFHMGPVLSLLALDSSRIASG DIDGTIHVWNMRRQAYVDRIHAHKSHVSCLARSADVLASGSSDKSIVIHSLPDLKLKT RLVGHEAPVTSLAFGECGSYEGILFSGSVDRSIRIWNIWTGSGSGSGKDSGNNDERFG GGGDGSGACIRVLWGQENTILSLVYISPFQLTQDQTPFERQAVASNRAGWLLSGSTDR TMFLWDLQKSKRDKPRVSHSVMEVHGPVTALSLYAEWNNCSMNDQTPPSNPYVKARHP ISIPPFVVCAGQMDPTVTLWSIPTLAPTKVESPISHNGTIWAIECAPIHSKIITVSGD RTVQVWNLKAPKTVMLLEGFDSAVVSCSISTQEDLLCIGMESGAIVVYNLQEFENSTG D PHYBLDRAFT_69321 MGIMNSLRGIMKPSASLSSSSNSSCKSKASCQVHVACQIETMQS TSNLSAISTQRPSYRFEHGRRYHDIEDSVYVLPNDDPEIDRLHQQHWVLHHAFDGNFS SPVHKLLEEGAVVLDSCCGPATWTLDMAKAYDRSEFYGIDISPVFPEDIKPANTHFQL ANITNRLPFPDNHFDFIHQRLLIFGLTRSDWTKAIDELLRVLKPGGWIEFKELELNLE NTGTMTTKLKESMITMTTTRDMNPTIGRELRLLLIQRGLLNIQAKRISVPVNHDGKIG ELFWDNYKQMSLALAPVISGSLPLDFPQFLDSCAKECAKEKTNWGWHVVYAQKPVSPI RFSH PHYBLDRAFT_174145 MSVDEPTPLPLPLSLRRPKIYSFLTFVQSASALSFSTFAVIHGV QIVIAAINGVDSANQSLLLARPLYQDQNLEAVLVTGAATIHVLAGLVKSTVVIRRPWK TLVALSSQAPHPQSNLESQTQTQLFRFHSLSGLVLIPLVGFHHHLVRRLPARYFGDSA FVDFGLISWGLQNRAYFTWGLHTLLIGAAVYHGVSGAALTWRRVFGTKAKAKTKIKTK SYCSSGLTKKIVGAGIGCALIAGLVAIGRTKKIPLRREYKAIYDMISL PHYBLDRAFT_156507 MLIGTTGLLSAAGAQATVSDFLANMSASADVLALAKAEVELASI PEGKNVTIKWRGKPVFIRHRTQEEIAEANTVNVKDLRDPEQDSDRVKNPEWLVMLGVC THLGCVPIGEAGDFGGWYCPCHGSHYDISGRIRQGPAPLNLEIPEYNFQDDKLIIG PHYBLDRAFT_33187 MTHCHDEHCDHDHGDLPDAGDQFNLYSRIDHDNVCCLNESEPNS GKKIIKPWNERMDNTNWLESDADEELIVHVPFTGTVKLRSICLRTDPGESAPATLKVF INRDGMDFDAAQSYTPTQTWELVQGHNDVLEYSTRITKFTNVRTLTLFFPDNFGGDTS IVRFLGFKGEWTELKRDPIITVYEANANPADHKNPAGENKMDYSIQ PHYBLDRAFT_86228 LAMFSHSDPQNGLASASVASLRDHHGPNRLPDPPRPNALYMLWL QLTDLMVIILIIAAIIQCAEKEFNSAAVLLVVIVLNTIIGFSQEWKASKTLNALMNLS VPMAQVIRDGEQQMIGSEDLVPGDVVVLEEGEAVPADLRLIEVSQLELIESILTGESV PSSKSTDAVKAKSRRIPLGDCIGNAFMATTVARGRGKGIVVRTGVQTEIGKISTAIQK GSKRKTKTPIQRKLARLGLYLVALAVVLCALVVVIGIAWKKDVKTMVNIGLSLAVSVI PEGLVAVTTVTMALGVRRMATKHCIVRTLPAVESLGSVTVICSDKTGTLTQGKMGTSE LWTADNALYRFTKSTSMDPSQGQILIEPAELHYSALRTHPLDPTNPSAYTSHLFYALM VAGLCNNSSIVLDEETGEYTPIGDPTEIALTVAAQKAGLGPAYWSQQVTKVFERAFDS ERKLMSSIYASTDSNKSKNINDTSNEVYVLCKGAPEELTAYQAVPITEEFAALVADES SRMASQGLRVLGLGVKTAKLTQGWKDTASIESEPSLSEDELVFVGLIGLLDPPKQGVK EAVQVCQEAGIRVMMITGDHVQTATSIAAKLGIYQQGCRAILGRELDLLSEDALLELD PFPNVFARVSPDNKLTIVNALQQRGELVAMTGDGVNDAPAIKAADVGVAMGIAGTEIT KQAADLVLLNDNFATIVAAVEEGRHVFDNILKFILYLLSCNGAEIFLMLICAIANLET PLTVMMILWANIIADVPPAMALGVEPKERDLMKRSPRNPKMGVLTKVSWTIIFFQSLM MAALTIGTYV PHYBLDRAFT_188984 MKKVYFKIHLGGLVLGVMEPNYIAIFDNTLNATYIYVSDSVFDV LGFTPEEMLGKGGYSLIHPDELDSAHLIHRANVMNERMSVMLTYRTLHKSGEYVEIDT VVNYCTDVVVCTNFLHGNRTTVQHKIRASSVDEWFVISPDGSVHLVGSWQDNQARLNK VLTVGNQWIKNRVAHQQEQRFSMILNKFTDALDIVFISRLAEPLVGAPARLTVGQSLY MFVKESDLESVEVQINLAKSNSRIVRLRFEWIVDRIRGVTATVEAVVSCTNDGLVMVV RLAPLVSVEDSFIKQQQKELQA PHYBLDRAFT_183512 MADSPHSNTFLKSLSQKKYAWMQTPSATFTQPRTDSLKPEPRKL KEDTRPAKKARQAKRHGSLDQDMKIVIKSEPITKRQTSSSAISPLYSPTTPPSAYSSV FTTSNNNNNNNNNNNNATTGGSSSSLPPHSSLHDDLLPPKSPTFTKSEELDNEADKVP QPHFKYTTFPVKGFNILPTRNITSTFVKSDVTYFPGNKAGSEAIMPDAKEEWADTIVI HPGSRNLRIGLASEAFPKTVPHVIARHIAQPIPEIPDEEVDEENEEAIEEIKGELKWR MKSAKRRAVPNAEGQVVGFNTQAYQELIPDHNDPYKVEWTEINPAKKPDHFVGEKALN LPIAENSDYKLFYPWKHGTFNNQDYTSLQAVMGDLQTIWTDTINTELEIEGKQFEEYN AVLVIPDIFPRSYICDLVTVLLRYMKFRGVLVQQESTSATFGAGVSTACVVDIGAQKT NIACIEDGVCFVESRAMISMGGDDITKTFMSFLLVNRFPYADINLKRSHDWRLAEDLK KRWCTMNEADISIQVYDFFVRAPHKPTKKYQCKVYDEVFLAPLCLFFPAVLNDKEKTA RKTKWISSNVVEDIGDEFQASQVPGGGSGSGNGSGTSNSVAPPSTPQGQRAKPWTSNN SNSNSNGNGNGNGNGNGGTTNGLPGPSPKHTSISTPTPASASASASAPTPNGSETPIL GPTVVTSKDQEHVVFPLDVAIAQSIQGASGASEERLKRFFTNIILVGGGGMIGNFNRV LEDRVLSTSIAQSLYIEKVEVLPAPRELDPRLLVWKGASVLSKLEIAKEMWIGLEEWD QVGARCLKDRTLFT PHYBLDRAFT_174153 MAEHASLTASPQPPIFLGMGFGVEEWGNTVAIQYPQSLVIVLTS SLNPIEKPNNLTFKDLRSFDEETFPENHFSHIYFNSHTATYDINEWQALIGKLVRVLK PGGICDFNLINLKESGGGTIMLFVKEVKKKAIKICWERNQNPCDGELVVDLLKNQGLK MVKTNASVVNIGQLPPSHADVIIDNWIEFALGFPNVIRELEERFQDQPDIDICDELRT SMREYNFNSYSFSAAFIKEKGSCFLIE PHYBLDRAFT_79452 MKKLADSSAGAKYSFILIPSFQSPYLACMQFENPTVFKTSAIVG GSVSLCISLVFGHSSSPVTRAVPCLYPTIVAQHSIRTPTTIEQYTRFNLDCKHTQYLS TSIDTTKEAPVFEVLSQHIFVSFPFSACHLSFCLLVGWSVVWNTFIVSLVAPFFVSL PHYBLDRAFT_90295 SVRILSQTVIASLLNQGNADNAIEIVDLMATTFAAYTTGHKGHS KSAAAEAQAPLRVSVTTDNHKVLFMPSRLDQTTSIKVVSVPTRDNDSRGLPASIMVLD QDTGAVETIMNAGALTAVRTAAGSGLATRYYANPDAKTLVVLGAGAQGRSHIDIMLAV RPSIERVMVWNRGASRREALVKAIQTDYPSLIVKGVTESDGSLERAVNEADIVCTCTN ASQPVLRGAWLKSGVHLNCVGSYTMEMHEVDAETVKRAETIVVDSISACAAEAGELVK SSKSDQWLEMGDVVKSPELQAKATEARKTITLFKSVGISVQDSAIAGLMNKRAKDAGL GDIV PHYBLDRAFT_174156 MSSASLGEQLAVILSGLKDLSVPIPGFDYDLPVMDVMFAILINY SYRSALGESHARIGWGQGLLATIVMCAGGGSTVSILRGEPLGIFKSNEFWAIHGAVYW LMNSSPYVYQLTDALFNLPMVSNLFTLSNGVLRNAAICQLGVNGVSTNPSLGPDKWVA QIICGTLAGCGGALWIDAFRLTQQNWSFSTPRLLHVASHDMKASFVSTLFYVATTNPA LSPYLGIKPMNHEESQAWSAVLLTSWFVYGSYANKWQQSNEKKIEEKEA PHYBLDRAFT_79454 MSSLSLGIELGFLGWCPNYPQDLIIHLLCGPAHISKVQVLSHHY KIATKIDVYVGVLKDSSEPLEEHLPESPPHTDSDTTEGDMLIEFTRLGYVCLDNNARA QFRARELKSIKVNVDGEYIRLVVGLLALNILGQPLRHTAALPSHMTRHLSNTLDESSM LSSSTRRTSVSSNHSFANRLSSSGVVEIELQQWISALLHAEEEAVRDKEKQPNIFFFF LDEAYQTAKIYKSLSDKLSRLSKILLDLEVGKKQAVDTKDYDEAEKIKADIKEIKLSA DVMLKTAEIQITRDGRVVPIGGQEYYGYEEDEEDEDSIHQGDILPASYQSIEDDAISS QPEDIANYSHHEERNAREDRLIDEAIEKWTSFDILSPPSQSEDQSQLYEQQQQRRQEV DEDEDEDDEEEDQLRQLQIQQQQQQQYHHQLQHQNQYQHISRNENILEDSPIQAPLCT SPYPSYRNRRISSSVPEASLSSSVAVKHLARRESTMMSNNSLNNSLNNSLNNNSHRSA RNPSLEPIDPDSIPETLIEEERQSCKAAIQVFGEEVVACVLSVKVKCRERGLTWVAMN IKKAFTLAQEENLDKLRKDVYHDKGCSFSDDSDDEKEEEYDEYARESARFVKASLIMI QEAVMDSRESILNMGIAIWQDLNDFCSEALVPPRMVYGLIERAFSALLVRTGDSNPRI RLPATRLVLTLAEKYSDPPYSLLSLFICKPERIIHNYREAKARIELVTAATQELKIMS EDPDDSYIAIIQLSDLMNLVVAYMGHSNEEVREAVVELIILASDQVGFSSVKRFLSND LLLSLEDSFKQLSDPQRGSGTTAVSAAILYKEIFATSAALQAEEEEEEEAAAAASAAA SSKPISKNAATVAELRALAAQPNPPATKKTKAPEGRTTRRGADLTKRSATVEKKERPR DVSGRSATALGTTTTTSTKKNGSGRNTARPATSRATASSKSNIRSQEKLEPEIVVESS ACIFCDEVDPEFNEDTLISHYYNSCPVLTNCPMCSIILEVSTYNDHIFSDCEQRHLVK QCGRCRQAVPVEQWLNHTLKQTCIVSGPDDVRCPLCQIKMDPPTEASWKAHLLTGNGC PKSSRGRAPKSAAAASTKKSSALQVESKKSTATKRDTTTGGTGGTKLPKSSDGGPHIC ITTITTDTFKAVT PHYBLDRAFT_33195 MALKQVFIVAAKRTPIGAFGGKLKDLTASELGGYASKAALATLP SNLPVDSVIFGNVLQTDVAGAYLARHVGHRAGLPVGVHALTVNRLCGSGFQSLINGAQ EIQLGESDVVLTGGAESMSLAPYTLSGQSRWGTRLGVDLTLKDSLWTALTDQYPNPTP MGITAENLAEKYGITKDECDAYALLSQHRYEKAAAAGVYDAEIEGIEIKGRKGPELVS KDEHPRSGMTIENLTKLKPVFKKGGVTSAANSSGINDGAAAVVIASEDAILKHGLTPL ARVVSWQSSGVEPTLMGIGPVPAIRGALARAKLTLDQMDIVEVNEAFAAQYLAVEKEL GLVREKTNVNGGAIAIGHPLGASGARILTHLTHALQRTQSKYAIGSACIGGGQGIAVV LERV PHYBLDRAFT_174161 MTGALKSRTTKDSLPHRSTQSQSQSEERTDQRSSKKNRSPPLSH KVSSGDIIRKSHTHANNFPPLPSSTFFNTGTQESHSRQSSANNMTSSRQPTHQPEGHQ KRNMREDVRDASSKNIYSQTNMPVFTEGLLASDNNTTSPQYEKPNTQYPSRPNPPPQS YAQASKNGTYYSDPSKQFETFNDIPESMEGVESTAIPTRKITSISTPTTIDTSASDQP EVPDRSYNSHPPEHKSEMAVQTNHQPRPDNYYTENTYSSSYPNDRAVTSNSHIDTYHQ HEGYPKRSNSTDDRSSRLSLSESVKENVSSSGPISYFKSWIGSGNNNQPANGSSKLSD QNTENYIQRIKDLEEELKKTQMSLKTQKNKNSTLENKERKYLDQLSAAANELRSMDNS FKTYASQLTTELSNTKEELSKKKIEYQVLFSEFHDSVKRIRATDDDLSTIQHQLNNIQ SKISNMSMNLKKYLSPDNEKVTNFLLGYWKDELPGIKHFIKEKDGIQFFEYGIINLLV EKIVTDTLIREIYDPPIYFGLPINSIYANLSNWEPFKTTKDWSLRLREQLCFLAARPE IVESLKQERMILAIRLVDILSEIFVGFGPEVKNKLSKIIEMAAQVSLAMHSQAIPVKC KKLVEGRDKIQGGMRQQYGSGEGETTIQVVVCPPFIANEGQETVCTLMEAKVICGDFP NIPNVDSSDFGDMKENPPVSNQDDKSEVSAESI PHYBLDRAFT_24070 KDPYEVLGTSKTASQSEIKKAYYALAKKYHPDTNKDKDAREKFV QIQEAYEILSDEEKRKQYDQFGFGFEGPGAYSTGGFQNGSAGFHGGFDPNDIFSQFFG GGFSGGAKGGMGGMGGMGGGGGGDPFRHMSGEDLQVPLNVTFMEAAKGATKDVVVERV VSCSSCKGSGLQKGKQKESCSSCHGSGVQNISMGGYQMQSTCQACGGRGTSIPPGAGC NTCNGLGKVRERTTVQVNIPAGIDNKARLRIPGKGDAPMQGNGSNGDLFVSINILPSK TFRRQEADVFVDVKIPFYKAILGGKVRVPTIDGDVELKIPPGTQPSDDIALRGRGIKC IRSSTKGDQIVTVKIDMPR PHYBLDRAFT_103357 IEFATEIGQGLLLEVRKMQALLQEKEEQLRALEIQKADLERAAE SMAKQLRQREENEEKLQQETWNLELAKQELTVSVTELQQNLSKARSEQNKLSKQLNQL VSEIEQLRDREEKLNATVDLMKNRHEQDM PHYBLDRAFT_128049 MSAVPILKLNTGASIPAVGLGTWQAKPNEVYHAVLAALKAGYRH IDAAYIYGNETEVGQAIKDSGIPREQLFITTKLWNTFHRPEDVPKALELSLKNLQLEY LDLYLIHWPVAFAAGKDNAPRGEDGKILTDSTDFTETYAALEKLPKEKVRAIGVSNFN IPKLEKLLKTAKVVPAANQVEIHPYLVQDDLVNFCKEKGILITAYSPLGSTNSPLLKD EKVIAIAKKYNKSAAQILLEWGVQRGYAVIPKSVTPSRIVENINLSKISDEDFNALNN IIGDNSKRIVDPYDFWGIDVFDSHL PHYBLDRAFT_174165 MTSFVNLFAQELASYLISNMLFKHTLFVHLDWLEYAHTHLPTSE TVIKIQGHHSLQTTFIKVTLVSVFRFGRSLISIVLAFYSSPLLFIFWYTKYYPLSWER TATKPAGKIRAIQQTWGALDNEWPSSFDHFVRPTMYMAAIFSVLLALVALTAAIGIFK KRFLRLVYFFPLPCFTISLLSVFNDVTELALMVIGKDLFLAGCAKEKTELLLSVMQSC DSCVVEQACNRIWNSSLVWISTGTIFNLGIDICFICCLYRFQRQQTQLSRIIPKNGDA LSIECRQNIAIGCDNIQEASTLAVGQTSTSK PHYBLDRAFT_69343 MIGLYFWKTSLSIVPLIKIPMEKSLVYTFYISIQGESIGAPHLV LVLQNLLWGHLTYTTSGGSAQHRDEGRLSIKKRSWRNALKPSASYSESWTPPELSQGP EGHPVGLNLVIYKIRTR PHYBLDRAFT_174166 MYRYFNSHILVSVIFLKAILKIAFDQNPHGYTSAKTINSLVYTF YISIQGESIGAPHLVLVLQNLLWGHLTYTTSGGSAQHRDEGRLSIKKRSWRNALKPSA SYSESWTPPELSQGPEVWLFETSRQLQTFSNLTKSQGNQSLKAHLYFVNSIYIIPVDK SYMPIQESPSVLHILFLCFKICLRSWGHLTYTTSGGSAQHRDEGRLSIKKRSWLNALK PSASYSESWTPPELSQGPEG PHYBLDRAFT_174167 MNAHMKQSIVNKVLKEDQTILIVWLSGRLVGPSLFLYSIDKKVG SIITYTYEAFVECMYITRIHGCSSMREVWDPLDKVSKIGSRTTIQGWYMKAYQPIENT GT PHYBLDRAFT_174168 MSFQKKLLECCSYNVKTRLMIDQDEVTTRAFFYFSYDRSDASIS QIDVTMLRVWSNEQHIRNWQRLCTIWAIFQREKNAADINSLNDGTLAFQVIRPPGIIL SG PHYBLDRAFT_69347 MSETPTTSWIDKQGNSPLPIWALSGLTFAAVPMAVKKAPGVPSL LQSMAFGAIFAAWCLSWTFLNAKRAVLSGKPFPLLMVAAVAADTAIYGKKYLQVNGYL A PHYBLDRAFT_69348 MDTRLMNSRSRGLRESTAYFHLAFMFAGLSVMAMGAYTINSSTS ITVSLSLLLIGGIITVISFIGCFGAHLEHTGFLRTYSSATTIFLIIQIALVGIIYCHR SQIDYYASSLWDFFNDNDIRFLVDFEQAFHCCGYGSINDRAVPSTCALSLDVDSGCKD TLVLLVGTWSEWLIGGLVVLLALQLIALIIVLVLTVLMERDAKEEEMYLSLISRQNTN HSWFNGNMNGEGPSSIGIVGSSNGGNGGIGGNGGSFFGRYPQSNWQRSNFYSPNNSSS NFNGSSNNSNSGSINNIINSSSLGNNPQRVPRYGKLKVILTCCPTQPSL PHYBLDRAFT_183520 MASSSKPSSSSAKLADYLWETPLLYNCVLSQDAKHDIIERLYEA LWNGDENIRQQYYFATKESLEAASKRQSLQKDGKEPNSVHSSAWHTNSVLPPQDMSSQ RGRQCGHVFRKGEPVYRCRNCGLDDTCVFCSQCFHATDHDGHDVLFSVSPGSGGCCDC GDPEAWKTPLQCRIHSPDAAAAAAAVAEISSGRAFVPPEVIKHMQQTFTTVLDFMLDC FALAPEEVALPSSTEALIKESRRQRNVLQHIGIPSHYLKNPVGRSNTDNLMETEPSSG ATGCIEEEDVDVDMDKCSGDMSDLHTKIGGSIGSHSKTENEEDEEDDEDNQLYACIAW NDEAHAFSHVLECIQSATGCDWEKAKHIIDVIHVHGREIIATSHNIDELRKVAAPLAA INFGVTIRPAQETFREQVCGLLVDWLKELVNGQTRFFNSIEYGDGVIRSVLCETLCGE WELRLPLALLTTETRNERASSEAEEDEDEIKHERGEIGIGQTSETEDVEMFDPDSRSS SRPIKINSPQSLDTSPIIYRNQCDVASIDWEPAAMVKEYQQLKEEEERYGDMLDAKGT AKKPLSDSAAGKRVIRDSKDAVRLGLHMQKEFEEKLRLDYLMLYDLKLWKEVRISLRE LYISTLASSTVYKKVLGRRLARNYARLAESFLLKDREPENSIILFSVQLLTVPTVSDL LVNEYYFFGIICSTLAAFFLTDHLRLLLPSQRLRLPTRINCESRAFRTRRYFNAFHDL RYIMNVDMIKQVLAMDPIYLRQYLDLISLFQGMNAQTCQKDTHVEYESEIWVNAFNVT LQIAKCCRQFSDCFSMLPTNTLQEKVDTARALVHAITRVLKQIEEWRADDSDDPMEPS SKQPNSQPSISGTAKQVFHEILLPHMPPFAVIKYSVCKDPVSFHHPLHWLLAGLLEYA SLLSDDVLREAGWTGGFLQVITLYNTVFEDGNDTTDVLLPILDFPIRTVVFSSQIRAG VWAHHYRDISLRENTYDADVFLLQLGFVTIDPSRFLATLMDRFDLVSWFMGTTKHENY DPSQTVFMAEEILNLLVVCVCERANVTGMSIQGKIRREIIHNLCLEPAAYSELTKRIP ERLSEHPDFDHILSSVANFKSPMGVNDHGRYELQTEWFSEVDTYFWHYSRNNREEAEA VLKNRWKKANPKKPEEEFFILPKTDFIGSGPFKYIGAFLHTPVFNQMITYALWNVRMS KNHKSDTILDQTLHLILLALTDKNSVEAEECDGFYRYVCETSYPLTSASHSQEHTLFE VMTIFRDEELYSEVHGRFDWIFDRLENCGCKKTSEIVNAWKYSRLARLQQKEEAAEGS VVELSDIEKKKAAAKERQMKIMAQFAQAQSQFMEKNEGLYEDELDDAEEGDGLEDAST ENPDEIHRFCSYPSGTCILCQEDVNERSPPYGILGLIQASNILREAPMDSTELFEDIQ TMGPSLDVEWPDRQILPENHNGVVGFPAQNHKTGLYTSTCGHLMHIKCFEAYCSSIDS RHVAQMTRNHPENRSRKEFMCPLCKSLGNTLFPVFTKGKKESYPGVLVKTDNASYTKF LQTGVQSAAEKMKQMLGPHRANFGPRRRSSGASKLKDAIATWVPSLRTTPGGQAVVSD DWISRRPTGFPSSLSLEPSLTMQQDDSVMHYSDRTTAHSPTEGRLGVFDEDVLYLSAI SSIPAIKKSYGRLFDVTTITFREIAGDEIIKEMSTPIKNVDLLWAMLGYTIMGVEIAA RGSAKPKTQDNPEVIPTGTLFDQIPSQTQILLRVLSDTVIAYTSLMSQQDSSITSSSI SPGLSPTMMKIHVLALGRLRQIFPNISLDDLANVIGPNQEKIIIYDNTPLLEDDPFMI LSELSLHMASTTKSDIYPFVRTLFLAEITKVTIALLRNQHDQMCTDSLDYQNVLDGEG NTRGKIPVNVSQEDCVAASEFAFSVMEKMQYSSDDAALLFRNYGQERFCSHLQGFILP FLRRALVLMIVRFGLIVPPLSDDDDDFAGNSGNTGHRRSELSRLLDILKLPNFETMLQ RTPEHEHLISAWCKQHIKESQRRWEIEVGSSENAPALPAMVRLDMPTPLYLVALPRRL DQLFDESMRRVCNKCGTVPTDPALCLFCGTFVCAQSFCCSEEEEGECNLHTLECGGDI GVFLSVKRCVLILLHNGNGWFIDAPYLDSHGEVDQGLRRGKPQYLNTKRYSEIRKLWL QHTIPVYIARQIEANYDIGGWTTM PHYBLDRAFT_174171 MRSSNVLVCYVDNAIRHWSMSVKAPRAYIGACGETLSLLEDLKK DHKATLRSEDISRLSFSHTIDLVIIRLVEGSHENIAAGRMYEETFELVNVYYKKRKKY YFCLARSVHPIFPPTAGNHFQKTFNHQTKKRLRLEVLWTTTEPKLTTGAYTFFLIYVT NIRAKSTFNIFFNNHAAALPKRNVSYRVQKKKK PHYBLDRAFT_151386 MPSQANILLRQQPKYQKQEQQVEEALHRLPSPPTSSCGDDPLTN TRDISDSRRGSLPTHFNVMTLQRFSTSLPENYTIDHSLAKSRPEPLEKTLVSTPTNTT NTTGIKIRQRRPSEGYRKARLSAEPKNYQAHRCDACGKVYKHLNCLVKHRWEHSDEWE LTSKFLLTKHQQVQMLEAAAILVSMDNSSYSPFKDS PHYBLDRAFT_174173 MFITKCLLAAIPKATSTFIPKSIPKQTPNQIPKSLTTDELSSIF NIRTTIITVNDAFTLKAIKQTNPAEYRVAIVAGKKKLGKLAVWRKKGQKRIRAAIRAT FPTHATQDSALLSILRSKISHHIYNT PHYBLDRAFT_183521 MPELKSFTREEVAKHNIEGDCWIIIDSEVYNVSTFADMHPGGAK LLIEFGGQDVTDDFYGLHRQEVLIKYAPKLRIGTISGEKTKVKIAQPGDISAVPYAEP SYWMGFKSPYFNDSHTEFRKALRNIYSGIRAEALQCEEAGKNPSSEIYQFLGSEGVLA TQIGPGPWLKGLKLPSGIKGEDFDYFHELIAHQETGRLGAPGFADGISSGYSIGLPPV LNFGTAAMKAKVVPEVLSGKKRICLAITEPYTGSDVASIRTTAVRTADGKHFIVNGVK KWITNGTFSDYFSVAVRTEKGITMLFIERDDNIETKPIKTSYSAAAGTSYITFENVKV PVENILGKEGQGFQVIMYNFNHERWYICGSITGGTRDVIEDCFKWANQRKVFGKRLID QPVIRNKLASMVSQLEAVENWLENITFQMCNMSYAEQSVKLAGPIALLKYQCTRVAHN VSDDACQIFGGRGITRTGMGRSIEAFQRTYKFAAILGGSEEIMADLGVRQAMKQFPKG ARL PHYBLDRAFT_174175 MNSSINIKGNQSLKAHLYFVNSIYIIPVDKSYMPIQESPSVLHI LFLCFKICLRSWGHLTYTTSGGSAQHRDEGRLSIKKRSWLNALKPSASYSESWTPPEL SQGPEG PHYBLDRAFT_174176 MVIKVHAVNNVSLFLGFLLSGQTDMLQCFYLKSRQSKHLFRNFT CNCLNVPETNFLRYRDRIVIVVVAIAVAISAAACLLDFIRSLESVWLFETSRQLQTFS NLTKSQGNQSLKAHLYFVNSIYIIPVDKSYMPIQESPSVLHILFLCFKICLRSWGHLT YTTSGGSAQHRDEGRLSIKKRSWLNALKPSASYSESWTPPELSQGPEG PHYBLDRAFT_151391 MSKTNLIGPAEETIKAHLSQSGDPLEAIRTIQRIYGLDLPGIEA MYPLLDLAGYSRHEVHLYCLDALNKAILARIESPDFTLNDFRDLYRRTSPYIHIPYMQ PVPMALLKKFEKYVDEDILSSLKNDEEVFENCPLNIKQRIWKQDEGFFQGQMLNVLND YHHNERLQALAMNLKPESYQGVIEERRSHPIVLKIMDIIGGDPKLYTMFMTMLRLVFE ATPYPSLSSLRVDLLMNVHDQDIEEVYKTDECHKLIWSLDTCIRSQNMDEAIIGKIKE CFDKTVNGEPLYAEFAMILMDPIISNFLSSCIVRWLRNSVDEGAPGNLEDLINYNAKL LNLAEHAPRATGSDLKIPKIDRDIRINFWHDISVVIINENTPTNQANSLINITRIESI ISKSEVARKIYVHYLIDRASEGDITSLSRCLPSILNTLPSEEDKDNVDYNIHLYTYQS FFRTFINIIIKRHLVDCVMDIRWRQAVVEEFLLRAVTWDFSVHEQVVKMLNEYFKEPK HLPKLGEQVAVIADWADKVFLNGTREEKDTPVVYHLYYSLLRDSAMVLEGQFRIAPPA IVHFCSTIR PHYBLDRAFT_9792 MIIPVRCFSCGKVIGNKWDNYLSLLQSEYTEGEALDALGLKRYC CRRMVLTHVDLIEKLLHY PHYBLDRAFT_183522 MKKQKKEQKIPDLHTIKDTKSLFKHAVASQLSKIVTRYTEAQLL RFIETPKSTAYGQFALPLPKLIAQCTNPDEDGNNPHPSQWCQELAKKFKPDSMIERAT PVGVFLNFEVQPIEYIKYTLLQVFKEKTRYGWSLSNTGTVLIDYSSPNIAKPFHAGHL RSTILGNYLRRIHEAMGYQVIGINYLGDWGKQYGLLAVGFEKYGNEDELEKDPIKHLY NVYVKINEDMKTTPEIDQQAGHYFKRMEDGDEKVLEQWKRFRELSIEMYLPVYRRLGI SFDDYSGESKTEPYIARIYQLLEEKNIAIKLDDGAWVVDLEEYKLGRPIIKRADGTSL YLTRDLASVLLRMETYPCNKAFYVVGTEQERYLKQVFTISRLIWGEELPGLYHIGFGR INGMSTRQGTAVFLQDILDTAQNKMLSIMQEEDNKDKYADILKRGVQTDGVTYEGERA AEYIADRLGTSAVIAQDMSGRRIKNYGFSWDRMIDARGDTGVFLQYTHARVCGIERKC SVRVTDNCNFGLLKEKETFELAQMISHFPSVVQNSFDNLEPSTLVSYLFKLAHLISQS NYTLRVKGMDLPLAEARMLLFWAAKTTLGNGLRLVGIEPLERM PHYBLDRAFT_69353 MSAEQAYTGTGTGTGTHTHSPLLSDLLSILKLDTFRNRISAILT IGIKLQMIMCVLPSRIYKTNHTFMSQTNNFNIVLLAHPISFWSVLRLAEQIIRGFYTA GQCFKVNLAKNNEMMKEVVQCILMQLMTVMNILKGLKKLAQLGIYTNLLYFLFFFSYS KSGQKFRVFMVARGDIHCVKRLGALG PHYBLDRAFT_151393 MEKKTPALDVWTSIGTYFSGFSPTNQAKFIETMGRVSKSTYTKK EVETIIVSCTKLEADNCQLKHENERLRENINDLENDIKKSSTQANLIARTQQKKIDDL NETHKNNELRIESYMIMERKYNALLEDLSATKTELARIKPELDKYKDLKDRHRRILVQ VNEANKKIEAFQSMEEHYKIISKKLPIANGQIALLNSEKKDLNSMVYQLSAARPYLYN CKVLEDIVTSISEQINKETMSEATWKKFDVYGYTVDSHSLSTKRQEKIIFLSSQLTIA RDHLVKTKELESKIETLVQKMSVSQGALSIPKGTEDKVTFLLRQLADAEKRIDEKESL QEELESLRDKYTLKDMELFKAQNSYVKQTKQSDITNKAQTRKINYFQQRLEEVESDFT KRKKFLNPKDEEVNAFFFRHFGEGLPGIKALCTKKPDGKRGFSSGVINLLVEKIIINN LLRDICHMPSYFGVPSAIEYPKLSDYTPEKQTPEFSSQFRRNFENLLKDPKTSDALRK RREKVASVLVKLLHELFPAMNPYVTRKKMMEIVEAAAELSLSIHTQDLAVNIVKLIEG KDQLRTYTKTQLGSSAGAKTIQIVICPPFIANEGQKTEHVLLEGKVICLEIVTDSNEA SAENYSDSDSEPMENRMYM PHYBLDRAFT_90044 FSLSQVAKHNTADSCWVIHNNKVYDVTEFVSDHPGGDDLILDYA GKDITAIMKDVIEHAHSDAAYEILDEYLIGTLDDHPVTTAKDLDRDSLHRQRMKLLEE EEESKTYVKEDFKPLETDTITDIKKNNFLDLRKALIPQLYKARFTKEFYLEQVHKPRY MPNSAIFFGHPLLEPLSKTVWYVVPLIWLPVCAYHLSLSLVFGNPLLSVFSFGLGVGI WTLLEYLLHRFLFHLDDYLPDHQIAFLLHFTLHGFHHYLPMDRLRLVMPPTLACLIAL PLFRLGHFLFPPMMAYGVIAGGLFGYVLYDCTHYYLHHAKVYKHHFREMKKYHMAHHY KNYEDGYGITSKLWDYAFGTVLSY PHYBLDRAFT_69356 MQGTTLRAIGGLVIAIGIILQCTVVIGSLGGNEAQETFYVGRYS SDDEFLQFGLWSYCVGIGSRVTRCGSSASDLSIFNGDSINIAMVATYLESTTVLSMAA DCLYILSFVISIISALIIFSRKDGKSCVSATLPLITFFVLLSSLLCLVLMGTVVDSKG KKDNLSIQHKMGAIVWISISSAVCIFISAGFYLASLVERKPSQDFTQRSNRSGPGLCP TRSQVANNGGPKLDCIDHFLYSIGLFGLPGATDDSVRPLRQNAGSYPTSGTPPARNRT TANTPTPNTPTTPTTRTSHGTPNRPIRRHSSPPTNPTGETSDVDTLPSYRTIDPLDPT RNENRNTTPRATENTSDQQNNRHVHF PHYBLDRAFT_118385 MASKVLNYLITGTSRGLGLEMVKQLSDKGHLVVACARKPDDSEA LQELVDNKSVYAIQMDIVSPSSVKAAIENIGKIVPQGIDVLINNAGNHGIKGFPPEDF MYVFETNVVGTSNVTQAALPLLKRRSTRQIVNVTSALGSLSLATTGTVVSYRVAKAAE NMLTRTWAAHLKKEDFTVVSLHPGWARTDLGGPNAPLSAEQAINGVLTCLEKLEPKNN GVFLDYRDKNVQW PHYBLDRAFT_104159 RRKIKIEYIEDKTRRHITFSKRKAGIMKKAYELSTLTGTQVLLL VVSETGLVYTFTTPKLQPLVTKPEGKNLIQSCLNAP PHYBLDRAFT_151398 MPRLHAFLGSEFIASGASTEFGGFIRKITESKSKAEEHSHVQHE LKLLAAKMSHPDVSSAKMKDYLICLVHCAMLGYSVEFGVIYAIMTTQSGETLGQRRTG YLACALFLEHDPSLGIMLINTLQRDLKSQQYLDRCAALNAIGYLQLPEMEDNLLQATV ACLAYPKQIVRKKALLALLCFYQRSPQLLSQIDGYLKEALEDKDPSVVFAALSVWKVI LKNRASEYTDVLPTFYRIFRQILENRLHRSFIYHGVLAPWAQIHLLDIIQSYYKANAG SIEEINAIVMNCLSNAERRVDAAYAIILSCTRVLGTTERNNEIQQLSRVIDRFLEAGN HNMKYLGLLCLEALDKSVWTQEWLNGGVVANNLLVGVEDDTLVFKSLDILNAIANQDS LQRIQNKIKNALETQAPKHIRERIAYCTKIDILAATQTDLDTAVVESHCKAIKKAMET DTEPFSILEHGVHSLFGIIKRSPSTPLALCLVQLACEMIGEYAYADHSFNDITAMKHM QKWIGFIKGSTAIIINTTDATATATATVAVAIAETRSITNTRKTRDLLGFIEDDTFKR SVEEVYPTSSQPTIKISANPNRPSLSRFEDPEIRGTASNLTDIKYQQSALPQKTAQAV KPRKQVQPQAQLKSQSAKGFESSFDRIPKRDKTHSSTLSSFVPAAGYQQFPLQIKENS MIASLLALELADDDDTDANEEEEKDRYDDYNYEEEKETKGKNSISTEEFGAAWVEYEF EEKRDIDCGLSKEGQFEGLCEELDLEKVELIGNELIASDGLGGPVLVHVAMEPKGIVQ ITARAPSALDLSLFMRRYIGQNSIT PHYBLDRAFT_151399 MSQEINKDTFNKRKEISDYEKGLIVGGALQDVSLTAISEKTGIP LTTVHRTVKRWKQNGTALTVKRKGRQAILGERDLGHLKNEIKKNPKTTLGELTIAMRN VIGNYVSKNTVRKGIRKIEMSSCHAVIDPMLSEVPKNK PHYBLDRAFT_174185 MSIHTSSSGASTQDEAVGSDYHWQDPHALSFITPPAYTQLLDDF SIIDDLYESFETEDETSGYEEQVVDTARNVAKKQQAVQTLLDTETQYISDLGTLLDIY ATRTQLWCEMPNNRELLTRKSPNTIQDVEILLRDLSEITSIHKSFHKDLSERLQIWGP TQLLSDIFSRLYDRTAYYETLLNNYTNKIVTLDILLKTAPFVKLMESWTAEFNPPLRD ILTYFKSPLLQPGAYARSLGQLVYYTEPCHPDYLGLLRIAHAFQAREVDWKEMIQDRL NHLSVLQVFRTLLHCPAHVTPTRRLLLQGTMVKIDLTEPSLVTDTRLYFLYNDMLIVS RRKDKKDNKIVYKETLPLVKAEIKLLTKQLATRMAEVKKPASFQSLFGRKQQPEENIN GVPAPMAFGFEISMPPEHSLDSIAILSNPAATSVSAGSISMRRRHVVRTRSLAEQQIW VATLRRVVRVVTAKAQNDGY PHYBLDRAFT_57740 MQATSPMDPRVLDAMLPYMTELYGNPHSRTHPYGWESEKAVDAA REEVAKLIGADPKEIIFTSGATESNNLSIKGVARFYKNKKRHLITTLIEHKCVLDSCR VLQEEGFEVTYLPVQSNGLVDLKLLEEAIRPDTALVSIMTVNNEIGVLQPVAEIGAIC KAKKVFFHTDAAQAVGKVPMDVNSMNIDLMSISGHKLYGPKGIGAVYVRRRPRVRIDA IQSGGGQERGIRSGTVPTMLTVGLGEACRVAREEMEYDHKHISALSQRLIDGINERIP EVTRNGDPFKSYPGCVNLSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALG ADDEQAHSSIRFGIGRFTTQEEVDFVIQRVSQHVGKLRDMSPLWEMVQEGIDLKSIKW AEH PHYBLDRAFT_183524 MDPTKETPTSPIFTVSPLYPLYPSSNMTINSPSPLLTDSPYAWM SHCDTATTNNTTTTTGSIPFTWMSQHQLDSPSMSSSTGVRTPDLPTCDTNYDNPDSFL AHTTVSPLWQPSTVSDDAIHATFYSDQKHYHHHHHEQHQHQQQLNWSSYAQDPLYDSY CLSNSSLLHLPFQESFDPAAYEALVVPSEVFPYPASVSPEMPTEWQSPESLVASPSPE MEFESSCYPVESKLASASALFGHLSRAELIERVVQLEKERQSRQPLVQEEKSYVCRWA NCGIHLSKLNQLITHIKENHVGSGKPAYHCEWSDCPRNQKPFMKRHKMHNHMRTHTGE RPFVCTVQGCDKRFSRPDSLNTHIKTHSNVRPYACPVRGCGKAYFHSRSLRKHGKSHE TPMVARKPLGSLPKRANNQVQKTARRRYAMPRHVPDLRAIVAPRAWPNTAQVSSTSSS SAYVFSDYC PHYBLDRAFT_178316 MATLREIQQRLRSVQNIEKITKSMKMIASTKVAKAQRNMDTARA FGVASNSLFQSAETKATDGGVELIVASSSDRGLCGGIHSSVSKATRRAVEANPEGRVV VLGDKPKAQLSRAVRSNITLSFNQIGKAVPTFTEASSIVDVIKADGIEFDSAKIVYNK FLSAISYEADSITVYSDNAFKASPNFAVYEIEDDVLANLQEFNFANSIYWAMVEGHAA EMSAKRAAMENATKNAGEMITKLTMTYNRGRQAVITNELIDIITGASAL PHYBLDRAFT_151404 MPNNEETATTVKLEDFKDVPKIPCARNAMLYGMGAGTGVGAVRY LMKQRIPTAANWAVAVFCGVSAVSFELCQMARKQKLEKLQLIIKATDSRPIPSNERVT IDERGRNGAFHVMVDLPETKKD PHYBLDRAFT_136829 MTVSNSNCSVLVLGGVGFIGRHFVHHLVQNGLASYIRVVDKALP QTTYLSESHKASFEKVEFKQSNLIHPAAIATCFEREDGSSFDYVFNFAGETKYSQVAE VYQERIFHLSVNCAKEAAKRKVKVFVELSTAEIYDSDNSASSETSKIKPWTIIAKNKY KAEEELKKIPGLNLVILRPVVVYGPDALLGLTPRLIIGRIYQHLNEEMKLLWSKDVKL NTVHVDDVARACWHVATWYETRKTTGVPIFNLADKEDTDQETINRHLRSLFGIQTGYY GSVVSSFAKLNLDYVMEDVNEKHLAPWADLLKSSDIRVTPLSPYLDEELLYNNALSVD GTKIERETNFSYTVPTLTQAKLREIIDGYKALKVWPTDVPA PHYBLDRAFT_151406 MPNTSQDSKSKTSRFRMSTQSRPFSRLYRSQSGYPDIKYPNDST NSTDSIDISNSDRRKPVFRRLHKAYKSLLTNFTIKKSSSTPLKPTEPQSSISPQPSIS QMAQTAPIPRIQLVPSSSTPQKPTHNPLFSQSAVEPVTTVPFYTPKARPFSQFIGISC MNEDNAKEEEEEEEEEEAKANDEDGHEIDDDHDNDNEEPNDSSIIVTCPVDIISTTSS SSSSLHTEDFLGPQLESTPTTFNDLRVLSDPYSLTFTFTPIKNLETPKTFASRIDFIP ILPLRTRSAALRKSDIQQQKALAVWKKSIIELQQKTYSQSLISDIHHQRLTLPETKRT ALVNFILHEIVTTEQSYHQLLTLIETRHMREMVQASKEKVPLVKSTDIPVLFGHLPVL LALSNQILEAFKAQPPPPPPPHTSASTITIPTPTPTPAWQPWSVPVGQTFCSLSQPLV IFLQYAIHYRTHSRIIQKACNNTVFTKIDQKTLRRRDTNRLGMSDFLIAPIQRVPRYC MLLKDLLKYTDRSDKDHEPLTRALCTLTGLVMAMNHDQPL PHYBLDRAFT_69368 MTLSHTIASAPGKVLLTGGYLVLEQQFQGLVVGTSARFYTIITP SDEPGIQVRSPQFDGGNWHYTLTVQPDFQLTPSSSGTSRNPFVEASLRFTLALVREKV GRSAFGVLSTDCIITILGDNDFYSQQAQLEKLGLDSSYGSLAGLPPFADTMTSLKDVH KTGLGSSAALTTSLVAALCAHFKAVDLDNQKDRTLVHNLAQFVHCFAQGKVGSGFDVS AAVWGSHRYRRFNPQVLASIMDQQVDGTLLLKHLSADSFGWDNEVAPFKLPPGFDLIL ADIDAGSHTPTLVGKVLAWRKANPTTANALWVELGSYNSKVEQVLRDLTTAEQKEPVL YKAVIEKCSRHKASEWASLPETNEVISKMVQLVHNFGHVRRLLREMSQLSEVPIEPIE QTQLLEACLEVEGTVIAGVPGAGGYDAIFCIVLSDKAKQQVRQVWQGWSALSVGPLLS KADSKGVTLVELADVPGLANSL PHYBLDRAFT_174193 MAETKSRKPANTAFKQQRLKAWQPLLTPKTVLPTLFAAGIVFAP LGGLFLYESDTVNEIVIDYTDCAKQTGTAATVLGDNLFSYKFTSENTTVIRAPSYRLV NATTYLNQQPVAEGDTLQRCIIEFSIPMPLNSPIYLYYKMTNFYQNHRKYVKSLSYSQ LHGEKITPGEATTSCSPMGVDPNGKIYYPCGLIANSMFNDSFSALTLLNPQGGSANNI TYVFNEKGIAWPSDASRFEKTSMSLDEITPPTNWAKRFNNAYTAENLFDPKEDEHFQV WMRTSWYPTFRKLYSHYEGETLQAGTYSVQVDLNYDITAYGGTKSIVLTGTSFLGDRN SFMGLAYIIMGCICAFLGVVFLGWHFFKPRKLGDHDRLSWNQASGANR PHYBLDRAFT_183527 MLESIPGKVFVVGVVLLIAMIAYSSQLMVFGPALGGLTLKAFWF LAPLNVLVAMVFYNYYLAVTTDPGKVPSDWVPAVVIKENKQLGITGPRVCKACNIYKP PRSHHCRYCKRCVLKMDHHCPWIDNCVGHGNYPHFMRFVIYVDFACSYVVGLLIWRVR TILDSMRHFQFDADPNTSEIVFMVLNFVLAFVVLFCVGILSVYHLYCIAKNQSTIEGW ERGKVEKLVRRSKIPPVVYPFDISIYKNICSVFGNNPFLWLWPQTPKSNGIDFALCND ADPAVVFYWPPRDPDDLRPSIFSIQYKRQQEKKYRQSTNQEVEDSVSDDYYDSGSFAS DSDYPSDDEYRGSRHSQQLEESLYGLSGISPHENAYQRRGWESEPEDDDSVPLANLMP RKSPKESKDD PHYBLDRAFT_160398 MAGGRDVDLRQDRIDRLDDVDHDSTDEESDHSFDDDLSDNDTHS PSQLGKKRGRPKSGTGREDRPKKIMSGMMYEVEDDIDDIGETKVDSNGHLMGGRQYKV TTFTLPDRGNMLFMFSKDPAALLNFRDSFVFLKKNPKLVKVHVTDAEKNHLVERDLLR STFRTREVSVVTARSVFKQFGHRVVKKGRKGRDDYYYTGELEDHELFGDDKDSEDDGK EAPADKTWAPFALTGRNNITNKAIARLAGPVTEVNWMHHVAVSIRDFNAHLCEYRREN PAFYDIHTNVYQIPLSKQPRKRLYQPEPIQEESPDSPTEKPLSEVTP PHYBLDRAFT_151412 MASKGARNLGRVKFFNSVKGYGFIIPNDPSPETPPEVFVHHTAI QNNGGFKSLREGEEVEYDLVQGVKGMQAANVTGPNGVPVQGDPHASQRINPNAYGAEP MGYGFDPYGSSSGNFGYPGPQAQAGIVDGGFMFNNLPQPYPPYGQQPFPMYGYGSSLP IPPTSPMAQFAPPYPPFSPLADKRLGDNLG PHYBLDRAFT_174198 MLHCLSLKTKDTIPMKAFESLNDHTLHKRSSHISFSLSFPLKPY EQSLNHERIEKEGRLICTKSQNIYRRHSHEKRLNHTSERQERLHWREFYVELTKKKIV LFSIKQYPGDSCRIAHEITFEQPGLRLTPLSPLDGSFCLRIDNRTQDSAFLFEAPSRN EAFEWFIEIYHKIKIKSPIPSFIDVNIPLLGLDIRAPLPSASTDSMQLQNIRTCVLTL LKAQNIPLPAEEDKMRLCWEYSCARRELIKHGRDNPGDPVLSFQLISQIPRLVLCTPV CPLLSAPAAHEGHLTNKYDNRKVYYILDHGLLFMIKNPKDEFLLHPAKQSFASALFRT TQTFLLKQIPAFNLGSQYQTNPNTPANQRMRRASHLLHADSVIDLRQIIKVEHSNERA DNLDFRLISSEGVIIEYTAESRPSADKWIRCIKLWLDYMSIQPCQPLKADKSSNVMYS GPLFFKHKYNAPYKDTFCVYVKQVGLLVFRRWQKERSKSLWSPVYKKMFHLPLKDTYL LASKEAIRPITRDKEIPSRWIDGPVEASMESSGTFVVWKPKDTCGFKWGHRLGRRGKQ WIFLANGESEKDGWLWALQALSFE PHYBLDRAFT_69375 MSYNTIMFPNSLVEEPQEYPSTPHRPALQMRRRASTQSKHTSVD TFDKLRQDRSARKLDSFFGEHLPLDVCISEIRKEGLKAMLESRVPLCYFLLHLINEYS CENLFFFLEIERYDKMKNMPKKQWSTAHHIFAVYMTHNSPFEINVNDEVRSKVVAMIR DHKADHCFDPAKKAVYVILESSFIRFRESPIWNKMISCCGEWTTYYDKHTREKVINEL IAFLEQQHNSLFNHPLIDAPVLASVRQSSKNRLKRVKAMISVFSQTELNIDTKVIHSN TPRPKSWSVLGIDFSVLKKGACY PHYBLDRAFT_69376 MKINSQDRADSYFIVFDITWPLLLLLPKKSKNRRSFSNVIIAEK SLSNYSNITHDCSRSLTYFTSFKQWIYPHPALRGDFSACSQFIASFPANVRCIFFVGP YTFNTYGLIIESVVPLYTDTITIVLNVSPAKIEIHDL PHYBLDRAFT_151414 MQLFLLYLSYFLAIFIQNVLAFGTGPHISQDSVWLSSDVDSLST ASDVSLSTNRYEIVHAAENAVLPPEDGLEGILYDSGLSCNVGPVTAAEENFPKAPLIA LVQSGGCSLSTKIKITQKHGTVAVIFYATSHKDDSIFAKKKNMGIPKNSGITIPVFFV NPKIGRELHGLLENSMKSPPKVINGKTLTLAIRVHMFPTPTEKLPTAELVLIIAVAVC GAIMCTFAGILFYLWRRRVARNPGSGEEIGVGEVLPMGKELLHPSEVKQLLTRTIIMT PATSHQSGSGSYDDSSSLLSNEENPCAICLEGMVGGDVVRQLPCKHEYHTHCIDPWLT SKSGECPLCKANCVDALKDINGDTRGTRRERFKQKYF PHYBLDRAFT_86119 NIQLMKLCVQMCNEDRDFRYMALNDLMNELQKPSFTLEKSVEIK VVRAVLKLMDDKNGEVQNLAVKCLGPLVKQIQEEHIIEIVKCLCGFAAQRENEELRGI ASVGLKTVVLEVNSVKGDTISNVLVPKALEILQDSNTTYEMEMDALDVLAEVLSRFGA QIPASKQAQVKSTLLPLLAHPRAAVRKRTILAVGHFAVHANDAMFKDLITHILTQLKN KSSTGDRLRTLVQCAGMLSRYSTARLADYLPELVSIIEVYTAEAEEDDEMREICLQTL ESFVLRCPHKISPYTDRIISLGLEYLRYDPNIDEDMEEDEEEDEEEEEYDDIIDYSDD DDDMSWKVRRSATKVLAAVIETRSDLLQQLYKNVAPALISRFKEREESVRSDILQTFI VLLRQTSLYGGENTEIVIDDGKALLRQLVPKLSHALAQQLGSKSTQTRQIGFHLLREL VTVLHGGLDQEVEIFVPVIVSSLSSSASEQQQVALTSNLKIEVLAFLRRFLRSHPPNV LHPYLDRLCPAIINTLSDKFYKITSEAFLVCVELIKVIRPIHRTETGEHGITPMNEEY KPYLLQILDATLKILSTNDADQEVKERSIMCLGALLAQAGDVLQSQQRQAWDVLLDRL KNEVTRLISVRTLAIVSQSPAVAGEELERCVLVAVDEIGLLLRKSNRTIRIASLECLN ILVKRFGQSLSPESCKSLLVELKPLVCDNDLHLLPLALKAIESIITVSASSAENMRVS ILPSLLQLIQSPLLQGYALNSLLSLFTALTKACPDEYQNLVKGLVEPLLNVKTSGVSA GGVAAVANKQAAATVAQCVAVLTVNTTLENRQLTIGTFQNYIEDPATNDSIKYLSLLT LGEIGRRIDLSHLGNIHEQILALFSVQSEEVKFAAAFALGNMSVGNISRYLPLIVDQM KAYPKRRYLLLHALKEIITRYDQHGKNASLGDASNEIWDLLLESSESEQEEGTRTVVA ECLGKLALTDPRKFLPQLEERLSSPSAHVRATVATAIKYAVVDPSQAYDDLLKPILSQ FLILLQDPDLNVRRLALLAINSAVHRKPYLIRDVLGQLIPLLYEETVIKEELIHTVEM GPFKHKVDDGLEIRKAAYECMYTLLGTCLDKIDVHGFLDRVRAGLEDQHEIKMLGYLM LIRLSKIAIRAVTQKLDDLVSPFKSTLDFKMRSNAVKQEVEKNQELVRATLRCIVALS GLS PHYBLDRAFT_136840 MADFDEIPDLVPVSSENIPTSTQEEEGHRKIPVTIVTGFLGSGK TTLLNYILTEKHEKKIAVILNEFGESSDIEKSLSINQEGTLYEEWLELRNGCLCCSVK DVGVKAIESLMEKRGKFDYILLETSGLADPGPIASMFWLDDGLGSEIYLDGIVTLVDA KHIGQYMTEKKEDTMINEALKQIAIADRIVLNKEDLLTEQEMNDLEKEIKTVNSVAEI LRTERSRIPLDFVLDIRAYDIHAVDTVAEQANKIEHHGSGHAHKLSHDVQTVCIQFST QLDTLEHFEAWIQTLLWEKTIPTVNKQLVAAEDPVIVLRLKGIIQPPKDRQDDKKKRM VIQGVQDLYDIQEGYAENMLDSASKMVLIGKNLDKHKLLASFASCVHLPVDCITIT PHYBLDRAFT_69379 MSLPGQEVYWRLCDKDGTCYCDWRLFLGDCVIEDRIHKILLVGA IFAIIVSVIAAILLFYRMRYKKQRIWIVSERTGFIQPRPIDSVLVMAILTNSLRAIQT FLVLTNTYPNVIFRSFIHEFPWQFAVSALSCYFFGISHTIADSSTTLSNEWFRSPLLI DKFCTFVFIIPFITNNIFSICSGIYAHRGEIDVAYIFTRALHLTWGIYCFCIFVILFS SGYLLLRVLKRHRATRIRNGSDISVIDTGIIKVKIIVTAGGLATVIFTFFSFMYGIFR NTITMNPVLSTGTCVIWMIDVPLVTLLIEIAILLTPKITVNLGLSDHSSNEDNMSYPR RGSRYSHRARSSSLPQNRQHPKQGLQNKLGSAQKRDSKNEYVFAMDVISSNLITNSRT FEIEGTGPFNRPLSAPTSPGSPTSPGTPGTSTRPQYNFQSKPNNISTDSIKISTVLSP PPYHSNKEPKKRSVDITRSTNDNS PHYBLDRAFT_6879 SHCPLGVYVMPSSDNLNVWYGVIFVHKGFYRSGVFKFRMTIPES YPNLPPSVTFLTEMFHPLVDAHGNVSMSQQFPTWRPYQDYILHVLHYLKNMFKKAVLD GLLDKHCFNKEAYRLYRTDVGVFGKLAQQCAQLSITESFLFD PHYBLDRAFT_189005 MDEKDLSMLDVEMTESFISTTVISDLTNPAEFVRGSPEAEFGRK RIGCVHLPKPLAKGILDLIEAQHDKRLIRVDALRLYEAMRSTARIPEPLEQDPTKKPK KTPNQEVLEPHNVSYGPREALAYTAGVLPASYAAIFNVLEEVNSRLGDFKPKTMLDFG TGPGTAIWAAQEVFDIDKYVGVDLSEDMLRVAENLEECTKKPDSSPIEFKRYLAFDPT ISKPDLVVSAFALGDIASTALQKSTIEQLWEQAGDVLILIERGTPIGFSAIARARQWI LDAEKEKEKDVHVVAPCPHDRPCPLLFSPEAKPSKTWCHFSQRVERPAFLMKTKHSKF NTEDAKYSYVVLRRGKRPSTKNAESPFERAAFSWPRLIQPPLKNKGHVVMDLCVQNGE IQRTSIPKSQGKIPYRDARKSMWGDLFPHPSKTKMVTRVSEGVVGPEEVQRKHKKAI PHYBLDRAFT_183534 MPTDTQAFDLADYSYVIGIDFGTTFTGCCYAFTKNGGDEIIDIT RWPKQVNVYPKTPTISLYRHGSTKMVAWGQDARKLSHKRNNHDHLLTRFKLHLDENLE LEPLPNGLTALEAITDYLEAFHTHVTAELQRGFASNYDQSKFRYCLTVPAMWSDKAKA TMREAAIRANIVNRWDHPDRLMLISEPEAAALYCEKKSEQFNLGHGQRFMICDAGGGT VDLIVFEIDDSGSRRSLKEVTKGQGDSCGSTFLDMRMREYLKVRFSQRGAISETAMES MMETFVEAIKPQFDGEEEQFLPLPASLGLGDMDDPETGIEDGNLHLPAQELRKHVFEP VIQQVLQLIDDQISQSQIKLDTIFLVGGFGQSVYLYRRVREMFENRVGIIGVPPRGEL AVVRGAVYFGLNPRMVSERVSRRTYGVETRMLFDPRQDPPEQCIKGDDGRIFCKQRYS VYVHKGQALKGDECISKNFVIAYPNDTDSDLFAYDGEAPVPRLTTHPHVSKVARFPIK MPKFANAQKADPIFMTIKMFFGQTEIKIEAHIRDRIFTFTSAFETVEVGMHMLNNGVQ GMHLKPDELEVPGSGSGYGYYGNVSNSNISSAGSISRPPSIPSHHESSGYPSPARSYP RSVREDDESCDGDSKHSTGINGTAKKLFGTLKFGKRK PHYBLDRAFT_24046 MKFFVGISAISILALSTIDASLVPDILGIAPKFLSITANPSFQA NAANALSRAKQKFSGSSKSTSVTVVDYKNDVEYFGTIQVGTPPQDVIIDFDTGSSDLW FASTLCLLCDTHKNKFNAFLSTSYKPSLKTWSISYGDGSFAGGNVGYDTVSVNGISVK EQAIQLAKFESPSFKDSPVDGILGLGFRSLSSAFGSNTVMDNMIEQHLIEKPIFSVYL GKSGEDPAGEFMFGDYNPDHIGGRLTTVPVDSIDGLWKITVDEASVGFKTVDKFDAII DTGTTLLVFTKDVAEQIAQFYDATEVGDGTYLIDCDTSKFDPLVFTINGADFEIPPSE LVFENKLVSCVASFTHGDSDFAILGDVFLKSNYVIFNAEVPHVQIAPSR PHYBLDRAFT_69384 MRFSEPNQTVYWLLCDENDKCYCDWRIGLKDCVIKSQIRNILIC GSVFSLITSVTYFFLKEKELPLMGINIHILNSPTSKAFAILYRRIRYKNQKLWEKDLI SGCIIPRPVDSLLAMSTLYNLFRAIQSLIIVTDVAPNLVFRSFFHELPWQFGISAFSC YFFGIAHTIANSSEKVYTQWISIPRYVDIYCTILLFAPFITNNICSICSGVFAERGDL ELAYIFTRALHFIWGIYCMVFMIILSFSGLRLIRLLKIHRKMRVANHGDVESVDTGIL KVKIVVIGAIVALFFFTFLSFIYGGARNQIIMNESASQAICVFWLFNAPIALTICEVA VILTPKLSITLGFIGQNQPNELQYTTTPRLVNGKPIYPYPPLSHAKNSKNSKNSKNSK NIEPMDLQLLQGDCDTERNSSIVDMNSSSFGNLSHSPDKYSISGFSKRSSAPTNTIDS HFEYQKKISLELVVDYKSHVTALGAPRFHASKDARKDYEGGINSENSYETHRLSNEHA HSTSHSSNKQKFKVTFKESDMFVNAPALRD PHYBLDRAFT_189007 MSTMLDEDDVLRSGFLDPGTSRYLLSTPSSLSRSMSSPIYTSHF GEDPDPWKSSNAFDPVADMRQGLGTHDAVADNILGSEVTAASVLVGIELPEIYDTAYL RAGPVDDRVSLEALGKVVSLATLPSRATEKVLHTAVPAGALYVTHNEFNTALALVACA QKNMEISLQTVYQHRNDLPIPTLPKLNEFHIKRNSRQILPPPANQTQQMDDPWKVILT PPNHNGPNSTGPLSQHNNNNHNHNDTSLNENTSLNNSHGRTQSMSSLNPAAHQDSHLS RDLKGNSFVPTHDIKPIAETLEWFKDLDVIKLSIAPEKEGFLFKHVNYVVESQKRQSI VLRRYSDFYWLWETLLKRYPFRLIPNLPPKKLGAQDDVFIEARKKGLNRFIHAIVRHP ILKKDEVVDRFLTEPSELLAWRKANPPVTDEEFVRIHPETNSLEAYIPRDLEDRLEKL EKQLPKMVEHYHNMCAMMEKMIKLQEVYGTEMVRYSIALNKISETENTCFVPDCHGCK QVVRGYESVAKHMQKAGSITEEQVSCSIDSVLEDLKQYRDTLVSFKETMDRKPKLSVN QIDTLAKRLSANHAKVNQNRGVPGLESEVERLDLAIKTDHEKMTWQQQRDIHIRYSIS CELSYIHKQHALVAHLYQNYTHDQLRFSRKSVDNWKALEVLTSDIPEPEEFA PHYBLDRAFT_178320 MLRNIAARVARPLTVAAVSRGIAPAAARFASSKPSTAEVSSILE QRILGASAEADLQETGRVLSIGDGIARVYGLKNVQAEEMVEFSSGLKGMALNLEADNV GIVVFGNDRLIKEGDTVKRTGAIVDVPVGPGILGRVVDALGNPIDGKGPLETVGRSRV QVKAPGILPRHSVNEPMQTGIKSVDSMVPIGRGQRELIIGDRQTGKTAVALDTILNQK NWNNGSDESKKLYCIYVAVGQKRSTVAQLVRTLEENDAMKYTIVVAATASEAAPLQYL APFSGAAFGEWFRDNGRHSLIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHS RLLERAAKMNKAFGYGSMTALPIIETQGGDVSAYIPTNVISITDGQIFLEAELFFKGV RPAINVGLSVSRVGSAAQTKAMKQVAGSLKLFLAQYREVAAFAQFGSDLDASTQFLLN RGARLTELLKQPQYTPLSIEVQVPIVFAGVNGFLDKLPVGKVVDWEKDFVSYVKTQHQ TELDEIRTKGVISKELDAKLRNICETHVKTFL PHYBLDRAFT_118397 MSFNTEYDIICVGAGVVGCAAAKVFGDSGRKVLVLERDLNEPDR IVGELMQPGGVHALEELGMEDCLEDIDGIPCYGYSVFREGKMVTIPYPINENTGKQEV GRSFHHGRFIQKLRMSATNTKNVTIKQMTVSQLLTDDTSDRIIGVLASDKEGKEKRFT APLTIVADGIFSRFRKDFTTKTPDVRSNFVGFIMKDLNLPLPEHGCVVMVKPSPVLIY QIGKRDTRVLIDVPGQLPSVGNGDLKKYLQDVVAPQLPKVIQIKFLEGLETERLRSMP CGFLPPSANQNDGTVLLGDALNIRHPLTGGGMTVALNDVILLSRLLSKENVPLLQDTD LVIQAMGAFHWKRKRYCTPINVLAMALYRIFAANVPDLQVLQRGCFGYFELGGECING PVSLLAGLADRPLLLVYHFFAVAFYSIYLEFKSGTNAEIPLNFIKIFTVLYTACVTIL PYLWSEIQD PHYBLDRAFT_151426 MKFTYIIAIVALVAAVVSAEDSQSVKSVGSDGPVTGFFNDFFEG GKVSENSRKNAVSQDE PHYBLDRAFT_24100 MQIDQKQRSIIYHRGQVGAVRNDTDIELDFRQESHFYYLTGVDE PGFHVVVDLETNKVYLVPPTIPENDIVWNGSPDSPDELLQKYDADEIVSESDLPGLLT DLDPVTIHVLDTTDKQVLYAAGITDKKLSTTYLRDALNEARLKKFPWEIETIRLAVYG SSQAHKAVMQQAKPGLSESHLEGLFRWICSRNGMSRQAYIPIVASGPRSTTLHYTRNN QIIPAGPHTLVLVDAGGERGCYGSDVTRTFPATGVFSAEAKTIYNIVLKMQESVLSRL RPGAMWSELERHVIHVLCSELIRIGVLVGEIDQLVDMGIPHAFFIHGLGHSVGLDVHD RVDSAGYLTNFLQGRPLEANMIVTVEPGLYFNDSMLDIWTQYPGYKSFFDLDVIRRYR VVGGVRIEDTVLITKDGHENLTLAPKTVKEIEDIMAGQQLSNSNFSNIL PHYBLDRAFT_189010 MALAKKPYASVSMLLLENVNSASPYFISLTMFWRFGFHNPSVID TLLDRGDVKLDEVLEEEDLLQEAKSHNQKLVDFLVKPDNLCLLIHYITAPDLEGNKRL KYPFLASEIMACEIPQILDAVVLEHRPLLESLWAYLDRPAAPRRRFGASDETEVGLDS MQASYFCKVISILMAKQTAEMLKFITAKPENIKKLLAHLQTSSIMDLLLTLIRMEELE EGKGIIQWLNDYGLLEDLIDRLDPYLDDDEHSIAQQCICEIIRMSQTSVQDSPSIGVN DIIIKLKSQPVMKKLTMFMLNTEAPNSTSALINGITIIIDLIRHNNSDVENDSSLNGN YGCQTSQIMRDMPVSLADMLKVLSDHVGDFNQLLLDPKSIKKSVSNAMGEQASLGFER LRICELFAELLHCSNMSNLNTTALNESLTPESPNPDQTQKSDENVCITKDDKPKPAHD NDDDLFSIGDYLKQKYVEHKVMPICVDLFFSFPWNNVLHYVVYDMLHQVFNGRVDKGL NRSLIISILKDGQLTDWIIKAQKLNDIESAKRKGTRLGYMGHLTFISDEIVKVFEGYP ESILNTVKDDIDLDAWNKYCSGELRDTKERDRLPLGGARPNDDPDHISDDDEEGDEED DSRGVPSQVSFKEKERENQVWVVYI PHYBLDRAFT_24180 QSSFLQSVFNSVNVLIGIGMLALPLSFRLAGWFWGSIIFFFCSF LTNYTAKLLGQCLDAYPGSATYGDMGAAAFGDKGRRFVGTIFITELLTACVAMIVLLG DGVQSLWPNLDLITTRIISFTILTPMMFFPIRKLAYTSLIGIISCVALVFIVIYDGLV KETHPGSLRDPMPTELFPSEPYNIPLAFGIMMAGFAGHAVFPAIHHDMKNKKEYVPMV NLTYIVALFVYATLAMAGYAMFGLDTMQEVTQNLAITPGFNKILNRVAVWLVVVTPIA KYGLMMNPVTLTWELWMISHPKVESWCKYHSWRETFLVVSSRICISGLVIYTATVFPG FDRVMSLLGSLFSFSISAIFPLVCYKRLFGHSMTKTGAAINNVLLAISVIMCSLGTLW TFLPSS PHYBLDRAFT_189012 AYFTQTHTYIKRFHIRTHTKAQYEHKHQESLFKSKKKINFPFFL CFWSLIA PHYBLDRAFT_160404 MFEFEINLLLTNTGPFLEDPCLGALAVAVAVGAGAGAVALVGGD GCVGAGCYGDVIVEVY PHYBLDRAFT_136854 MAEQSEIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYVATLGV EVHPLLFHTNYGSIIFNTWDTAGQEKFGGLRDGYYIQGQCAIIMFDVTSRITYKNVPN WHRDLVRVCENIPIVLCGNKVDIKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPF LWLARKLVANSNLDFVAAPALAPAEVQVDATLMAQYQNEMEEAAAQPLPEEDDDL PHYBLDRAFT_9697 MQIFVKTLTGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGG PHYBLDRAFT_118400 MKLLSVIVFGITASCSMASNVLVGYFPNWLYARFPVSSIDFSKY THINYAFAIMIKDATPEWTDPQQVSTQLPQLVTAAHAKNAKVLISIGGWSGCLTFSSM AADPTQRATFIAWNVAQVTNYKIDGIDIDWEYPGRQGAGCNVVSLENDANNFLTLLQE LRKALDSSFGAGKIDITIAARVRTFDTSSGNMPDVSAFAAVIDRFNVMTYDINGAWNS TTGPNAPFNFQPGYGDADSYVSGIQAWLKAGVPAKKIVPGLAFYGRSASVVPSGDSLD AYWQDPYCSKDPGGLSGVWRYGNLRSQGVLSSPTTASAGWTRTWDNVTQTPWLFNPSS KIFISYDDPLSIQIKVNYALCLNLGGVMVWSVDEDSSGGELLQAAAAISSGKYQYQ PHYBLDRAFT_174218 MDPLFFQGINTGIGTGIGTGTMATTMAPTQSSQTQSSQAQSSQA QPQTTAYLEQVIRLSERVPTGAVRVERDYSKGDGITQFDTTLPTELEGQIEPELFEET IKEVNKILSEAEKISVFSILENVMECLTIYTWPLLFSTKYQKSLNRLQAFLLTENQTV YHPRDLSIANPVPCAFLFLEIKTFG PHYBLDRAFT_178323 MTDYCPVYAPFFGSMGCTAAIVFSCLGAAYGTAKSGVGLSAMGV LRPDLVLKCIVPVVMAGILGIYGVVVAVLLSGGLAMKQTLFSGFIQFGAGLSVGLSCL AAGIAIGITGDAGVRATAQQPRMFVGMILILIFAEVLGLYGLIVALILNTKAAGGESV CL PHYBLDRAFT_189015 MSVNNVTVDLARLSFDTPESAYLLSTPLLSGSPAGDHSSAASRS TADVSPSIRVIREQTPRGRNRNRQIVPSDDEEDDEEEEGNEQGSSDDERGRRGNALSP LPSRNKPTSGVSTPSKPGRKTQPLPSDDEDSEDEDDSESEKRGPRSGQAQQQQQQAIY RLNGTGSSPTVYGERGSAARPPLHQRHSDSSSNSNQSIGNNGGGGGYMMGQDDYNNNL NLNNNNLSLNNNLNLNNSNNSLNLNGNGNNYQQDVQYYGNGEEFIDDEDNEVLGQHMR HPQAFGAPEGSHLQQLQQMQQYHQAQLAQFQYHHQQQQPQPSKSASHLRPHSQNNYQQ QRVSMSGMDLLKQLEQEKADLKRQKPKLNTSDVKIEGLLGRLPEPGSHNISFQQIQQQ QNGMRKSRSGQRPVSSYGYLDMPQQHQPSSRSPSPSSGRNQMMYSPQPGYVAAGNAYQ YGYLPPTGVPYDYLSTSPNGAAYPRAPTPTGGQQPIYQQYAAPYQQQPQCNY PHYBLDRAFT_118415 KGHRASHCNHVDRPLIEIKKKGRPMTQCQKCRELRVVRQLHVKC NCADTTGKKKE PHYBLDRAFT_189016 MQLRHLKHEKDSSSSIDRESVHDLPLAISEHEVSFSHGVEREAQ GSSYLAYFNVVCVVAGTGALGLPYALRQGGWIGILIIALSWLFSTYSGVILIRCLYYN GHTRLSSYQEVAEAAFGKIGGWIAFFFTAITLIGVPVLYLLLAGQNLHTVLIGTSAEL TFPIWVIICAAAVAVPFVFFKSMKEVGFLSAFGMLATVIVVLIVVVTAARDRPNVVNV HHDPVIWDQFPIALSSIAFSFGGNPVYAHVEAGMRQPKQWGRVVAAGLTTCVGLYFLT AIPGYFVYGDQALSPIYNNLPQGPEKTASAIIITAHVLLACPILITSFALDLEKLCGI SSFHHSRVVESLLRFALRSCMIVVITVIAIYVPFFGDFMSLLGAFSNCALILIFPVMF YFKLTGLRNKSWYELILGFFTVLLGIVGLIFGTISAVKALKDDFSQQ PHYBLDRAFT_189017 MLPPFTKRDMPPRQVLTTDRTKHRRNSNYSIQLPSSDSLSLEMG HSGSSRREKSMWAVKDKVLEPHQFFLSTTFQNCKRQLRQARWQKLLMAVIIGFFILTY WLGLLSSNVKDVTLEEQDQIPIPSQTRDQLILYRIVGNDLPPRHKEGQTLSNLRFILE HEPAFPNTRKLFVLNRISDPQNEATIIRLLNEYGVEYIRIPFEEKVYENIDFRLEDFP EPDYLHSDDYRRFSKVKKLRALDYTYHDKNLYAMNNNGGRNTAIEHAQSIPNAKWVMP FDGNCFLSNNGFAEIKSQLDRFGGDIKYFVVPMTRLLNNTVLLDNTEDRPKAPEEPQI IFRYDATEEYNLNMRYGRRSKLELLWRLGALENRRLNRPTVPWESSERPYSKDKGNFR TIGWVFRLFSGNPQQEENKKEASSIRGFNRLLAIQSSLDSLDESIARRTFRQDKLFVF DEKDMAKVRYHYWANEPDVVAVVDRLQEQAATMLHFTQQQLDPMSAAAAATAADPLQR MDPQTNDDQFLASLPKEAGIEYLGPLTHNVTVLTLASYFLGDDQYGRCAANLIRVHFL NEYAVEDEDAYSGAREEPDTSHLLDFLSDQGYSFPSLNRIPQIIRKHNSNRIFKTSDL TKTDVSSLLDSMRILRRMQLLTHKEYINLQAITAEFLEYHITSPTGIHLAQMADHRGV FYDLQVTALSAFANDVRLFLRVANRCRMRIGKQFTPEGNQPFQKSTAQARLQQQLSSD SFNMEDKDPSLASALSWRVSLHYETLNLQYWTVLAKGIQNAGVAKDVWHYTAKHQETL SHTVVSHLKRNYGILDSLSPVDEAFARARLRPLAYMAESAFGNSFASGKLILTEKQKE DQNWIKNHVRAFGARYLTDVDPQGILEDMVREDELKGRMGIPPFWMLATLSS PHYBLDRAFT_151439 MENNEYYDIDSILAEHIKIPCTLNHDLGAKVNLSGHGIEVKKAT QLELPFWMAKPMAQLTLPTTNENLIALEIPSFFGRRVRNNLNASPTNVDLQSACPYFY VFGTKLVDTVVDDTLGPLMQKTYKARLREIMDHSQTSAAGAGQEFMYKSGLESANLLR QWQNRSLHKLKQVDINLRAS PHYBLDRAFT_183548 MTNYEIVYAVHNFEAENEDEIAFGIGEPIQVLERDEKYHDGWWQ GRNPNGEVGLFPMSYTSPNKPKTLHAPSSNSGVSSSSRHTSTGSNQSNKTSSAFDMDG LFEPSLPTPKIPIEQNYPPALVSSRSAPTNSNIRRPSLPDESAGRLPTIPAAAPAVPA VPAASSSIALTFDEKLEDWDVEQVANWLIVVGLGMVADLFIEQEITGDILLDLTIDSL KELGITTYGKRYKVMNAINKLKNKQSIGETHESTSLNGPAMSSSTSSPSSSSLQPQPL PRTSLSTGNIPPPPPPPHSLPPSLPPAAPSAYPNNLSQSNSSESDGLYQYPRKAPLPP LAGAMGDSDSNNHRLSPLFQSGEGVRPPSFQSMNSGSNVSRTNTFNTTSSKKSSVSSG TVRSHERPLDLFGKHPASRLNSMNKARTDPFDVGVFPTPSKSTPSHGSPNTSRSFSIH SISSKHDKQADFALSVDPLVAGRPSLDGNSKVPEHEGWLYKQSDRYRTWNKRWFVLKG ANLFYFKGPKDVRMKGIINLRGYRIIVDATIHAGKYSFKAQHERERTFFFYTDAKDSM RAWIQMLMKATITRDLSAPVMSSNHVSTIPLDVARRMRPRPPSVIMYKPQKPKQDAKM EMLEEEEETTLGPMAIEDDPSVGLQYRQTRESGVTVYPHHLSGGEIPEVPDLYQKYRP ESKSETKQEKVAVLGDEDEDLIDPHHRQLRVSSSSDKSSSHSSFSATDFPKPWTRAQY IEWVNQHLPAGKHVVELTSAFRNGDALVLLLESLSHKVVRRAPTQKGGSVSMQMLDTI VAAFKFMGREGVVVDGRYTIKDVFGGNERKIMDMLDAIKLWAENNDFSSVATNEPAEK TPGWSESGMLDQRLATANRAEQALRRSMFEEEHEPIIF PHYBLDRAFT_69404 MTHLRIQQKKKGFKDQKLKKKLSWPSSIGKTDALKVIKEFPFTT SLNRKQFNSLREQVLISINSDQTLIFERNLQKIQPHKTTALNFVSTIRSRIKDSTFCR FKYIDKRGYRFILYSTYLFVLNKIGSNRRSSILETSERIWN PHYBLDRAFT_118337 MTVDDDLEADENENEKRSNPAWPFVFPHNFALTPPTVSLDSRLD DDEGAEDSDYDYDDEDEDEDENAFNQLYARNKSLSTAPPEIVHERLEWQQMLQSVLMG EVIKSEKKRLLSTDQFQLQKPIEEIWLSLRALLRNRGINEEQKYLQESRKEIDSVIDR VNKFKVDDTSDQSPLVQVAETLKSVDRMESLYATRADTMAAHPKYGEPAFQVRLDALN AWYTITRSLQMQLKILRDWTGSEDLQIARKQVDLDDTSPMSSFLHVRRHNDPSFLERI LKESALQDTFDKRTLSALNCLLLKSKQTMVENSSLFQEMNMPPFIAQLRQLAHFPISL VEEALKLRLEYKDRLSETPSQMVDAMMEDYRGLLALACRVKRQHEELISPAPGWEFKD SECLDLAYNNVLMESLRFYFKLIAWKLDIEKENSLRICEVMEKEWEFLLSTICCVVNE AFDECAEHFCLEAPLETLDNGVESGYTKILHTMRMRARKLLQFSKQFENSAEYLVETE SSDDFSHCLVSTGHFLAYTGTFEEDRVYVIASPSLYGREHQIQPILHSQFSHEKSPLG TPGPGMNKLTEEFGDYIILLSPWQNIIWTGEIVNVPIPSIPVGLKARRARLITGDARF LSIAKTSFGEAVKTSGVQVIHEQRAHLPKLNRQLAKIKSTVFKLAQVTISSVCTIRQK TLSFGCQELIEECFSFASDFGVRSAKFLDMSARLQLDLKLVQLANSWICFITDDCIPT DRKTFRWAVAALEFGHFMTRGASILSLNENEFATLQSKVAHCIALLISHFDVLGTRWT HELKEEQQRKRGAATKRTSYSINKRTDSKESIMTSSTFGSAADNTMLANSVIYIRDAW MQKIIDIETKRTENEQECKLVGKVLDDQKPEDQSLVFLAPSSSNLSFRWQQGRFIGAG TFGSVYLAINLDTSSVMAVKEIRFPDSSSLSALHKAIKEEMKVMEMLNHRNIVQYYGM EVHRDRVFIFMEYCENGSLGTLLENGGRIEDELYIVSYAHQLLSGLAYLHGNNVVHRD IKPDNILIDYQGQLKLTDFGASKILAQGQKTMGKTTVNMNANSLAGTPMYMAPEVITG GDTGRKGSMDIWSLGCCIVQMATGRRPWSTLENEWSVMYHVVTGHPPLPDVSQLSTLG IDFLKKCFTRNPNKRPSADELLSHPWIVEY PHYBLDRAFT_128104 MYNLAQLSRSRAIPSLARNSGVVATLARPYSSKINGPVIGIDLG TTNSCVSVMEGKNPRVIENAEGARTTPSIVAFTKDGELLVGQAAKRQAVVNSQNTVYA TKRLIGRQFKDSTVQADLKAVSYKIIPHTNGDAWVEAQGKKYSPSQIGAFVLGKMKET AEGFLGKKANHAVVTVPAYFNDSQRQATKDAGKIAGLDVLRVINEPTAAALAYGLDKS EDRTIAVYDLGGGTFDISVLEIQNGVFEVKSTNGDTALGGEDFDSHLVRWVLEDFKKE SGLDLSNDRMAIQRVREACEKAKIELSSTVQTDINLPYITADASGPKHINTKLTRAKF ETIVGDLVHRTISPCEKAIKDAGVTTKDIGDVILVGGMSRMPKVIETVKGVFGREPSK SVNPDEAVAMGAAIQGGVLAGSVTDILLLDVTPLSLGIETLGGVFTRLINRNTTIPTK KAQVFSTAADGQTQVSVRVFQGERELCRDNKLLGDFNLTGVPPAPKGVPQIQVEFDID ADGIVNVSAKDKATNRDQSMTIAASSGLSSDEIENMISQAEANAESDRARRETIEMAN RADSVMTETEKAMDDFKEQLDKSEAEKLKEKIVSLRVEAMKAQSGDESVKPEELKAKI DELQSSSLKLFELVYKNRAAQNDQNNASNDANSSNSSNSQ PHYBLDRAFT_136873 MTITQETYETSANYLKNLVPKEFQTVKFGIICGSGLGGLVDTID QSTKVEFPYETIPGFVSSTVVGHAGKLVFGHLGESRKPTVFMCGRFHFYEGHNLQQCT LPVRVMKLLGVETLVVTNACGSLNPEHKVGDLMILNDHLSLPGLAGNNPLIGHNFDSF GPRFPPVSDTYTYNLRKIAFKAALEIGIKQDDIREGVYAFVAGPSYETRVEARYLASI GADVVGMSTVPEAIVARHCGIKVLGISLVTNAVVVARGKDAKKEVLVELGLSTEEDTE IDIEGYKANHEEVLETSALRAVDLQKLVKKFADLI PHYBLDRAFT_174229 MQVPVIEKPEEWMSEIIKLEALFRSCEGSQQVADLLKKVKKAVN DFDGKNGHSPIKLQAPENVKYPGRRKGSRRPKYLPKDFGRPMWRKTSILAGTAGIKAM YRRKVTKMVEKSLSGLKVTRKQNKNIKKIKKEPLDSVNATKNKTKQIEKEPLDPVDAP QKNGFKRPATALEDYQYDNRTSVGKRVKFQPGFPVSHEIIDDVKGGFSPTADGWCGFR VLAHLIYKDQNKFPLVKRDMLAALPKYKTLYANTFGTDTSQLEKIIQHGSQLDYSNTS NTNTNTNTNFIPVCSDASMWFNTPDCAQLAADTYTRPVCVCSDSPNTPSTTFLPFALP NNKTKQRQPLIFNHVNSNHWTTVDLSHNISRKLPTVPELFFLGYARNKIDDNFDTYWN KLKEFNKHDRRNAMLSLHSQRDEPIDLTPK PHYBLDRAFT_69409 MLEWIALCTSWYTIKLYRNIFTMLTLTLMFMCVYMYVYVYVFMI VYHYTAVNAQEDITAHKEVLQLTIFRFYGFIKIMSDRARQKFNSRKKGDDVSRWEMTF SGWRQHFDFTGVVSNIIVDLN PHYBLDRAFT_183552 MNPSHEAKEWQISQSPLQQGPPQSDGPAIVSGAPHSMQQYSPLP TMGHPYSHSFAQASPMHPPIHQYLPITSGYVPPNRVESDPRLPGMMALSQPGTPRPNS VSHDVLPSPTKMSRQSYATSSFHAGPYPPIALARKRSRNDSLCPAEAGPVFSSTKPFD NLYALDRTTLMVPRIQAKMDRGFFLFYNDWTCYRRNYFQVSGAFSIQGLNHYYADTEP QCLVQKEDGTFSQIERFYLGISARVSNSEKEIELIQHTPKRDKGPQIKPEAKAITAGG NLGMSTVGANQNIATFERIQFKTATANNGKRRAAQQYYVCLVDMYALTADDGQKIKIA SCQSAPLVVRGRSPGHYADSQERHNSIQSTASATAGTGPVSNDGPQLSPQSQSDEQQQ RFTTPFPRPPMTPPGMMPAEYNSSAGGYPYYSNYPHFAPPLPHNGVMMNNGPHTPSHH PSTPSPYPHQQQQQQHPHSQHQNQQQPHPHPSQASHPYMVHSMPETPQDSSSPDMYPG EYVNQHQHHQQHHQQQQQQQQQQQQQQQQQHHHHQGNNPNSNNNDHDNSKMSHLSQAQ AQAHHNSNLNIQIPMDSTTAEWTRNRFHSSGSVQSPAHDHPQNSYFPHGTPTLSSAAS QTSAFSPTTPTTPYGPRKYNAVPNLVNQQSV PHYBLDRAFT_174232 MTPLVQVIENIDKKVLTKKEKAYELWVSVDVSVDVDVSVCGWGD EGAKRKNPLVWCREINIYITITIFFLSGKTVYQLQQQRPEEKKFFCSNGYIGFKALYE CVVYI PHYBLDRAFT_24034 LLEIGVGLTGCGALFMAMGVMLMLDVALMACGNLMIVLGIITTI GPRGAFEYFRRSDRWLGSILFLSGIFLILVKFPLIGFLLELCGCLKLFSNSFPTIFGV LKQLPFIGPKLARIVF PHYBLDRAFT_79489 MSKNDQKLAGFDNIWLQLDHPQRLMNVFSLWVFKHELEVEAVSE SLEKLCKEYPRFTEIPINGSSFHTATWAKADPWCPVMNIKRHTLEKPTEECLKAYMSE EIIKPFDPERPLWQLHMISGLENGKAAVYWKAHHALSDGEGFIRSLLAVTSFGGKEDQ PKLVTHARKTNLLTKSAPVYPITDHTLSVQLIKDMANFLILWLSFWLHVIFVKLYSIW IIVEHDVRMGLVCLLPLHRHDLYYSGIQKNQKEISWSESITLDDVRIVREAFHGTVND IMIVVVTRAIKSYIESFGTRKDNYARMLIPLSLRQPDDWSTRNVVSGTWGWFSMKDLD TRSLVKQVQREMTAIKTSYFPTAYYQFMQSVMGSIPGILPPLQVVDLYADIPHAVFTN VPGPTTPITFGGQQVEEFRLCSPLSGKGSLGIGLSSYCGKLAITTQSDSHPEYPHLAD SICKRFVKEFDLLLAEAKDELARKPELKPIS PHYBLDRAFT_79490 MKITIVDSRYNIPTRYLRLRIPNQRGRLLFLWPAISKFNKYIKV GFRVNRMTWNMWHRQSLTQIKPIAQRHSALLLSSNTRSSSQSAISTLHTSETIPRQSI SNSTNDKTESAQPNDDSISESNSSSRSSSSYTPFFESQLGNTRHSLSGETSGLRRCKT HYANLYQYFAHNIKT PHYBLDRAFT_69415 MLKRLDWIRTQDIPWSYTATHTFLLIRPVSEPAQIAIPTNTTHI SMPADDISLSASWTDLGEALRESASEISPAALISEYENNPLFVNDEHSILYDRSEHPS SPSSEEEWMDVQRTEKGSLEAISLSQRIDIELNDPPKERPSDESQNSRFFRATSTVEQ LFESTTISSSIWNVFSIPIVTKTPSAWYTRPATFPDLLNSQDFGPDKSKLKDLEKLGN TQTSLTSPSSPYSIQLASDGRSFTREQQPVTAKASAMTPPPPTTPTMTPTLWAVRGMP GAFPWG PHYBLDRAFT_128111 MFLTRSEYDRGVNTFSPEGRLFQVEYAIEAIKLGTTAIGIQTSE GVILAVEKRVSSTLLEASSIEKVMEIDVHLGCAVSGMTADARTMIEHARVAAQNHRFT YEESLKVESVTQSVCDLALRFGEGADGEDSIMSRPFGVALLIAGIDEKGPQLFHADPS GTFMSYQAKAIGSGSEGAQTELQKEYHMSMTLQEAETLSLTVLKSVMEEKLNKANVQL ASVTPGRHFRVYGEEELQAVIDRLAA PHYBLDRAFT_174239 MEYTNISNACILLMSRKQNLHFELSRLEYGGFNEYPRHLGEELF DFPLGGVVVGYPKLTKMLEYLAPEIIAHIADYLPEQSAGELAQTCQSCYNAVLPRIWQ QLSIVEPEHLSRIAHRLQSNRSWNQNAIRFVRSVNFSKKNNLLHSIYPSHLAAILGYT ISGLDDDTRAAHRPESLIPRKNQPMDDFARNLLILFPNISNLDLECDLMLSSFCCGTG ATKDQGQPRHDSPYGFNGALRLRDYTTGNTALLRAMLDYFPNIQELTLEGSRIVSICD DIDASILSKQDIIALTSFDSRMLGRLSLSYLSHTIPLSYYQSLFLCLPELYDLSLEWF YPPTKEYYIALSRLIQNTFSLTPGSVNTSKNSYKVRFTYRSNK PHYBLDRAFT_128113 MEVHRCRFVEYQPAAINALNFTPPTAKQTRLAVGRANGNIEIWD PAQRYRLEKTIPGGKDLSVETLLEQLLAAPPRLFSSGLNPYIIEWDTTSLTAKKSVDS NGGAVWCLAVNSTGTRLAAGCEDGCIRLFNISDGSLEYMRSFESQKGRILSVAWSPED DFIVSGGSDSSIRIWNVVTGRAAQRMTVNKVKNDHTLVWTVAVLKDKTVVSGDSLGNL MFWDAERGTLKQSHKAHGADILSVVTSRSGDQVFSAGVDRKLNCFRKVQQQRNNKNTS TAGNWVNIGSRRYHWHDIRALALDDRPSVNSIVSGGVDVELVACPAAEFPQLIQNRLP PFPHKYLIALSRSQKLIMSTFFNSVSLWQLGKGKKRSKKQNTRAFGFVSIKPSKFKLN LTIISFKKILAGPLDSTTNALNEYLQKHNASSGAHHVLFTPGSDKLIVVTAESRILII DLLGWKEGSFEILREFGHHRGLDNEGRSTDKSKVATVISLAVSADGQWLSTADDDNRI HVFNLDSLKHHIKLPKSSIPHTALSFNDFRPNELCVALASNEFYIYNVETKRLTDWSK AHTDQSGSKLVEQRDRIRGMTYNPECPDKMILYGSTYLAQVKLSDTATSTTEKSAKHQ KRKFNEDTLKEADEQNKDNTEAKKKGSMDVHIAHKYQQILYCGFLDHNSMVMIERPKF SVLEKLPPSYYKAQFGT PHYBLDRAFT_189028 MESAQLDDWFESAYIYLSTHEKISISNDNKLKLYALFKQVIIGD CNGPKPNLFEFVARAKWDAWKSIYGMPANEAKEGYVSFVESLKVGWSRAGEYKYEGVD EETRGFGTSVSTLSYDTEEIVEEDLFSLTCAGDVEKVRERVTKYNDSVNSKDDEGLTP LHHACDRGFPDMAEALIELGANINAQANTLETPLHYAYISEQLETAKILHNHQCDISL RD PHYBLDRAFT_174242 MASFLLYSFLSLLSTPFGSVKPVSRAAVSFTGGKDSMLALHRIK DSGSTVAVLVTFCPPSGTPFRAHPLTVIKQQAEALGIPHVVCTVDGPDYLASYRSEIV RIGKEFSVDALVTGDIMPVCSDFMERAVQTTGVSLVRPLWMVPRDELLADMWARNFNI IISCVNSTKLGLSDEECAGCIGKQFTKEWLKKLCSKNAKVDAAGELGEFHTMVLDSPL FRYKVEVEGTCGQDNSYLFLQIDSTRLVLK PHYBLDRAFT_69421 MIYTVIPTSNVVDENGAPEPMKYIINQDEFIVEAIATHSEYLKN VTFSEFSSACPMQIDRPKDDDIRMKEANVKRDSVYYTFQDKIEKCMSTSAAAKQLGIH VRTAQRWVKQYNMCPDNIFVNCNRVGRKCILTEEHKNVVINFIDTNPSATVVEETEHL LKRLSDLKVSRRTVYNFMRNKRNLSLKKADFHSIGRNSPAKIEKRFDWVRKWENTDMN FLTNCVFLDESAFDINMKRSRAWTQKGTRAIFTRPITRVNTAPILGDIYASGLITVGV RKPRPTKKRKADGYISSGTVTSH PHYBLDRAFT_151455 MSGAKQYVYLINRCFDSNDCTHVFSTPRKLRNYLRDGYGYVFPA RLKLTHRYNNNKKTPVIAASNLNDLSDHFRTVHYDYLPPQRQQCIQDDLDGKSANVEC HGNDTSQNSMDNSPSTKQKRLCDEGLSVGDIIFLHPGSDRLVIDSFDLAQAFFNLQTY LKQEK PHYBLDRAFT_151456 MFPLSDSSFISSTKRPRADSGHGPSMATNLNIPSWPTPTNTPST PPKNSFPITHNSISFTEESIKDNMSLQSAYSGESSGRPSLAGSSSMSVRVQRFHFGTP GSYYYRSSSTPSLAPDTYFSNTSHISHGSERPSSWTKLRTKAQSIRSGQSSRQTHRSW RLRRVSLLMDQHLPDFETQVFCPTCEKWIQSRIRYRLGSMAWLVFFIL PHYBLDRAFT_136881 MVVVKNICCIGAGYVGGPTCAVIAYKCHDIKVTIVDINPERIAA WNSSKLPIYEPGLDEIVFERRGKNLFFSTDVDATIQEADLIFVSVNTPTKKTGLGAGM AADLAYIESATRRIAEVATSPKIVVEKSTVPCRTAQSMRTILEANSTNEIHFDILSNP EFLAEGTAIKDLLSPDRVLIGALQTKEGLAAQEALVNVYTNWVPKERVITTNLWSSEL TKLAANALLAQRISSINALSAICEATGADVDEVAYACGLDSRLGPKFLKASVGFGGSC FQKDILNLVYLSHQLNLPEVADYWKQVVTMNEYQKKRFVRTIISTLFNTITNKKIAVL GFAFKKDTGDTRESAAITLIKDFIDERAQVAIYDPKVSSEQIFMDLCEPGVVDTRKQV EKQIQICSSAYEAAKDADAVVIVTEWDEFRDDQLDYERIYKNMHKPAFLFDGRLLVDA AKLRKIGFKVNVIGKNELAGTA PHYBLDRAFT_189030 MAKTSKSIDSVDAGTLDGKLYDRALDPLRAKMRRALLPLVRAET PVLAAIQKRIRTPWWDAYFLWTANLGTHTFFMIFLPIIIWFANAELGRGVACLTAAGV FWSGFIKDFMCLPRPLSPPVHRLSMSSSVALEYGFPSTHATNSVSVGLYLFAVSCDTF APESTARMVCVASCAVYVASVVLGRLYCGMHSLTDVVGGTILAYALYWTQWTFRAEFD SLFTDDGYWVFLTIPLFLSLVGIHPDPLERCPCFEDSVCFMGVLIGLFPGSWLCQHTD ICVMSLTSTATQQTGLKAGLIVLLKMVLGVSVLFVWRMACKKACYVILPPIYRAFNLP HRKFEIGARYYKSLKSESIHPIPSVLDLRGLTSSMAESDHVGMQSPIDLHERRVAEAK QCHNRKGRELDEKVPEEDISDDEPLRYDIDIVTKLIVYAGIGFLAVYPVPLLFQLLFG ASS PHYBLDRAFT_174248 MTGEVVSVKVRKHREKKTYTKAINTAGKKEYSSYCSKHEDIMLV HALFFAVVFKSVNNKIVISNILIVIAFSTIKVTNATIVTTFVMSPVFYTNLYTVKCWL KIFSRKIS PHYBLDRAFT_183560 MNVKAVFQVASLVRTATPARNAYAGLVCQKRLMSGQSSDADHAH RRSFSTTTASPSVVVDQAFDYSLPPPPPTLLKPLPMIDFFATQKPKPSYCFSHGASGH AKRGRQTKTIQDNYYKSRQVGEDALFLRHDAIGVADGVGGWSENTPGSSPALYSRKLM HHAFLEMERFDNLEDPHFCRHAEANPVEVLQATYDHSMREAHRENLIGSSTACLAILR ADEVRVANLGDCGISIIRNNSYIFRSEEQQHSFNYPFQLGTRSRDRPKHAQSFNVKVQ KGDIIIMGSDGLFDNVFDSEILATVRKHVSSYIIPGNEHRPARVMEFDPQGLSDVLAK QALDASEDKRHIDSPFQRRAMNSGFYFQGGKADDISVVVAVVQDSEDSPDRRL PHYBLDRAFT_128118 MKAYTIGYAITLVFGVLSSGVTAQCPFHKRMTEGGGQDAKLEQL EQYSVNDAGTQETTMFGTKINNTDSLKAGLRGPTLMEDFMMREKVMHFDHERIPERVV HARGVGAHGYFETYEDWSDLTAAKFLRTPGTKTPVFVRFSTVLGSKGSADTVRDVRGF ATRFYTEEGLWDLVGNIIAPFFVQDAIKFPDLIHAGKPQPNTDVPQAGTAHETAYDFF ASEPATIHTVLWALSGRGIPRSFRQVEGFGVHTFRLVNEEGKSVFAKFIWKPLQGLSN LVWDEAQKIAGKDSDFHRNDLYDAINRGDYPEYEFGVQIVQEEDEDKFDFDLLDPTKL IPESLVPFKPLGKMTLNRNVENFFSETEQVTFHLGHVVRGIGFTNDPLLQGRLFSYLD TQINRMGGVNYMQLPINRPINPVHNSQRDGQMQFNIFKGKVAYSPNGLQADTPSMVDA KDGGYIEYPEMVEGRKERGKSAKFFDHYSQAQLFWNSLTPPEQQQLVDGARFEIGKSK SLDVRKRMIEILNHVDNNLAVRVASGVGVEEPANKYKNSNQTSEGLSIDQYPKAKNIR TRTVAILTAPGTDTSDAKKMYDYLDKEGAYVQYVGLALGDQDGLNITQTYTTTASVLF DAAYVPGGKKAIETLMDNVSQFPYEEPLSFVLDTFRHGKPLAATGAGVELLKAARVKV PSSSKSYAEDKGVLVSSSSTSLEEPFKKALIQQRFWSRMPLDKNAK PHYBLDRAFT_151461 MANNVYSTTALEANHNPPQPPHHDFVDQRTPIEMNPSRSMQRSK TVRNLGNAGSRVRGFFKKQNSKEVDSSKPTQNIRDEPQSFSPASTQRPTRPVLPSSSS YSPKSQFEQDSADLSRPPSYNIERAAQEKDSTMDTRISQPPVTNGIQNITPLTTDKGH GESAEPLNSEAAELMREIEQVNASITRAKQEIEAEAEKKQTLQAELDEARRVFQAREM EYTQVEHSFFAHTRAIRATDDDLSTIRDSFKLLKYSITRVIMTLNKKADRATATECLV AAWPNLNVLDPNSPTKELESAHINLLAEKKVHEHLVQSVFRCPIYPGLSVNESFAALN EWLISHDSKFNVRLRQQLASIVAKNSKEGVIFQAGHAEKKKIADMIYNDIADIYAPFV RENDAAVEEDKRYSTKITDIVDKAMRLVVAIRGQDVDISTLDIEEGKQAFDEETMVDV KGKTTGIIRFCICPVFVGGDGEHGFLEKGKVVVGSS PHYBLDRAFT_151462 MNHQLENLNHGRSFEPNTRVLYRSVTQVEQKKPSIPHYNGRPIG PHTMSSRRPNPRSNETSYQENIRPNNPIQNEAKIDYIPDDLVQINGELPLPFIHPSSK GTEDSNHKSTLLALGGDEESTMRHYVLIPLGKTGDGKSSLLNAMFGYEQFVAKTKAKS VTEHITERTSVWTTGTHDAVITVADTPGFADSYGRSTEFNMSIKEYILDIGSRLGIDA FLLVFKFKASWVMKVLKEFANMMQDIEPDTWWDHVILVFTCVDYNSDISTDIFEKKLY ISNELIKTIQAEFNLTTPPTFVFVSSKQQANCAHFSGKGTCDCKNEVHYKLDRMRKLK QAIAAKNDAGRWFPKEI PHYBLDRAFT_189034 MAMTEIQASILSAIETSNFWLQWRFPIDFCPGIFACNDNPIPGT PIYSSKTQTGLQAQLLLCSGVGIICLLLFCSLRVRWGTMYSPRLRMSKHAPDHLPDTF FGWIWPLLKTPNSVVLEKVGLDAVVMLQFLMMGFKLFALCGFFGVIVLYPISKMGGDL IDPINPDPENPDNSTQPDSLLGLDLSGYSPAFLWVYLFFTYLFCFATFYFTFMNYRDY VYIRREYLLRIGKTIPAKTILVTGIPPNLRSDRKLAEYFETLGIGVVDSVHIIRHVSR LLEFIKERAQYLRRLETAYTDFWGNPCYDPTYDPDRLLREAERDQSLHALDWTTTGTE GLPTHSKDRKRPLVRDGFMGLIGNEVDSIKFHTDKFNEIDEMVLKARKHGRFLPTSVG FVTYEDSISASIASQVLISSTPFRLRAHLAPEPRDVLWENIAMHGRERVIRKGMIWFI LLFLVFFWVIPISYFSALTSENSLRNYFPWLIKLAEKHKLLRQIIQGFLPTLGVNIFM AFLPLILNGLSVIEGFPTRSEAEESTFSKHFFFLLFNVLLVFTISSALFKALTEMIED PTKISQILATRLPQVAPFFVNYTVMQGMMLLPIQLLQIGPIIVQLLQGTFFCKTPRDY AEVLAPRMYNYGSGYPVPVFMFVVLLVYSTISPLILLFGAVYYGLAYLVFKYQLLYVY FHPYEVAGRMWPLVFSRIIVGLLLFELTSSGLFVLRRAYPLAGLCLPLIILTIAFKIG MEAAYQKNTQFLPLQLLAERFGPMASTVAEPPKSNAPPSERSIHPMSNDITSEVATET PSQLENVLDNGLNASEANELNHALDGGSRSDIGHAIDERLGHTADDVLRNDADNENVP VMKPLRRRRTVLDEDDYTAEPRQYTDFKEPPMTLLDGILNTGMKQYGHPALLGVLPQL WLPIKAGHTINSGVCYGSKQRSVGYVASNSRSIHDHDGERQPLLSTPAINIREESSRE IPNDQFRVEESETDDEFEVGTYYHHPERRHSRTLSKHYGAARSHH PHYBLDRAFT_174254 MRFKVLIVLFVLLILMGVLGFAPINLEGRINDKVLHFCSFFLLG ACLYYLWNLSYRRNVLFASIILFFAAVLSEFVQGLLPYRTFDPYDILSNVTGGTCGIG LAFLLDYFFTSRRAHRRRWGGKREAEYQRALMDDIDLEEDDMPLTGSR PHYBLDRAFT_128120 MVKTTIIARLSDGLPLAASMDDEQVETELAEYKGQAKSIFKRLN INSEPRCSIESNGYQFHYIIEGGVCYLCICDKSYPRKLAFSYLEELAKEFNMSYGNEV EKPGLRPYAFVKFDTFMQKTKRIYQDTRTQHNLTKLNEDLQDVTRIMTKNMEDLLWRG DSLDRMSHISGELKDSAKMFKDKARHLNLQALYRKYGPPAIIASFILFVLFVRYYWY PHYBLDRAFT_2141 LKSLTVYACSTFRHRNPSYHYNRSNNPRRALTRPARPKEENVHD NENNDFILYIHDIIGNEQDGKYVVLELLGSGTFGQVAKCRDLSTNELVGIKVIKNIPS YLKQSLVEIKVLDYVSLLNNKCDPYDIHHIVRTYGSFKHKGHLCLVFELLSISLYDLL QQNSFKGLPMDTIRHICAQLLDTLCVLKQANIIHCDLKPENILLKRLHDPDIKVIDFG SSCLEKDQIYTYIQSRFYRSPEVLLGLKYTVAIDMWSFGCVMSELFLGLPIFPGNSEY DQVSRIVDALGLPPPYMIQEGKKGHIYFSKNGDGFKLRSVEEYMESQDKQEKPGKKYF KSTKLQDLIMNCPVRQKGMSLEDGEKGRLSFLDLLKKVLTIDPKERITPEEARQHPFI KG PHYBLDRAFT_178331 MAHGPMSGLKIIGDADPMKVIKVAAKSGKTGEDIQLHYSNYKVA GNGSFGVVYQAKLLRTGESVAIKKVLQDKRFKNRELQVMKSVSHPNIVTLKAYFYSQG DLKKDEVFLNLVLEYIPETVYRTTRHYAKAKQHIPTLHVKLYTYQLLRALAYIHSLGI CHRDIKPQNLLLDPASGVLKLCDFGSAKMLVANEPNVSYICSRYYRAPELIFGATNYT VSLDVWSAGCVMAELMLCQPLFPGESGIDQLVEIIKVLGTPTKEQLLAMNPEYTEHRF PQIKAHPFVKVFKARTPVEAIDFISELLQYAPEKRLTAIDALVHPFFDELRDPAARPV TGKEMPKLFDFTAHELSIKPELIKKLVPPHCEAELLARDIDINHFQPLLLDDLKRAAV KS PHYBLDRAFT_151469 MTNKIEQESSRDIAFEKSDEEKAYVKKLNWTFLPLVGAIVFIQF CDKASLSIAAVMGIMEDAKLTGEEFSWLGSIFYLGYLLFQLPNNLLLQRVSHGPYLGV LLVLWGTVMIITAICHTFAQLAAARFLLGLFEAGTNPCLYIILSRLYRRSEQSACFGF TTICTGLGIVIGTVASFGIAYMDKLGGWRAWRWGYIIFGVATIILGVIVFFFLVDDPN SPLLNLSERQKLIVEERTRDNAVVKSSHFKYYQMWEAIKEPRLWLLSFATLCSSLQNG GLITFSTQFVKGLGFSSTQSILLQIPGGLAAAFGALTGVWISRKTNQTIYTSILMSFI SMLGCILLAAIPQGTIKLLGYYISWAVAGATTLALTIISNDVSGYTKKICYNGMNMIF FTVGNFIGPLMMVENQSPRYVGGMVGFAAANLVTILCMLFVRMLMARENKKRLMNQDT EPTDVYLDKTDKEDKNFIYRL PHYBLDRAFT_118440 MNNDPNKHNPNQPCQCNIDRALHGPNGFVFINGAQKQSDTPTGK SYFAYNIRIGDTETKHRYSEFESLRKSLVRLHPTMIVPPIPEKHSIVDYAALQTRVKD DLSMVEKRKRMLQTFLNRVAKHPQLGHDHVFHRFLENGVAWSDVLHSSPLSDLPKNPL QVIASKQPDIAAYEAILANSLIPTPTATYTLKNPDPRFEESEKFTYRIANYMSNNLDK SQRKVIRRLGELANDYAELGAVYNGFSLNETGAVANAIEKIGQAVDASYTETGQMVTA LEGEFAEPIQEHSQFAHTIKQVLRFRHMKHAQVELIESSLKNKEESLQNLLDIEREAS RLHDAMTTERTIGSNALDIDQINEAANSHTSGEESGQEESPYLNNDHRPIVRRRSRGW GGPVQMISAVGHTLQGLIDVDPASTRHNQIGKTKDSMELLQKALEITRKDLAAASIEV QADLDRFQREKIRDLRDMLIAYAKVHINYCQKNLESWEEARVEIDKIPN PHYBLDRAFT_156537 MTQTTTYNAPFSAKVDPITAKLSPEARIEQQLVRNADFLGTKGL RKVRDSFVIVVGAGGVGSWAALMLARSGVQRIRIIDFDQVTLSSLNRHAVATLEDVGT SKVSAIRKHFEAIAPFIKLETRVELLRNPDYVVDAIDNIETKIELIQYCHEKKINVVS SMGSGAKANPSLIQIADISETFEDPLARTVRRKLKKVGIEHGVPVAYSTEKPHHVKLL PLVEEDRVQEADDFAALPDFRSRILPVLGTLPSMFGMSIATYIILKLAEFPSFEPLSI KLRNGMYSRVHRDLMMNEMKYYDNKVCPLDVHDVSYVFEEMWHGKSILSGPSDRVALA RWDRSKPLSYDNTVCLTKVERTAHQALPADTDLCKHYGKDVYDRVCSKFAQERRLQDL WDDVL PHYBLDRAFT_33266 MSYGKRDEDNGEVGVFYHINKSAVLQEARVFNATPVNARKCRLL LTKIIYLLYLGEPFAAKEATDLFFNVIKLFQSKDTSLRQMMYLVIKELSGIAEDVIMV TQSLIKDIQSKQETVYRANAIRALCLITDPSMIQGIERILKAAIVDKTSSVSSAALVS SYHLFHVSKDIVKRWSNEVQEAVHAKQASGFASTASSYMSSFGGAASNGSPPITSNSS ITQYHALGLLYLIRQHDRMAVAKLVQTFGGSRSGGILGSASTPLKNPAAVCLLIRYAC KVMEEDPGTTPRMYELLEGYLRHKNEMVNLEAARAICEIPDASAKELFPAISVLQLFL SSPKPTLRFASIRILNKLSLTKPAAVAPCNLDMESLITDTNRSVATFAITTLLKTGNE ASVDRLMKQITGFMSEISDEFKVIVVDAIRSLCLKFPTKQAVMMSFLSGVLRDEGGYE FKKAVVEAMFDMVKYIPESKDTALSYLCEFIEDCEFTKLSVRILHVLGVEGPKTTTPT KFIRYIYNRVILENSIVRAAAVSSLAKFGANVEDPLVKKSVHILLTRCLDDTDDEVRD RATLYLAIMANESSAKRLLNDNSTYALPTLERQLVEYVNSPLGNEQQFNLDGVQVISK IQEDEERRRIRSQETSSTPLIATSNASARTGTPTPATGSSPNVSSFDQQALYAEKLAT IPEFASFGPLFKSSSKPVELTESETEYVVHCVKHTFENHLVFQFNCTNTLNDQLLENV GMMMQPDIDDCGLVKVVEIPAPKLEYSVPGVIYVAFEKEDPADFPVVTFTNTIQFVVK DCDPTTGEPDAEGYEDEYQVEDIEVLTSDYMRPRYISNFEEEWELLGENEATETFGLD KDKAPSLKAACVAITDLLGMQAMDDTALPQSAKTHVLILAGTFLGGAKVVARCRMTFN STTGVAFELTVRSQDPEVAQIVLSAIA PHYBLDRAFT_183568 MFFRPNVLLSAASRVATATTTQQHALRTVLRATPVLTTRFYSVS RDNVESRVLDIVKGFQKVDDTKVTLSSHFIKDLGLDSLDTVEVVMAIEEEFSVEIPDK DADAIKTVQEAVDYIAKRDDAQ PHYBLDRAFT_118257 MAGPINREQTIEERYGIPENFLEVEVKNPQTHGFGRKMYTDYEI LCRTNIPAFKLKHSSARRRYSDFEWFRDVLERESTRVNIPPLPGKVFTNRFSDEVIEQ RREGLERFLQIVAGHPLLQTGSKVLAAFIQDPNFSRDNYHY PHYBLDRAFT_79505 MAKNQHQHNDSTEDANIGHIKHTESNGFENESEKTQERVEEEPQ QIEIIETSLPVYEKTEHTEKVCVQKKVVEKSQEPSTRFVTRVGAIPIVHDSVSTVQAL ANKTFLGRFALTTATSTLDTVSKYTSSQPRYVQSYYETYVQPHLERADQLGCRSLDLI QTKFPVVVKPTADIAQAVAGPSLRAMDGVKDKLDSTLTSTFVSIAQPAQQAAKIANQR LTSVVDTVEFTLNHYLPTDPATSSQTRALEMDDNQAVRAYNVLNQATLRLSQHVSEQV RSTTAQLPRSRDEFARLAETSALVQSTTANIQLLNQTVRHSVTVYSQAAHERLPPAVA AKLIVLQSTTTERIQTLTQQVSLQLTQVVGFVKTQSHETPEWVRARMGSLVDIASQQL DTVRLELKRSDISSFEKAKHVAQGLQDQVLPVLQTIQSQLTRYKDQARQRAQDDLRSP LEYLGLHAPQVAQAN PHYBLDRAFT_183571 MSVIEKSGSVQYEHQVHVQHEDDISPELAALILTDPSTGLSSSE VAERQEQFGRNELPEPKSNRLLKFFGYFTGPISYLIEISCIIAAVVGDWIDFGIILGL LLVNAVIGYIEESKAESALDALRHTLALKTRCWRDSELREVDVSELVPGDVIVLRIGD IVPADACLLGMGVNGEKNEGELMIDQSGLTGESLPVAKVKGNTVYSSSIIKQGQQLAV VTKTGNNTFIGRAANLIAITVEEGHFQKVIGKIGSVLIWSTVVLVSIVFVFQMVKYNG TPQGNWKMVLENCLVLTVAAIPVGLPTVMSVTMAIGAKQLAAKQVIVKRLTAVEELAS VSVLCSDKTGTLTLNELTFDDPWLTNGYTSDDILLYSFLAAETGANDPIESAVRRAAE ANLPILQNRSDKRGIPGYKVTAFLPFNPDTKMTQATILDLTTQQTFCVAKGAPQVITK LVGGDNAAVHAVNSLARRGLRALGVARTVPGSATMSDFELVGMISLLDPPRPDSAETI AACNRLGVDVKMITGDQQIIAREVAARLGMGRVILDAGHLVDPSKTEEEVTEHCQRAD GFAQVIPEHKYRVVELLQNKGLLVGMTGDGVNDAPALKKANVGIAVEGCTDAARSAAD IVLLAPGLSTITDGIITSRAIFQRLRSYALYRITSTIHFLLFMFIITLVEGWRMPAVL LIMICVLNDAATLVIAVDNTEISERPDKWRIGQLMTLSIVLAVLLAGLSFATFYVARD VFHVTPNELHSIMYLHISSAPHFVIFSTRVPGFWWENMPHWLFFVCIIGTQIIALFFS VYGVFGEAEGVAPCGYGWGLSVLGISLVYFMILDVVKVYIFRFWTFELTAKLVPTQAR LAKLTKRKADAEKEKHVAALWGKMGRAIEAHTIVTAFQNLDQEKILG PHYBLDRAFT_86154 SENNNKPKRNKSLVRPERERIDENHRQYHYRQATINRGSEKVSA STTGNQPQILERRPTQSRSAAPIRRGRSILGREERVLDDEAEEQKEHEKNQQQNQKNR GCTSGLPGPWVTYCHLITCCVPKPMMRLMGIPDGPAQMAWREKIGLVSCALLVMGFVG FLTFGFTQAVCPLAPTSVRGGQISPGYLIVNGWAYMLSDWNEHPAVPGIVNSSSNVLY SALNGGGMDASFLFQQPDRMTQCATILTPLQTAPVSGYFPCQLFNPNNTSPPDPSSFT NTTQCHSSAAISSLNTLYTQGVLDNKGTYEKPARVYYEWEDVNTTSHFMVYNNDVLNL MLLQSLPRDYFSFPTGGLIEAILQDNSLFGGKDMTRHITAYREPGNRWQEEAECLKAT IKVGSLNTISIGCMASEIVLYVSLVVILSVIGVKFILAVIFGWFLSWKLGNFNEGNSY AARMKRETEIENWTRNIDASAPLGKPRTLPSVYGTPNNKRKTLFPQTSRFTQPEHGST RFAVEKGPTPSWKAPASSSFGYQSRPSSSYIGSPNPSGRFYGDAPSSVRLSGFMSPMR PSSIYSDFYSNASTNGGAPCPFPLSPFVAPQPSADYMPFNFPLAHTICLITCYSEGEE GIRTTIDSIATSDYPATHKLILVICDGMITGHGNSRSTPDVCVDMMRDLLVPADEVEA RDYVAIADGSKRNNMAKVYAGYYKYDDATVDVGHQQRVPMITIVKCGTPAEAGESKPG NRGKRDSQIVLMQFLQKVMFDERMTTMEYEFFNSIWRITGVPADSFEICLMVDADTKI YPDALTRLISCAVKDPEISGLCGETKIANKTDSWVSMIQVFEYYISHHQSKAFESIFG GVTCLPGCFCMYRIKAPKGPNGYWVPVLANPDIVEHYSENIVDTLHKKNLLLLGEDRY LSTLMLRTFPHRKMMFVPQAVCKTVVPDTFMVLLSQRRRWINSTIHNLMELLFVHDLC GTFCFSMQFVIFMELVGTVALPAAISFTLYLIIQAIMGSPALIPLILLALVLGLPAVL IVMTSRKIVYVGWMLVYLFSIPIWNFVLPSYAYWHFDDFSWGDTRKVEGGEKDKGHAD GEGTFDSSKISMKKWSEYEKDRRIK PHYBLDRAFT_90636 RVVVAVVILLITFLAITARWIVLWPAYHDTWMLTPISVGLIFIY YNYYLACTTHPGKIPEGWEPPKSLFPDSEEPKPRYCKSCSTYKPPRAHHCRQCNSCVL RMDHHCPWINNCVGHANYGHFIRFVISVDLTCGYAFLLFLQQLHPITAPRRKKSVLRQ VMFGIDLLLLIIVLLSVGLLSIFHINSVIKGQTTIESSERSRVKKLVKRRSIEPVEFP YSLGIYSNVSQVLGKNPLLWFWPQSITTDGLSFPV PHYBLDRAFT_160426 MGAQSGAPSLIRRDSEGYLVREITAMDRWSMLESQFEDSGGNGD FGGFGLANADQSVEYAQINQAYYADQTYDGIYQAQVNEDFREDDYCDGEYDEMEDYED EAAMNKEMPDMTDVPGVDELNDEFGYDSGGYSDYEEDY PHYBLDRAFT_174269 MDLNMDNCISQITFGQENDQINGTTVLTNRMRDAKHSCELLKHI YEARASIEQEYGQRMMQMAQDIRVPDEETGGVRDIIDAVQQGLESTAENKLQLSEAIR SNLVLPLSNLIQGQKSIKAQTDSTIQKSLSARQLHTRERYNSECLRANKELQKCTAKE RKSHYMRSNTVINNLYKDHEDSMTHLETAVNNWNTEWRFGCEIFEQLEEERIEFFREN LAQYYTLLLENCETKESFDISRQNVLDINVDKELDQFVKDHRSTSTIPNMIDYISFSA ATATTDDTDTDTNPQQDVTRQRFEQTTEHTPRRDPDPRPSKSVLKNKTPEIKQNIRSS QPSKLSQPPQEAPRAMLAHMKIYDWEESDEDQDSDEYQESDIENDNGSDSYSSHDREI ARTIAQASKDECHDNHLVTSSPLPMTSSAEPSVDPILDMPSVDANFDDSPRSSSSRVN DELEDMLQQLEKQKKVSSIGSAKGASITSSRYPPRQRPTKNTTTTTTAASGTASAISA TKINHLQRNESGRSTSSMESSASDDLFDPVSRKSTAPTLSSVGSGNPLGTSRNTLADL DMNVNPNRQQSPSTGQSRNDLESWRSESMSPSNTGTELTFVDYAIARFDYAAKDEDEI SFTKGDLLGIIDKNEDGWWLSRRWEEASGWSEQGCAPNNYLTLVEA PHYBLDRAFT_160427 MYWSRPITYGTPPKPVRGHASVVVDGIMYVFGGSDSRGCMQNVY MLELDTFTWTKPIVHGDRPPPCRAHFAAVDEEEKKIYMFGGGDGPTYYNHLYVFDTLS MLWTQPEVKGPIPSPRRAHTTVIWNKTFYLFGGGDSDRALNDVHALDLNTFTWATIET TGPKPIAKGYQTGTLVGNILVVYGGSDGHACYGDIHLLNLETMEWNDVKMSHNPKRLS HATVCIGSFLFIMAGHDGHYYSNDLLLLNLISMHWEVRKVYGQTPSPRGYHTMVFYDS RLFVFGGFDGKTFYNDLHVLDLSSWAYLPQITNFSIDMSD PHYBLDRAFT_160428 MLAEVPKIPDLLVPGLPDVAENPVAGPDAGAGADADTDADADAD ADAEAGANANANADADSDADADANTDDAAVVVDDDVEGEE PHYBLDRAFT_79511 MELSCPSTFSNQMFFQDHDRDTAIVAPIMKKLVDGVLQNAVDDV PDGSDPDDDSPFERTLCAAWDTCSVLDYARVMATAQSHRLLLKIVTVTKRPRTRELAM GALANLACHWDAGIGPMMLDDMDVLKLCRSVLWHENDARVLLETSRLLNTFLSCSIDT SHQTIVEHDHLTEFLTPVSMAPSVFHQYTSIICNTLFSELLLTSLELMTRIVVYTNAI THSLARRRQRMLEGEAEEEEQEVFFDKADTLALVKWGAERLEEEGHGVGIGMGFNRGI AKNVMHLLWALMAYNMVSTAECGSEMTNCLGQSMSRIVSYIQEDEYESRNEDEDIQSL AHALNTKLSMAS PHYBLDRAFT_136907 MDHSLKLEIPFPNERLAIIAKRVLSVDKELKTDQVKRTVDTAGN TLTVSFECTSTKMLRVAVNSFLEMLTMVTKTMDQFDTV PHYBLDRAFT_69450 MALATFGIITRRWKPTYLSVDSYNSYRGLSDHIPENLFLERITD LNLFIEKNYPHVYPDSYMFLVAIILIILAAVFSIVTRVLDISLWYPLLILIAPALIAY WTTRRRGFYYLRLNKFYDSLYTHLKEISSNDAPHHIRWGYRRLRDDDTADTLHLTQDI SHWRIAFVIEIIQLDPEIDHSHNGQEVLPAYNAASQDVVLDIGPDIIVRPTAEQHNGD QEEGAVQGITTTTNTISNRSATNDNNTLMAEPPTYRQSIDLGTPPPYLRPPQD PHYBLDRAFT_79512 MSTEETPVRSKKASSDSQIATATLFVRGLPPSATSKDLEEFFGD IGPTRKCFVIADKSDGDVKEGEPGFKNRGFGYVHYALADDAKLALEKLKSAKFKGQKK LRMELAKRKSDATGSGGRSNEDGENRKRKRSDNQKPFAKKAKAEETEETEEQEDKSAT VIETDTQIDVPSKKINKSITTTKTTTTTTTTTKGPAAGTEAVTKASRLIVRNLPWKYK EADLEKVFSEHGVVKEVTLPRKFENGPLRGFAFIQYATIAEAEAAMAALNATEHAGRT IAVDWSLSKDRFKKIEDREAAKAAKAAPVVPVAEKAVEEEDTEMKEVSEDSGSESDSD SDSDSDSDSDEEKEDDDEEEEVKEKFAKKERTLPQTTEGTTLFIRNLLFETTEADLKE LFTQWGRVRYARITRDPETGLSRGSGFVCMFNKEDADKCLEDAEEMKKMSQKEETDDQ SAINSLMSKREKKKKGTLHKSILAPDGGSSLGMKFTLHGRVLDVTRAVDRTQATKIKE DKQNLKKKEDKRSLYLMREGVIFPNTPAAATITPAELQKRQMAFSNRRKQISSNPSLY MSRTRLSVRNLPLNIDDQELKKLGFQSIPKFKEEVKAKLRSDLTKEEKEEGWQYKPRV KQAKIIRSKDRIDAASKMERSKGYGFLEYTTHAHALAALRYLNNNPEVFDGKRLTVEF SLENSLRTKANPREDRS PHYBLDRAFT_151488 MSLLAELYSYRVHQNDQYQTPSQYVFRPCQYCPQGLKASIFDGL LVVSRHLNPGIFCFLNQAACTSISSILFGYFHGNRLESEKLPAQAPTPPQEKKTVCTP KSNPHVVAVIESILAEISAGAKEVPAARAGRSQTNSNGIPSVRIAPVKFLPGSAFPSK SFHE PHYBLDRAFT_118339 DRPVRIYCDGIYDLFHFGHAKALEQAKKAFPDVYLLVGVCSDKE THKRKGKTVMTDNERYEAVRHCKWVDEVVTDAPWIVDQDFLDCHKIDYVAHDAEPYQS RESGDVYAFVKDQGRFLPTERTEGISTSDLITRIVRDYDAYLRRNLERGVSAKELNIS FLKVKHNQYIQVYTYTYIHIQN PHYBLDRAFT_151491 MLYQQYGPVRIGRLLSSIWTEGIFPAILGRRNPEDNNINLSSPP IKADPSSTGRRPVLTSWKRTEWGGDRERWGVRLAMGLKNKREGKLNYPTPTTPTTPTT PTTPPPIHTTPTPIHPTRR PHYBLDRAFT_183578 MNILKKDDGMDEWGNVEGGCKPPKSASQTISVFLLCMRRVGWMG VGVVWMGGVVGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELR F PHYBLDRAFT_74048 MNPGERHQENFDKKTLSQFEIEERVQGLLPKELVNDYKKNRRVP HIPEEQRLKNTPAREQKWSELAKKLAATLTGKNKELEEMAPQTATVTAEAIAAAVAEA MKAITAQQESRAKRIKQPDCFRGERSAAVVDGWLRAVERYTKYYNFAPTKACDFA PHYBLDRAFT_74046 MSDKNNNRQYTLKYAEKFQTTLTTVAIEILDAFDPLDSKNIADP KYKSLSDSELEEVAAELHSDRCLKALKYLRPHVAVPVGHFFCDQDWISKEDIPVHSVS GPGAGIHDFQSPSCRTSVAISE PHYBLDRAFT_174281 MNSTTKTYTVMCTCSSCTKNAIGGILQNAQTFKRHNNADKLLDI GPKNRVNTEVVKEETDVEMVDVSETSIDYEDNYSIVSAETTVQSVPFLREDEIFQFEE SDVKTTSLASDNDDPDSSDESEDESEVEVAGVEDFEDMVASEILAFVVASLKIHEMSQ TSQFMALFGVIFQAFYLVQAGGTAMLKFFRHLLVAFDKDTDLPLTIDALKTMTGFNFM TKSIVKYTICNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTEADRAIPLMVF PYNSLKNALAQHFAKPGFEHQIENATEAEMWFCAESDAERAVLEKQHGTRFSELHRLH YFDPVRCMIVDPMHNLFLGTAKYLRYLPTAVLVRMQCLADGILVPPGYAVLSTKIESG FLYMKADEWRSWCLIYLLVVLKDALPEDDYKNWTLFVKACRKLTGPSVTYSEIDSAHQ LLGEFGKECETLYGESSITPNMNLHMHLRESMLNFGPVYTFWLYSFERYNGKLKNIKT NRRNGLEVTFMRVFLEKAFIEFLEGVAQVKSNSDSSSPLNLDAGHPPALPFSLAMFQQ AATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDHYQWLFEFYVKAYRSTSVSFCVVGR IPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKCGSFVRVLFLERTNDDVSEFPGQIE YLFTHTIKIGGVKRVSTFAFIKWFPAYHSSSHQPLADQGLQLWDKGFMEEDASCIVPV HRLHSCFALTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_151496 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQREILPSLTVSAE LDGTKVYHNMGATNGQNNNSNHSPIGQALTTGEYIKYRLPTVSRLIRSQTRAVLATMP LTVNEGAFSTSNRPIADVVQSYTHQQAEVKSVSSAVVEEKTRRHISYMLQRAKALPEK IAQQNRISRRRSRKRNILADYKAIHLADKANLESKFGETVVDLLDYDMLSDIESDEEK NKTRYTPRNRHLLVDEYFTVLKKRRLANKGPDVIGNSVYPIILRNTKLSNEKKARVAA WIHTRQQ PHYBLDRAFT_174283 MSNMSFLTASKIMIKRHIPWEGLQNVTDLYLGPLFLIIHRLLFS TDRQIIFYRQCHCILCLSTLLFSELSDIHLFSCLTLFKQTGQEGVPQQAMEYSRGRLH QGWTNGCSKGIT PHYBLDRAFT_174284 MKNLRSDKSLALCQWLEERALTVVNGQLSPCIPTFISFRQNVEI SSIIDLFITNMSLTNATLNIHTDLSLNSDHRLLSLSFTYAINPTSHAPPPSRKTWNLS RLQEPDVLKLYAHTFVTNSTNLKSTLQSTFEHPPSSRPPIDALTDEFNSLIYNSLSSS IGNRPPRPSHWKKFWNSVLQAAVEHRNFCYKKWRHACGIDRIYWWDKHLKAQAEFRHQ VQSSKRQSWHAFCKSMEQDFSKATSKIKLLKRRRQPQHMFQHSDGPATAATIMCEHLA SVYSSSILPDQCPPPPLHSTSLPFASANSPFVSSVVEGCMQFMPNCKAPGPDHIRAEM LKVIRPQIAPLLSLLFTICIFGPSVVTHRRSGALAAMATLTAVGACRSGFSLLLSSRL FKTFIRPKFEYGLAITCLLQKDVLLLEKIQDKCLRMIVGGHATSSTVVLKHICNLPSM AFRVDILKTKFCLWAHTLPSGCLLSLLHSHHLQASTLSTLHTNLLFASIPPDLNCSSH IKLFKHFESFRQEKFAHFCLTNTKILIQACRPLLGVDPVLFLPATRIERGRLVRWRMG WLPGNPKECACGFDHTSRRHLQFCITIPSQLLSQLPAPPTDEDNIIDFAISALPISST YPSPLYRKALLTILWHIDMLCNPNGNYTHENDHGSLWH PHYBLDRAFT_63758 MIDCSSAEIRAIGKVFRNEVDLILRHWHIKRAWEVNIKVVNSTQ DSNIACNIIQAALNNMMYASTSVAFNNLYNSFLEKCKDYETFIAYFEKMGIPKKQLWS KAWRQLVTFHMNNFIESYHNQLKTFYF PHYBLDRAFT_63756 MSDINTTLINSVRKIEIDIAEIKQMVRILQDQFSKQFAPTVSAE DLSTMQQSIIEQSALECVAESVKRSQFTEYPDQLDKQVISTGGNFKGKNEAQKYNLLL QLLHEQDWKACCKEIPGGQPLPQLVLLLDSNLTMKRLQLKTLSRSIKHDLLDKVFPAF SKEWKSILAKHWKYYMMQLERLAKDSSFAIYKCKSMWYVKSLLWGSFKSDNQKQKKRM VEKNNAQQDANDSSLSSDNMSEMNGDKLPIMVDVLSPLAEISVKPAHKRSRRS PHYBLDRAFT_63755 MSSSNTNQQRDRLSTQRYQCAQCALSFYNYQQLQNHKRVHRGDC TTVAVTDQFILHDVEMQHDGNNIIDNNEFVSDSDYSMNAIEINKAISYKCGCSFEDSE GEAHIYNSSRIGSNTFTKAELISIHLSQLMLQHRISRAAYRDIVRFVNTIIRDHNEIM LEPGAKISHGKTVNALLKSKSSVKGHEYDVCPNGCQLYGINDNQESCVDCGKPRYKTD TEQSQTPAASMKLMSVGGMFSQILADSATRELLHYRANRESVASQLTDIFDGENYKQL VQQGLFSNPDDIAIGLYTNGFVNQKKGKSSYTIVHAVVFNLDPSIRYTNEYLLYLTIL SGPKKSTHLDSFLMPIISEIKDLEMHSLVIKSNGVEICRAKIHLLLASGDIPAVADMA HIGSHANDTECTLKIVLLLCNLWKTSKPAILCKRNQKAHLRLDHCVPYQGDKNFYTHP DDTLSTTEYPFFIPRTSLVTIGNFITSSRPYIPVSFQGSFDNVFSKIDGTRAVDWLDF LLYIVPTLVIPFLSNRAVKTAVLSLVKGCALALQ PHYBLDRAFT_63754 MHIPFIVKQLGPLRCYSTRSIERVIGVFSKLIKSKCKGCCNASF LVERFTLHNYINTAISIQNEIDLIQPKPYGRESYMDLPNDFSGAQLWEPFHRFAHLND DLVEGVSSPSVKDALTRYYQRTSGLMISNIGDFTIVVAGRLWMNSTMYSSCIYQQRRN ERSRGNHYVMFTCLYRNNRNIIVYSWLVGVVIFFFQHEYSLGSLHFLAFVEVMKEHDA AAHDSSVPIVKQQSQNSSTGRQTQPTYAVISVNDICHQVGLIQYPPNGNQFYIISSYY VFNNNMRITKGNLSIL PHYBLDRAFT_174291 MPEDKKNQISLTKFIANLEPFYSNKQDKKHTTWLKSVDRLCKAV NMSDKDVLVVATSYLHGPAKIWWDSIEDRTYIWKEFTDTFMNRFASYNVVYKLRELFS SVKVNSESFKVHSLLQAIDPQIAYELEQRDDLPVDFETVAEKAQKLELVKAKYHNDLV ERSSIGSESGCSTISKASTLRDLVKEFGALKVHVIEQPKSKSVSLPSTNKPRGKCWAC GSEDHISPQCPQQPKHNNDQRKGNGQQ PHYBLDRAFT_174292 MKLLRKPVDHYESVKLWVEELNKSENTTLFTVHKNGSFLVSWVS EWQKENLEEWYIDSTHKTSKSFNTVAGKGPEDYFLFTIVEQNPITNKRLIMIDCSSIE IGAIEEIFGNSIKVQKSTHASNIAHNSVRAILSNMMHATTSVAYDILYNEFLVKFGEY EDFILYLTECGCQKKNYGAKHRDSNTAIKMVEKLSSTAFTCRSFTVDLVVYNIELQNV FLQNCTCLDTSKLCKHIFLINHTLGISYSLRQSLSSSSSAVHVSNTNTKAVVDTSLLS DEIEADIMKYCQLYSVELDSKIAKYKRISEDMSQFLDTLKFAYNKLKEHGSPSQSCPP PHYBLDRAFT_63750 MSTFNIAANYNNRIIPDYIEAVNSFDLSSEDEFTNYHEKNMVFV GKPLENPLKVKTVVYLCDHAGKPQVKKTFQLAQKCVRTTKSIKIGCSASIYKHTMTDD TVCIKYS PHYBLDRAFT_63749 MHLHSNFTFCHTRILVSFSIWVVLPVRVDISNQNQDLQVSFLIW DGLPFTIAKSKGQTHWELESILNYYTLFNYKRSPFTVVDAQKMLLWLIFTRHYCGSLS MRYL PHYBLDRAFT_63748 MSRKTAQMSSLSDFTESQWLNLNQGTKFKIRLPRGGLMKVVICP LNLYSDNTSGNSSKQYNKYNSYLMYFVAMPLEMLPPIVDNFVELEKGIVMYSKDYGED VLVVAPLLLFMGDNPWQLQLAMHSETSGKHFCRKCHLETPQSTQKDNTSEIPYLPVDH NSAEKRTKEFLNAFVTANTDSELYKHGCDLNYSKNGSKEFLRLEAFDATKDMPIEILH IILLGLSQYLVNYLLKFSKMSTAEMARLESALSSYRVCKNYSRKFRNQLHHNGSFVGC DYKQLMQVLSNVMTVLFSGNSKFELLTKTLCYHITLIKAQIGSIT PHYBLDRAFT_151508 MSSDGESTEFDIESDVLGHDTETNAAFIGTNPIAVIMNAYLDIY SNTQTFYHQENNAESFSGYISPLKSKAVFILHVLFYGNENLSSERSIKKIMFAMEKF PHYBLDRAFT_63746 MCLNVLLKVIRCAALLRAQMAGERMACELNFAQIFAVFQLFFAH YKNSQIMLIISLDSLPASLSLHTIICFSIYYVSEPQWNLGSFSSQAIILLPENANKTM LKTCPNLDPDFFWCDTL PHYBLDRAFT_63745 MIEEEQEEAMGENISSLRERIFNMASSTINSNKKSDLPTLAFED CQSLLDFSAASDEWNRSMPPFFPFQNLQTLVLQVFVDSNDSMISVSMLTKVMYTINLL FELKKRADFKLPKVETLLNYQYNKFNKVPLSPTTVKKVTIKATSNLGSGITSQVKTID CHFNLPSDHLRLLLANPKKAFYLSALPDYTENQCLSVQQGEKWRRNPLFQHQFVQTNG KDFWIGDVAVLHSGQSILVERFYMKDMLALFDRYLVEQGSDSEGHALHKAIITPLAIF SDDTSKNLTKTHGMYNSVLVNFPAMSYSMRNRRKNNFFVTAVSQQAGFKSTHLMPVLA TDLKTLENGVDMYSLTYDETVTVCAPLLFIAADNARHAELVGLKHLTSNFLCRRCYYR NLSRFGFDDFDSEYLVCDCQHRTKEHYKIAATDPTCKEKAIPGILPNANNLYLKMEKP INKALILADLGYSHTGTDNLLCLQSFNPALDTPVEILHTVALGVCKYLLNHLFKEVLK GNTASQVKLSDLLEQEKGSRDFTRTFRKKLRHSGSYLGKEFKILAQVLPPILNTEFTN NTEVKVDSDFDQYLNNVNNTARRLVKSLYEYDIYANTKFSLTLKTHLLLHLKEDIKRF GCALHFETEKGEQFNKFIRTHLVYSNRCADNRDLALKFGKQDMLRHIASGSSWIDKST GTQVKGGSGIFAFLHDQENKFSDNFFGKHQEFVNNNHVKTKIVAGVSVAFSYSNNANR MFVGRAVESNSILRIQHYQLFSPNLNLATVRCQPIEHYCNLEDVKIECMLHLTSGSET QNYNFYTRISLGMIYCLTSVGVTIMTSSHKSYFFSS PHYBLDRAFT_63744 MHINSGVVIDVEFGQDVPTDIKTPLESGHGYFHVHNTVNHVDFP SQYESDESDESKLDEAPRVSHYELMNGAEAASLELFFMFVENNVSHNVFDKCVKMMNK YMAECELSAANSLMSYYKMDILLRQEYTVRPVTHDIYERDNMMQLAIILGPEHPKNIA SFLEPIVEDLHMLQTSGLRVQTNSGQVSVKVHFVMATGNNPAVSDLMKLAHHNSFFGC PHYBLDRAFT_151513 MNIDNFITQTSENGVNKDRVELMNYSKDVFNLTEFDQQGIQAWN NYVSRCFSALAYDNTLYSNESYNLGEFMSEGTTNCPKKIGEACAVKWVIRNTNKQPTN ITAEEAKATGIKLCFSQEYSCHRWGTNESKAALRVVQKRTKKNKCSALLCVKGFFKTP KFYEFVVTKDHAEHTPGDMRSDICTLPLAKKYLHELAQQLEQLSKSASQIRIDMLRAV DRYGRKSKRKGNYYDIWNLMNKINKKLYHFDKDQMTSFLIWMNNKLPALNFNIFKANT LYSPDPSAFAYGFMSPVQQEKIKTATSFCLDGTHAISSNVNEILYTLLVQDEDIGRGW PVAFMQKFTPFRQLSLQHPFNFASFTVKIPGSLISEARILRGVMMKSLQEIIYEEDID EFHHKIVQFKEDFDDQESFLDYFERNWCTEAKFKIWSRAYHERQFSHMLTNNYIESWH NQLKTVFMKRSRNKRLDKLVFVLVHNVKYYLTQEYKRVMSNNGPMSSFTRQQRIHEME AEEVDDDDKEMMIVASGTAEDVNWQVWSFVNENTAYVVQVAEPNLIILCTCFGYQQRY KPFSTSETATITPTISRTSAFIQQCIDINQTLRYSNQDLLTMQQYMTEDDGQTLFDAY QCSLQVFQSIKNKYEVHLRRSHTQE PHYBLDRAFT_151514 MSTIQDLTTQTCLEALEATMGNNIPLENIGHLLAGEQEIGQVPN DKTLQDIRRPGGSVPGNSWKLLAVAI PHYBLDRAFT_63741 MSYLQPLDGSIIRAFKTYFKHKQYARTYPYIGMIQNAQQDKIGA IDKIFEIDQLETWESVSAKTIENCWIAIIFCFIEDKDSEDVNQAMIQQSLTEKVLVKG LKETLDKIAGSGLLSLEDCPTSKSDPLYERQCTHRVINENEIADIVMEEHDTDNNAAN NNSNKEIAEVESAVPFKRTYSALEKFECICTLLDILEDENIDRDFVSKVEGLRNKFQK TANSKQTKVTSFFKSF PHYBLDRAFT_118494 HGEAGSTDIESLQIDKASIKEKIEAYSACDIYNFDETALFYTTL PRTTISRQKFSGWKENKKQLTVDPLCNANGTDK PHYBLDRAFT_174303 MNNTESQVISLLQAMHSEIISLKAEQDTIKLEVNSTREELNLNI DYLQNQLDNKGFSEQETVPSATDIPCNSLICVPILNTRDITLKHVFKMMSEDLGIEVN NEEKATLQVFTKINCDELAVYSLVKDLDSCPSWGPIPVMIRKQMCAKYATLMKDAGID LIRCHKNWASASRISHLWRDHYRRLQSCK PHYBLDRAFT_151518 MNTNFATPIDKMYSVKTTLSFPENGYPEKQSVLEAINEYALSNN FTVKIKDGNQRYLSLPARGDNEHCHNHPITPNNLASSHQGRMSLLTSEDAIVVSNIIV DLN PHYBLDRAFT_151520 MSCKEKWAGYLTNKLKHFDCVTTQHVESGHHALKRSISALQSLD SSFEQICSYLLQFEGDYQDRRLDEKLVTDARILADERLCGLVHLVSRMPLFTIRAELL EEVIIGEACYCRVKTMFGLPCHHTLPQNRALTLPDIPERWVLSSVLPFHNKEVEKLER EQQICNLMAKVNDLINNAGNLKDHLEVAFPLSSEVKAPERSKHVKRKTALLKDFVRHK HRHLLVQKNKSEIRKILKEGIIDVMKELLEKKPLKKTIKNIKKETQFAEKQEPLKEAE KYSSGIKRPNHLPDDYWYDIPSPKKQKKNVHDFALPDQIDQTAILLTFNPKSDGWCGF RVFAHLKEGGEDQFPLVKKKMLATMTTHSELYEQNFGMDIAEVTKVIAFGPDIDPAID NQSILPITFLPLHNRKLLKRKLLPMVLHHVHGCHWTTIKVKPHVHRSWPEFPKNKSYL LPSTTITNSLTNSPYKVCAFISNLIKIFIHMALPAKVLDPPIGSTTTLGQANITTPQP STTTSTTTNTRSYLNVATATPAPGQVPVILFSNLPTSTDRVWRESTSRHSVFFTPPTD STLTSEFWTALRASVPTACTLGISFAHRQPLIHELHLTNSTICTKLCSKGFLVGGQTY FPSMGIAPGTKILRISLSQLPYLPSPLLEEAIKTALAAYGTVREVGLYLRVNFFDGTG SAYLERPPNPNATLAKLSYKIPYDGDLYFLGTWKQMGIHCNYCKTGHLQHACPRAPPT DASSSKRPRKVPTTHDSPDRSCKTTPGVSHRPLAKKSIPPRGTPTMAEGSQATTTTSS TNSSTPRRPSVPAVPTSPRQSANRFAALDGLTDNSRTGALFDPTLPLPQTHNTQYDPV FHPLHNAFLPSNYTSGSTKDEEEFHPSTFDGNDDQDSQNILTDDEMADGDHS PHYBLDRAFT_174306 MSKFIQYRSFPPISLGTFCQPIQLGGLGVLDPQVQQAALQLHWL RPLVCSPLSPSGLVPPWFSYVLRLDSSSADPLVPLIFPSLQSSHQRDFDSPLATLLAA IDLLPHNFSDVVVNLPTCLSLPLSYITTAQPDHPPFPSAWRDLRVSDAYEVDPSFGVL AQRPLHRILHRPIVLHRFFERLYTRSLVLHPVLYRATIPPAICAIQFPLLDMPSGTAV DVRPFLMALVPGIPWHHLSTQSFRLLCNFHSKSARPISPTLVPRQLRRFWSFPLLHGA RNVWFRALHKNIPCRSRLNSRISTAFPDPSCALCSHPLDNQTHFLFQCPVKLSVWSST WTLYFAQTATPTVLLSGLQSFTFPPCTDSSLSAASIFGCTLLAIWHHHWLFIFDHVPF VSSAVFSTASSLLDRLKSELALDFPPL PHYBLDRAFT_63734 MSDIPIPISTDGPIPTQSEEFGSHNFEKKVPPKKKFTVQDDEQL CKSYLYIALDSVQGSEQKSDTFWSRISDHYHGSAIKPCLACSLADYKLGCWKICWDLI PRVLLGRDGNKLQPGALLQHLVETPEVDTKCSKKEDEEDIEEEDSGVYLAEISNTDED EVSSQRPVGRKRAKGLEANTRDYTAHMERLYSSHSDYIRKGDERNTILREGLEFAHIK MESDNRHTMAMEREQAMKRQEEDVKILMTNLFSIEDPEDRQILLDIKKEIRKRGVGNE PTRVITAIASDVDVLNCNQRKNNKDIYIICF PHYBLDRAFT_128144 MPGRLSGKVAIVTGAASGIGLETSVLFVKEGAKVICADLNEEGA KKTAARIAATYGEGLAIAVKVDVSKEDQIKNVVDLAVEKFGKLSIMFNNAGVMHPEDD NALTTEERIWDLTMGINVKGVWYGCKHAIIAMRKSGGGSIINTASFVALMGAATPQLA YTASKGAVLAMTRELAIVHARENIRFNSLCPGPLRTPLLMDFLNTEEKKTRRLVHVPQ GRFGEAIEQAYGVLFLASDESSYVTGTDFRVDGGIASAYVTPEGEPTGPVPKNFADQ PHYBLDRAFT_63732 MSESIQSQGDRVQSNTLPQVTAQEINNCSISSWYDNFLSVTLKT KIIPLSDSFIDYLKEDGIFLPDDGQPQSATIEEIDSDDETTFFEDKTEIQKPDFPEVE SFIRKTVHEFGAVFPKLNWSSPRDAAWITATQSLLCTSPFDVFLLLKSSDFINHDINH AFDNCSDASQSPRTYHLALRKWYDLQPSMEFRCFVKDNRLIGISQRDVNFYPFLSEIK EDIEGHIHDFFEDHVLDIFPSRKYVFDVYLKRNPHKVYLVDFNPFSTTTDSLLYDWAE LNAFQVGIQEPEVRIIESQDEANRNACNAPRFATNMVPKDVIELSDGKSIAAFAEEFQ RAMELNGQRNDEDSSSDEE PHYBLDRAFT_151526 MSIAELLECPIDFEGQRLADSITHAALIVSAVLALIAGYSLQSL QLSICIFLIGLGTTLIASCTSPLAYVQPKPSELAYFIKRKRQRYKVN PHYBLDRAFT_151527 MDMDLLPESEVLPLIIGQLIAYGFSAVAQTVANATGATTDMIPS NRLAELLQIGKERDMDDSDFADKDSDREQDDLDRSIHHTGFDTDAVKTSQPKPAPEYT QLYYTQHKGPCQTAIFSADGRFAATGSHDSSLKLLDVHKMKNRSGDASDKPVIRTLYD HTAPVNDLSFHPNGLVLASCADDESIKLFDLSKSGVKRAFRYLQDAQPVNSICFHPSG DFLLAGTRDAAVRVYDVKTLQCYSNNNTAEMHRGSITQIRYSHTGKMFASSSADGSVR IWDGITSKCIRNLENVHTGTPVSSVRLTANEKFVLTAGLDSTVRLWEITSGKMVMEYT GHQQRTQMLQPSFTYNEDYVLIGDESSTDVVCYDTQTGALLSRIKGQNNLVRCVAASP IDNGVLTCSDDYRARYYDVTNFE PHYBLDRAFT_128146 MPPFVEIEDKGEPVTAPAVDPKLKHPDHRYPLFVGKWKRSIPYV DDDFAHEDMDEPHLKRKHTILGDHPEVEKLYGTEIRTLYVTIAITVVQLSLAYGFGRV WQAPFWVFFLVAYVVGATLIGAIGVIIHEACHCLIMPTKSHNRYVGLLANVSLPVPIA QSFRRYHIEHHTWQGVEGMDPDLPLDWEKELIKGNSLTKLLWILIYPVMYVVRGAVQQ HQRNMKPSKWEIINLIFTVCTDIIIQQVCGWTGLGYLMLSLWLGYSLHPGAAHFIQEH YTFGDGQETYSYYGVLNIPFMNIGYHNEHHDFQKIPWTKLPELRSIASEYYDTLAYHT SWIFVHWKFILEPTLGAQSRVVRTYEEFKKGRAMLRPMRIFEEMKRKKD PHYBLDRAFT_174313 MLIYPIPMIVALFGEPEDISQSSSSSIASWLTKKKHLRRKLGIM SRDKQVAESKDVYITTKARKTCKIQTEKSKRPFSNLLRNDGFMADAVLFNKVNRSAED YKFCCEQEVDIEHVVQTVVNRDPARFFLWC PHYBLDRAFT_189050 MQEEGLSVPKTAEGAGIPRSTAYELINELNAGDGTVLSGNNSRK TNDKVLEKARIKLCETFPDLTISIPGLYKHIREKCALSLKQASKYTAERDSPRNLNIH FDIVTQWKAATDTLTPRITEAISKVTPNDCYRAVVVGALYRSLKNRQKGKDLLVVNVG ELRPFQSSLAKVARWCRVKM PHYBLDRAFT_174316 MNILLLSFVCVVIYSIGHVNGSYFVPQKLLGEMDQRYGSATFIR NKTVYNYGGNTYADQLSNGMSSTSLSSIGNVVYEELPTSPTDPHCSYPSTVYLPGNDT VLLFCGELSDDSDSTILTIWSYNFDTQNPTWTEIAPIIDQGLLTVEARFNAVLGSNGK VYIYFNYLTTTANKTNEFISFDPTTRHITDLSKNIPLHLLYTSTVALPNGLIVFSAGK TKTDASTAEFMPANQVYVYNVNDNTWRNQLVSGYAFLPRNYPNSALGSDNQTIFLFGG ISPHLDGVDAMYNDLLLLNTTTWSWSLFEAGGYPPAPRLYASMGFIQDDILSISFGIL TPNPRNDVNFLRYSADEKTPSYWMRDWEEYQNGQTPVVVEKKGLKPGAIAGIAIGSLA ALALMSASGEPIWAEISRIVFQCIGYLLLAAYLVYIIMDVVNSPKAIITLRNSALTLK TPDIRFCFDGWNNSLSVNEYLRPHMRCSTDEGYDCTQHITSLNMSIHKPAFSEHMGEI TCFLYAAPSKFVLTDPTRRIGNGSSLDFSFYGDPTASGAIHAVFFPPGMDPNIILYNI NTTDIDPIITPEQMDDWVVADLGDRYAENVYTINPNSTTTLGYELQSYQHLTDDGWNN VGFSPNVEKIPQISTTFRSGTMSKGTRSLAYIISTLKVFPNEYVDVILQEKKTSTLLD ALGSAGGVLSLIMGVQLWLFGFRPQSPWGVVHRWSIGRMSHSIKESLRSGFDPTHKSI PFVDPIGQPILSSNSYYNSPHIATGGLSGATTAASAGGGVVATSSGSGDICATGYSDI EGKDTAQLLTEPGTQIPGQLQRLSQVEERMQLMEQMLKAYYIDTEIFKQFSQSVKTSQ PDLSRLDVVESIPITESGEMRQRLWGRDNV PHYBLDRAFT_92875 KLPTLSPKKDLVVTEVEPRQIYLIHDFFTAKECNSLIQHFETHL PLQQVSTTPRPGEAFRSNDRQSFEDPIFAQRLWQLGLDKVCRLTEGIQGLALPRQPVG LNSNLRIYRYRPGQMFQAHYDESVKDAASGLWTEWTLLIYLNEEMEGGETVFYKSVSK RRLGDPIVVQPQQGMALLHAHDKNCMLHEGKEVKKGVKWVLRSDVL PHYBLDRAFT_118587 MCPARTRSAWPVNIVTWWKPSQPREKPPYSYATLIAHAILSSKD GRLTLSDIYRWISVYYPFFTLGRRGWQNSIRHNLSLNKKWFVKLDRRPTQANPGKGCY WTL PHYBLDRAFT_128150 MSSSDDHHKNKLAPNAGGEVPEVDPNHDPATAILRRKASPHKLM VDDASNDDNSVITLSTATMERLELFRGDTVLVKGKKRRDTVLIVLSDDDVEDNKARIN KVVRNNLRIRLGDIISVHPCPDIKYGKRIQVLPIDDTVEGLTGNLFETYLKPYFLEAY RPVRKGDLFLVRGGMRAVEFKVVQTDPEDYCIVVQDTVIHCEGDPIKREDEEQNFNEV VGYDDIGGCRKQMAQIRELVELPLRHPQLFKSIGIKPPRGILMYGPPGTGKTLIARAV ANETGAFFFLLNGPEIMSKMAGESESNLRKAFEEAENNAPAIIFIDEIDSIAPKRDKT NGEVERRVVSQLLTLMDGMKARSNVVVIAATNRPNSIDPALRRFGRFDREVDIGIPDP TGRLEILRIHTKNMKLDDDVDLEQIASETHGYVGADIASLCSEAAMQQIREKMDLIDL EEETIDTEVLDSLAVTMENFRYALGVNNPSALRETVVEVPTVKWSDIGGLENVKLELQ ETVQYPVEHPEKFLKFGMSPSKGVLFYGPPGTGKTLLAKAIANECQANFISIKGPELL TMWFGESEANVRDVFDKARAAAPCVMFFDELDSIAKSRGGSAGDAGGAGDRVLNQILT EMDGMNAKKNVFVIGATNRPDQIDPALLRPGRLDQLIYIPLPDETSRRSILDAQLRKS PIAPDVDLQVIAKHTHGFSGADLGEICQRAAKLAIREDIEKDIKREKERQARIEAAGG NDTGMEEEEEDDGLAMITRAHFEEAMRFARRSVSDGDIRRYEMFSQNMQQSRGFGTFK FPEGSNAQQQQQPGMDGVTGGESGFGQEGGDDDLYA PHYBLDRAFT_63722 MACIINQFSTVPMGKFRHGSGFDTRQFSQENCARFAEAIYKQCG VYKHIVGFIDGTMQKVCRPTEDDEQKLVYNGWKHIHCIKHQAIATPDGITSSLVGPFI GSTHDAKMFDDSRTLDRLILHLDQLSTEENDIGYAIYGDLAYTASEHVFRPYREYELR RHLNGKYVIKKMSKVRVQVEMEFGKMFQFFKFCKSDYAMKIRGNTKPFQVYVLCTIFK NFHTCFNGSAATAIFGLTPPIIE PHYBLDRAFT_63721 MTVPKVVCLFETFRELQAKCLKAYFSLSKTFLNLSQSRIETLHI YRMMHSHSPENSDSNKKQVKDLKNKKSELSKLYASRFKHNKNIGHGGSNLTVRPKLEK VVFMAMRAEYKVQKKLKYKLKAVKVKLAKDTAFGLMREAEFGSDPLAKGKDKRKGKAK AKTDDFYDTEDINTSEDGDDSEEKDEDDDSGEINSTKKKTKAVTMETNRKSKVTEKTT EISNEEGGLSNSNPDEGVYDLLHDQCCSLVEEREFHRDILAILSKALSYFEIIAKHFE K PHYBLDRAFT_174322 MPKISQADLERAKGIIMALPMMSHTIVDDTLYEKILLFINSLLE HEPSITTTFDEWGVFAIVQEACVQTDQDYRVYSVCYRLLGRIVAFDGKMFSKLALEQP GLLTGIAAGLRSTEPALRVACFETCRGFTNCLEGTVWLLENEKIKTLMSSILLDDSTY VVAESFKFFQSLVESKDKTGFISPAHQEALSTLSGLLDPSATIKELLQPDVDPGVLMP VLEFCRVMANSRTTASLNYLEDSGILHTLLLLLDDSNRIVRTRMIEILSELFKWAPDP LGLLLPKPTEPASAARDHIRHAYDFVISLVIDRIQNPDTANTIVSGLSLLPCAIDLLK RLENKSVKDALVIQGTFTRVLEVCIKGHTLKDIDTYTKTVTMLNTSRSLSYKKSLMQT ALRGLNYLVSEFPDTDIVRAIVNTIINAEPSLNSVLLILLDRKWAVDQSILKMTLDLL LSLLHALTLTSLTSSNISTIVYKTMDKLIQILNEDDANCRCVSRILGTFDAILENPKL SEIAMGTIISASFVNALKLKFMDPEWDVRDTAVEFVGRLFELQDSSKSTFAIQHNLPM LIFDRIHDNEPYVRASALTSMQFLLRNRKGWAFIQEHPKTRDIARKLPRLLHDDEAFV RRAALDVLSCLVDNRSCQGIQVGLNNDIKDSLNPAIVSRMMDDPDSEVRVRACRFLES LWNLHLHDSEQQKRNKTDDDFMGVDTESYFYVLEGNRLLLDAPNDTQRIVRAEVLKII ERILNDSPTSAAVSRKRSIQVDDRDTHFLEELGNVDLEKLRQRSIPEHFYEETFVIDA EMMTQSLEPLNSGDDVNMLDCY PHYBLDRAFT_151538 MTTSAPTRSFLVWYLTQLAANPLRVKAITSGVLSGAQEASAQKL SGAKKLDARVIQMAAYGLLISGPLNHILYEIMNKVFAGKEGPKVKLGQLLFSNLIISP IMNTVYISAMTVLAGHNSLAHVKGAVRQGLFPMQKMSWIISPVVLIFAQKFLDQQIWV PFFNVVAFIFGTYINTMMKRKRLAAEAKKD PHYBLDRAFT_174324 MLLANHYAASHPVDSDHPPVQQPSRRQSLDPNSRTLYPLSSLRH HPYLDRSTPSPSNSRRGSLTDPALHATFRPPLSPLSGNSPPSSPALHPAGIRHSPLEH RSDLTGRFLPSPPCANNNNNNTYINHINHNNSNNNPTNAQSSLPWRRDSLPSISHLTN GPLDYHYVHPNSTTPVTQFTSIAGPAISSPRLLEEAAAARRHSIAVSSSYEPSSADSS PRTPNESRLHQLDEVEGEEMDEGEGEGGAYGSIGGGSGGGSGGGVDQRRASQMYSRSP ELRVSHKLAERKRRKEMKDLFDELREMLPGDRGLKTSKWEILSKALDYISSLRDRDVQ MEQERLALQKELALFKN PHYBLDRAFT_174325 MTYSVEKVKKFKANLQESVLICSERCLLNATHWTTEIINGIEYP DHEKELLTDSIFYPASLETTNYESKYPRLTEQEYNRYQYAKSAFQMRQYENAHHLLKG HNAPRLKFLRLYAFYLAGEKGKAEEMLDILGSTENPQAENSAIPFLYEELLIGYDKGE LDAFCLYLQSTVVELYINRYGVVLRKRKEYFKAAKVLLRSIHEYEYNWSAWMELCMIV QDETMFEDIKQLLNSDMPDSIMKDFFLAKVSLRLHLPKHHFDEAIKPLTEYFSNSAYI TTQHATAFYEANQYKQASDLFQDIQERHPYRIEGLELYSNMLFLESNAEKLSLLADQC MRVDKYRTETCCVIANYYNISRNIDKSIEYLKRALKLDRSVHWVWTLLGHDYIEVKNT DAAIVCYRRAIDENPSDYRAWYALGQTYQILNLDAYAVSYYNKTLELRQVSKLYIYMR VPHDIRVWKCLVECHDRLGNREKREYCHQKAQQCKIGKPCVAAIELARLFAKMGQQAK AVKNYIEDMEGEVAEANLFVARDFIAKTDYSSAESFIEPVLSMSYPYNAEAKELLKEL VALKINRRDEEMR PHYBLDRAFT_183587 MAFAPSQSQSSSLWESRATLTTKTYSTSSRSTSYTSNVPSVYSS SRPSAAGHEDQARIYYDELKQAIVNILAKEAKEGPNQQRVNARLKLGRLNTLQFHELA MDVYDEQGRRYYPEKSGKTSYDTIHLSNFGTLLGPFLPVRDDFHPRRNQARQKLATLP VPRFQDLVSDVFSELKRRYPQFQEKIQVSHVPLKIQATPSQTQHSSPSPLSPPSPLPA QPSKSTNIVPVKGMISVEPADPSDDESSRPNTGVYKDEPKKEFFAPSKSSTKLWRESG NFQSLDSLMADLDNMVGLQKSDSGMGESMSYEQVERIRSDYEYKLAQMMKRIHQLEYE AIGNKSINTGGSSIKYDQLDKNYKRLEEDYNRLEREYNSQQEAVRQVKKDTQRLITDL KSLSSKTAILDQEKLQLEQQLRIAKEEAKMWQTKYENTNQEINYLKGISPTSETFKND IRKENFLQPTREGIISQDSIMSYQTAINELLETARSSKPSGVLITMKTIIMISKRISE NVEAFETSPQFSTTMAPRLHELKVSFSTTLTQLLASAKGHAMGMGMSPVSLLDASAGH LTAVIVEMTKFLGLTSQKDGIHSIGATAGATAGAGVSASTSSAAISKTVPTSSRSSSL KPRTQSSSSSIASSIQNSFAPRATQPTPQVIVQPQEQHHHQQQQQQHQQHSLSSNTRS NGTQEEPPLSPRSYLGPEELNDYLKEETDHIVQAIQSLLAALRSPNQINQVQKIIQSI DAIVSNIIRMARNAFSAGPGLALAAQGDPVLSEMAISKSKLLQLSSSAFAHSPEKASA AAKRDLAKEAYEIAKYIKELISIVEKVIG PHYBLDRAFT_151541 MKRTAWYPNSQRKRTIFTTASGSNNAVVEVLSSSSAEETSRKAQ KDKQKVLTGERGQWDTARDIAIMQSIYDRHLFANYHGNKSLTSILKDSHKYSFLISNL QLFFLKTSSKLQPTQQLPPLLPPTFAKEDCSEDFLFSLPLKYIPGTDLMSKLILNNDE VIKIIVSTLFGVDACRKYSTRPKEWPNFKRSDVLYCPFNRKEFKNHPPILIEVQYYTG MNFFRRLMKYSLSVCKYYSTLPIVLTIVTNNISQALADQAYECPEFPYAKNLPCTGRT KACFMINKQTITNHLKSKPLEAMVALAHFLIEGKSALINIDRRDDQTIQTLYRIAKNI IFPEIEKEETNSAAFEKLYFCAFNGFNRTKEILLENQALNEIHRKRFAATSSDPTGTI TPDQDTALTTISSETNVVSTSSPVNVSTMPKENDTTLTQSASSSAAIMPNASTVSVPI STSGTTRDLKRRMQQKNWKFINAYRTEHGSNMDWEQCFVQGKGKGLSTIYKSQEYESS IFSGYIKDFKSDGSGEVRLKNENLTSAGRGCLLGVSRLYLTGMGCTVVQQFKDLCKTY VSSYGPTTEVRTGK PHYBLDRAFT_63713 MRDKLYETMNEVSLRTKEKTSKDDKKGCESCWIAKCTTTGHYLN FIRKTLDEMDKNPLMKGFFLLLWIILPEFNPIEQFWSVVKNKVKRGVFSNNEDLKTGI AAACSNVSICHLKIFIQHSCNQFDNIEIKSSFNDKCP PHYBLDRAFT_77484 MNEKLDPSVPYPGQSQPFLQPQPQPQPQLQPQPQSNKARRIVQG LLLGAVVGLFAVSQWTSIDLNPFKPIHQDSPSDLSEITDLQGPADLSHFMESLQDSFG GCHGELYAPDYEDEYGRLHSIDSLDDCSGKNSILEHCKQNIAFPPRELQNLGRPPRRH EHFCKPEDLHSQFNVFSFSPDTFKHASVILEGHFSRGGHVVIEKSTSSHVEDVQINVT LYAGRKHLFDQVSLTGFDNEGNYTVEIKRAKDSKRRESSHKPPVKADCLIFKISVVLP THLDKYDSLSLHLRSASRVSGKGLDGIEFDTFRAGVGQGAIIFDGLKAKHAQLGVLYG VVMGTYQPSERFEAGTIRGATQLTVEPQADNTNITVASVFGRAVADIPSDYYQGNFLT YSWSTPPTIEAAHPEDLHVTEYKYTLKKGYFKKQDTESNVVVHNKHGLTKLHFT PHYBLDRAFT_77483 MDYFAKFKKRIFKRYVGIMFTEATHALQNIFDAEDRKTHVHVDQ TEIPDKLRQMVDMLVDEEARQEDTTTGVCMEYFLKNGVLHYLVNVSEKSDYPAGVRGE AIRTVASMVDLLDDRFLVHNAVHKPTIKLLRFCVLDERQSEHYHEDLVDLMYIICSKI HGFPALLNIFFHDKQWLTTPQKTTFHLRDTRNTDPKATTQPDDPKKPEYEFLLFTYLL RFVHREGRTGDFARTGLLFLMEMATEQLGDFILESDFATIMAAGLGALYSQLPRKLVV KDEAELRYDTASYLLGQDDMRPVPLVMGLAMSSSVEFKSQIDSFLKLLEFCQDVLTRC PNVEISFALLKSIRTIFLDNILYPSILECSDIDGSSVAVISYIDLILQTLQQEELSSV IVGFLMDETAEENVRMERKSSNVYAGVESDPIKSSPYFTAVERFTLKDLIFSRLKSIS QPTVIATLKLLKTLITHHCRYSLLLLSIIPDTEKNQQGNATIISHHLREMELYFSLLT SIDNSHAKEVSETGYDSYLQDIEATLERDGCYVGLTQIDPPSHGRPHKARRRRSFKYG QRLDDSLPNQEEEDGRDLQTLLARQSTHFRHHIRPTDPLLQILLGLLSHFFAQSPELN LALTGVIAALALCPYRSLEGWISFREADRTSPEDILLLDNTPQPEAPDRIRSKDIYAH FDSSCVDEDDSEDDRSIDFGLERNSTQMTSPTYFKSFPPFFTLYRTLTQQVDYYRSEL DEFDELLDERRQGLHEEVTERIPSQAHHSIYTQPPAVLTMSASTSTRRPSLHPKDTPP PTMNGRRPSVLLRPSVSTGTATVKPPSPVQPLPSNPITSNPLSRLAMHARKTQDMRIQ PLFPSNFISEFEEPILDLDAEDEDTFAPKSNPSQSRRMDKSTEISIAMLLNNVVILEE AIKELIALMQVRHSLGIDTIQYT PHYBLDRAFT_178340 MLVLYETAAGYALFKLVNDAKLEKADDIWKDFETAEQANQAIKL KAFKKFENTTDALSAVTGIVEGKVPKNLKKFLESEISEKDMKKEKLIISDPKLGSAIN KKLGISVISDSTVLDLYRGIRQQFESLVSGLSPSDLSAMSLGLSHSLSRYKLKFSPDK VDTMIVQAIALLDDLDKELNTYAMRVKEWYGWHFPEMGKIIVDNLAYAKVVKMMGFRT NAHSTELSAILPEELEAEVKEAAEISMGTEISEEDIENIHCLCDQVISITEYRQQLYE YLKNRMNAIAPNLTILVGELVGARLISHAGSLMNLAKQPASTIQILGAEKALFRALKT KHNTPKYGLIYHASLVGQAGAKHKAKVARVLATKTAIALRVDALGDGESPDVGTDGRI KVEARIQMLEGRVNARANKEKPAQKQQKFQFNKTAQYNAQSDVAMTEAPKEVEEPKKR KIEEVEVPEAKEEKKAKKEKKEKKEKKEKKDKKEKKEKKDKKDKSE PHYBLDRAFT_118523 MSVRFAKTASVHGALSKYEYDRGSDPEAACTRLTAELAALIKEE LNDYKMNEMQIHAASRCYTHLFPL PHYBLDRAFT_151546 MAAVLHDSHHPTKQCTSASCCSPSRLWKSIQSMIANDSRDAFTT LSQDPERAPHLTRVLLTLRAANDPLLFPASHKHRVIQFDLPIRSEAVRKFGKQVTDLN ALQLALLQRRDGMAHLILGFLRQTASPKEVQIFVNHLWGQRNSSLHVACFLNMPRLVR LLLDCGADPAFRNARNLGPVDCSHPDCLSALGPQSLTRKTSSTATSIATITNRPRAAS SAAAISTATTTTKTTTAIAKITVTVPSAPRQSSVQPLPSLLMKKAVQGTEEAREPIRL PIPQDYFASVQIDQKKRLGAQQDTIYPVTDDLISRPTLSPLSFSSSSSTSSFSSLSSV EEPIQDTAKAASSTPLDRCWSPPALPSAPSPASEWDSWAIQGSLKLPRPSCFPSVPTD YQHQAAEEDADEEAEEAEDDEDEDDEDEDEDEDEENVVTVVEEIEGENRPTVSEKHTH PPRQVHFMPQIVLVDACVRGDLTELTEIIDRTIEQSGIFSMRHTTGDVHNRSLLHLAL MHGHESVVRYLVQDANINMNHPDNDGWTALHYAAALGLWDSLEYLASCKEANLQAKTQ HGLCIQDCPESPVDRKRCRFMIERAIKRASRPTRPRSATAPPRPSKKLTL PHYBLDRAFT_174333 MTNVSNYLESQATHNSFYSLTCRVFYMYTKGGMFLQDIQYEYMV FKKIIIDLKYNMYSNIGPFKMQMQMQIRVNESMTVTFYSVSKKSFIVDGTRCHKLHHP VARWYKSAERDKDNSRDLYVVILVLNMRSYSVNFKSKEEVGVQNINIDGIIDSQRNVR FLYAQIYPMSSS PHYBLDRAFT_174334 MEAKLPPNILSYIATHVAKTDRISCALVCKLWTEPFLGAYWSKV AVTRYVLRYIFDPLKQRKIYQTNAHRMWALDINHIAYEDIKYIPDLQRVYPKIKYLEC YEDRDNSHRVTDSVNWSLWNSLTHLSISLNYEPFELEKSFIKLSVLSGLVHFTLKPSR GRDSNIISWVQFESLHHNLPRLEYLVVEYGLIQISKDDMRVIKSVKSAPAIETLLIFD TFVDASWTAYFAIKYPHLQNLNFKSYSYDKIARHLIYDQQRYRDQVEILSALDRFFPC LKKSEACSISYNGWPFSIFYDTLYNCGEPSNMNGLNSCTRLISGSLKFMRVYDYALSK DFFPKLVELYINVDGYRVEIENILNQFPVLRSLYIEKSSVDFGKHPQSISIQHSLQRL EIKNTKVALRLFNYISLRCEHLKFVKLHNLLIRDTELDESGQAIFDMSLLQLETLIVY NITFKSRAVKHLSHTMQIPRKNWYHVCSDKTNKKPRHLAWELGKRDIEFSQRYCKDHL HRSNREKRRKDMERDEDGYVLKRFWKRDLQYDVLILRLKSVEKHFLDKKRIL PHYBLDRAFT_156548 MSKKNVWSKSRNSICLILLTIFEAIVVIALESVIFAKFTNTNFT KHNLGVPIPSYLMIFIFSQVFQVCLAWDAVRAQNTIQVIAFVLFNMCCFIYAAFQFKQ IADAINDGINPGPGAPSGDSQNAKDLKALIDQLLIVCTVITGVCELLYFYIGARLYQE FGWRIYKKIGADPEIRNMYRWYQIFLTILKLDIFFLLGYSIQYLVLVLTPGDVEYPLT IIALPITCLVLILAVYAVRHESRPLVILFFLGLMAGVAYFVFKIYRIYDINQHYKYIG VSDFLTFFASVTLLLLVLTIINASICWFNFGKGLKLHCIYVK PHYBLDRAFT_63705 MILMFTWPAFPVWSILPKEKDLKQQFSCVPKDTIVSLSPNVLFT AYNIILSTKHPVEIRKVEDKEADFAELEEFSCAYSFIYNSWKHPLEDLPPWLWGEKSD TESLQDRLYPSNIQLRSAKDRIQCSFDSLTNSDIIRETMKDSWHKYRKKLTRMIALNI AHSLMSILKADARTYFKAEIKTFMKIKEFAGNLKFEYKGSRKETNLRIQEVRYKWLTV AEMF PHYBLDRAFT_118479 SEQEAIAETIVNFQDKFNKNFNYPYVFLNNDLFTDEFKTAMKLA APNAEMSFGLVPQDHWGYPPWVNETLAAESRKQMDADNVFYGGMESYHHMCRYQSGFF FNHPLLDNYDWYWRVEPGVKFFCDITYDPFLYMQKNNKQYGFVVTLTELKETIPTLWK TVREYAYSRRINITSNPQLLFPFFEDEQGDYNLCHFWSNFEIASLNLWRSPAYRDFFN YLDQTGKFFYERWGDAPVHSIAAGLFLETDQVHYFEDIGYQHDLYRHCPSTASGKGCR CDCPEGTNDKSIDHDQYPDSCLPKWKNWVETSKARKAHWMWT PHYBLDRAFT_63703 MATNDSHLAYLLGLGFDFDLCVSALSLHTQIEDATNWILAQSKP LEAIGQTLKSDTSANTSSEPLSWQTNSNSSLVSNEEVPKDMSFQNISSKMAIQARKEK QSEKQARERALQAIKEDQEDRKLRRAHLLEKSPKNDAPPQQASGSNRSPELLRHQKEI KAQKLLDNEAKKRALENIKQDREAKKSFASSIQPKPITSRPQPTVNPSASALIQIRAS DGSSYRKSFPSNTPFSNVFEWVLDQERKFYRQILPQEQVTLVSIYREGEWTRAIEYRF VPNVSLHLLRTIPTPPEPEREPEPALEPALEQEQNQEPGHVLEQEDEHMENQDSDEDE DEDMTLPRLGLAQIRMDYWANTLGGNRLVDPTESVDEVMGEAQGIPGEESTAEVQVST NNPSQRDHRLNAILSRNSAASASGDLNILRQGQGKARDVRCLKDICSSMVAGLITQPT TEARNVFKKLMFVSPDVSSSLVAHLISSRKFLRKLTPTYTYTLESRYSYLQQAILDSY IFTTDSLLEGLSVSNSAVSLVKLSIRGCDVVTDAGIYYLKGLKRLEYLDVSNCKLTDK GLQSLAGFPSLRYLNLSKTKITDSGIKLLADTAAYKDSLDTLIVEGCKGLKSKDLLSK LNEFVNLSYLSLAMTSIGPQQPIYQTHAPLCILDISNTELNDKDMIQTISGYSSLKEL RLTGCKAITCAGLAAIPKSLCHLEVIHFPNREHELDDLFHRYADLPLQHLDLTGFLEV TDVGVEQIAKMKHLKYLSLEATKVTDHGIALLKDLLELETLYLDRTSASDLGIEALSE LSRLRTLSLSRTNVTDEALKNMGDFERTHYARTIRTLNLSKCQEVTDEGVQGLTGMIH LTHLNLDHTGISNSLSLRDYWVWENKIKESCLQTPLVKSLFSTDKTLYNDCINRRIEY TTKNYPLDPGAENMYSTRRSAVPFY PHYBLDRAFT_183592 MDNFKRVSAIAIVVSTLVAAPLVQGAYLPQSSWDSAAHSDSLQK RALSDKIPDLSRDTQSTDNDELDQNETEGSAIAEDGAPHTRPPYDQSKDGYSYHSTKN ELQQNQQPQQQRQEEPTKPTIEFQLHAGRPEFTTENSAEENIEAAVKYTNNEESLVAD RTKEREYDTENGNGNENDDEDGVIPFSNEQTAYYDNEVFDDMPEDLMDAEDVSSNRAS TDTKLAIVSDRDELESPGLGYAVNRLPIPAPGSDSYSSSSGAGASADADAAHAFDIDQ NDVDAADLGSLAALSFLSQPEREEIRTLIKSQEEEAVQELEHEIAETLTRAVYAMSKS RPAPWTDDPIELYQAYTRPIAIQSPTTDTKQRALGLSGSAKQKGGRTSTSNTRFSKGP QEYPLAAIIIILCLLIWVILKVPSLKKLVHSCVDPEKSSLPFHHSAKTKTKTMD PHYBLDRAFT_156550 MYRNQGPVFFMVYACDLYAFHSIRLSSSPHLDTIYLYTYIFPRR SWFRNSTSSLTSVGSESLLDHSHLKPGANASLLEYSQTITMYRDNAKKTRNADIQSNF AIFLIEAAKRLEGKDSVTDTNMRLSYLLEAESLLKKVASQGHTESQYHLANMHAAGLC SQHTKKPKPELHKAFCLFVQAAKHHHPDASYQAGKAYEEGIGTRRDKAKAVQFYRRAA VLNHPGAMYKLAMAQINGHLGLSRNLRDGHKWLKRSAEAATTEYPLALHVLGSLHEKG DGVVFPDPDYALSLYREACALGCAPSAYRLGECHASGELGCPQDHQRALDYYSLAAEK GHPEACFAMATYYISGVPGLLIASDEQAFEWVQKAADGEWPRAEYTLGYFYETGVGTR RDLKEAMVWYRKAADHGEKHALKRLQKSG PHYBLDRAFT_63697 MSYPARKRCPYKFSARNAFDKKVLLDKSFTGGILTEDIALESIF ERPAPAGIATKIDLSTTILWRLDPGVRDAIVACVGAGAGAGQNRQSHIIRKTSTGEYY QLSGFKSATIKRARNDRVNVDERRLISNTLSTRTCNWDQFNEALRYTFWSFEATKGCY STSLRNLRYHSYRNKQKTLDEMCKRLFTGSINLYLLGSILARSKDLLWVAFGAARFGG LGGNTAPPTKSFRAALLNYIKPTGRTQAHISKYVVMIEEYLTSQICPRCPTESISNQL DDLDVNIHSVPNCESCNTRCNRDHIAILNMRYVLLYMCLAGFLSTYITVQDTQYTHRI STVNIF PHYBLDRAFT_77479 MSYHEEAYNKINAVETPSEENKAEWTHQLLAAAAGFGAMRAYNK NKAEKEGEEDDHHLAKELLAGLAAAAVDNLAESKGMDWLDREKAKHQAKEEAEKLYTQ NSSYNLNVRTVKNMETCDNLPSTIFGNFDAILLQLRALCF PHYBLDRAFT_151559 MQVAQFEEAKLRQLDVLFHEESTIHLTSFITFQIIKAVDDGSLI PPTSLEGPPEILNRAEIQTLNWPVVKILEFTAIFPSH PHYBLDRAFT_183595 MEEHMVNLFLGLNLSGVSVVASVLDYDLYLECLNLMKGILVNGN LVLVKNFFLLIFVTYFLLICRVVYVFLKSFSFVSLHSFSRIRSRDFE PHYBLDRAFT_174348 MVLHPILSQACISSFALTFQQSDLHIRDGLSIDLQPLLLAQLPG QIWSRLTTRSYCSACSHQLVNTRPIQPPLHQQQLRSFWSFVLPHRALLNTARKSVSRL CSELELGSRDVPPVQLYPYFYPNFYNNKKEHKIPKAKNII PHYBLDRAFT_174350 MRDLSELEYSRALKKFLRGRIEDLRLGTKIRENLCRFCLLRKDF VSKFHQVPTLNYYCYLYCFGSGKLYDWGQLRPYIISFRLILNYSSSQYSFTTTTLITK GQAACLVLGESVTEANRVKCKAIVNGTPFDIVYTSERGNTRPIAIGKVVAERDPFTYL DYPDTPPPCHVDSSSFIETNDSSVPNESSSCALDNVESSSSSCKSTDSYNVIKPTKAS VMDLLRIFLTANPASSVKNLYDLKSLTEKDIFNLVIAYIPNVGDSFTANQINKWLTSD GYFGRPKSTRQGYDVIKARSLWVLKPEFMGDAMDRTFNRRTFRQMTRQLTGWITVGYC RKSPSKETPQKRLELLQKMVNSLHVNDLCEKVFVSPICRASSDLLTRDISPTATAQSI LKQLRFQNGDMQDFLMFAKTTTSSIRLVVLDYAGLSTNPIDARTFVKYIETDLVHDDQ AYLLISFFLYRDVKTIEQIVIDHGHKLESFNRAELNNRKVILKFDCRKAPVKRSSL PHYBLDRAFT_174351 MAIPISQNSKSVQRAREEMVNTLVKSGRKYNTKKTQKEIEENGR KKKDVSRRKIKAMEKGIGDQKIKKKFNRDPSKLTATFKGYHAVVVGALYRSLKNRQKG KDLLVVNVGEFRPFQSSLAKVARWCRCNSIGNVSVRGRALFVFSSIGIHWVLGVIACT GTGAGTDHYILAGAWCIIDVDVNVTNESFIEAKDGDKKKKSCLCII PHYBLDRAFT_174352 MDRIRSSAKITIHLENSQLVMHGSPTESSGCVLRGVLEVNFQES TKVKSIRLDFSGKSITCWHTGSTSLDPCDASYLDERELISHAWSFLPQQSKLHQMSAG SHFYEFELLLAGNLPETAYVDRYYQAKYQLKAVIERSKFLPNHTERRDVRIWRQLSGV SFDSSPVSVAGQWTDKLDYEISTPTKHYFHGDQIPVTLSVIPLSNDIKVRHLTWVLKE YLVCRSTNRLVRKTAQTHSRVIHLSHDDEFGKKNTDSQPDLFVSWVKELAIQVPRSPD AIQCDVRSKSVSVRHKLKFVISLQNADGHVSELRAILPIAVDCAVNDNGLPAYEEIGR TSPYNPLAMTALLQNFERSQALTSSHSQSLISSEQRPYGPSDTSLSNSSATHLPSYSS LFTTPSTVS PHYBLDRAFT_118542 MLVGSCGPSVETPQDMIKSEVVWHPLDDYVILSTLGQGTYGTAQ LGYRKDDAEQVVIKSIDKARILVEAWTRDRRVGLIPTEIHVLKTLQNNPHNNCCRLLT HMEDQDNYYVVMELLGDGMDLFDYIELNEHMTEKEIKRIFRQTADAVGHLHQNRIIHR DIKDENIILDGSGTVQLIDFGCAAYSRKGKKFDTFTGTLEYCAPEVLKGVPYEGPPQD IWSLGILLYTLVYRENPFYSIDEILEGDLRVPPTEFTGNFRHMGLLLNMLERRVESRY TINQVLSDPWLELDHT PHYBLDRAFT_151567 MGSIVSCLSGLVRSVASLLTGLVSAVASFFSMIVNAIVSCITGI FHAIGRLCPCC PHYBLDRAFT_151568 MLGRCTGVLRSQFTTGSIPRPRSTEGVPMKQFKDRSVISPPNSK KNTSKNKLRLINARIKAFPTNNKILKSAKSMGISQSLFNDISKSFVAAIANKEVPAIH PDSITITGGTDESADIIDRALLTAFFSYAEPHLPKDVIDIFNSMRKLSDLRFPNEWFP EARQMQRKIILHVGPTNSGKTYNALKRLEGAESGIYCGPLRLLANEIYEKMNDKGVAC NLLTGEERRIVSPTAALTSSTVEMANLAKPIEVAVIDEIQMIGDPQRGWAWTQALLGL KAQEIHLCGEASVVPLVKEICASLNEEVIVNEYKRLTPVTILDETVDPQYTDVKAGDC VIAFSRTSIFNIKERIQESTGLKCAVVYGGLPPETRALQAKAFNDPESDIDVMVASDA VGMGLNLNIKRVVFSTIAKFNGKDNTNISIPQLKQIAGRAGRFGTTYASGEVTTIRAK DLSYVKECMDTGTIDLKLAGLQPTVDILEMFALQMPKERFSGLLQKFEDLASLSGNYF LCNFEDQKIISDTIERIKLPLRDRYQFVTAPVNVRNKTSLQVIEDLARIHSKSETQSL EDLTQLPDKAPEDEDGLMNLETAHKTIMLYMWLSIRYPETYITEQEKCAEIKRKCEVM IDDALKSTALKLTKKKGSYGQNKSFNSYKKPNFNGYPNANDIDFSKGPKKFNRDRGFS NDRFRKN PHYBLDRAFT_151569 MSLPTRKCRPLKLVFRLSRQAVPLIKYGSNQIVTIGYWSSTIFS EWSLINFYQSLVNIDPTKPRREAIQLLEDDLKVLGAAFVDSKEFKVIVGLRAALRNQN DALDHFWNTSYPKLQKNAIDHITNVDKVEATLEEEATNKATVETTDVESDGIMIPNST LSLGTIIKREALKLHQLYLQNPKQLTDRQRKIMAAGSSSILDLSDQSYSSQRQLFDSD QWKYINDYFDKKLKMKEYPLDAIVKNTLIIIKNTLDLSNDFNAVKSYIGKMKIKHASD IGLLRVFSIIKHILRTMNDYKKILRNSSGINYRENDYFRILWSPLLELLFPPSENVRI VSAESEYPLSIKEKKILYPKTKYIHGFKIYIRLVVNINTEELDLAIGECTKRNSDSKS IQDEDKLLREAKDALDGIIQNTCNYDCRLMTYFIQIAGIHCSLSTMELAKNGLYVSKY RHSFNFPSTISDLSKLPIILGHLLQMKKEINIAADKILQARATSIGGEESFNRPSVSK KNKRLAWMRDTWYTPPKEKTSQIPIHIFTPPPPPSFNEPNDESSDEETADVGLTDKFG WVKYAENDFFNVFSKETSTENPYE PHYBLDRAFT_63684 MLDRITFEDIIGQRIQHLLNSKRIQHLKVAANLLSFLAVHNLRP LFINRISQGIGGGVERVFKKGETFSFDKLKQKQMSVFTSTRLSGLAAGKKLNSIEEAE AETEAEKDIQVLRVKCVKSLRLPHHNKNRLMMSKLTPLIDPLKEEEEEVDMLIGFVSV FSFFFFYPLLSDERIYYMCVYIMGI PHYBLDRAFT_63683 MVGALFAATATAAPLEARAAKATIYTTCKVPGTYALTFDDGPYQ YTWDLATSLNKLGVSATFFINGNNWVNAATDSVVTSSGKKTYINVIKHLDEMGHQIAS HTYSHRDLKGLSAAVVKKEMTDVEAIVKKAIGKKPTYMRPPSGVTDATSLQALGDLGY KVVLWDIDTNDWKTHSLTAEKAEFANVMGKETGTPKKGHISLMHDVHEQTVKELVPWA VPYIKSKKYKFVTVAECLGFSKASAYKA PHYBLDRAFT_178344 MEDNSRNLMLKTSFKSVKTIESIYTGGKVDVTEDESTLITTINE DIDVTEMATGKKLHRLSGDTEIVTTLAVKPDGKHLVSASRSLNMRIWDMATGECIRTL KAHEAPIIVMDIDQSSTLVATGSADATVKVWDIDRGFCTHNFKGHGGVVSAVKFHHYH GKWYLASGADDCQVRIWDLQTRKCIAVLQSHVSVIRGLSFSNDGETLISGSRDKVVNV WDWKKKVLKATFPIYETQELAAVTNDQNILFYSIINGLERVKQVVGYNDEIVDIAYLG SDEKYLAAATNSEQLRVYNVETQNCDLVYGHKDMIICIDRSNDGNVLVTGSKDKTARV WRIDVDAEHTSQKYVCAGVCVGHTESVGAIAFARKSTNFMITGSQDRTIKYWNLRDID FSGLAPASGDNDKNKPDGQVEASVRSLYTHQAHEKDINSISVAPNDKVFATGSQDKTA KIWNVDTGALVGVCKGHKRGVWCTKFSPVDQVLATSSSDKTVKIWSLKDFTCLKTFEG HTNSVLRVDFLTAGLQLVSAGSDGLVKLWTIKTNECVGTLDNHTEKVWALAIRKDEKF IASGGADSVVNFWEDVTLEEQEDELREKEEFIVKEQELKNFMHKKDYLNAILLALSLE QPFRLLGMFREVIESRPEGDESITGLALVDKVLGDLTGEPLEKLLLYIRDWNTNAKHS YVAQTVLHAILSLHSADDLVKIANAKELIDGLLPYTDRHYQRMDDLITQSFIIDYTLH AQE PHYBLDRAFT_96516 TSMWSLSQRKGHSAMYAGLDSLKKNYQTLYIGGTGNVLSKHRDH IHADDLSQEQRDNLRDLLKSKHDMVPIFIKHALASGHYEGYCKQVLWPLLHYMMWQES VDEGKFWEAYVAVNQIYADTVIENYNSGDIVWVHDYHLLLVPSMVRSRIPNAPIGVFL HTPFPSSEIFRCLPRRRDILLGMLGANLVSFQTYNYARHFSSNCTRILGFEYTPSGVD ANGTIVALGTYPIGIDVERTRYNCKRPGVQPKINAIREKYAGKKIIVGRDKLDPVKGV LQKLEAFEKFLTDYPEWHEKVVLIQVTSPGVIESQRLENKVAEVVSRINMKFGSLEFT PANMFQQHIDRDEYYALLSAADIALVTPVTDGMNTASFEYIVAQSERHSPLILSEFTG TARSMSAAVIVNPWDFAGVARAIADCLTMSEEDKLVKYKQLESFVNSHTAAYWAESLV KGLVEVSAKQSNQGPTPVLDVDRFQLEYNNSRKRLLFFDYDGTLTPICDSPKDAVPSQ KTLDTLHSLCSDPKNIVWIVSGRNQADLDNWVGSIPNLGLSSEHGCYIKEPRSDKWIS MIEDLDMSWKDDVMEIFNYYTERTPGSFIEEKNGSLTWHYRKADPKYGPFQANECQNH LEQSILGKLPVETLVGKKNLEVRPLMINKGEVIKRVILQQSSEVDFIMCVGDDKTDED MFRVVKRPEIGVDAVRFIVTIGPAEKNTLAAWHLATPEQLID PHYBLDRAFT_178346 MHEAFQVTTVVDKLNRVESIYAHGDRLLIGTASGQLMVYDTKEP LVADNNQIPEVTLAMIVKAFSKRPIEQLDIIKEIDFLVSLSDGLVSLHDLRTFEFRMA LQKTKGANLFAIQTMVEMSPEERIPVLITRLAVAVRKKLLVFVWKDTAFDETKELNIP DRIKAMAWVGTTKICLGFSTEYALMDVEAGQLTELFAPTGPAEGGPMSTLTGLYNMYG SRGGKPMVTKIPNNEILLARDHVSIFLGLDGTPTRKVGIEWSGFPEQIGYSYPYVIAI LPKHVEVRNIQTLALVQQIELSSARFLNQGKLVYVASSSQIWRLTPFSFSTQIDQLVD KHEYEEAVSLLDQIDAVLIDNKEEKLKAIRTAYGHDLFHRGEYDTALNLFQELNTPPA HVIRLYPEMISGSLSMTRSDDEVDSLSSLRRKENDNDDDNDSHSQNHSHHLQLQRPES RQSNKSKATTATTKSGHKEVPLTGLNLHDAVTYLIRFLTDKRQKLSKKLNGGPPNSPQ KSSSKPVDEKEYEDLMYEASLVDTALLKAYMMTNDALVGPLLRVQNHCDVQECETILM DKKKYKELVDLYNCKGLHGQALDLLARLGQQAEGPLRGVVPTIRYLQRLGLTQFDLVL KYSRWVLEKDPRSGMDIFIDDLAEVETFPRDSVVQHLESISGDLAIQYLEYILEELRD KSPHFHNKLAIAYLDKIKAEGSQDSENKAALRSRLIAFLTESTYYRAEKILSRLPLDD LFEERAILLSRIGQHDQALDIYVYKLSNYTMAEEYCTKIYRDDPEKGEKMYLTLLRVY LQPSNNQKPLLEPALDLLAHHGSHINASQVLSLLPLPTHLHGLFPFFEKYIRTSNKNR NMDMVVKNLLKAEQLQVEEQLAFYRSRAVKITEDRMCPQCNKRIGNSVFAVFPNGVVV HYSCKEKIEQTQWKLL PHYBLDRAFT_77471 MSDIINNTSSAYPSYATVMTMNPAMVRKPQSPSPGYEDSRPSYG AYPDYSTFQPMPYSSVPVTTYPVNQFGTYYTDRPVQKKQPDSPDSSTPQTPPTQSASY ASLVSQPTAIAPLVPSSSFSNATAERVKETIARANSVPMEFYHTEFLEYSKETYEKKM NAKRQKRKRTTVDTPGAGGSSSEDETSNKRKKTSIEKSQQLSDDEEDEDSDREEQVNG GVSSTELRRQIHIQSEQKRRAQIKDGFEELRNHLPGCNSKKMSKAALLTRTVQQIDHL KKVQNDLLSEVERLALENESLKKQVFALENRIN PHYBLDRAFT_63678 MIKLVLKRWRNLRLATKVHHLFVIVVVLLLIALVILNNRTGRPP AWVLGTVLGACVCLSGLYWLARYIQAKRIPDHQEESFFPIEERMASCSSVAGLFPQSI LSMDSLQRAQSITSAPPPAYKTSISIPPSYRSSASSFKPISPHH PHYBLDRAFT_189068 MADEFDIYGDDTFGQPDDILDEIVNESARDTKRRRTTPAYADDD LFDDLGDIVKDVKPTCSKPQKSTSAHHSKNTTKKEESNYSSSGDDLERQHHNQKHHQQ QQQQQQQQQQQQQHDMTPQKIRSSVPGYSTQRNTTEPTRRGDPPAALNSLRGLTMQPT NGLYIGDLAWYTTDENIKAPLLQAGLGGELKDLTFFEHKVNGKSKGIVFLEFTNPESA SRAKSVLDNAEFNGKRAVTCFTTVPNPFKHLPKEPIKNTRTQPVNRTNNNSPSTNPAN PLPTHHHHGVTGFGGGNFGFNTGFGSTPMGIPPYAYNPMVANYMANPGGFPGGNRGRG GMQQQQQVQQQQQQQQQVRGNQPSNNNFMSRMGRGVGLGVGVGVGVGGMNGGLNGVGG AGGGGGGYMNDAAGFHGGGMYINPAFFDQQQQQGGGGY PHYBLDRAFT_30308 MVKFIKAGKVVVILQGRFAGKKAVVVRNHDEGTKDRPYGYAVVA GVERSPLKVTKGMGKKKVAKRSKVKPFVKIVNYNHMLPTRYALELEQIKGTVSADSFK EPSQREESKKVIKKLFEERYQTGKNKWFFSKLRF PHYBLDRAFT_183606 MSVFPKETIKNIGESLGVTHLKDEVASALAQDVEYRVHELIQEA TKFMRHSKRSKLTVDDVNAALRVKNVEPLYGYACGETPTFRKTTVNGQELYFAEDEEV DFETILAKPLPKIPLDATFTAHWLAIEGVQPAIPQNPTPSDAKADLLTKRAKPNAAIN GITTDQVDVKPLVKHVLSKELQMYFERITEAVSSPDERLKSQAFESLRMDPGLHQLLP YFVQHIHKKVTQNHKQLDVLEAMLSMAQALLNNKHLFVEPYLHQLIPPILSCLVGRTI CESPFEDHWSTRHRAAGLMTLICNQFGKAYHTLQPRITKTLLRALLDPARPLTTHYGA IVGLDQLGPEVTRVLVAPNIKFYAETCLKSALVSSSPIRKLEAQKCKEALVNVLIHIA KQASLVPKESDMQVDTAPLSEEDKAELVDAVGEDVGKAFLESDPTRQGVQSVVEAATH MNE PHYBLDRAFT_151579 MATIPTLEQGKLYDHNPVLLAEREHLAEEILINKQLLIDYDRKR NSNREGLNQWKKTGGLVNEKKAWIQFGDMFVRLPSETAKTMIEKDQESLNKTMDETRD NMRTATIKLQEMEGSKKMAGFDLKSLRARDVYNL PHYBLDRAFT_156557 MLGNCSITLENKFRRFEIRGGQREVEEWMESIDKVQSESPWVKT HRFGSFAPIRSNAKVKWFVDGENHFNAVAEAILSAKSEIYIADWWFSPELYLRRPPEK NEEFRIDRLLKRKASEGVMIYVVVYKEMSVALTINSAHTKQWLQGLHPNIIVQRHPDH KIVGEDVFFWSHHEKIIVVDNRLAFIGGLDLCFGRYDTHSHRLADHPAVGHEHKIFPG QDYSNPRSKDFVNVIQYDQTLVDRESIPRMPWHDATIGVVGPIARDISRHFIQRWNFL KTNKSSHRSAVPFLMPKGEYVAARDESNFTGTCRVQLLRSSSSWSSGIEREHSIYNAY MECISESKHFIYFENQFFITVTYSDKLLKNMIGQALVDRIKRAHAKKEKFKVFVIMPL VPAFEGDLASAESASARTVMHFQYTSISRGGNSIIEKLREAGIEPSDYIGWYSLRNWD KLEPPFSAAPPKPKPKQNPKPSTSSKIKKSVDDDTKSPFDDQASLDCDSGIADDPFSD KNNVDSDDSDEYEIDDRDHYVSELLYIHDKLMIVDDVTVLIGSANINDRSLLGNRDSE IAMLIEDTEVVISHMDGKEYRATKFAHTLRMQLWKEHLGLLEFDDWGSLLPKATVNRS PDTLSPESQSHVRFRSHSISRPADHEEDDIFECEAQEPVRVLDRMSRTRSLYDTFNTT KQHSRHLDAAALDPLADHCYYDIWRRTADVNTKIYRDLFRCVPDDTVHTFEQHRQFIP DSSIPQGHVADPSRSGREIRQELNNVRGHLVMFPTDYLKDENMMGSSLLETVTPLVIF T PHYBLDRAFT_136952 MSERQSKATQERHERMLLELLKLPGNEYCADCRAKNPRWASHSL GIFLCVRCAGLHRKMGTHISKVKSVTMDQWTVEQIETIRKLGGNTHVNSLVNPHPDKH PLPLTVDDEHAMEKFVRNKWEKHAFMEPSPSMHLDPRTRLQVAPKRSSSVPVMMRDKD YSTSLIQLRDMGFKDNERNLQVLRQTQGNMDSAVDILSRIPGQQRPMVAQQMTEEQKM TRLREMGHTNMANNWDALRRSGGNVEVAASILNDSKQ PHYBLDRAFT_174369 MIAMNSMSEKQCTRELGRILESSSKVAVLLRAIQLLDKTALKRG VTCRPCKATLQENRVGYYDFQYKRVVLCCENIHTRADVEETLIHELVHAFDRARKGKF SSMCHLMACGEVRASALGQCADVTPESRKKECILRDAIRSTREHCGDEAERIVRQVYN KCVKDVAPFVVGVPA PHYBLDRAFT_174370 MSLATLEDYRKVFVKEDAILEPNVYSDGLLGYLNRGGAPMDAVT TLSESYVGIPSMCNVTAESAAAIGIDTTGIMQAALKTMLVKQFNPVVFDNFFMTSENH IDPAWLQSLVDDASFRQTAYKLLEMHPRCAFLNLIVLKAAESGYRSELSSLKTSSTYL LIYNQILEESLNKFIGMDDLAVEEHLPDLVRVCCEREETYMYAQMVLYKLREEYNGQP LIRLIAELEKTASKHGRQSFVDILRASLSDAARPLAAAIKAILGSRQLTAGDIRTIYS MYYTSVPPPVHHICRFDFLEILLKAVYVPDSGPQLRPDTIDQAIFLISFAVTVKDTDN KPVLTLYLSQQSETNEVVGILKNLHVALKEKSKGADFSGAMEAILTAIRLPVGSMAVL MWVEYISIKTAYYENYYRTTEIPLPHLLLDEIADRHPLQQPFVFNVIKRCIVHRYQNF APEILMVLQKTWVDRLLYLVQLHYTTPVLDYMKGEGQELDDSLTVHFIEKVLNMCQSP YSAVFVQSIAEIVERIKVTLHLLKDVEARVTLFFGKTQHYSLARVFINTS PHYBLDRAFT_136953 MEEKTAQTESIDDVYHDNHEHPAPTEEDWKELPEVSDIIPKSAY LVILVEFCERFTYYGLSGPFQNYIQYPQPESYPADQPGAMGKGQQTATALTTFFQFWC YITPIFGAIVADQYWGKYKTILVFACIYMAGLIILTLTSIPPALDNNSSFPGYVVAII IIGLGTGGIKSNVSPLVAEQYRSRSPFVKTLKNGRRVIVTPQATYQKIFNMFYWGINI GSLSAIATTELEKNVGFWPAFLLPTLMFIPCIIVVLLGRNKYVQNPPRGSVFIEAGKL FILNWKVKGGLAACKPSALALTHPELAAKVTWDDVFVDELKRTLKACIVFCWFPIYWL CYSQMTNNLVSQASTMLTGNVPNDIMQNIDPIALIILIPLMDTFIYPFLRRVGIPMRP IMRITCGFFFAAVAMGYTAGIQSKIYKTAPYYDHPEGQNWISAAYQIPSYVLVAISEI FAAITGLEYAYKKAPQSMKSIVMALFLLTNCFASILAFALVSVAVDPKLTWMYTGISG ACAVATILFYICHRKNDDDDVEQDAIGRSDEELNGNLSPKTVAPVEYEAEKAGV PHYBLDRAFT_151585 MIGPNLHSNNDKLSVRRDPIKTVGPLKDESLILSLRGIFVGNLD IQELRPKNSTEFDVFRSNLPTEFAQRSGVRRCLRDRLAYFIYKRVAIE PHYBLDRAFT_174372 MNTLTNIAIKFSMQIGSRRFKDCVYAYVCIASVVSPETIILDII NQIAFKYFSNVKLINIQLPIYNLPMIKATLYRESGSVFDHVGYLEDFLKDQKEYKVKR TNECESRILFLP PHYBLDRAFT_97742 MGQFKKEKARVSRDKSAGDDRATNLRVKGENFYRDAKKVKYVKM LKGGKATRDAQGRIVKAADFQSSEAVTARVAPNRKWFGNTRVIGQKALEDFRESLGAR IQDPYQVLLKQNKLPMSLLQDPTAQGRMHILETESFKNTFGKGAQRKKPKINLGTMEE MMTKVDDTHENYKSAKDTSLLSNKIFDMVDQSRAWYLQAGQSKRIWNELYKVIDSSDV IIHVLDARDPIGTRCINVENYIRREKPHKHLIFVLNKCDLVPTWATARWVAKLSQDAP TLAFHASINNSFGKGSLIQLLRQFSGLHSDKKQISVGFIGYPNTGKSSIINTLKSKKV CTVAPIPGETKVWQYITLMKRIYLIDCPGVVPPNIGDSETDIILKGSVRTENIAAPED TIPTILERVRPEYIKRTYDLPGWENPTDFLEQLARKTGKLVKRGEPDIHNVSVMVLND WLRGRIPFYVAPPESIEPRESPEGK PHYBLDRAFT_136959 MPDSQYSLVVAMLTAGGLIGALSASYFSDRYGRRQTLFGTNVLL GLGSLMMSLAVTPGSLMVGRFVAGLGSGVVTVVVPAYIAECVPKASRGFFGTLNQLAI VLGILVAQAVSMIWSTPSTWRFILLVGFILAVLQFCLLPWCVESPRLLFSKPNGWNRA KAALVRLRGVPDETIEEEIKTWRKEQAQEEDSLVSPAQPVTVYRFITLARYRYPLFLL LLIQLSQQLSGINAVIFYSTSIMSTVFPESSDKITLFISVVNLAMTLVSAYLMDRAGR RTLFLTSCGLMSSMSLLLGWSIETGHEITSACAIIGFVAAFAIGLGAIPFLMIPEIVE APAVSSAASVALSVNMISNFAISAGFLQWRSVLGEGQVFYLFGGILIVLGFIAYCILP ETKGRSAEEVVRSHWSIYAPAYQPIIGHEA PHYBLDRAFT_63665 MNIQFLFEKGKGSVVDEYGSPEPIDYIVDEEQFRLETLSSHTQY LSQLPLESEKRIDTMQVEKEAKPNGDFTMRETSVKRNYTHYSDQDKVRFFKLLSERCL SAASAATQLGIHVHEVMKKLKQIFTELKVSKTTLFDFVRQHCNLSLKKARLQPKDRKS EEKIQERLDWIRKRKGTPAVVTVTKTRATTTTILGTISAEGLIKCSLRLPQPPSNKKR KRGDGIECVNKGTAQCSNAKAVPGARSFFHLSFAKANASCEFQIGLFANNGIWPSLAF FYWHRICLTQMYELVRVAHKTGQNRVIECAPELSFSDCIVIVRGSIGQLLQSKKKQKV LSTTIPNDAQWCLDHADHLSFKSFIETFDFLDRHRAQQRYTSIVSKYFNSNSERDMTI NANYKTWTNSADYLQFWADLAGAELIESALNRRLAISSCSANENIHPTMMETNSVHQT KATALADSDEAVIITHDAQDDSNTNAIKLVINDDVITLHENTGSCKSGSPLTFKSTNV TQLFRSYQVIIRGIILKHQTLPIESYIHELAAHTHTLILCKNQHSPIAERVFSKSLLI ALTTNLVSELVDFDLPFPEEDLFTLTTTLFSLALGTTTREQIMLDLYIMSSKIEYRPK RIKLPNTPSNNHDAITETELWSTYYDPVLSCLVGDPDKLVHLRKINSVPIEKGKARPD AVISEKPYLEFTTSIGFGEAKVKKRSGTRYSLCIATLRLITFCKNAIDVNKLDGAIAL QIHGMHTTFFLMRSVATGLYTFVEIAHLQFADSVEKLPSFCTLMNVKKLLAVNNVFWR YCKESAQPKKIEARVIPSLGADTYIHTKYLLEILACYEKLVSFRIDFRAALRRVPESL VISLCMSNIPSLEGFASYDSEHHKSILSEETESHLIILMFFFVLFRNNLQMWCFILID INISCEKYIIMSAINQNIDFKLMVDGGGSLRPSFFYFLLNEEHDTVFTFQGGASCNTG SYTTL PHYBLDRAFT_174376 MSSRLSRLSRQAVHGLVNSICTPLPKYQESLKTIKKNGYEILGY ARKSPTGEDAPSRTRLLKSMVSNLKERSFATKIFVLPSSWASSTLASRDLKDNSRAIV DELRVAGNTNLKFENIVFKVQNKVRSEPAIEYIDDTMNYNYLIDIVLGEEVEEFLQAL SNVCPCIS PHYBLDRAFT_151590 MPKSTFLISTLDKPMGSIMRALNSITKQSETPIKFITINRMIHH DASRKGQNHCGQKIRTQIHSAAKLENEVEYPVQVQTNHCNHGIDENHMENIGHLINEL SDGFLHVMYFIEDYNPEKDSPTILLLCLLKDLLSTSDLNKDKNTTQQRLFKILFGVVP MPDSLVPKWKVICEWARYLRYTNDWNTWMRFGLSAFNKACIVHKRSSHVHLRSTHLDG QNLKAVDSDYGKNINVIQQGILGIDLNST PHYBLDRAFT_128198 MVSDFFYPNMGGVESHLYLLSQCLILRGHKVIIITHAYGNRTGV RYLTNGLKVYYVPTLVIHNEATLPTIYGFFPLFRNILIRESINIVHGHGAFSSLCHEG ILHSKTMGLKACFTDHSLFGFADASSILTNKLLKFTLSDIDHVICVSHTSKENTVLRA ALSPRNVSVIPNAVVASQFQPDPSAADPNWITIVVISRQVYRKGMDLLVAVIPRICSM YHNVRFIIGGDGPKRIDLEQMREKHLLHDRVELLGPVKHHQVRNILIQGNIFLNTSLT EAFCIAIVEAACAGLLVVSTKVGGVPEVLPSHMINYAMPEEDDLMIAVSKAIQMIKIG EVDPSRFNDELKDMYSWSNVAERTEKVYDSISQSQPSPLIERLRRYYGCGLYAGKIFC MVMALDYLFWRFLEFVFPKDSIERAQPFPYKRYRDYVDKVEEPKAQLK PHYBLDRAFT_118577 MKSILLRHPNLHVSTLDSPQYEIKGITPLCLASYLGKADIIQLL LDDGRVNVDGADSKQATALMYASRDGNVPIVKMLLSYHASPDATDNHGWSAIQYAERS PDIVQACEESLRCRRPDVAVRKINK PHYBLDRAFT_189078 MSCSEDIKTVKLSNGKTAKVHTHLFINNEFVPGHGPLIETINPV NEEVICSVHSANEADVDAAVKAAHESFKNTWRKVAPSEKGRLLNKLADLMERDIDEIA TLDALDNGKAFSVARDVDVTDSIGCFRYFAGWADKIHGKTIDTTFDKMCYTRHEALGV VGAVIPWNYPTMMAVWKFAPALAAGNCIVMKTSEITPLPLYKFAELVKEAGFPAGVVN IITGYGQTTGTALSTHPLVSKMAFTGSTVTGRKIMEASSTSNLKKLQLELGGKSAQIV CADADLKNAAVWACGGIFNNHGQSCNAGSRIIVHESVHDEFLKLFTEEAKKIKLGDPF ESDTFQGPQISKGQFDKILGYIDIGQKEGAKIHLGGKRWGTKGYYIEPTIFINCKNNM RIMREEIFGPVVAIGTFKTVDEAIEIANDSEYGLAGGVYTKDLDTAIRVSNEVQAGTV WVNCFDVFDQSTPFGGYKQSGFGKELGKYALHEYTQVKVVKMLINL PHYBLDRAFT_160448 MGAFGAGLMGAIWHTKRKQARDIAIEEAAEITQPPRTQQAGPAI RKPMPPRHIAHAPVAAYKPPTMTAAEYAIAKQDAGVFAFKTLAYGTLLAWGTAGVLAL SVGYFLEVRNFKEFSDKLQIIVPRQTSRLRKALGGTSFEMREEETQELDALVLED PHYBLDRAFT_183616 MFLYNLTLSPTTAINQAIHGNFSGTKQQEIIVARNTRIELLKPD PTTGKVHTILSHDVFGLVRSLAPFRLTGASKDYIVVGSDSGRIVILEYNPAKNTFDKV HQETYGKTGCRRIVPGHYLATDPKGRAVMVGAVEKQKLVYILNRDSAAKLTISSPLEA HKSHTLIHHIVGLDVGFENPVFACLEVDYTDVDSDVTNEAMENIEKVLTYYELDLGLN HVVRKWTEVVDIKSNMLIPVPGGNDGPSGVLVCTENFITYKHQDMPDHRIPIPRRAQP LEDSSRGVIIVAYTVHRMKINRQTQFFFLLQSEEGDLYKVTMDHEEGSVQGMTIKYFD TIPVATSLCILKSGFLFAASEFGNHQHYSFESLGDDPDDPEISSADYMEAEASQEETP LVYFKPRPLKNLLLVDELDSMAPLMDAKVLNLADEETPRIYGLCGKGSRSSLRILNQG LEAAELAVSELPGNPSAVWTAKLRRDDAYHAYIIVSFANATLVLSIGETVEEVTDTGF LTTAPTLAVQQMGEDALVQVYPQGIRHTHADRRVNEWRVPGGQSIIEAATNNRQIVIA LSGGEIVYFELDNQGQLNENQERKQMTSNVTSLALGDVPEGRQRSRYLAVGCDDQTVR ILGVDPDACLESVSMQAVQGVPSSLCIVEMLDTGIERGHGTQYLNIGLTNGVLLRTVL DTVSGQLSDTRTRFIGARSVRLFKISVQGRPAVLALSTKPWVSYTFQNRLYLTPLSYD ALEFGSAFISEQCAEGVVAVAGNTLRIFTVEKLGTIFNQVSIPLKYTPRRFALHAPTK TFVVVESDNATFSPDEKKKGLAIKESEGFEIDEDIVNLDPVQFGHVRAEHGKWASCIR LIEPFQGETLHEIELEENEAAFSVAMVQFRVNPHAADASEVFVVVGTAKDVVLAPRSC SSGFLRVYRPVQDLQGRLQLDHIHTTAIDEIPMALLGFQGRLLAGVGKALRIYDIGKK KMLRKCENKGDRIIVSDIQESIHYAVYKRTDNRIAVFADDTTPRWTTATAMIDYDTVA GGDKFGNFFVDRLPQQLSQEIDEDTTGNRIFYEKGYLHGAPNKIDNLCHYFTGDIITS IHRTTLISGGREVLLATSLLGSISIYVPFVSKEDVDFFQMLEMHMRAEAPPLAGRDHL AYRSFYTPVRSVIDGDLCEQYNTLTPDKRRAIAEELDRSVAEVQKKIEDMRVRSGF PHYBLDRAFT_118509 PPKNYICNRCKVPGHFIKDCPERANEESAVPPENYVCRICNIPG HFLNKCPQKDTHDKKSNGRHVIVPDSLDACWFCLANPKLAKHLIASIGTQVYATLAKG PLVMPGMPEATVPGGGHILLIPITHYPTLNKIPGESRTEVIDEMEKYKMALKSMYDKY NQDMVVIEMSRESISGLSHAHVQVVAVPKNKSENLENLIKNEFELRNMAFVDQNDPEV SYFKIDIPNGKSFVYIIPTRLKFNMQFGRLLLAKALGHPEREDWKACSQTIDEERNAT EDFKKAFKSFDFTI PHYBLDRAFT_183618 MAGKSSSLFSFATLMVVIAICLSIICSPVTAQGVSKTGKKDGNA LLSAAKKIPNGNYVFQAYNSKKTLTLISTGNLLAPRTSGQNEWAVKQHSGSKYFTIRA NNKAGLPKCISTRWSFASSGGFPDAAVMWQCEVDTPNIKYYGYDPIYPPKQMWLAIPD SHHKGYYKIVSASHLFDMTPNCISVNTISGGVKFTKCKIDTNNTKLLWKLTKQ PHYBLDRAFT_30282 MVTITYRFDAFSFDGICQTIALSVCPLIGQAEGIEPVCYSRNVD LGGNLIFQPATLIIDCVAIIMTAIMIYHIRSKYTAVGRKEIVMFFYLYMLTIFIEMLL VTGIIPTSSPVYPWFTAVHVGLISATFWCLLLNGFVGFQFAEDGTPLSLWSIRISSLL YFILVGAIAICTFKNIGPFSYTSPGALWVFYFIINGIAFLVYVVSQIVLVVNTLDDRW PLGDILFGTAFFIIGQVLMHVFSVVICDEVKHYVDGLFFGTICSLLAVMMVYKYWDSI TKEDLEFSVGSKQNVWEVKELLGEDELAQNYSAGYAQQNQQSQPQQYGQQYQQYEQHP Y PHYBLDRAFT_94029 EVLPGIWIGGYTALESQKFLKKNDITHILSMGHFEYSYPSAEYD NKIIRISDNPGANIIQFFPETYEYINNAVSNERHILVHCLAGVSRSPTVVTAYLMKKR RLRPKEALAIIAQSRPFINPNKGFMDQLKLYRE PHYBLDRAFT_96129 TFTQNQLAALKYQILAYKHISKNIPLPPTLQQAVLSPSSLDLTK DLGLQRPQETSSQQSPAAVQSPALSATPKPVPTESPPVKKPQYNAYASPYNLLKKPIS SYTHASRQQRLLIPSITPVGIDTQALMEEREEGVKKRVTYQMSLLEEKLGPVETRIAA LTEEIKSADSNRPKLKALIELKTLRLMQKQRKLREEMTSGMARSTILAVSSDRIAYRR MKKVSLRDARMTEKIERQQRIDREQREKQKHMDYLQTICDHGRDMVGTHKAWQARQSK LGRAVVQFHQHIEKEEQKKAERITKERIRALKNDDEEAYMKLIDEAKDTRLTQLLKQT GTFLSSLTKAVVDQQNDSISKHGFEQEPIKDEGAPDPDAKVDYFQVTHRVKEEVSQPS MLVGGTLKEYQVKGLQWMVSLYNNHLNGILADEMGLGKTIQTISLITYLIERKKQNGP FLIIVPLSTLTNWHLEFDKWAPSVSKIVYKGMPLVRRNLQMDIRRGDFQVLLTTFEYI IKDRPALSKVKWLHMIVDEGHRMKNTNSKLTLTLRQYYHTRYRLILTGTPLQNNLPEL WALLNFILPKIFKSVKSFEEWFNTPFSTQGVQDKIDLNEEEQLLIIKRLHKVLRPFLL RRLKKDVESELPDKVEHVIKCKFSALQAKLYSQMKKNGTMYKASREGKTGIKGLSNTL MQLRKICNHPFVFEEIENTINPIRQSNELLYRTSGKFELLDRMLPKLRQTGHRVLIFF QMTRIMSIMEDFMNLRGFPYLRLDGSTKSDDRSQLLQLFNAPDSPYFIFLLSTRAGGL GLNLQTADTVVIFDSDWNPHQDLQAQDRAHRIGQTKEVRIFRLICANSIEENILARAN YKLDIDGKVIQAGKFDNRSTDEDREAFLRSLLEDKNDEDPELEADEEMDDEELNEMLK RSDQELVIFKRIDAERARAEMDLYRRMGGRGKKPERLIQDDELPDIYNQEEDLVHENI NGLLEYGRGQRVKESIRYDDGLTEEQWVENVDMNDLLVQGEKRHPDGPGQIGFVEEIG ETSSRRGRRKLAAQIARFEEMDGMPKKRGRPKKWEPEQKRLKKGAELIGRDPLPPPIR QQMTEIFDRCYKAVEQATEEDEHSYRQRCSLFMDLVSKRDYPLYYTMIKSPIAMKMIK KRIHSPYYKTIAQFRADFHLMFDNARTFNEEGSVVYQDADEMQVR PHYBLDRAFT_63651 MNIHNCKSGAKLGIGQTQTHKMHSAKGGAVDRLIFVKSLYISRT KEKLDDLFEGYMNLLYSKDINLFESILKEYFFLWLYHYEEAYKLKVLSLLKLLLWIPH ISKDGLRGNSLTFQQIVAITLAIIVRLLCFTSLFDSY PHYBLDRAFT_38034 MTSVSSVADVDAWISQLQDCKQLTEIDIKRLCEKAREILLEESN VQPVRCPVTVCGDIHGQFHDLQELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVA LKVRYKDRVTILRGNHESRQITQVYGFYDECLRKYGNANVWKMFTDLFDYLPLTALIE DQIFCLHGGLSPSIDTLDQIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGY TFGQDISETFNHNNGLTLVARAHQLVMEGYNWSHDRNVVTIFSAPNYCYRCGNQAAIM EIDEHLKYTFLQFDPAPRKGEPHVTRRTPDYFL PHYBLDRAFT_136983 MSKVSGSAVRETVRAIKAGCEEKKRNFTETIELQIGLKNYDPQR DKRFSGSIKLPNIPRPNLSVCVLGDAFHCDQAKAAGMEFQSVDDLKKLNKNKKQIKKL AKKYDAFVASEALIKQIPRLLGPGLHKVGKFPTPVSHADSLTDKANEIRATIKFQLKK VLCLGVAVGHVQMTEDELLANIMLSINFLVSLLKKNWQNVKSLYLKSSMGKPQRLF PHYBLDRAFT_174391 MLPKKSSNTTRRNRKSLVIHQYTPPPTRPKDQESEKSVSTASSS GSIGIWTYYKELSLYLEGDNKNAMFTIALVIYGYYLGPKSTRYTTPKKRDTYECLHLT ALFDNTYKEEFQFTLSEVAILLSH PHYBLDRAFT_128221 MMLTKKLIEIRTILMSIDHNETLKLPSIVVIGSQSSGKSSVLEA IVGHEFLPKGTNMVTRRPIELTLIHTPNQEEEYGEFPQLGLGKMHDFKKIQKTLVDMN MAVPDSECVSDKPIELRVYSPNVPDLTLIDLPGYIQISNANQPESLKSNIEALCEKYI RTPNIILAVCAANVDLANSPALRASRKADPLGLRTIGVITKMDLVSPEQGVSILRNAD YPLRLGYIGVVCKAPERVQGNMTKALIRNETSFFREHLMYNQRDIQVGTSTLRFKLMS VLEQSMGKSLYSIVDAVQRELEEARYQFKVQYNDRRVTAESYVAETMDSLKHNFKDFA NNFGKPQVRHEVRTMLEERVLDICAEQYWEDPKIADLAKSSPDDLYWLYKIDLAAAAL TKSGIGRSTTQLVVDVLLSNMERLAKAEPFSFHPETRKQIMNFTSEILRTKFLTTSDQ VENTIKPYKYEACICETEWAEGVKRSIGLLEKELKMCDEMSLAIKNSVGKKKLKSAIN YLLDNEREDTRRQSEIRRMEEDGMASHEELFAPGDDEDTVKSFYNPRLIEKAKEALFL RDRAMILKYRIAALKSRQCKSPDNKQQCPEAFLNVIAEKLTYTAVMFIQVELLNEFFF QFPREVDNRLVYEMNRKQIQQFARENPPIQKHLQLQERKIKLEEVMDKLNYLVKRQAD RQNRNQ PHYBLDRAFT_13563 ASSSCLAQKWGTCHEVIGKGAFGIVRVAHKTDPSSPGGKNEQLY AVKEFRKRSSETTKDYVKRLTSEFCISSSLHQRNVIETLDLVPLSETSLVYCQVMEYC GGGDLFNLIYDTSHGLETAETYCFFKQLVRGIQYIHSMGIAHRDIKPENLILTSTGCL KITDFGNAECFRATTLKNDEQGEHECTVYYYSKGLCGSEPYIAPEEFEKKEYDPRCVD VWSAAVTFMAMKTSNHMWNMAKKDDE PHYBLDRAFT_9491 MQIFVKTLTGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLK PHYBLDRAFT_156570 MTSSNSNDALSWISWFCSMPGHEYYAEVSDDFIEDSFNLTGLSA HVPLYQEAFEMIMDLEPEDDTYTRIPDLSILEPHAEMLYGMIHQRYITTRPGLLQMLK KYEAGEFGYCPRVYCEKYKVLPCGQHDLPKLGNVRLYCPNCKDIYTPTSSKFNNVDGA HFGSSFPHLFVQAFPDIFIPEIPNVYTAKIFGFRVHAHSPYGPRMQWLRSVPSSSLTP LLNLPTDTHS PHYBLDRAFT_63644 MFVSLSNFATCKQSNVQSSSKMAFWIKKPEYTVLQSLPLHTCLS GGNSDIGIFKYIDIFTNTCLLLCCQVKIIQAKIKVLKKFYSWLTWWNIEIVRNIQLVL LFGPIITSSGHIALRPIPSLYLFIWEEFS PHYBLDRAFT_160457 MSGSTNENSAKIILKANDQEFFVIASPHMAAKWRNDKTIPLIDV VQNFDVFTTPSGSITGEFIRPSKGTLESAFGTDSVDTIVKKIVSEGTEQPM PHYBLDRAFT_189089 MRIFAIPILRNRWAYYCHSTLPSTSRLTKAVDWSSKKWEGLGEA KPDSWKRKLYDQGTGLMNQLDYQEWFLKSVPVKEHLEQPLRVAKVLHPSSLTDSQIQD NLDALLKEKEPYHKKYMYYSAYWVPLSCTFVVVPLVPNIPLFYNLFRLYSHYKGLLVS VSVSVFIVLRMFVIYYTFLSFFCLLSVAYKGAQHLEFLSYHNCLRYSSNPQIDEILSG VKLASSHDVVFPLELSKSADGPSSKLKLESLHQNFEGVIDLEIIDRLAKEFDTPSLEA ELKRARYQILSQIAKQRFEDHNHKNE PHYBLDRAFT_183628 MASNNSTIVNETKSIDTVPIVNNIDSTLHSAEISSLKTDLNPKM APIPNSDPPTGLDGERDSKKQCTDVASNTPESVGVPEVNKNTVVDDHLALLAQIEQLK QELAAALEREKAETVKREKIQEEHETDQAIQIKNMTNIIENNNTLSNDIKNEKTKVHA QKETIEGLESTVKSQKQKIDDLESIVANHERDIHELKKQIDYSDHRQKELENNMQEFE YEYKKLDSKNADLKHVLDTCKADLEAKRASYKSMEANFYSYLRSIRATDDDHSTIQTE VKQICSKLNNLTMSLRGHADIVGGTSFVLKHWPEREAEIRELLMTDETTKEKKDTLDL GFIALFFEKYIVDVFTSRIFNQPVHIGVSINETYKQLDEWMVERNEDWAGRLRQQMCA LVNKHPGKEQANIEGAKNDVVTEIMVQMKLVYPNADMDNTQKKIAMLVGRATKVSMAM NSQEVRVRVGKFDDGKTEYDEDKMLAVNKGKAGGTVFLTIIPPFVALDGCKDNVLVAG KVLCL PHYBLDRAFT_174398 MVPNKSNKTTRRNRKNLVIHQYIPSPPSTKDQEGEKFVPTVSSS GSLDSLTYYKKTSTTSRGSYLVKKVIVLSIPINCAILLLYLLDINGFNTPKVCSSCKT KYLRYFLNANDRPILSILVCNSYNVFWNRDIMAGKNMYYIVQEIWSGNYRLEIFIPQP RSRTTATRTTATQSVTMNVVVPHSSGRT PHYBLDRAFT_63640 MGLNKESLAKYAVKHALEPFNVLNEAHATKEIPKPVQQEQQSRK WWTSRVPKPESILSTKEQNILKKVKSRAYFLDRGISCCCIQIGFDGLVGFVPVIGDFI GLVLAFQLVEMCMRAGLPKSILSQMMFNIGIDFAIGLVPIVGDILDIFYKCNTRNAIL LERYLLQRRQEELASGPSSSSKTPLLNSSESAPIIMETIHTPGSFQPHHNNHHYFTGI VIDIMLVNILA PHYBLDRAFT_77446 MSFLWSSGHIILDSTKQICQLASSLADRETAALNSTQEVTKNIL PSLSDDYEPELTYKDELAALMIFVSDDSPCNNINNFVDTVPQQQMISYSHLPALKPPP KSKNSRIRLTHHIKDVLEQIVMDSTVSHTSSHDILTSSHTNQIIYQAASQSQPCRQSN PSSNLPETHKPHSDPIHISNKHIVPKHREPSISTYRFFMTNLLGFNNISETVPTKPIV ELIPGLRSGCSGRALSLAKLALEVERLGNHKSAIDMIISSVTSMMEALPVDKSPENTK RMMNRRIKTIEEQHRLDISSKPRKLQQPQQQNIGSLIGEIARVVSVMSGYPQASTSDP VAFTNRLVDNFSSLDSNQSIGTRVISTVYQGIAHALNYDSPYPTNQTFLSWFATHFNT LAEETIP PHYBLDRAFT_77445 MEESIGPTCTGCSNMIEEGSVIAFGDSLFHLDCFICAKCQTSVD YNSNLLLLTDGRPVCENCAYNCSACKETIRDEAVMTGEEAYHADCFKCINCRKKIDDL VYTQTSKGIYCTPCHEKRRAEKLRRREEREKQKNQSRQLSQDTQSSLHGSHPTQRQPR FGGLSPSNLPNRHASRIFDPQTVADSMQTQQRMRVPLEARMRNDQDHRVRQDSLLTPE RSPPTPARSRSSSVDIITKTRLNNLLGPNHPSSHNAAPTPTPSRSDVISGSKTHPPPS KSLLPISNTRQRFSSMPAPLQISPMEKSKSVPDTIYRMNAESTTEVQLMPASPLEFSL PEISSLNLSFFDDDSSDLLNLTKTLGASFSFSKDNNDLGFKSTLDSRSSVNINKASEL LRTSLNLSTYDKVPTSPLPRISSEDFDESVSTDRAYVLKLQSDLKSSNSKLTVMETNF NRIKDASKSALEEFTRAKEEFAKETTLRQQYENTASQLQQQLVIIQQAQSLNRDQFVG VVKEDIERIVRVRIELDKSCNELKECRTTLSNDIEELLLKAQAPTPMASEKATMYLNE QQKALLAEIRSLNHERDALKNETRTLSKARDDVIHEMVMLNTKNAELSTMNNDLSRRM TEREREAAAIMAGTSFLHSPSPSRSTELHSPVPMQRKYSDTTVERKVAARDSFNGTQA PKMFKIKKKNGGTIFGKRGGKNNKTDPAVTIYGEARSGVNTVSNPNGNQYVSNRNDGR TGAKIQTSQLPTTQHPLLQSLQQDGHAFQPTSFLRPTKCDACGEKMWGLSELRCQCCG YITHAKCLSHVPQLCHASTTSTLDLFGASELDLRQPVSMFGNSLIAQVDQEERPVPIL VETCITAVEARGMDYEGIYRKSGGAAQIRAIQLAFDQGKTVNLEDDEEFNDICAVTSV LKHYFRELPDPLLTYELYPKFIDAVSMNFGLAKIDKFFELLSQLPAANYETLKILCRH LHRVQERNSENLMTTKNLAMVFGPTLMQDRDASRDLLDMNYKNATIEFIINQASEVFS PHYBLDRAFT_151616 MQKSNSLQLSTENITDSSDLLRELTSYENISHDPMSHLDHQTHP TTGSNPSATISLRTFNNRRHATLRPCFRLSCSRNPTCYKLSDIRHLQRHNRIIPNRPC LFFRKRILTSYRFIGVRGTFIRRRWINRRRRHAHLYPNSNHIVPTNDPQPSLIHTDPP KSPKSPIPRLFTEREVRRCDTQDETESEQLLKESSDIPCTRKVASVSEGIQPKTNQLL SEENLLQSAWVPPINSDTLEELTITDIFANLQLRHDMVFDPTLSFRPNLDGSPGREKR RKSDRYWARVDRALQSVLNPASESLKNGLVPLDQFEFLAVILDELVQVIIPLSKPFAC SSASPIFPWNWPKHIREEDIVETLDSMLIIQQIRHNKGDFGNQLEFLNNIFEPLCPSS QVYRIQFMNQFFFEGHVAKAFRQCFSILEAVKLECANKALRQYRAYLINTCPEFEWRC FMKDSSENELDIESIYRWFKMTWERQGLDAEFLDVYYEDDTETSMALGFPPAPFPVTL RFDKKRLTHLFRYEFQNIIVTSILLIPYRYLAGSYENMKDIVKLKRLFNYLLQGAFIL TSPDAENAYEQAAQGIKDGTIVSCHSLALHACNTAIQTHLDNGLDDATKSTPNLDLSQ LPSLTSFWGEWLSHNLRPGSPIYMLMYRRICKYLKNYARYGRPEKEEIENGMILTGMT GLEDEIKVLGQKLKIVAELNLDTFISLYSFLAKFVKQDILSETRC PHYBLDRAFT_118483 MKSEVLRNALNGVFAVYKPVGLSSRTAVSKVQHILTRALVKESS LCLSSLDQTEIPIVRRKDRLKVGHGGTLDPIAEGVLAVLGVGSGCKHLHTFLKGNKEY EVEVAFGQATDTYDSEGKVTKFGSIDNLSAHKVEDALEAFKGNVWQVPPIYSALNMDG RRLYDYARKGVSLPRPIKAREVEIRSIELTGFDDGKCMLRVGCGGGTYMRSLVNDLGI ALGCYAHMTGLKRTRQGQWTWEDALSIEEGVLDLDAVRSILRSPTHT PHYBLDRAFT_97692 PSLDNIPTEIVIQILKNLTHHKDIMNCALAIRSWSYCCYEIIWF KPNMIRPTVWANLCSTLSQSTPTYYPYHSFIRRINLSALADCVKDNHLSCLSVCDRLE RITLTGCHKLTDAGLCGFLSKSSSAYLVSMDLSEITNITDTTILKIAECCPRLQGLNL SMFKDGQEQFHGVTDESIIKLAEGCRGLRRVILNNCSLLTDASITLLAKNCRSLLEID LINCAITEDSLQAIFKHCRELREFRLNQCVNLGDSGFLDSDLAPSVFRECPYYDQLRI IDLTGVSKITDDSVIRIVEAAPKIRNFVLNKCHNITDKAVFAICNLGRYLHVLHLGHC LNLTNRSIIQLARSCTRIRYLDLACCTQLTDAAVFELANLQRLKRIGLVKCSKITDES VKALASHARIANSLERVHLSYCSRLTISSIHCLLNACQLLTHLSLTQVRDFLRADFQH FCRKAPSDFSEQQRQAFCVFSGKGVQNFRNYLNANEGMF PHYBLDRAFT_136999 MGAYKYIEELYKKKQSDVFRFLLRVRCWEFRQMNVIHRASRPSR PDKARRLGYKAKQGFVIYRIRVRRGGRKRPVHKGATFGKPVNEGVNQLKYQRSLRSTA EERVGRKCANLRVLNSYWINQDATYKYFEVILVDPSHKAIRRDPRINWIVNAVHKRRE ARGLTAIGKKSRGKGKGHRFTKTKGSGRTANWKRRNTLSLTRYR PHYBLDRAFT_160460 MELAQKNAFKAQQKLEELEEVVEHTEYQIKSLKRQKSLAVESGQ FQNAAIAAERIKSSQNLLESVSKKRDTQHEESKHCQSILCEYKDEMSKLEKEYKELIK LAGTSIIEILENAKSSLQKANSLLLHSNYPGLHVVINHEIEKIAKHIQSAYVYLQNSS DSSETPLSPSLISY PHYBLDRAFT_160462 MRYVHFVRIQREGASLGFQLNYRIRYICISAAPLTNQTVYQNTA EILALAIFNVICAILSALQVIDGVRWLDRINAIKPEIAYPLSIAEKIEISLSVTTLVF ACIMSFLSYKMSKQFGWNIYKKIGADVKIQKMYRMFQFFVLALKIDIFIEFLVSLFYI IQRSLADSRSDEGSLEGATWFQVVCTILMLPMLYFARTAGSTESKGRMITFIVFQCIV LAHFALVFRKTFQPNNNWYTWIVLVWIGIAMDVATCVLGFICMRNFGEGLKPYVQRGA ASKQDIETSNKAQEDNWQIDDD PHYBLDRAFT_11609 KKTLWQKVKAEAVHYWHGTKLLGLEVRISSKLTWKLLHGNKLTR REARQLRRTTSDIIRLVPFAVFLIVPFMELLLPVALKLFPNMLPSTYESKTVEEEKKR KLLKVRLEMAKFLQETIAETGFPGSDSSQAAQEFAEFFRKTRITGEQPSTEDLLNVAR RFEDELTLDNLTRPQLVSMCRYMNINAFGSDNFLRFQIRNRMRQIKADDQVIVAEGID DLTIQELQAACAARGIRTIGTSPGRLRDELSQWLDLHLNHHVPSTLLILSRAFSFTDR HMTTEDALRVTFDSLPDNLVNEAELQVLELVGASTYKQKMEVLEQQQELIEDESEQ PHYBLDRAFT_63629 MVNIHVFCSLFVAATLATTVATKLLSVPFLAVDRKNSGVTIWGR QRMGNTIGSFLENVDLAYLIDVSFGSSTTWVPTKGCGRYCGYPSHTLNISDSSTFRPS QLVFNIRYGDGFSNGYYAKDTMSLNGVSIPNVHFGVSDFNDGELTMDGADGIMGIGKR QKYPVLLYMFWKLVYSINNSPFTGPDNLTVYNNPYGVIVPTVVTTMHRQKIIDKSIFS IYFHPVDHQDQEIDRINGEITFGGVDMKRVDGNITYMPLTTNTDFQDYWAANIDSISI NGKNVSIDDGLSGLLDTGSTLVLLPEPVITGIFSIVRGTRRDYSGQYLVPCTSSDLPS VTLTMGGTDFTLEPKDYVITSGILENGSNFCYTYFQEAPPFVGAILGYGFLQQFVSVY DNEYKRLGLVKRS PHYBLDRAFT_137004 MADASTVTIRTRKFLTNRLLQRKQMVVDVIHPGLANVSKDELRE KLGKLYKAEKERVSVFGFKTHFGGGKTTGFGLVYDTVEALMKFEPKYRLARIGKAEPG KGGRKQRKEKKNRAKKVRGTKKAKAANAGKK PHYBLDRAFT_137006 MSPSKKVGILGATGTVGQRFILLLADHPVFTIHALGASSRSAGK AYKDAVKWKQSRAIPANVKDMTVKACVAEEFKDCDVVFSGLDADFAGEIEMAFLKADL AVFSNAKNYRREPTVPLIVPTVNTSHFDLIPQQRALHNLKKGFIITNANCSTTGLVVP LKALQDAFGPMSHILVHTQQAISGAGYPGVPSLDIFDNVVPHIGGEEEKMEFEASKIL GGVTADGKEFAPLNNMTVSATCTRVPVLDGHLESVSVKFVNQPPPSVEAVYEALDKYT CEAQKLKCHLAPEHAIVITKESDRPQPRLDRDNEKGQAVTVGRVRKCPVLDLKFVLLV HNTVLGAAGSSVLNAEVAVSRGLI PHYBLDRAFT_174412 MNFQKILRMMEGRPGHYLVTLRALLSTKKIGKVIGKQGANHRTI ETEFNIKLYFHSESDGYGRLTTSVGYPIQTACAWRNILFQIYDESPRFSNRLEIKFLV PLSLSVKLQNPSYSVLSKKQSNQCDLAEIANVSGVDLILQHEALPNTTEQILAFRVED LDPATLDSFEMAVRMLATCFEHYSHDVYSPVNLYYLPNCAENPPIQSPAYQDFIEKNN IRNEISHSNDDSQLDIQTADSKQYHDPPLVHDETVDSSNFTV PHYBLDRAFT_63625 MHCQSVLTLESVLENPSSHLFHCFSTYLQQSFCHENLVFWMAVQ QYQDDASAIFNSLDDPLVTHPDLDTICHAIIATHIYPNAPQEINIPCDIRDDILARVR TQDYTPTLFRPAADAVLELMRANSFVPWTADLDPPPVPVLQTSFSFPDRWHLKQFIRY SRTSFSSLQSSFDYEHDTTHASNLCPSQTIHPIEIPSSSSPAQSRSVFKRMKRRLGLS KPIDPTGVKFTAENSKFSWIGLKKSHR PHYBLDRAFT_151625 MLILKHNVLSPQDLLLIEKQFLRTLNGHSPPITAYFNVLDHKRT HVYGHLLGLFVKLGVNSSLGVTPSQLLDFFIDADAAYLETPYHTFYHAADVVTIVFYL LTEMTASKYLLPIDIASLMIAALCHDTGHTGYNNLYQINLQTDLAKRYHDRSVLESLS VDITRDLLEKHQVLKKIPGELYSKGYKQQERNCLDAIENIIIGTDMIYHYEHQNKLIS IYDNLSRRSPDSTSSIDSIEPDSSPDDTSSNNDVDVNKSAVDGDGDSDDTGDSNGEDG NYNPVQLNPNDRLSLCGILMHAADINNTVRPWKVAKQWSDLVIQEFFRQGDAERAAGL PITPNMDRTEISQPMISITFGDLIRPFFQALANLLPPARVFLDNMTDTRKKWRQLKQD SIPWPNFPAASRRKSYPNSCRVSVPAGTVEVMDGEDLQRRFKRAKRANSNIDSKPLKD FGGRSPSPSIPNWYRRKSDQCIIDISQRYSPVPEIH PHYBLDRAFT_98666 MAAAHDLTLRMIPFLDRHLVFPLIEFLELNKVYPAEDLLKAKYD LFNNSNMVDFVLDLYKKIHNTEDTPQEFVDKREVVLNRLEELQGEVQEVMTILEKPEV IAALRSDKAQNLNYLKENYNLTENRINILYEFGQFQFSCGDYGGAADMLYHFRVLSTD TERAFSASWGKLAAEILTGNWEAALEEMQNMREAIDQKSFSSPLHQLQQRSWLIHWSL FVFFNHPKGRDGIVDMFLSPQYINTIQTSCPWILRYLATAVVTNKRRKNQMKELVRII EQEAYEYKDPVTEFIEALFIHFDFEGAQKKLKECEDVLSNDFFLAATQEDFMESARQF ISETYCRIHQKINIKDMSQTLNLNQEEGEKWIVNLIRDTRVDAKIDFEENTVIMNTPV TSIYQQVIERTKGLSFRSQVLASAVKK PHYBLDRAFT_102618 DIGCGPGSWCLDLAADFPELEIIGLDFQESMFPDAGTIPPNCIF IRYNVLMGLGQFEEKSIDYCHIRFMNLSLTVRQYAQVVRDCWRVLKPGGYLEMMEMDM PHYBLDRAFT_118491 GTPYLLSLDLSKELTALVWLAGPLSGLLVQPLVGAFSDKCTSRY GKRRPFIVAAGFLTCMSMLGVAYAREIGAFAGRYLANEQVPSEAAHFYTIIAAVSSFY FLDFTLNAVQAVCRALILDIPPLWQQEYANAWSARMSNGAMVLGYFVGYIDLVHYAPW MGDTQIKAFCIVAIAVFCGTLAITCLSVEEKKLMTEHSPWYHTLAYIWRAFRFLPRPI QTLCNTQFFAWMGWFPFLFYSTQWVSDIYFANNPPIEGQEQDWTEGTRAGSFALLCYS LVSVGAGIVLPALLSYFNKIAWLSLLNIYTVSHLTVAVALLSALWVRSVMGATIVLAI MGIPWAIVLWVPFSLVGEYVSFEDEKRSRTQQLPSTSSIQRLEDQQDEFDAGMILGVH NMYIVFPQFAVAIISSVIFAAAAPGENQDDDAPMSSVTSVLVFGGLMALVAALLSRLI IRVK PHYBLDRAFT_137011 MSIEVPIVYILAGIVTLLAAVVASFFLLKKKQTGDTILLLGVSD AGKTALYTLIRFGKKALTVTSMKENEGSVTLGNKTFGLVDMPGHERIRYRYSEFLPVA RGIVFVVDSTTISRQIRSVAEYLYNVLASLEAQNRKLPVLIACNKADMITALPKDKIQ TLLEAEINRLRGTRTAAVEQQNSEGDDQEGFLGYEGEAFKFEHLESIVDFEVCSVQKE EIDSVTGWIESL PHYBLDRAFT_183638 MESYFRNHREDQTTIDIIDPSSGLSSPLYPSARDDICYPVVPAS RLPLDSRCPTDVDLDALNRIIHQDTEKAAIGLIHRRSLYGDPPESERWQNNDEDETRQ CAYYHPDTGLIHGRSLLELEGGKGLESLLTKENYWIDITCPSQGDMKTLAKVFRIHPL TTEDIMGQESREKCDVFRNYMFVCYRGCVQDKGQLRPLSFYHIIFRRSIVTIHFVRGP HMNSVYQRIVQLQDHLLTVPDWINYAVMDEITDSFAPVIQQIEAEVNSIEEVVLDSVL DANVDADMVNRIGDCRKHVMQLLRLLGTKADVLRTLIKRCETIRSAEDIYNSSWASKS SQTLVGSPALRPLPNNTSMHRRKGSSPSQVTPLFLEEDEGRMLPDVGLYLGDVQDHIL AMLQNLNHYDTVLARSHSNYLTRISIALTQTSNSTNQVIGRLTIFATILLPMNLITGL WGMNVKVPGKDHDDLLYFGCIVSSLVIFAICSLTLAKQTLIIKSTNQPTNQQQQQQLH NTFVKVMKLEIAQAVEFLGRLLQPKMESDLLLVYKQHLSLSLHQRFQDHWDTLQPLRG NAYRAISNFNGHLDPVLILAATSAAITPELIHTHLPRDFVLWIDPFSVAYRVGDHGNI MTLFEDRSRGRLGVKAEPPLTFVPRVSTPVRISPPNSPNSKQEATEAATTAVITAAAV KKYSIHQSSPLAIHAKKDEQKQLVMVN PHYBLDRAFT_19447 MAESDVLSLTEASFDKALKADLALVEFYAPWCGHCKALAPEYET AATELKEKSVTLAKVDCTENEDLCQKYEIRGYPTLKVFRNGSFVEYKGPRKADGIVSY MTKQAAPAISPLTSETFEPFKTSDRVVVVAYTDPKDEVSKATLLAAAEKLREDFLFGL VTDEAFAKEQDIKEFPSIVVYKQFDEGRVDKAGEFKVEEIEEFVRANSVPLLAEVDAS NFQFYADSGLPLAYVFADSAEMLKPLVDAVSPVAKKYKGKVNFVHIDATKYGSHAANL GLKENWPAFAIQHLDTGAKFPLDQDLSITTESIENFLDNYIEGKIKPTLKSEEVPAVN DGPVKVVVGTEFKDIVLDKSKDVFLEVYAPWCGHCKKLTPIWETLGDLIKAQDASNSV VIAKMDGTENDLPEDAGFTVSGFPTLKFFKAETNEIIDYNGDRTLEDLVQFVNENGSK NLSIKTDDVKEEKKTEAEEEIGHDEL PHYBLDRAFT_63616 MFLKTSILTLAISIQFALAASVSILSPKANAHWHIGETVEIKWK LSDPSATGNLSLALASGPADALVINQVIASSVPVKAGQYNWTIPQKVKANKKYVIEVG QNAEDISFVGWVQILDPTGSGHSHKTVSSSARPTKTTTQPPIACVTLPGLGKNHDDQV RCHSFPEPEQTNAPKKPQAVKPKKHGTHKAKKNSKTTTKKTTTKKTTTKKTTTKTITH QAKQTDYVLPAGYIAI PHYBLDRAFT_137017 MAPTDQPTRKPVRVWVDGCFDMMHYGHANALRQAKAMGDFLIVG VHSDAEIEKNKGPTVMKEAERYAAVAACKWVDLVVPNAPYNTTVEILREHNVDFCVHG DDITTMADGTDCYQAVKDAGLYRECKRTEGVSTTEMVGRMLLMTRNHHNRPAETGDSS ISGFKSEKLGPFSDSGNHVHTTISHFLPTSKRIVQFSEGREPKETDRVVYVDGTFDLF HVGHVEFLKRAKKLGDFLIVGVHDDQTVNAIKGVNYPLMNLHERALSVLACRGVDEVI IGAPYSVTKDVLGSEYNVKVVAHGNTPTERDLDGSDPYKAKRGIYVEINNPNSTLTTH GIIERIIENRLM PHYBLDRAFT_12149 IIRLLGQGTFGKVVECYDRVRQTFCAIKIIRAIQKYKDASKIEI RVLNTLKKSDPLNLNQCIHSTDWFEHRGHVCMVFELLGQSVFDFLKSNDFKPFPSYHI QQFAKQLLNSIFFVHSLKLIHTDLKPENILLVNQPSTGLSAYEKVNYYLIRLHLLSLN QSTHSILQDTEIRLIDFGSATFENDYHASIISTRHYRAPEVILGKCLGWSYPCDMWSI GCILVEFFTGEALFQTHDNLEHLSMMQVVLGPIPHPLIRAASKDAKEFFVNDRLGVPI VTTQQSRKYIQRLKHLRAIISPSTQLNMHFLDLLEKMLVYDPKHRITARDALCHPFF PHYBLDRAFT_137021 MTIFEANNFSMQLDDGRWLFKDVNIKLDKGDVLVLRGQSGAGKT TLLKALAELIPYTTGDSKLFGKTPDEYGIPQWRSHVMYVPQRPAIHPGTPLDFFEMIK KYSSQKGKTFGDPVKIGMDWNLSESHFRERWSHLSGGEMQRAALAIALALNSEILLLD EPTSALDPESTLLVEESLKTRTCVWITHNPQQEERVATQSLVLYRLTKSPLSSENLSD AATEIDMPI PHYBLDRAFT_104215 NEHKPHGCTVCEKSFARKHDLGRHMRIHTGDKPYMCLCCKKAFA RTDALSRH PHYBLDRAFT_189105 MLRVAKKAIFIRRPPNFFNTGVRAFSTTTTKKEQVEVFIDGKSV MIEQGSALIQACEKAGVDIPRFCYHERLLVAGNCRMCLVEVERSPKPIASCAYPVMPG MKVKTNTPLVHKAREGVMEFLLYNHPLDCPVCDQGGECDLQDQSMRYGSDRGRFNEPT GKRAVEDKYFGPLIKTEMTRCIQCTRCIRFANEVAGAPELGSTGRGNDMQIGTYIDMT ISSEMSGNVIDLCPVGALTSKPYQMTSRPWELKKYETIDVSDAIGSNIRIDTRGPEVM RILPRLNDGINEEWISDKTRFFYDGLKVQRLITPLVREGDRFVPYTWENALARVSEEL TKAKGNSAKAIAGNLADVESMVALKDLFNRLGSENLTVDAPNGSKPLSLNTDFRSNYI LNSTISGVEDADLVLIIGSDPRHEAPILNTRFRKAYIQNEQDFGLIGEKVDLTYDYVH LGENGKAIDSLLDGSHPFAKRLVEAKKPLILVGSGVIEKSKDSEYVLSKVAELANKLK DTIFQDGWNGFNVLQHCASRVGAYEVGFVPHPDASETPVKFLYLLNADEISPKDIPKD AFVVYQGHHGDVGAQYADVVLPGSAFTEKNSTYVNADGRTQITRAAIEPPGASREDWK IIRALSEVAGHTLPYDDLSSVRSRLSEISPLLVRCDTVEKSSFAPLGLSTLQKTNTRS SGEVLSSVIKNFYQTDPISRASSTMAKCSKTWVQGKPAIDELDKASA PHYBLDRAFT_151639 MSPKGSTNTNVFKTVPPQQSRLAKSLADQMFCDPENTRLKAILD EVLARTAIHEEQQKNSALTKELRVANEHVEFLHNQLQLQIQVPGASTFTTTTLLPTEI APVENSSAEASAHGPVTIPTPSPTTFLAAAKKAMGKKPNQPKLTTAQTTRALQPESGP SAYAFVYLLCRHHLKYSQVRKLLRTFKIQQSRVLDIAFPERGTLSLLVHNDFKDKITQ LFADIGVSVKTDFDPLDHRIIADPAHAHKPVQERQQLAYKLHHQRLLALCLCLPAPLG KSVMRHFCTVESSSLRLPPVCLEQYLEDRNLPSGPQASAIDTATAMVIG PHYBLDRAFT_174429 MSANLATDIHTQSSLVPRIAMDQSNTPLFHGLAGTFVVDRPAEG MEWTLVPLRNDLASAISLSTMEHTSPHTAETTTREGSCYVDNAQLVEHPLVSSPPPAV VPPTNLYPLSPGPSRTRLHQPCYSEEPTLEHDCVGHKLRRLEDQGFDDNANTIILNCD CNHFRRSYNCIQHTYIDWAHHHNVSPFISNPVHIVNYLAYDATHLKWKASTCQAYHSV ILDLYSDKDFIIKDSTYIEFFSVLNEQNLLSFHYPTYDIALVIQFIHNLGPNDTMNAI DLTHKLCWLLAICEFMCPTDLERVDDHHTSRDNGILCLVIVASKEKRSGRCIKRVVAI QPHEDPLLCPVATYLAYKSNIAFSVCIWPHSVLSQVTLQQLVRDVHNYNRPIESERIS KHIQFLIEKIPSPSGALLPKARALGPTLALASGALVEDILVHGSWASSAIFDTFYHLI DGNIAESLSLRIIGIGLQPSALANNTRIILLSSMILFTKIFQTTNAHYVYNKGGQ PHYBLDRAFT_63606 MFVRVFVRVFVRIQVVTNDIHNTLQALLAHMEALEACSAASPVT LDGSPADIDDIMLPTNHIVKRPIASDLTPFPKLIKYQAPVLNYSGMRTHTKRTDAQLA EIQFCLSGLTHSIDLFVHDVLVEGSIQVTQALGFVNTMHELLSDLASVVTQMRSDNIC LDVNLPITLIVTNSALEPKPLLDSQRIVEQTKLQHALHDAT PHYBLDRAFT_151642 MQKNTRQETYKIVKTRPPKRQGKLNFSINSGVFTCSECKKEFEK PWLLKRHSKVHHISNQMATNTVLNKPEQVELIVENTILDANAFDYLSDEDDSSSIGDE EDNIVDEENDIVNNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGTVNDSTSCYPFCDLQTMTLFAFINGDNDMISQQMLKKILLAMNLIIKIQQETLIGR TFKLPCLDALLNYQARKKSKMPVFPSQRISVSGSNWNAFTHINLPSNHLRFLMANPKK YKLISSMPDRTPNQLICLEQGEKWRTHHLFQQPMHTVNGIDIWFGNIIYLKTNDCSIC FLVESFHMANKNIFARGYLIRAISIICYGVEVAVTNLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMPLSVLPGNVDCDAVFYKVRIVSIILFTDDTSGN RSKQYNPFESWLMRCAALPFKDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLE KGVKMFSAEDNEYVLVVAPILWIETDMPCHLELCGLLGPPTTFPCRRCYIELRHAKDF VKDLSYFCECHERRTQEHYVLANSSPGRDTEIPNAPKIGMNTPANKISFRDCLTGCLL ELQSFDPEKNTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRDFK VLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLVFVCQVTSDFDNYIIRVDNAVK RLIRALFDYNKETKNELHKAYCTKPKGEQFNKHIRKHLFHTNCQNTSRDVCLKFAKQV ALQHVIDGGSWINSFGNQEKSGTGIERFIKDNNESLFYYTFFGGSRELKDNNNTGDIE DDAIQNNSFGAFVFKDDPISRPRIGLVSGSVVKFLSIVPRTDNDRNNNYAKAVMTGEH SDVANMNLVLKLLRDHCTSLGTPTPGGPCRSRTASMSVLSSELISAEEIVDGLEYMIS YKLW PHYBLDRAFT_151644 MEQDGVSQPEINTTIAEKKRAMLVYFNELDPVMGHQPLTEPPCL QSFLDDTDVKHVLNVHSGHASMTVHNSMHEEYENIEVAEDDDETNEESKDEDNKEIEE VERQVVARHLSAATAVENNRPSKQACRDIDKSLFEFMKGSGDQEREKMKRAERQLEFE TKIQSRQLEVEERQIVVEEKDAEIRKRKIDLDERQIVLEQYKIQIDKSRAQIQHAKML KGLGMSKKDILKEIKKIFN PHYBLDRAFT_151646 MFKPKVHLLHHITDNIVRFGSVLQYKTENSEQFNKFIRKHLFKT NRHSTSRDVATRFGKQYICRHLCNGGLYVVERPAGNGTRSVRSSISDFVKLAPVNFSG FNLHFFGSRVNSDNSGLLTPTLCDTLAGVFQSNGQLFLGQVKIVQARDSADRMRKAFF MQKYQIVPNSNVNCIYTPAVVTDNYNNIVVLPLGGLVEVNKDDINIVQAVDIHLSIGS SNN PHYBLDRAFT_151647 MLPPIVNDLISLEKGIEMYSEDHGEVVLVVAPLLLFMGDNPHQS QLAMHKRTSVKKFCRKCLIPSPRIEQGSIPDTPPYSPVDHRGSEERMRDFLCAFANAN SQSELYLNGCELSYIKNGSEEFLRLKAFDPTKDMPKMLTTSEKGRLQEALNSYKSCKS YSRTFRNKLCHTGSFVGRNFKELIQVLPGITSKLFSDKPSASLFIKALHALGHLSSLV YTRGVDWCFNYYIA PHYBLDRAFT_151648 MFDGPSSSASTATATTTATTNLNSNNGPAPIEFIIENPQDTYGH EISDKDEYSDDHILFDSTDDYDETTDDKDTDTRVEYDSQDHIARVAAEMRTFQSLSHA MNAYSNKDSSRQTSYQTNDFADIFTGPTRPFKLKVEFILHALFYGNEDLASERSIKKI MFAMKMVLDVREESGVALDFLTPNAVINYHKQKKNQIPVFPTASFDVVNQDNERHVLW MNKSSNYIKFTMTCPGKSSQILALPDFTENQQLNLNQGEKWKENPLLQHPMITSNGMD YWVGDVVKVQGSPNWYLLEKLFTMDRSILANAFQVYGGHNPRLNHPDDTHFLRFGNSM NFAVSTLKYTIEVDRIMSTVQKDSNLFLGCGLSVSYCPAEIVTYALTGVQSDLWLNKS RVEEFKRRLPGSGLMKVVVCPLNLYSNNTSVNSTKQYNKYDSYLMYFTALPFETRNK PHYBLDRAFT_118557 NISQGWMEKFGKRHCIKMDRIYGEAGSTDIELLQIDKTAIKEKI ESYSACNIYNFNEAALFYAISPRTTISHQKFSGWKENKKQLTVDFLCNANGTDK PHYBLDRAFT_151650 MKRQKRKAKEELKASKDKKVRTLADFGFAVPALPDVLVAKPLVV QKPNKDQINKKLRATFELLSEKVNSQLVSNSDMNQSFYFKTSKLKHLKEQQRFFRTNL PGSVEKQFVLGQKNFLNLKKKMLVWLRAQKPERRTVIELKKYLNKTLFPACLHVKGNT ATSTAWKCMRAWGMMTYKEYMSDFMGKNEEIEISPLLLENQKKLVMVTHDKSTFYAHD GKVDMWLEKGERYIRKKGQGCALIVSKLHVSAAYESYWTSKDMLDQLKNHAIPLFKSL HEGCTGVFIFDQSSNHKVYATDVLVATHMILKPKVVSENDKFVFKDTTFLKDGCIIPQ LFYETVFEVGRKRKGSVEKRQFVGVQQILQKHGLASPVAGSPSMIKRFYKKTWRYIEA YSKFLDAKDADAEVKKFILRISKSHCSIGIHD PHYBLDRAFT_63593 MQKNTRQETYKIVKTRLPKRQGELNFSINSGVFTCSECEKEFEK SWLLKRHSKVHHISNQIATNTVLDKPEQAELIVKNTIPDVNAFDYSSDEDDSSSIGDE EDNIVDEENDIVNNFFDIKMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAQMLKKILLAMNLVIKIQQETPIGRTFRLPRLDALLNYQARKKSKMPVFPSQRISV PESNRNAFAHINLLSDHLRFLMANPKKSKLILSMPDCTPNQSICLEQGEKWRTHHLFQ QPMHTVNGIDVWFGNIIYLKANDCSIRFLVESFHTANKNIFARGYLIRAISIICYGVE VAVTDLRVEQISHVDTTPVERDHYYSISSSLTRLSPAHDFLLFGVHPMKKPMPLSVLP SNVDCDAVFYKKDGANGMSLLPAIVDDFKKLEKGVKMFSTEDNEYVPVVAPILWIETD MPCHLELCRLLGLATTFPCRRCYIELRRAKDFVKDLSYFCECHERRTQEHYVLANSSP GRDTEIPNAPKIGMNTPANEISFRDHLTGCLLELQSFDSEKDTPVEILHTILFGVAKY MVIDLVKVVLKNDTVTIARLSEFLTDYSGPSIISRPFNFMLR PHYBLDRAFT_151653 MIQQSLAEKVLIEGLQETLDKIAGSGLLSLEDCPTNESDPLYKR QCTHRVVNENEIADIVMEEYDADENAAINSNEETAEVESAVSFKRTYSASEMFECVCT LLDILEDEDIDRDLVSKVEGLRNKFQKTTNSKQTKVTSFFKSF PHYBLDRAFT_151654 MSNPQLAEWAKETFGLQKAPDASTILKILKRGDQGLIMQADQIE EAVILWINIAENNQIPITWELIKTKATIFAERIGVKNFSASQGWMEKFGKRHCIKMNR IHGEAGSTDIELLQIDKAAIKEKIEGYSARDIYNFDETALFYAAPPRTTISHQKFSGW KDNKKRLTNNKKQEASDHGFSMYHYNSNAWMTRSIFHVFLCRFDHAMKAQKHKVLLIL NNLSGYIVDYTPTNIELLFLPPNTTFHLQPLNGNIIWAFKAYFKCKQYGKAYQYIGMI QNGNQDKIGPIDKIFEIDQLWAMKWIREAWESVLAKTIENCWNATIFCFIDDEDSEGS SKAIYWS PHYBLDRAFT_63595 MQPGGPLYTRSTGLSRKFTQNLRHSGSFVSRDFKVLLQILPVIL ITEFSGNHELDLVTSDFDNYIIRVDNAVKCLIRALFDYDKGTKNELHKAYCTKLKVHY LTHLKENIIRFGPALNYETEKGKQFNKHICEHLFHTNRQNTSRDVCLKFAKQVALQHR FIKDNNKSLFYYTFFGGSRELKDNNDTRDIEDDAVQNNSFGAFVFKDDPISRPRIGLV SGSVVKFLSIVPRTDNVRNNNYAKAVMTGEHSDVANMNLVCKSDLHIFHNLFYIVNLS KFGSYWFIFNNILFDE PHYBLDRAFT_63596 MVLQAAGAGIAIFESTSKDSLMASKYVPKALMVDTNSSIFILCA NCSITTNSNKNNEKSDPQNKTDSNVAAGQISYVTQAKKSIDAKQTKQKRQQLLQKPTG PSEYEFVYFLAKRYIKYQEMRKILSSFNMPTSCILDIQFPARWTVALLIIGEFHEELS ALLGKAKVVPLGNFNLITSDIIADLKLKEEAIKVRARKAQNLFDTCLIKASLCMSTYL GHSDICHFSSKRAVVKILQTAVSGYPQSQKTISTTTSIAPTNNMNGIESTKMSIIRTH E PHYBLDRAFT_174440 MTEACVNFIPTRTNKIPSATARIYVLINYLWKKKQETDISIFLE EQKLIQLKVKQTSSRRRVETNATRKTHFFAVFFSSSYYIINSRLPFTKYSQYFVFNHN YLRLLMNILELYTTLEQNCLNVLLIEKHQQL PHYBLDRAFT_63598 MMLIIYLKCALGSHYKNTWNIEHSKHKRSLSSKKTGCLYLLKIS YKKTAKEYLFWKATNDIEGYYSHSLDDDSMKSILKGCLSKITVYDAREIMKLVEIKTK TREIQKAINEENNVSYKLYVNDINNIKAAFVCATAFTCQDVDTELIKTTEAKGYLVHY SIFY PHYBLDRAFT_151658 METRRRKNLRGLYRGDLRLLIMHNKRKMKEELEENKDKKVKMLA NFDFLVPVASVLPVTEALTVYKQLKNEGFEEIHEVYENISEMIKPPVSSDSELCKFAL FEVSEHIVVKEYFQRLLNNCKKIEASEKAAEIFWTTSSKYCGETVRGWAKEFLQFGKV SDHQQGKHAKRSSIVDDEDLKKKAIVWLCTQKAERRTVVNLKKYLDEMLFPSCLGNNQ NVYYNGHKRQDVVQYHHAWATRMMGYKQCMSDFTGEDEKIEEGESHICKKGQGRSLMV SKFQCACHGTMQLKNHAIPLFESLHKGCTSVFIFDQSSNHKVYATDVLVATHMILKPK VVSENDKFVFKDTTFLKDGRIIPQLFYETVFEVGRKRKGPVEKRQFVGVQQILQKHGL ASPVAGSPSMIRRFYKKTWRYIEAYSKFLDAKNADAEVKKFTSRISKSHHSIGIHD PHYBLDRAFT_174444 MSVCGAVGSEQLFPVVKKRVKPFTEALWRQAWRPLDIVLANRRS SRIPSKPDLHGRFVSVVEKFPGQTYEWYCANALVLCLQWFAADYIAIFRSCTSVWQGG FSVRDATLVDTLESVACWKSYDDLGVSFLDCPPSYILDLQETYARTFFCIVSTVFVTV TLAILAIANGTLCSSMSSRVGSCVRDAVAVSADTLEKASWLRFCLRGNTGVKSSQMGP HFFLDLQGDIARNAPLYIASIYTCAKCLAELVCRAFDAVAVANSYFRERWLVERV PHYBLDRAFT_174445 MTSALLTALNQKYINSARVRSKFFSLLPLSYTFRLVQKICIFFF FAFLLEYIYPSTLLAVTSVRVSVHGVFVPPYSFDGCIHTGICLFAELWGVSNRFLWWE YICTDIVLANRRSSRISSKPDLHGRFVSVVEKFPGQTYEWYCANALVLCLQWFAADCI AIFRSCTSVWQGGFSVRDATLVDTLESVACWKVRVGSCVRDAVAVSADTLEKASWLRF CLRGNTGVKSSQMGPHFFLDLQGDIARNAPLYIASIYTCAKCLAELVCRAFDAVAVAN SYFRERWLVERV PHYBLDRAFT_102124 KKENVVLVGLMPGPSEAMASHINHYLRPLVEELNELYPGVILPT AQCPSGAVVHAAILLVTCDIPAARKTCGFTSHASTNACYHCNWKFTCIPNTSKIDYSG FVFSDWVLSTDEDNCHNAEKWRCAITKVERKRLEKENGVCWSELHSLKYFNLVKCTLI DPMHNLFLGTAK PHYBLDRAFT_151663 MNNTASSTSRRTNCRLVAVARSELANATRAPLVQAQKPVVPVAP VALVAPVVPVASTDPNISLSLEQVLAVVESTNQKIDALTALVTKMGETVTNVSTAVTL STQNDAFLKTAIKDIIDSQRVINDYVKKPDLKSTDEEIVAENNTRPGWSLTTGFMSTH NQALAIALISYLRKQEDSFGIRPNDPERIMKNHYRNQSRLLKRRELAYKRYKQNIDTL MGRTDCSNVFQIKVLSDDESDDGRKVRAFRPSWRSDELQTFLDTVDRFAKDGLGNRAN SLLERNRVIRPKDLPSSFDPPLPDWAIKN PHYBLDRAFT_174449 MGKYSLDLIYLQSPAIQVKHQDSDLPTMVIGIKSIMGIYSLDLI YLQSPAIQVELQGADLPLYGYSVFMIWLHNKKFVFLPVRQSKPAIMDPISVQCYSVRA VKVKFNSDLRGQGFLAMGTGGNGTTQFKVTIIPISREK PHYBLDRAFT_174450 MTMVDELVDNAGEIIDRPNVVFPLASENDIRSILKEGLKEVMKE FLEEEPLKKIIKEIKKETQFAEKQEPLEEAKTTNFAKKQEPLEEATILLTFNPKSDGW CGFRVFSHLKEGGEDQFPLVKKKMLATMATYNKLYEHNFGIDVAEVTEVIAFGSKIDP ALGENIPSYPSSMWFSAPEYAQIIADTYNELVCVYSDDWSVLSVTFLPLHDWKPLKRK SLPMVLHHVHGCHWTTIKVKPHVHQSWPEVNALYFDAIHRGSIIDCFSTSWNHWGQFP KNKSYLLPSTTTTITITTTATNSPTNSPINSSDIIDLTHI PHYBLDRAFT_63764 MRAVKSNTPVIFLEKKEKQSADKKYSETLFIDEKRDDLLLLFAI VRKNKITKCIPTAPCQPNLCMNAVLNSTIAGVVAPIDTTTPEVAVDTAPGVQVAVTPM DHVLTLLAANNVSMQSLQENAKGVTDTITHLKNGLDLSNKTNEFLKNSVLQLMTENAE IKKAMTSQNSVMPSAVPVDSSSFMDDNLDLGAKHHPNFCNYIKKPNFVSTDPLKVAEN NNRSAWSMTGTYGDKCCTNVSKSVIMNIKKNHYQNQVQVFWTSAEKIMARNKTGRRHN RKKTLLDRRIITYQAYAEAIHEGMNRYDCRNILSIDVMSDGKSDGDNKVRAYCPSWRT DELQKFISTIDELTVICLKKNSESLKKHISYEKEVSILENLAVTLPDWCFSK PHYBLDRAFT_118459 MNIDWFQPFDEVTYSCGAIYLCINNLPRLEQYKNKNVVLVGLMP GPKEAKTSEINHYLRPLVTELNQLYGGVVMPTIQCPSGALVHAAHLLVACNISAARKT CGFTSHSSTCACNKCNQQFPHLPDSNAVDYSGFMFSEWVPCTDAKNCRDTELWRMASS DAQRKRLERKNDVRWSELHDLVYFNLVECTVISPMHNLYLGTAK PHYBLDRAFT_174453 MSNNNNNSECKCSKYSSNSMRFVLVSTQTLRRHAQQDIMRQYQL ESSSLVIEVMLNDNDMEIDFEDNVDAKDQVEEQFSLSNMPVNPTHAFIASFAAFFISK YVVNSGGAVLLKFLNEVLAHFGQSFRLPLSINSVNSMTGLSDMT PHYBLDRAFT_174455 MVEKKRLTPVNFEDLLNKLPCFMYKDMMIRDRRARFMYATPSQQ FQSTINHHNPNNPPWRKLLWVKQDYPDNYVDSTFLDELQRNVNMRTYDYWTMVHASGG ITQHISSVVIFIAIFIDLQTHTLSANHLIWTGSLLTGAGYLFLDLMMLRKTENYDVK PHYBLDRAFT_174457 MILLPNKASLKLQSESTILTAFIFTSYSNTQVHPTSITFAQVLE IKLVDSLYLEKFASFPISTKPKSMNADQLVFASSLVQIVIWFTSVIQVGSTIRPKEDV PENFYVRQKLPRSKRVTMTNRK PHYBLDRAFT_67213 MDPLFMPYPTLCTYCIELIKSYGKEISKDRKADIYNNSQYLAQE FNTSLSLPSNTVNGCPKGLCLCKNDDDINNLVNNTLDYYPNVLNYYNHRYIFEHVKSV LVTRYGNNICNLFDPPAQVCSVTSNLPKVLLSPASPTVSSSSPNSRPAFSKNVEFKDY ELYQNVPECDPHLTASRTPRYQPEQTISPESSVFSTPYESPGPQFYLGPQKETESNPI SPMFSALKTPFAPSSLPKVRKRETRSIPGSSSFSIQTPGTTTRIRKSKPKGLITEKKF KCIDCSYVTDRQHNLTRHECTHGGLRLRLKCPICDRKYWRQDNLTRHFKSFH PHYBLDRAFT_151676 MFTAQNTLNHSCGFDYQIHTNAEYADTVSFQNPLSSPPLDIPPA YYPAILDYDPAIFTYLAKKETETMMVTSSQNYENAYCSGVNPLEQLPLLAVNLQQPQV SEVPPNSSAYMSPLYTPLFSQSSLIQPNDPTQINMFMPLDLLQQKHSPPVSICENTPT WCPQLNMTQDSAVTAQSDPVLVRRKRGRPPKTRLETNSKRHKCYFCPYKTDRINNFIR HIQSHTQCGENWKCAQCPKSYCSKSNLVRHVEKIHK PHYBLDRAFT_67215 MYISQAVNSLFDTVLSASLLESQYESIPHTRSQSDASHTLFAFD TIDAQMTHLSLDYSSSHINISALNNEEFSVQNPEPNASDFISIGWSCTNGKMWSNKDQ SISKKPKNQQIYSLQTFLEPEVAELPSSYTGYNANYILSPPSEPNQDTFDQISTQACA HMFSQQTSFNKFSAEPSMYATCFDSPQSLMLDPDYIVDDSQLELLIPESIDAVCDSLK NALQINSDHWETQVPGIINPVGSPKRLTPSKNTTKRYKCKLCCYRTNRSNNLLRHSQS HNRQAKYWKCNQCTKSYSSRSNLVRHTNNVHK PHYBLDRAFT_67216 MSITQSVNTISGVPLVLSPHCGDLLKCSCNYCLQFESLDVNIDS SFSQGQPMEELLAAGSELLHSCLTPTYAFNVKAPNMGGYHCDTFLSENANVPIQDQHL ALAQNNITPPCCSLSILQDDFMPTQTDVNKASSVPDENNLLFQTFLKQFLEEDPCLFN LVDNPASQGSWPIENISQEVYPGTYQPQPFFVTQQQQYTDSPEPFTPGLSTCLSQYDS PMLTDRSTIMSMNGSPNTMFMPFYELKSNFDYASNTGEENTDWLHRLLRDPVTNTSSG TKNQRNKRGRKGVKSSDKIKKHICCFCSYSTDRVSNFIRHVESHTRCVNEWECKPCAI SFSSKSNLARHNRRTRH PHYBLDRAFT_183645 MGAHQSKIKPKNVAVRQSSTSSSTSSQKSQPLPEPPPPLPLPIP VRPPMERERTREIRLSTFEADLDLNQILQTHSIQPTVNHVLHPPDFVVSGISVNSTTS LDSLRTTVFSTISTACSSLSSVSLHSKSHAFGSPLLQNHTRSSSISSTSTLPHTLPIN PPNSDPKSPSAQFKSLLIKADTTHDPQLRTLVAQARLQGYGTPVAISQGFQELLNIAE TTNHINAFYSLGLCYYHGLTPNQQKDYALAYRWISRAAIQGEHKTDPETMMTVCLSQY CSGYMLIQAQGTAADTAKATEFFQKAATRGHPVAQHIIGWQYENKGDPIKAKEFYQLS AHHNYSDAQASLGVLLIDYIDAFTKNFVKEEHVVQEALGWLNRAASQNHPWAFLKLGS LYAQGTRVKKDFKHAVSLYERAGTDINNPHYGIAHYMLGSIYRSEQNPLYNISLAIRH LTLAANTNYRQANRILGLMYYQGMGVPKDDLKARSLFKIAASQGDGYALGLLGEQAEN GRGCTKNPVEALELYEKAGKAGTYVAIYSRAILLHRMDRRAEAYVWFGKAVGSLATAK DPDSRNFLFRARLMLARYHINGWGQSICDPVGGFNDLLALARTGEFWEAHYWIGAGYE SGIKNMNNQVEIEPNLKLAFDYYEQGALHGDVDLMHKVARMLANGFSHQSVTIKDQVK AFDWYTKAANAGHPTAQYSLGLYYANGLAPLKKSDIPKAIELYRAASKNGLPDPMISL AKLLITSPSESDALHKEAIEWLDTAVRMEHPAGLRELAKAYETGLILSVGENERHATG LELLTRAIKLKDDPLSWCDLSRYYENGWAVSSNLEKALVCLKKAESLNHQMATIMIAE IFERKTLWDQAWNQYERTVETNILKSVLGWKSRIGKARLVLHRKMGAEKDRRQVFQWL VEMVSLGPGESSIEPLSLLGMCYEKGFGTPRDVEQAISWYDKALEQPTTVPIHWSQEN ARFKMARLLCQEKQYERSLQEFRVLQGILDPMTRYSHETMVQARQVRYYLGYLLLHGI PSDRNIDEAKIWLGHAADEGQGSAIYELGLLAISDDEDATAQELFERGRSMNHPGCIR ELGLFWLRENRDDISWNGREVYELLEEASHLNDQEANYQLGLLYEYGLGNSKTRINPV RALESYRKAAHQGHEQAAENAARLCEQLEQPEEVVVWLTKISHRYYSRVVLAGYRIQG KGGVPQDARAGLAELHEAAEELEKVDNKTEQETEALGMAYFTIGECHEHGRAVDVDTE VASVWYNRSVQCSEHVEAMYQLGNFESLNGNEESAFEWFGRAAAKGNHVDAQYQLGLF HASGLANLSANPAAAKKYFTKAANQGHKEALMCLGDILWRQEEYKEGMQYIELAAKAD VPEALTRLGYIYHQGFHSSSKGYHIEQDYGRALSYFRSAAKLNHCMAALMVGTYYDEG YLRRNTMDHESALTWYRKAHRMGAGAFADLAIANLLYAKSENTVDVSEAEKLRSDAFV NFQGACSFKDDTGAYARVMVALYYLNGWKPVEKDGVEGFSQLLAVAKDGGRDAFGKVA SCYENGVGVDSSESEALFWWRQAAEFDDDVEAIDRVGYYYTHGLGGLVADPVKAQEYY DRANSFRNAQLESEPSFVSSRASMASY PHYBLDRAFT_183646 MSLLSVFRDTFYSAASCCFPNPTIQVNKRTFRVIRLLGEGGFSF VYLVQDVSTGRNFALKKIRCPFGSQAVADAMREIDMYRMFQHANIIKVVDTSVMTDKD GTKTVYIFLPYHKQGNLQDSINANNINKTHFPEKEILNFFRQVCYAIRVLHTHRLPDV PMKNPEEEEEARKPRQNLILLENNLTTSPLSEEPLVPVPALSYVPPPPMEHKKEKGTV VPFAHRDLKPGNILISDDGQSPILMDLGSTMRAHVVIKTRQDALLQQDLAAENCTMTY RAPELYDVHTGMELNEKVDIWSLGCTLYATAYGQSPFEANINEIGGSLSLAILNGQYK FPTQDPYSESLRNLIRSMLIVDPKERPDIHTVIAAFDTLLQNPGH PHYBLDRAFT_137033 MDPIVDNEHSNLLGTKRKSWMERNSIKYAAITFVILVTGSLFLW STPSNPNKGQEEFKGRLVTGYQGAVAVEAEECSDVGIQVLKDGGNAVDSSIASALCIG VIDSFATGIGGGGFMLIRSPNGTYEFIDFRETAPAASHKDMFIDQPDLAKYGGMAVGV PGEIRGFELAHSRHGKLPWKALFEPAIKLARGGFKTTRLLEKRLQSGKPWMENSTEWT DVFAPQGHLAKAGELIKRTQLANTLEIIANEGADAFYVGTLAQHMVNTTQAAGGILTL EDMASYRPLIRPAIHTYYHGRKVVTCSAPTSGPVILSVLNLLERFNLKMLGNTGLNVH RLVEAFKFGYAFRTELGDPEFTQNYERLDEIVSKEWSSIVRKNISDETTFDPMYYQPK FDHVDTHGTMHLSVVDKNDGAVALTSTVNLLFGARLLDPVTGVIMNDEMDDFSIPGKP NTFGLYPSAYNYAAPGKRPLSSITPVIIERDSEFEIAIGGSGGSGIPTATLDVILNVI DYDMDLYEAIASPRVHHQLIPNVAIVEHDYDQKMGGYLEEKGHDVVFLDKSLSATAVQ AVGRLTNGRVIAASDPRKYGIAAAY PHYBLDRAFT_151682 MNNNHNNTSSSRSDSDNKGTSRLNFRPSNNRKSQSCPWCTENIK IRTFNTFRQHVKNIHPKELDSEVNSASTRSDIVDEPLDLSSSVSIIYPELDFQYYDSN VSIDSDTDEDNTQDYKSDIDKFENMLGDDAYISAEEEDNVAEDNENSPVDIDEVFLLN TILPLSRSFANQLSGGTSETVEEDIHRLKHATSQMEEVENIPDLTNPFKSTLEGLLHA FFYGDEDLCSERMVKKIIYMLKITLKLQETTSQPLVLPAPDRISNFQQRIKSKIPILR PTKCIAKNKKGEEHTFFMNKPSEYLKHLAATPGMVNQMSALPDFTAGQRLHLNQGNKW KYHESLQMPMITFNNQDFWIGDLVKDHQNGHWLINKFMKKSIIYVECFPVIYNDNHNA TISDIFIGLNTNFATMTRPYLIPIETITSSIRKELCFQGQGCTAGYDANGKVVLEQHG LTEAISHLWFETYSSNKFKRILPNPSNNTNKYMKVVIMPIILWSDDTSGNKSKQYNVF DSYLMYLAAMPLEVRSQQENTLFICTSDKNLKAVDMLGPIVNDFVKLEIGIEVFSYDH NEYILLVAPLLLLMADNPRHSQLAMHKGTNSKYPCRKCFCPKPTMPEDFNQQSANTTT TTTTTTTTTTKISHTFAKRDFSVNGSEEFLRLNSFDPTTDCPVEVLHTVPLGCIKYLV DYFMKEVLTVAERDRLGNIIMSSRNRDAYSRTFQNNLRHCGSFAGRDYKQLIQVLPTI ISKVFLQSTVRINMFSQCFIYLGQLCSLIYLRGIESNYEQYIYVFRDTLSKFTDTLYV LDKHLCQTDAKPPKFSLRPKIHLLHHLLDDVQRFGCPLQYETESAEQFNKFIREHLFM TNRLYTSRDVASRFGKQFICCQIFNGLSFVYKKSWKENDVQKESIVRGESGFKIKNLQ ADNVDFKKHFFGARMNVTDSYYINKAKIVNGLTGLFSTRNGLFIGKIIINQDGFLTLQ KYAFLQANSVSPSWLPGNLTDLHSNPLILPTTTVLVDNSFICKEVMDLGFVFDEERSI NIINISEFGTYWSLISNYQYLFTQ PHYBLDRAFT_39951 MPPKFDPSEVKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKGTKEWKGLRVTVQLTIQNRQAQVSVVPSASSLVIKALNEPPRDRKKEKNIKHSG NVSLEAIIDVARTMRFKSLARELKGTVKEILGTANSVGCTVDGQSPKDLCDAIDAGEV EIPEK PHYBLDRAFT_67222 MVNYVLFETASGYALFERLQSEEIGSKLAEVQQTVQDLSKFGKM IKLKSFAPFKSAADALENANDVSEGIVNPSLKAFLEMNLPKASKKSSFSLGVGEKNLA GAIKGECGYDCVADETVMELVRGIRLWADKLLGQLKEGDLEKAQLGLGHSYSRAKVKF NVNRADNMIIQAIALLDQLDKDVNTFAMRMREWYSWHFPELVKIVNDNYKYAKLVKVI KNKSELSEEHLEAMAEVLDDDETIAKQILDAARASMGTDISPIDMINIQNFADRVVNL AEYRKKLHTYLVTKMNYVAPNLAALIGDVVGARLISQAGSLTNLSKYPASTLQILGAE KALFRALKTKGNTPKYGLIYHSSFIGRAGAKNKGRISRYLANKCTIASRIDCFSDKPT DKFGQALKAQVEERLAFYDSGALPAKNLDVMKKVIDGMDNDEDEIKLEEPVAAVSAGK KRKADPDAEEEESPKKAKKEKKEKKEKKEKKEKKEKKEKKSKD PHYBLDRAFT_67223 MSATMNEIQSPNVSQNHYLHSNPMPLFESPGRCSNNQANIQPSV QTLPQPTIEAPPVQNINPPDNEQPTRIPVPVSTQPKPTTTIDRHFVSVRTKRTNTSTR SVPSYMSTTLSFQNRHLEQKPATENSTRSRNINTSKRSPKASRIPRYRPTRNNSAEEN KGEIGPGEEYIPMAARVKIFENNLGNGLVPTPKVTENTLENIQPFVPHKPTLAKSPFL LTRQRSYLNRHQPEQAALPPTTSSRSTSSVQIQRTQTPAQKSRAAPQSDDTSYPAAKR QRKMDSKAPIQPFRFATEERALHYLKGSQVKVDAWKGKEQTSRSEKTRSTIRVAKEEE PRNPRKRKQEDALS PHYBLDRAFT_174468 MANVAEQHLPVVQKPKRANVQIVNEATSLMPSQNKSADLSLSLE PTERRKQWLGLIIMGMSAMSFSCMAMLVRISAVYFSIVDIVLARSATQLVLSLASCAV LGINPLGKYGVRKWLFFRALVGSIGLILFFYSLTRLPMLEATSLFFLGPTFTAIVSYL VLNEPYTLFDGVCSVGCLFGIILVWKPKYALGHPVADPTAGVYNMDPSQLAEDEMARS FAILCAVAGAIMSAIAYISVRKVGKGTHLMVHSVYFAVISCVLCIGGVFVMPPNTLLQ EDWSSYTLLGLMALFSFAGQCFLNQGVQMVPAGLGALMRICDIVFAYLSGVFVLHEYP DSLCVSGVCLIVGMTTTLSIHKWQVQKIRALELRKQRSRERV PHYBLDRAFT_67225 MASLSKILHLFIGISIDAHLIILDLVKKIETSPFRCTNLNCLEN MDGSEVMHLWWNQDVAAVLNFRHILNNLRYDGTIPVRFTRVIRIGRIRRQAEEDLQEG RRLRQRLTRIQRR PHYBLDRAFT_78739 MLTTELPFEILTVIAKSLQQNDKIICSTVCRTWKTPFQGSLWDT LTIDSEEKLNQICDLSTTRPTIYQLNGNKVQRLVFKAKVQTTEIQFHILQRLFKQLKE ISIPHNTMREDSLGSIPDWKLWAALTTMLIYIKRLNDDDQKEQLFKILACLPCLKHLT IQDSIYYTLPPEPRDTWENLERLHETLPRLEYFHTDLLFGSIPVSEILKMKNIKPANT TTVVKLLNLNMDIGWIIYFSLKYPNIRTLKTRKPFKDTVLHEEQIPDAETSTMISSLS SNFPHLKSMVVTQKVPMGLDYDFFWETLQTQVKELQYLEYSLEISKRAGLETQNSGPH LIHFCSPTIQNFFIQLVAYYFDSPKVFLKFGACPRLVTLKIVHSGSNLELDTLLESCT SLKDLQLSGVFISLSPEAFNSTRTHGLRSLELKGSKTSPVTFNYLSLYCRDFDCMILY RARILGRISENTGELCLNMPFTDFKILRLVSTIFYAVENEENIFSEEPSGFHSLQILS IEETDHLRRSRNTKTADTESSSSDESPSLPIKRTWYHYHRDVKFWTSDGKTRKLGGKE RQITEKFFETFLVSSQDPFNNVKKHILGGLGLSSRWEEDLYHGYSLLRCRSINEAFIN DISHVDY PHYBLDRAFT_128279 MAANPHRNSQSRASSSIISSINVVGVHYKVGNKIGEGSFGIIYE GTNLLNNQLVAIKFEPRKSDAPQLRDEYRTYKILAGLGGVPTAYYFGQEGLYNVLVID LLGPSLEDLFDCCSRRFSVKTVAMLAKHMVSRVQSMHERNLIYRDIKPDNFLIGRPGT SKANTVYMIDFGMAKQYRDPKTKQHIPYRERKSLSGTARYMSVNTHLGREQSRRDDLE SLGHVFMYFLRGSLPWQGLKAATNKQKYEKIGEKKQSTAVKDLCEGYPDEFAIYFQYA RRLGFEETPDYDFMRGLFDKVLKTIPDAEDGVYDWMLIKHNSGLEPRSRYRNGAVAAL NSAAAAASSGSGGQKIRQHSKHRESSRRNPSRQRLGGTQDPYQRYTSQPMTMNPNQNY QTMEHHSRGLQDTDSAHKRGFWQRLVVTFTCGLCTCT PHYBLDRAFT_22536 GTEQEPGVIPRAVNEVFENIRQATNKEFLLRVSYLEIYNETIRD LLAPNNTDIKIHEDRRRGIYVSPLIEEIVTGPEKVMKIIQRGEANRHISTTDYNMHSS RSHTIFQMVIESRIRNNDNKNLNIACVCVCVCVCYVSQNLIDLAGSEKAVTNQERRKE GAYINKSLLTLGTVISKLTEQGKSSAGHIPYRDSKLTRILQTALSGQAKVGVICTISP SSLDESHNTLKFAARVKKVVVTAKNEEVMDDKALLQRYRGEILDLKHKLMAANEVIKE EKDHTQSMLSAERRQVKIHNRGNLYL PHYBLDRAFT_151690 MSRQSFQKRICLRWVCGFCLIGLVWLMVSTIQLNQRLQDRVCLE CNVESSTKPEHLPTNLEPTTDINTVQDYPYTIVTAASANHLCALENFLYKLNHHRSRF ANKGFPRIVVYNLGMNRTQLPVLDQLQANGKLDVLVQFDFLAYPHFWDVAMRRGEYAW KTAIVDEMRVRFGGIVVWLDAGNVVTADFVRMIPGIVRRQRGFWSPRSQHTAKIWTHP RMFEYFEVSAENYENVENCNGAALGFDTSDPNIVNGLILPWLACAKARECIAPPGSSR LNHRQDQAAITLLAHHQGHVCTKPASAFRLQLHRDTSCRSDLLGLDLQHRLFHPSSID LPPWRASDTVRLYHHPEWRYPQDNVPSAIKQLILEGP PHYBLDRAFT_67230 MYPVESEVQENFFEYSLTGQQPSTFPRKHNMELQFASNLNIDKL NHNHNHNHGYHNSYHHQYSQFPTPALISDQMYYCQGGTNLIPSGSMIDASQYVHSQDV FRDQSLYPPWYPEAELFQQLGSLAQPPIPIENENEANNYLSSTCTEIGELDSMLFSND FGNISLQPDFPSSQQMDQYLPDLIEFGPFTDIGLPSPLSLHSSQSPPQDYFSLFHTNQ AESRTERVDAKTRIEVHMYQKFSPHQPSQPTFDTGLLPMTRRAELELQGQLKSVSGPG PGPGPGPGPVSGPEPEPEPEPDRNRVLENSGFNKMFNYKQRYPGQFKKSYICLTCKRS LCSAYSLSRHQTTHHSNAQKKDMCHLCSKAFSNKANLRRHIRLCHSKTVSLP PHYBLDRAFT_151692 MEMQNTQRHQPKSWVKSTIKPRIQSRRMSYMAECNHHTRPTKER KPKERSSLKRMMSKEDEKTKTTPLVFSSLSDQPVSRPPFCLLGHALGANLPLLTPSSS TRPCRSYLVALPVPARVINKIFRQIDAGTILLQPFLLRACLPLTLIQLLYPHIPALTG SSLDLSPFLSVLIPSTHRRRLTPDYIDPSALLPFRLPLPTFWTFLGHAFGNPRFITSF HVDYYFTTSYLLPFPLTFVPSVTFTPTISLTSYTISPQNGLSGLPPGGIILLLLNQMD LSYSAYVSYFDYNAIFSDLQMKIQQSFQQQQHIVTDHINDNYNNCSNLTKIMYCKSCD GKRKNKDSDEMELAKKSYSFHPPNVTPSVSTNKPRINGDDARKLNDHFVAVIGPHSGD ETLDCTRQEYELNMEEQRVLHCFKKTAVVYLKSRTDKVERVMIECSGEEDGNHTEGDT LKLMKYTSSCLKNEINQFKYASWTTFGRRRIFAVRCIGNKLTLLSTSRMGIGKWCFVK MRSPIVSRDWEDRYYLNRLMELLMKLKEMPLEQEEATMVLKQQKSGRSPVDTKDTIKA ISRSGQAIKEL PHYBLDRAFT_174476 MLASALPFEIISNIALRLSLKDKKTCTTVCRAWKEPFQRYLWDT IELDQKSQLVDEASQYSISLIYRTNGYRVRKLTLDTCWFPTPEECTTYARYFGNVEHL NLQLIHFAIQPAKTLKLDVWNSLRHLQITTKTYYNHFRVKDFLNNIPPIHHLTQLSID PHMGSNSVINSEEELDVIHALFPRLEYLSAGLTLAPPKEKDAKRTSPALPASNMLQAR FNKGVVSIDCLDYFSKKYPNIHTLKFNIVSKVSKVKEVSSKLAQGLLDLPFLFPRLKK LYISEILDFDCNYIPICPLLAQFGVGLKNIHYSLVTHNKLHTEYPVDAINLVCCNLPT IETLSLDLSQAKSNMYTIVAKLGVYPRLVSLDLSVPQTSVALDVLLDYCFNLKTIKLK SQTLFTKSDLIGNLPRHGLENLELSGSIIDMSVFTHVSFRCLNLKQLVVKDVSIQGLL SKTGNLCIDMPHTHLRLLDFRRVRFYGSTDYSCDKSTMINIIVLERDYHHSSINNIPP SQNHIQDQDQDQDQDQEQERKQDHETISLNLLLSNRNPQGPKNTWIHQYSEGRFYRYR YRSSEIPVRILLDPEVTYAQSYFRKFEDNAKSYTKRADITRYEDGLIAKRFWKSDLHR GRSTLRCGSIEQCSINGTRPFDNIKE PHYBLDRAFT_174477 MTNDIHDTLQALPARMEALEARSAAPPVALDGSPADEDDTMLPT DHIVERPIASDLTPFPELSKAIPGMERDFFRQPLDEASRRRFLLNCPRNVLRQYQAPV LNYSGVGTHTKRTDAQLADIQFRLSGLTPPIDLFAHDVLVEGSIQVTQALGFANTMHE LLSDLASVVTQMRSDNICRDANLPITPIVTNSALEPKPLLDSQRIVEQAKLQRALHGA ARPSRTRKGKRTGQSNRTNKPTQSDPTSAIPSPTAVQVSDNQYTTVGGRLQLFRNAWT KLTNEHWVRRTVEQGYDIPFTRLPPISSSGPLTNHNRMDSNVIEQEIMSLLCKKAIKE QGYDTNHPSLQVERYPERSISPSPQPDNHLETAFLVHRQGPGNHFGSPSRAPANPATD IHAQPSLVPRIAMDQSDTPLFHGSAGTSVVDRPAEGMEWTLVPPRTSDVHDMEMGSAS ICDGRTFCTLDNLASPISLSAMEPTSPHTAETTTREGSSYVDNAQLVERPLVSSPPPA VVPPANPHPSSPGPSRTRLRRPRSPEEPTLEHDRVGHKLRRLEDQGFDDNANIIILNR DRNHSRQSYNRIQRAYIDWAHHHDVDPFIPNPVHIVNYLAYGATHLKWKASTCQAYRS AILDLYSDKDSIVKDSTYIEFFSALNEQNLLSFHRPTYDIAPVIRFIHNLGPNDTMNA IDLTRKLCWLLAICGFLRPADLERVDDHRTSRDNGILRLVIVAPKEKRSGRRIERVVA IQPHEDPLLCPVATYLAYKSNIAFSVCVRPHPVLSQVTLQRLVRDVRNYDRPIGSERI SKHIQFLMEKIPRPSGALLPKARALGPTLALASGASVEDILVHGSWASSAVFDTFYRL SRQTVSNFSTMTLTSSSGYLDTQPESLANEE PHYBLDRAFT_74088 MSYFAPLLNYEADSSNELNFGPINRNNTTEYQSTIHPSHCFKRD AYDPFFFFDGLLDKDNSLGIFYSLEPFYSVSSHDILVQGQDQDQGQDQGQDQYQYQCQ DQDQGLFCLSSYSQQLMKAESICSTNYSMPSLEDSHKQEETLYSSPSSSYFSEELFSQ RYYSPSMSLVSETSEFLFKPFDDQCKGTCPAPSLFITRETGQEKPNRNLTTVKSKSSK KKTPKAKSRKVLPILKKFKCDYCPYASNRLNNTNRHAKKHTDEVIEKSPCDVCSKSYS GRSNMLRHKKLHHI PHYBLDRAFT_74110 MATSKLSFEILTFIANLLSLNDKLNCITVCKAWQTPFQESLWRV ICIRNKRRLETICNKSNKTQKNYEINGYRVRKLSLQTFLGTSDNQLHQLQQTFPYIEY LHMPEKCMSEEIGTTADWTIWRSLKCLEIYTTGLYFADNTDEFISILSYLPNLRRLDV LGEKDARKLLYRQKDFENLHSHLPRLDYLSMNSTLEALCTEDLVETPKIMPAKHLTVL KLCVDDMSPQWMYYFAHKYQNIRQLEFNINTELYMPNCFRDEATIMLFKLRSVFSHLR NVSLTGRSGTEWSQITFWELLGLSGVPIKYLNSTIEKLRLSSCISFNEPCRLPSIISL CLNLVDLDICSYDTHIAIDTLLDNCRFLTKLVMDTRTILLNPNTPATPLTHILESIEI RVAWTSRQIFSYLSSRCRKLKEMRLTGISIHGLVSHETGCYYLDMPYTRFELLQLNSV LFYSSEASILNDNLVNLFVIEQANPTQSTLTHESILFDNNLFPKGTNSKWFHMQPGPN RYFPEGIPYELQQNEVDQARQYFKSYHLVYGQPIYKRKLALYKPGQISSQYWKNDLWR GHATLRCEYIHRYFISIFGSRESIAQLKLHESS PHYBLDRAFT_74109 MSNSNSEISLSTEDNHAIKNEYKTWYKHDGGKDGLTSMERLQQF MLMNGGENLNMYLGGDKEGRTFKSSKVTILNKCNQYFQEQGVYRTTAQIKSRLNNLLT KQYGEAYRVWKNSIKNNSNDEGSTSEKEGLESELNQICPAFFQMEKVMSNRKTGSPAV CDTTKPMEWIHDEDGEQSNGDDDNTDEESAEN PHYBLDRAFT_118674 MNDARVFEESTMGSDPNNFFSGDQYVLADASYIPKTYVVPVIKK PKNKELCDADKAFNSYIAMMRIKIEHAFGILKARFCSLKRLPIKIRSRKDMNMVNSWI RVCVVLHNFLIDQTDDMMTMTMKISWEKREKEEIERISREGVTGTVDDYPAMSLSDEN AKLKRIRIKEEILIKNGDGSLLKKK PHYBLDRAFT_151699 MAFAARTLRLCLARSSATMPLTARLQTPLAMRITSTSLPAAQSI SFMAMRGYAKKAGGKKKGNAVEKEDDADVPLLFDQKQIDERMSNANSSLKEQLTTIRV GRANPAMLDSVRVRIETESYNLRDLAQVTIRDPQTLVVTVHDTDYLSAVEKSIRESGL NLNPLVDNKILRVPIPKPTKESRDKMAKLVVQAGEQAKAKIRSLRQDGMKQLKQDSKS QSSDDTKKLEKLVQTLTDKYNKSIDELIKAKVKDIQS PHYBLDRAFT_178372 MCDPVFPYSKKRISTSYHCRRVGKLLQTNPNERAVIYHRGGQGA VRDDTDVEVDFRQESYFFYLSGVEHPGFHILVDLYNDTIYLIPPTVPDTEILWKGEPD TAKELLEIYDADKVIAEADVPALLQVLCPQVVYVLDTVDTSIIKAAGIHECQLDKVCL RVAMDESRLIKFPWEIEHIRYAAQISSHAHMALMQRAQAGQPESYCEALFRWVCARNG MPRQCYIPIIASGSRAATLHYTRNDKTLPEGEHAMLLVDAGGERFCYGSDVTRTFPIS GRFSSEAKTIYEIVLKMQETILSQLKPGAMWAGLHNLGVYILCQELIRIGILVGGTHE ELLHLGLPRAFYFHGTGHSVGLDVHDVGGRTAGVLTNSYHERKIQSQMLISRPLKKNM VLTVEPGLYFNPTSIAMWTQKPGYAKYFNMDMINKYMPVGGVRIEDTVVLTEDGYDNL TIAPKTVNDIEALMACRAQSYMDSMP PHYBLDRAFT_104036 MSFGRPPNVESFSGAPPLLGSFPVDHDGECKDFMKNYVKCMRVN KNNNGACREFSKAYLQCRMDKGLMDKDNMDNLGFADMSTK PHYBLDRAFT_174486 MNSTTIKHHFALQDSCNASSESLLLCCQDTLFMNGSKGILHAIL NGVVIQTWNLGNNVKQLVACPESNNGQRVCILARTETQVWHLTLAKTILMGEKKRVMP CGTLQFLVKSRQAALPRKYNIWVRRRIDLFKRLKHSVYSRSFNIQDKTVSKHNNDKIM VYDTMVLIRSENTMQVYSSIVKKEKQTVSFQEFLLPSPVVHSVSFRDESVLYVGKNGR IYYISFLPKYQDKKHESIVSIDIIVSPLKKLEDVSRICTVDTSQYNKIVIAALQKNRT LTVAEIDSSSSEKLQSEQSDLRESIRQILKELSECENLAKEMDEEHQAVNEKLKDINS TLFSLQSIKQHKETSEAFKCEIRPILISRPVKEMMFSDMALRVRINVPLSMNWKKWQL HISLNDQKPLSQEMISIERGLQGSVQGKTLTTPLLGLDKLRKWEHDIPLPTNTLQFPL EVTASLSYSPMEQFLPTEKRIENLYSNNESPVVFSVYQMTIDDLHFAVPCSSEMISSI KSFGLWSVTERLQPVYFNHCLMDKSGNSLVTRLDTHCLRSNDKESTTEEQKRPKLIED TTEIHFKVIAKDKEQSNFVYCKILYCLLQEGRTETILNEMLCGAEKALFTLASHSSFP VELSLSKKSTVINHGLDVTLKIKCYNSYVLFKVEAALLARISDRLVDPINAPPNKEFQ EDMATFSKLHRTLLASYTKLESEFQKSSSDKDIWDALLVSLRHMREIHNNVFYVTLRY MVSHTSRIYV PHYBLDRAFT_174488 MDYPSESHTVYGRPNGFPPLSPRGSRPTPANRSGRAIKQRVDYG ESSEEEESDQLSEEEELEEDFLSEEREDSEESEFEDNERSRSKQKPKPTKKSHAQQQN KHWASVDQEIPVFIPMGTKVFERILDYRVNAETGEGELLVKYKNMSFHSAEWVPSSVI ENEHLGKHRVKKFMQKWYLDGQKGEDFREYLKVDRVIDEGELADAATGQVAVYYLVKW NGQPYDMCTWESERDIRRIDMSKIEEFQARRIIPSQKLLSKVSIFTRSALQFANIKCV HRYRYNNELRTYQLEGLNWLRFCYNSHRSCILADEMGLGKTVQSVAFLNDMYHTLGIR GPFLVVAPLSTIPHWERAFKAWTDLNVVDYRGSVLSRNLLVETEFYYKDIQGRTIPNR YKFDVLITTYEMAMAGVSQLRQVPWKCAVFDEAHRLKNKQSKVLEILKTFDIEHKILL TGTPLQNNLDELYSLLHFMQPEVFSDEKHFFTEYGSLQSAAEVEKLQALLKPIMLRRF KEDVEKSIPVKEETVVEVELTTPQKKWYRAVLEKNFSFLKKGAKTNREMPHLRNIMMQ LRKCCIHPYLLEGAEEVIVSESNAKGPVEQFNCLIQSSGKLASAYRQTSSKINSSCLD ILADYLRGRSYAYERIDGSVPGDQRQAAIDRFSTLPIEDSFVFLLCTRAGGVGINLTA ADTCIIFDSDWNPQNDLQAQARCHRIGQTKPVQIYRLICANTYERDMFDRAGMKLGLD KAVMSRSGVAGDQEDGGQGKSEISKKEIEDLLKKGAYGAMLDDEASAKFCEEDIDQIL ERRTTIIRHEGNEKGSVFSKATFSTTEDSGTVELDDPDFWEKWAAKANIDTTEMPDDN ELIVYEPRRRRQVQRFGSRLADGIYSSNDNAEDSDAYDDEVEKSKKKDQPRLWSLSEK TKYERKLMIYGYGRWDLMKAHFPRRSEKDLKAVTRAVMRRVLPIIEHSNEEEKKLIDD IEYILETDAAEEVPGDETVPYSGATKKQITEFRSFLIQAPADYLDHVERKGRNFLLRI QMLYMIRDKIVPKDWEDAKALTVPKVTGHPPSDWWEHDEDRDLLLGICKHGYQQYLAM RNDPEFCFYGRKYDDSKAGTLEDDDAAPLIVDTDSTPVSVSVDTDERMDDTEDNVRVY MWPSKADIGMRLRRIIAAFLREQANDLRKLKIMEKEQKKEHNRLVREQEKESRKAEKQ REKLNETSNRWPKKSRADFLRTILSFGVETYPDDRSTICWDRFKEIAGLEKRTDESLN LYYQKFIPACEEMMKRHEQEQSGTERSTTANSNQDPSTSSPSSSLQAPNAEQGIDISA ASRESSAEPGGDSNADKDEQNEAVDLVPYDKARRTLKRIAQMKRIREEVMVHPDIDSL LENARKTSGLPSWWEVPLHDKALLEGICKHGIGRHDLMVDDPALPFFQVKQHVLDEES RNQPENDNSTSLMEKIGWPKDLVIARRIEALCELITKPKPPPKRPASSRKRKRGDPKP VARANTSSMGKSTSIKLTLRGPREKDGYNSDVSISSSPMDIEDGTDSGEDTDTILREA TKRMRNKRKGRSPTKPLKSQAARHHDESSVGSYHRHKKTFLARQPSSSSSTPDTWRNG NMRPRSPSYSSSMSSDSDDQGGPLRKSVGSSNDL PHYBLDRAFT_128283 MAGALENAQNEMRKIRDHERESDFGAIYSVSGPVVVAENMYGSA MYELVRVGHSELVGEVIRIDGDKTTIQVYEETGGLTVGDPVLRSGKPLSVELGPGLMS NIYDGIQRPLKAIQEASQSIYIPRGIATPALDKGFGWDFEPLNFEVGDHITGGDIYGK VWENSLVTIHNIMLPPKARGTITYIAPKGQYTIQDVVLETEFEGETTEYTMKQLWPVR SPRPVAEKLTANHPLLTGQRVLDSLFPCVQGGTTAIPGAFGCGKTVISQSLSKYSNSD IIIYVGCGERGNEMAEVLMDFPELSIDINGRKESIMKRTTLVANTSNMPVAAREASIY TGITLSEYFRDQGKNVAMMADSTSRWAEALREISGRLAEMPADSGYPAYLGARLASFY ERAGKTTCLGNPSREGSVTVVGAVSPPGGDFSDPVTASTLGIVQVFWGLDKKLAQRKH FPSVNWSLSYSKYMKVLEPFYEQSDPEYISLRDKCKEILQMEENLSEIVQLVGKSGLN ESDKITLDVAQIIKDDFLQQNGYSSYDRFCPFFKTTWMLRNLIGFYTQATHTVEASNG QVSWSKIRESMSDIVYKLSSMKFEDPADGEEVLVQKYSALYKEIEAKFRDFEV PHYBLDRAFT_174490 MISSLQSDLWSFNDILGNTKMSVKEKVISSYYEKLRARFSSISA VELYQHHFTLCILHAFNRIVNYTQKNYITIIIFLSKIIGKCIKTFGINLLKKANTVNK YSKQFMVIFFKNNMNNLLIYVIYTPQLSEEQTSQPNTDSFLLMNLAIEGNEICGISEC IYCLTSVGVTIMTSSHKSALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIR VSGSPQNYLILHCSKW PHYBLDRAFT_151708 MLTIKNPQTNNNQTTFEGVVSESVSAFSFLGTSEGTYRRDKQCV ESREAQSVQNIGNDDSQDIPRPDKNSHSESSALSAKEIKSTMSLYLGRGNPQTGADMY YITEKIVFHVINNTFDALINKKDVIPSWGNLKSFQENYLVHKNCDK PHYBLDRAFT_174492 MSNLPNTINLEADTSGKMCSNSSGTNNEWNETKADEVYFIETKT KFNLHHCFRILARHPKWKSSVPAKKKESNSKVAASAPNPIGEGIDEEKFSARSAGRKF TKEQEATKRKQEKNVERLIALHSESIAKGDERKKLLKKTMESNVLVVNAIRETNAVLQ RTQDIKVLLINTSLIADPVSRQMMLDLKKEV PHYBLDRAFT_174493 MEKVLKLTSSLLWWLKLKKFLRGRIEDLRLGTKIRENLCRFCLL RKDFISKFDQVPTLNYYCYLYYFGSGKLYDWGQLRPYIISFRLILNYSSSQYSFTTII NGNTYSQGYYLTDGIYLTYAAFVKSFNDPQSAKHKNFAKTQEAVRKDVECTFVVLQTH FAIVAGPARM PHYBLDRAFT_67244 MSNNTNINSCIDSSNSVYNDSDDENETSAEHELATMQKIFYVTF QRNSNFDLDKYNCLELELGSPVHLVEQSGIRNNLNGGTNHWWTYCHPYLHDGTGPNSF WAHYRVNRETFNVIVNVLRRDPEYQASEERSEVSHPVWKQIAVALWYLSNTHFGYRIA LELLTPATLEESQKIMDGFAVFSVRSEHQHLNECIGAVDKKLIVIQKPSLFGNSWLDR HSNASMALMAVCDHKKRFIDIRVGLARSQNNTFIFKTSNLYQNIIHSPRELFCDPRSY IVGDSAFPILKHCLIPYYRVNDMTPESMAKVITTCCILHNMCITFGDSKEYLKELLRA GEANLQRNMTIMDWFVRADNTDGASFEAHDADNDDEDFEDLYAEIDNSHTETETASEG RARRDQVKDTL PHYBLDRAFT_174495 MSAFDNHNIHNYQDQEGIIQMIVAPRADWRTEWDDTTIMVLLCL IIETGFYVKHLNSDSGRKASLWSNLHAEFCINPDVIRFAGTSAGHQFSLKYRDLKYVK ERFQTIKKEFRKVVVDIQRAGSGGPPPQERFQFFDAMKEITLFDPSFFSLMIISSSSI LVGANAAPVISIHQEDRITYSNTYLSGPNFVPEIMNAIECGISPVTTDLELSYQSSFR LPSTSASATMPAPSSSAPGIENRRMTQCEFQSAFLRNSERQTAIFDEAFTSLSLQLEE VKDAFIHSVECQYIHAVSFWEANCLDRASRDNNAREDVLARKQISRDDLLSREIIPRE EREAKGKLLAFSKKVQIQLHSIFLLLLLLTIMHLTNIFLSYVFFSLYT PHYBLDRAFT_174496 MITSTDMTFLNAVHDQDIGRAKENDLEAFMAPGSVQSISNSVDV KFNNDYTESNEAEYNDSDNSNDYSYNKKSVHEYLRIRNLVNINTLLIKSDFVTKNVNQ ESHISFYEPNTYAEATTLKLFSMFFENNVSCNVFDKYIKIVNKYMAESFGNVTDKLST DKTCKSNQIEELNMTSPIIDFWECYQQIGH PHYBLDRAFT_174497 MKKRVTVATDTFQCSSKRWLCNNIFYYQRFFGIKLKRFQNFDVY ARTHHRRRKLLTIQNATPVLSNTNNIKPITPEFNYALELICEHFANAQSEQEQINIYQ LIEKTLKQIDAQKLKNLKGPTVVEAIKGRPKNTKCKMIALEHCINTKKEKTTKKIKTE KEQKKQKISSAKEQKAIKNIINLGLPCDSTLLTNLTIPPKHILTSFSSEADGNCGYSM IAMEVYQDQEEWSKVEDKMLETFLKHQHNYYHGRMEHGNMSASNSPLICSLQDKRSPL PQQHWFGTIDHPQLVADTFSRTVAVYWNISTETDDCLFVPFATLPEKWNR PHYBLDRAFT_151716 MYVNKHIHFNNRTSNRADSACASLKHSLGTSSGKLKTVTLKVKK WYDELVANHKHRLMMESLGEGTKVVFNKVNAARLNDIRLKVCRFAMD PHYBLDRAFT_151717 MNNINSTNDFVIVSETLKKYNAVLTEFNSIFLGIKHNIALTTEY ASSSRIKLICKYSGKYRDIRKAEKVASKTSVTSETLPGWERKHEKDKQKHGCPCFMYA NTTKKGKLTVRSRETQHNHAIEEDRRAYAMHHKLLPKAMTLVVQHLKNNDNQHSGKSD KGRKMFDFITTLQDLDFYVRYSVGNMEDNQINMIFFVHQDAINGTNSHQMTYVNIVGT SNVSGNPHTTLKTFPIAGAWVDHEIEENYLWVISCLCNAVWPDVHDNNNNNNNNNNNQ TPLLSDVFITDNEKALRNAIT PHYBLDRAFT_67249 MCMEGCIRFHDVEASNLIVKEEQCSCCGSQWFRCERDTLVPVQT FKVVHLLQQLRFKLGNSQECAKIAYGRSHIMGSRFGVLSDVFDSGAVRRLCEGSIVGQ NDILVTMFVDQFNFFDDTKMLATIIHVVNLNIDPKESYRSFLEPIIKDFCILATSGIQ IQTVTRQINVKIHLVMATGDNPAMSDLMNLAHHNSFFGCQACFSKGVSKHHTMCFFGN ELPVCMGTVESLHQFEGNSYSVNGPNVFRDLPTLTSPTFFGLDEMHLLGHGIGKQLYK AFGGKLNQIDRSITKSRADISAIFTGSWQLLEETTGRQKAVHWLDFLLSVVLTVVVKN FVLAKTRNVVQDLVKTCAIAQQWKVAEREINIMEEAIGRWHVFLHHEVAEKRKSLAIV ADECGMNYHNLVSSLVSIWGQDSRHVRANNLVVLKHLNEYRFVHKFFSQSVLEETKLF VIVNCLRGIWPNNEAKFFVCKSLTLGRFENLSNSIWCDKDNVVPNI PHYBLDRAFT_151721 MLHTPINILAKSTLDASAAITQHTLKKMIKMLSDSNVIACEEDH DMYKDFAGICKSKLQQEKLMVMKAQDNISKGRYFFKEQNKTKKLVTQKERLNFMLALD ESSFLEELQISIVLDELELNGNSLLSDHFARRSEIGMGSIKNITIRFFKVILSLEHKF VYWPTKSEKLAKVSLWKSEQFFGRRSTYYVNSVAVCNHRRIIQYMSTGFFGSSHDMCT LSECELRKFPERFFSDDEYVLADVGYKAMNNIVPIKKKPRNSELSLADQEKEDIVKVN AWIWVCVALNNFLMCQDDNKWSQEAKHQWEDREKAEVECLQKT PHYBLDRAFT_151722 MSTPSTIEFVSHQEDANQSHSWMKPDGGKNWLSLIDRLQYFLLN NDADNLRKYLGGFKNGKKVKVSKTRVINDCCQYFDKQGVKRTSSQIKSKLTYLINKQY PIAFKAWEDSTMRISKEEMSRLYLNDICPSFMQMRKVLGESKAKSPAVCNTTTPLDLN KAQDISKSEGSEDSENDRGDEIKQGADVSNEISSQREVVVQSNSESSTSEHSDTSVYS GKRRPKFFGNIGKNTAKRSVKSMEDIGHYKEELKNQKEKLQKELQCKQLVKGVILIAK TFDWSEEKTKNELEEMYNQYLN PHYBLDRAFT_174501 MTRDHNNHVPGDRSEMRTLPLPFEAIKLIEDQLRSGSSCRSTRI SVLRQIDSWGVSVRKPNYEEIYNRMKKMNEKLPERNYCVFTGDLRVNNIESNLFAFGF QLPAQVRVMRIATSFCLDATHGISARSGEVMYSLVTQHNVTGKGFPVAYMVTNDQTVR SISQWLMHLHERSYFCPLNITIDCSIPKVNAITSAFPHVAIHYCEFHILCAWQTNLDN KVRLDVSFTSAQLEAYKQELKNKQKYILIELNKEVFLTRILDFKRDIPNQLHFLRYFE ARWTGSKVLLKRWGRPYVDDLHRRYLTNNYIESWHNQLKTIYFGCARIRRLDCLVFVL TNDVEYFYKQEVDHIHLNNKKMGPVENELARNEFAASKIDDDILSSMIISPLNVISTS MDDSDGEDANHLAMQRPAVLAEEEEVVIVDKEDGREDAVGAQNDVDTSITDLITHTTL LHHQRLNLKHMQTISDIDVSEINDMTRCVKELLDIIDNIRNRNRNSFRNMNTQRQ PHYBLDRAFT_174502 MINSASAHFDIVNELLYFSSSVSIIYPELNFQYYDSNVSINSDT DEDNAQDYKSNIDKFENILSGDIYIFAKEEEDYKAEDNKNLLIDINKMFLLNTILPLL RSFANQLSSRASETVEMNIHKLKLLDWQLDKELPEWSLAVIYNDNYNAIISDLFISLN TNFATMTCPYLMPIETITSIMRKDLCF PHYBLDRAFT_67255 MSLEMRSQQENTLFIYTSDKNLKSVDMLGSIVNDFVKLEVEIKV FSYDHNEYIFFVAPFLLLMANNSRHLQLAMHKSTNSKYSYNCLVEVFHRVPLSFIKYL IDYFIKEVLTVAERNILDNIIMSGRNLDAYSRKFQNNLQHYRSFVGRAYKQLIQALPT IISKLFFQLTICINIFFQCFIHFEQLCSLIYLCSIELNYKQYIYIFRDALSKFTDILY ILNKHLCQTDAKSRCSLSDQRFTSYIICLTMFNDLDVHFNMK PHYBLDRAFT_174504 MERVIGVFLKLIKSKSKGGQNASFLVEQFVIHNYTSMAISICDE VNLIWPKPYGRESYMDLPNDPSSAQLWESFHQFINLNDDLVEGVGGPSKEHDMAAHDS SVPIVKQWSQNSSTGRQTQPTYAVISVNDICHQVGLIQYPPNGNKFYVIASYYVFNNN MHITKGNLSIL PHYBLDRAFT_174505 MYFDDISAQLRPLEDFKVGNPSKNIYQLSIYTQLSTFSRSSFFA LDELHLIARGIKKLVYDLITITFTKEIKFYYTHPDNTLNTTEYPFHIPRADLVTIGNC RFDNVFAKIDGTCAVDWLDFLLYLVPTLVVSYLPNRAVKTALLSLVKGCALALQWTLT SELLDEIES PHYBLDRAFT_174506 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQDHKRIHREDS ATMTEIDQSILDDVDMYYDENNTSNENESVSNSEYTIESMKLDNTISYKCACNFENSE EPGAKISHGKTVDALLKSKSSVKGHEYDACSSGCRLYDINDDQESCVDCGKPQYKTDP DQSQTPATSMKLMSVGDMLSQMLADPATRELLCYRANQESVAGQLTDIFDSDNYKQLV QQGLFSNPNDIAIRLYTNGFVNQKKGKNSYTIIYCIIFNLDPSIR PHYBLDRAFT_174507 MAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQ EPLEEAKTTNFAKKQEPLEEATILLTFNPKSDGWCGFRVFSHLKEGGEDQFPLVKKML ATMATHGKLYEHNFGMDVAEVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIA DTYNEPVCVYSDDRSVLPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHVHR SWPKVNALYFDAIRRGSIIDCFSTSWNHWGQFPKNKSYLLPSTTTTTTITTTATKSPT NSPVNSSDIIDLTHI PHYBLDRAFT_67258 MCFNSHWHFQLTSLLISSAYLDDVRDRGFLDDGDRVLHKLIKYE SKMVGFVDFFCIKDVRIFSAIRQNIQALAFELRQKSIKSYASFLFYIFYGKIHVCDWM KILIRLLINAQTLYSKK PHYBLDRAFT_151726 MSDINITLINSVRKIEIDIAKIKQMVRMLQNQFSKQFAPAVSVE ELNIMQQNIIEQTLERVAKSVKRSQFTEYPDQLGKQVIDNNLSIKLLQLKTLSHTIKH NLIDKDFPALSKEWKGILEKHREYYMTQLERMAKDNGFAIYKCKSILKNYKAQQDLND SLLSSDNMSEIDGGKSPIMVDMLSSLAEMSVQPVHKRS PHYBLDRAFT_174509 MSQQSAVKSTIVSGEETARVNSGVIPPPSAAGSADHDGDTVMTP LGSEDGAFDSEEGSSGSDAGRSGYVGANSPRIYLGNSGVRVNNNLVATMEMLLASAEE DLNAKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQELFAEAEQILKNLKASTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRYVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLNYYDMPFHKFLNMGRVWCMK QGKGESARSFGAKFQKFRCQTSLDDGVQLVLCFWWNLRPEVREACLIPLSANYGTKMP SKIEDIISLSPKKSWDFKKAMKDSICFSCKAPWVKGHSCPEREKYLTKVSRMAVRSSA GRPARASTVVEGSPSLPWSEYQNNTSALAKMALDCKYNLKDMVIKRDFKNMSANITFP ILANNSIRTISLLDCGATFSLVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFIYLA DSNTQIKRIGTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLP LSYDDADSSKERREANRRFNNKSDLLESIERENERKENNPAVGPKQFEDAMDYIRPFI KDNQDIPKGSFCTIPESVVCLDTPENATAFRSLYPIPYKMQGVVDEQEYWQRIGEAPE GIKDINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAY PHYBLDRAFT_151731 MNMFLYVINPTSECLDHHTYHQLQNHKRGHESNLASANIISQYI AEDVEMQNDIRNDIIDNNELVNDSDNNNQYYAVNAIEIDEVISYKCSCSFEDSEGEAH IYDSSRIDSNTFTKAKLMNHNEVMLEPGAKISHGKTVDALLKSKSSVKGHEYDVCLNG CQLYGINDDQESCVDCDELQYKTDTEQSQTPAASMKLMSVGDMFSQMLADSATRELLH YRANWESVAGQLTNIFDGKNYKQLVQQGLFSNPNNIAIGLYTNGFVNQKKGKSSYTIV HAVLAILPGPKKPTHLDSFLGNGVEVCRAKIHLLLASGDIPAVADMTNIGSHASLFGC QICKTKGKASENRWHGIYFEDSSAPLRPLEDFKTGNPIKNVYQPSIFSELSTFFASSF FALDELHLVARGIGKHIYDLITVSLTKETKIFIPVRMIPSPPQNTHFSYQELVL PHYBLDRAFT_102135 NLPRSERFKKENVILVGLMPGPKEASTSDINNYLKPLVDELMEL YKGIKIKTHQCPNGTSIQAALLMVACDIPAARKVCGFTSHTSTNACHKCKRQFSRLAG TSSVDYSGFDFSKWLLRTKNDNRKDAEIWRNATKPTERQRLKVAHGVRWSELHRLQYF DIVRCTIINPMHNLFLGTAK PHYBLDRAFT_151733 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIDPQFLI RNYPRSAILNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFADFATAYANN QTRMASLGPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDDPALVAENESKK KWNLNEKINHRDNVAVINYLKSYISAQTRLAGTHPWVISDKIKNRYKHSHRTFHESPE QKAKKNSKGRANNRTLQSTYMDNWVAIDAAMGYKTGNPVEKAYLKLFQKDAMSDGESD IEIVDNLPRRCLHVARPTWRSEEFNRLLTMVDDIDRTHHVLNAGMGTKPRMNRYPATL LPCSVPATLSQSLPRWAINDE PHYBLDRAFT_67264 MSAIKKNMFLDVINPTTKCLDHHNGIILGGFGYRGIGSNISQET WGSTLVPRDTSLGRLTVDVNAHESGTTRHGSPPDQTTEGIHCPLSLTRNWPKSDVFTR YVIMLFYQCYNTAKIGQTHWES PHYBLDRAFT_174515 MSSTSNTHNKKCFCNEYKNNQEGYSLVQRQTAQCHNKRARYEAF ERNTSVQSSSMEVDIETFLFQETGPSKILVGQTNSPFWEANIMSDNDDMTIDNEVIDN ADYDNNTDNNKEKSKEVEEVEKVEDNVEIEVEEFNNEDPFATPNMSENLVHRFIATFV IMFASRYVVNKGAVVLIEFINKLLTIYKQDFQLPLSLPGLQRMTGFSTMNKGVQRFLM CQDCHKVYEESALAPSHCDFIKLGVVFQFLKAFFLYYIWLLECIYCLTSVGVTIMTSS HKSALGLPTKLAPYRSDTVYPALYRLGYFDLVCGTIIDPMHNLFLGTAKRMIEKWIKE NDISPADLATMQKMSESMALPANYTILRSKIGKGFLYMKADEWKS PHYBLDRAFT_151737 MSEINKAHDYLQSFCQQCLVIYKPGFLTCNMHLHLHLRETINNF GLVYDGFEATYMKTFINDTYKGDYVRKVLTYPSLVPFIPLLQKLTSSATTTANYDSYT SYASYASLSHQDF PHYBLDRAFT_67267 MSGNRHILSEITVGEKRIIASLAGMQADIKAVKCQLSDMGKNID AIAVTSSDNAVPASTVANPIPAPIVATILAPARYMWDPNFWSDKPALKQANKNRPRWT TTVCFCLLPNQELAKNVFDYLVPKFVGVGMREADLNKYVYMTYCLRKREQNKDREAKK KSNTVSRRHGHEKERKFLIDISISTSDITRQHTIRTRLPLTRKWRKIALLCSSGKQCL KMNQTYNCLIELVDEAIIADLGSNAHQLLERIWLRTTDLAVSDAIVSQLPQWALRNGP PHYBLDRAFT_151739 MNNTDNNSISLLHIMYNEIISLKTHQEKTKLEMKAQIKELKLKM KMSIEDLNLEITSLQSQLKNRNISNQHTSPSVSAIFSANTICKPVSIFHEITLKHIFK MISKDLGIEVTSNKRATLNMCTKLICDDMAAHPLVIALGSNPSWGSIPVALKKELRAR HANIMKDSSIDFTICLENWASTVRVVHFWRDRHKRLQSRK PHYBLDRAFT_174518 MNKSFATIILKEENLKTATIILEAVASYNLWIGHVFFGLPDSLN NINILNQSFLFKDLADRQGPEIEYRVNGNKYMMRYYLTDSIYPSYTAFIKSFNDHQTA KKKGVNREVVIKDRLEIESVLAEVPRLIPRSEILFPNRTFASCLQRNIRIWDQKQHFS LRQNVIDSLWKIEGER PHYBLDRAFT_151742 MPSNSSRKTDRKGKGKASASISISANRVLVGRVGPREIAPSFSS ATIQDQQYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFA DFATAYANDQTRMASLGLSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDDPA LVAENESKKKWNLNEKINHRNNVAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHS HCTFHESPEQKAKKNSKRRANSRTLQTGNPVEKAYLKLFQKDAMSDGESDIEIVDNLP RQCLHVACPTWRSEEFNRLLTMVDDIDCTHYVSNAGMGTKPRMNRYPATLLPCSVPAT LSQSLPRWAIDNE PHYBLDRAFT_67271 MSSSNASQQRDRISTQQYQCDQCVLFFNNYQKLQDHKRIHRGNS ATMTEIDQSILDDVDMYHDENDTSNENESVSNSEYTIESMKLDNTISYKCACNFENSE EPGAKISHGKTVDALLKSKSSIKGHEYDACSSGCRLYGINDDQESCVDCGKPRYKTDP DQSQTPATSMKLMSVGDMLSQMLADPATRELLCYRANQESVAGQLTDIFDSDNYKQLV QQGLFSNPNDIAIRLYTDGYTNKYLLQLAILPGPKKPTHLDSFLISIINELSKVYLLL ASDDIPAVADMAHIGSHTSLFVCRFCETKGKCPTNRWHGMYFDDISARLRPLEDFKVG NPCFPTSATLPDPYTGKIGHVAESIVVGNLNQMISFE PHYBLDRAFT_174521 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSISGVNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFERLSG RECGNALFFATSRALTIPKKIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDP MHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMK ADEWKSWCLVYSPVLLRGRLPEAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAF CRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDG FEGTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFD INAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYEHLLEYYRETYDDQTLV HYRQAGHSDNFVNNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAG QIQYLFVNTAVNSFAGHASQHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSIL PVHRICYPVAVGEHLGLEGEVQMCVVPLPRKIYI PHYBLDRAFT_151745 MTKRIPTAPRRPNLCMNAVLNSTIAGVVAPIDTPTPEVAVNTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLVLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMVRNKAGRRRNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGNNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_67273 MISAQSSTFLVHLIQMKLPYFSSDIPYIIKQQGPLRCYFTCSME SIINIFLELIKSKSKGGQNASFLIKRFAINNYTSTAISICDEINLIWPKPYGRESYMD LPNDSSSAHLWELFHQFVNLNDDLVEGVGGPSVKEALLKYYQRTTGLTGHEFGDSVIV VAASLWIDSTVYISWMYQRKKNKTSRGNHYVMFTCPYRNNHNVIVHSWLVGTIQFYFQ HVDFHGFPHFLAFMEVMKEHDAAGHNSSVPIVKQWSQSTHTLGHQTQPTYAVISVNDI CHQVGLV PHYBLDRAFT_151747 MAPITPRTPRSNARNSLTQVAAGRVEQHLVVPAVTQEQRMTEMS TCLDNMGAMLGSLDNRFGQFIDVQRRNTETVGVIAMSLASTSRQVLPAVAPSFNGMSE ETKVAVLDFRSNDPTEIAENEARQRWNVDEQVDYPDNVAVVAYLREYIHAQPQAVSFW PGKVVSMIKSNYRYCHHKAHTLPEQHMDNNRRARIASRIKEIHTRRQDIYTRYWRVVD KEMGLTVEEDSEMAFFGAIQKGAMSDGESDTEELFPGFPVRVLKVVYPSWRSNEHALW PHYBLDRAFT_67275 MQKAVEKIVLLPDYTLLETKIAKGFPYMKASEWKSWCLVYSPVV LKDVLPLNKFRNWILFVKACRILVMPNVCKSDISSAHKYLENFCKECETLYSLDLISP NMHLHLHLQATIRNFGPVYSYWLFSFERYNSILKNIKTNRKTEFELTYTRQFVEDVYK QDIISHIMESTDTHTYMDIFQKNHKTSTKSSINNNPILSILFFTRQLYYCYAGCNKPL PLTAFPLAKKLLSLMPTPEYSCLVDYYQVAYNDGMISSCKNGMTSLSFVNDRIEILKS INILGQVYKECNGNGRGSYIQALFEENCTNAHYGYVGEIQYIFVHSFTPTNSLTAPSN QNHEHIFAFVRWFKTTSDTHRQPKGIEIYHANFYKLDFQISFLLSVLLGINQEGSDKI SRRGTVTFFSSLKNLGILGFAFPFAL PHYBLDRAFT_151749 MSQQSAVKSTIVSGEETACVNSGVIPPPFAAGSADHDGDTVMTP LGSEDGASDSEEGSSGSDAVRSGYEGANSPRIYLGNSGVRVNNNLVATVEMLLASAEE DLNAKKGNYYAALGHYLGAEQILKDLKASTAPASKPHDKRSTLVPSNLPFLQLCSEGP LVKANRNVFDLVYDFCQEFTTVLEAHSLSLDSCWERLLPTCLNKEERSWFENKLKGKA YNWKKAKSILLDHYDMPFCKFLNMGRVWCMKQGKGESAHSFGAKFQKFHRQASLDDSV QLVLCFWWNLHLEYGKLVSFLCQPTMAPRCPQRLRTSSRW PHYBLDRAFT_97209 MTCIKENVGFEHALISQYHPRANGASERAVQPAVNTIKKQIVGN VADWDQKVPSAQLFLNSKYNARTKSTPFSLMFG PHYBLDRAFT_151751 MIYTCRPHSFTLERGPQEVNHVRYGVFRQCWYVCHPTLTDDLGS NSFQLQYQMSHANFELLLNIVSQHQVYEMASNNNSYPIEIQVATVLWCFVNTHFGYCL TEQFLGVSAGSYTQFTMRFITAMSDYSDKFVNWRVYDSETGARRAAEFEQPERHGVSL SDVIGAIYGKLISIQKPFLHGNSWVNCHNNSSINILAVCNANKCFIFVRTGQSNSAFP IMENILPPYPIATSVQQEKWRFLLRHLYFLDINKITLTIITCCALHNFCLDNNNTARN ISEDTLCNDDDVEIEVEDLDPFMNEIPVDLENASQTVNISVKQLQSAHDLSQESRRQR RERMTEVMRPLMETQTRQFQADF PHYBLDRAFT_174528 MSKIRPVYKNPFKEQDYTKKSAVVKAVQHTSLTYKNKTSIHLRS HFLTISFSSSDQNKLRDIPTFMRCISEDSNSVYKRQNYLLSYVAPFWEYNYTRKISGG VLEQAYFLK PHYBLDRAFT_151752 MQKNTRQETYKIVKTCPPKRQGELNFSINSDVFTCSECEKEFKK PWLLKRHSKVHHISNQMATNTVLNKPDQAELIVENTIPDANAFDYLSDEDDSSSIGDE EDNIVDEKNDIVNNFFDIEMNSNPVFNAFSDMFFSAAAADKVSMADNNSEIPEDVFET IGTINDPTSCYPFCDLQTMILFAFINGDYDMISQQMLKKILLAINLIIKIQQETLIGR TFKLPRLDAFLNYQTRKKSKMPVFPLQRISVPGLNGNTFAHINLPSDHLRFLMANAKK SKLITSMPNCTPNQSICLEQGEKWRTHHLFQQPMHTVNSIDVWFGNIAYLKTNDCSIH FLVESFHMANKNIFAREYLVRAILIVCYDAEVAVTNLRVEQISHVDTTPVERDYYYSI SSSLTRLSPSHDFLLFGVHRMKKPMPFSVLPGNIDCNAVFYKLMRCTTLPFKDQNSIA NIQFLSTIPKKDGANGMSLLPAIVDDLKKLKKGVKMFSIKDNEYVPVVAPILWIEADM ACHSELCGLLELATIFPCRRYYIELRLDTKISNALKIGMNTPANKISFRDCLTSHLLE LQSFDSEKDTPVEILHTILLGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTQSTGL SRKFTQNLRHSGSFLSRDFKVLLQILSVILITEFSGNHELDLVISCFVELGQLCSLVF VCQMTSNFDNYIIRNELHKAYCTKPKVHYLTHLKEDIIRFGSALNYETEKGEQFNKHI HEHLFHTNCQNTSRDVCLNSGNREKSGTGIERFIKDNNKSLFYYTFFGSSRELKDNNN SGDIEDDAIQNNSFSTFVFRDDPISRPRIGLVSGSVVKFLSIVSYTDNDRNNNYAKTV MTEVLLGVHWGPAQEVVQIVVFCLPTFSPPAYYGLVYNSAL PHYBLDRAFT_174530 MVRITKISPHKCSSCHLPYNSSSNATKCQNRCLKKLVDAAINGS FIQDMSLPVEIQSMPAPAPSLIVDEDINIISDENMDIIDRTENDEPIKRLPATSTRFL LALQAHIEHAHLTLRRRNMTFAAMAVCCLMQMKLSAHIVVKIATRVPKTMYFRMSPAT ALHTLGSFHDFREADESSQRGLTGQLPLATLDAFSRPYFFTLDEMHSVCHGVAKQVWG LVTGKYRKKHLLVLSVGVQKEIDAAMTSTRKTIPTSFHGAWRDVSKNAEYFKTVDWAD FLLFVVLTLVAERIRNIPARKALLGLVQVCNLLMSWELSTLLAKDKVDLKVFTINQHL LQHYPDMIEAFGPPRSYNARSVERAIGEYSNVIKSNSAIGTNAGNIMLCLAQTRQIEH TKAYIAARLLPMTGEGERVGAGSDIEFWGPLRNRTIRDSFEGISCLSKLLEDFYDSKG EECSMIEAAIQTSCKAFVNGCVIDSALDQNCVREAHNIRLQIQVDENRNIGQSYSPIY KDFFGKVVVFFEHKLNNKRPKRKSQIRKSRVFSHSDIVYYLFREYVYIFLFLRDSNFY EIHLSKLKDCFCTSLLQVLLSAFVFKDYQKYRFHDPIFSLE PHYBLDRAFT_151754 MNNIDNSVIQLLQDIQDALISLKNGQEALEKKQDAIQLEITSLH NELKDRELPDNTIVASVNMIEQDLGISPTAEVKGAINTCTKHICDQLAALSSVQILGP NSS PHYBLDRAFT_67281 MDLPQWNLLLKTLRTHMAMKSLTKTNIMMITFYHNDSSDDYDET TDNEDTDTRVEYDSQDHIARMAAEMRTFQSLSYAMNAYSNKDSSRQTSYWPDDFADIV TGPTRLFKSKVEFILHALFYGDKDLASERSIKKIMFAMKIVLDIRKESGVALDFPTPN AVINYHKQKKNQIPVFPTASFDVVNQDNERHVLWMNKPSNYIKFIMTCPRKSSQISAL PDFMENQQLNLNQGEKWKENPLLQHPMITSNGMNYWVGDVVKVQGSPNWYLLKKFFTK DGSILANAFQVYGGHDPRLNHPDDTHFLRFGNSTNFAVSTLKYTIEVDRIMSTVQKDS NLFLGRGFSVSYCPAKIVTYALTSVQSDLWLNKSRVEEFKRRLLGSGLMKVVVCPLNL YSNDTSGNSTKQYNKYDSYLMYFAALSLETRNKRENALFICISNHILNAIEMLPHIVN DLVRLEKGIEMYSEDYGEVVLVIAPLLLFMDDNPRQSQLAMHKGTSAKKFCQKCLIPL PHIEQGSIPDTPPYSPVDHHGSEERTRDFLCAFANADSQSELYLNGCELSYIKNESEE FLRLEAFDPTKDMPMTFLWKQKMLTTSEKGRLQEALNSYKSCKSYSQTFRNKLCHTSL FVGRDFKELIQVLPGIMSKLFSDKPSASLFIKALHALGRLSSLVYMHGVDRCFDYYIA QIKHTVTDILQKGFSKQDFTFKPKIHLLHHITDDIVRFSSVLQYKTENEKLAGNGTRS VRSSIGDFVKLAPVNFPGFNLHFFGSRVNSDNSGLSTPTLCDTLAGVFQSNGQLFLGQ IKIVQARDSADRMRKAFFMQKYQIVPNSNINCIYTPAVVTDNYNNIVVLPLGGLVEVN KDEINIVQAVDIHLSIGSSNNQKFLNIAKFGMFWWMLMNIAKIY PHYBLDRAFT_178373 MSFFLVSIFLNFTHYRSQTIPNLVFSNDPLPAPPLPDLNHAIIV AGHAIYSGPPDFNSVTQDDYWILESFQKNGQVPTFIQHIDRGLVAAEKDPESLLIFTG GQTRPLAGPRSEAQSYWEIAEILSTKNINFTQQNLMDRVVTEEFARDSQENLLFSLCR FSEITGQYPSRVTVIGFEFKRKRFLDIHRASVRYPIERFEYIGIDPPYNPGGVSEWIT GEELNSYGPFQNDIYGCRDGLRQKKLDRNPFRRRNAYRYSCPALVDLLNHCPTNNKIF DGPLPWDGGSI PHYBLDRAFT_85770 MVKFVYLSCYVCKDPLVDPVALSCGYTVCRDCVDIIPQKVFHCP VPDCNQPSHLFGRSLHPDTTVFAISRLITNTAHPSSELSSHLTQKSSALSTIMECMHC HDPFSDPITTHCGHTFCRLCALFLKATKNHCSACKLPLPRYTSLVNQPSNRMISQLSK KLFQDTQRRTSRDLGSSNRHKSVVLYVSQAIILPHQRFRLPVMPEDRSRFQTCLLKSS RYDNVCIGMVYCGTKLSLMGTLVKILAVEHRSDGILLVDLAGLDRFQVLDHSPYIKED TDTSLLATINILPEIHLSEDCVGFQTITDTELSSQSSLTEDETNEESSLSVDVVEIGR PLWAADIMPQQDDTFNSIDLPDDETESMTITIRDFIHRLASPPVTGCKASTEGLFGPT WFTNIQILHGDMPPLSRSAALCWWVSFVLPILNKDKLRLLKTLPLKSRLALVLSWIDR LEQQWTFRSDSLPVSTKAM PHYBLDRAFT_85784 MPSHEKTKASRAKPSVGAKSTTAAINAQAESPKYTAKNSIVMTK TAGGNISEFPFEFTKDSKYFFSCVGSCVKVFSVATGAVVKVLSRSPASGGHNSKITRV ILNPKNPMQLYSASLDGTIKLWDYNDEILLKTYRIGAPIEFMVISAVRPEEAYIVVNK ENSAVAEKKEKNEKIVYRYALDSDLPLTSQDRLRWITAIPECHCVDVSNDGRFVAMAG KNKCVIWNVTEGEERVSESELHSYTFKDLPTVLAFSPDNTFIAIGHQSGRITLQYCLV PGTEHKPLLSVLHWHHLPVKALRFMGDGTYLMSGGEEAVLVIWQLETDLKQFLPRLGG SITSINISPNHKYYSVGLADNSIRLINSISQNIEQAIQGLQYAQTESNRLPLTTGLMV EPRNNNIVLNGVPGSIQFYNTQGDHHVMDLEVAPTNLIARKEINEIIITSNVEHVAFL PRGEWMATVDMRDDGETTVELYLKFWQWNPNSQSYALHTRVDYPHAQPITSLTFSPSS RHGPMAITTSADKTFKVWVLSTEREVVWTCRSVGAYRDSPATGAAFSDDGSILAVSFG SMLTLWDPYENTLQGILSQPCDDEAIEHVAFLGDSPYVLTATKTHFYVWNMLTCSVWW SYRCPVDKLAVDPLSGRIAIVHNVGTYSRLLVFEAKSGLPLAIHTISHRCAAVTWIPQ NESADRETKSSNITILDVNHDLIMLAIVPASKASAVSTAAAEDLSTKTTIESGETSGI LDSMFGERRDIREEEREQEELRLKTAIHLREEAMKQSRRERRHKSEESADPTGLKAPS HVLPHVETIFETFMGSLMRLRINNDSVQDAGMDIDTESSSEPIKLPIADVDTTFIPSE DFTSLTAYFSTIKSKAPPKNASETAASSSENEDTDDDEDASQIDW PHYBLDRAFT_189122 MMALASPSEIAPPPPPVPITSTGTGIANLPNQRHKIVAKNGANF TLMVCGESGVGKTTFVNTLFTSTIKEPKNLSKRRLRQPPPKTSQIQITRAELEEKMFK VKLTVIDTPGFGDYVNNRHSWIPIIDFIDDQHEKYMRQEQQPCRKGAVDMRVHACLYF IKPTGHTLTPLDIEVMKKLGSRVNLIPVIAKADTLTPSCLAKFKQNIRDVVTAQNIQL YSCPIESDDDSTTKRNVNIMTASPFAVIGSTQDVLTADGRKVKGREYSWGVAEVENDE HCDFRKLRSLLIRTHMLDLITTTEENHYENYRQAQMETRKFGSPRSQPSENAKFKEEE EVLRKRFTEQVKGEEARFRAWEQQLLSERDRLHKELESQSDKIKDIQSEIDNYYYSNQ RDSPRTIRK PHYBLDRAFT_137056 MDTGFSFAQPDDYTQLLTELHSEYCRDQPEDVLQYCANFFNHRL QEQRTMLRQQRNPQNFEDNELHPLAGHNQMFGDQLSDSDKDDDFESIEASDEERDEFT GELLSMAPPPTYSRDRRTSVSAESMAPTQGRDFVKTVIPKSPEQCARIRESVGNNFLF RSLDEEQYQDVVDAMAEKQLPIHERVIEQGAVGDYFYVVEHGTLDCYITKNDAEPVKV TSYEAGGSFGELALMYNAPRAATIIATSDVVLWALDRVTFRTILMENTSRKRRMYETF LEEVHLLKSLEPYERHKIADALESVHFEDGQKVVTQGDIGDHFFIIESGKAGVFKTDG EGTAHQVNQLSRGSYFGELALLNDSPRVASVIAQEYLKCATLGKKAFTRLLGPVHEIL KRNSENYYAVINQQERQIE PHYBLDRAFT_151763 MNNLFSNDANLYLKYGIHAMHSKLASTANLSTRRSLVLPEDGHG NAVVETLATHREYMQTVSSSESSLTSPMLIEKPKDEYTRMKEANDKDWFFELKIEKCM SAASAAKQLGRRCILIEDHKMTVINFIEANPFASVVEVAKNLLNQFHDLKVSCSTIYN FMRSECNLSLKKADFHSIERNSPAKIEERYNWANYETKHNVYVGCYLCSRFDNSRRKK PRPAKKREAEGYISSGTVTSHQISFLKITLDEMDKHPHMKGHYVVMNNAPIHMHENIK KYIEYRGYKCVHLPIYSPELNPIEQFWAVAKSRDASKINVKKNLERKRKRKCPYTTTL TPNLSRAAWIRFWKLRIPHDSRTIWLKVLWGRLPTRSFVFQKTGNFVDDNICPIFLSV IDTSDHFLINCPKKRLIRSIILSQVCPRWSLARMNTLFTTLTFADTFISKHAKSLIVA STIHAIWRAHWASVIDEQIFLPGVIAAKTLVVIRRFLE PHYBLDRAFT_174540 MTLPLEYIPGRDKMSRMVLKHQDVVDIIKKELLDAPNGTYTLAD SDWNNSRCDVLYMSNLPLSFPPVLIEVQNTINDLFLHRLVSYSLNVVKTYHALPVVLV LGTKKNSPVSLILDFNKESEKKPSLLTIPSLIRAKKMLNHIQRNHHDSNYYTNPLNPL LGLSLFLLEQQTSLYRHTYSEDPTIILLYQIAFAQLYPQETYQQKFQSAVETICSTNK HLFGSIHYNDEVKAKIVDDSASSQSSDELDFPEPLPIGPQSKRTHTMVNEDIEFVESF KK PHYBLDRAFT_174541 MQVPVIEKPEEWMSEIIKLQALFRSCEGSQQVADLLKKVKKAVN DFDGKNSHSPIKLQAPENVKYPGRRKGSSRPKYLPKDFGRPMWRKTSILAGTAGIKSM YRRKVTKMVEKSLSGLKVTRKQNKNIKKIKKEPLDSVNATKNKTKQIEKEPLDPVDAT KNKTKQSMPPKKNGFKRPATALEDYQYDNRTSVGKRVKFQPGFPVSYEIIDDVKGGFS PTADGWCGFRVLAHLIYKDQNKFPLVKRDMLAALPKYKTLYANTFGTDTNQLEKIIQH GSQLDYSNISNTNTNTNTNFIPVCSDATRQSFLNLYKSYQFVSLRQHKLSITCGLNDS PLCFFLEIIRGKYFTLQVTLISSELKSINVGCCYTDRAKLSSNMLYYAFTSIYFINSS AGSL PHYBLDRAFT_174542 MTVISPARVYTPIMHRLCTTCRRWLMKIYLKSVSNRLEAIKFLS NGWMVCFGSSVVTKCSNSARLKPGTFLILISFGVDRPKVQKKGQKRKYSLCRASPECR LCGHSNQTPEHFLVECPLVWQVWTMAMHMWIPHWRAQPSTILRAFYALALPPSPPHID SYHVLDGVLAAVWKAYWHTIFDDVPFVPANGDDVSRWETTFSSRRRHFDFTGPQIVTC FPASNNYIWSLRIHTYHNKDTLMQDKIMALAKCKSIIRANVQ PHYBLDRAFT_151767 MATIKSRQERDEMFITANLPFGLNVTESIGCPERLRSSNIQDLA LHQDVHEFGLAGKIWNSAYILQAFFSPANISEPSSPIPATYYRNSLSPVPTKPYRIIE LGAGTGYVGLSVAQHLRSPCEIIITDLAPVVPLMQANQDALFPTPDKQSPLVLCTDLC WGNSDDAVRVLDNKPFDLVIVSDCVYFPELFSILTHTLKEVCGPDTQVVIGYKCRSLE KETGFWQDYFGRYFEYEPVRHLINIPETEEVELGGLVGEEEEAFVFVAKKRPENKIKI ADDTFTTLLFCSIGI PHYBLDRAFT_128295 MSLDEGEVVTNIDQVDDGWWFGVSEDGSKQGLFPANYVQVLEQE EQPSQERHQPNAYEEKEEESPAVVHAAQQIEPQAHITHTPAPVHQDEENKGHTAVALY DYAAGEDNEISFHEHEIISNIEFVSEEWWQGLSPDGKTVGLFPANYVELQG PHYBLDRAFT_128298 MTCKRRNNGRNKHGRGHVKFVRCINCSKCCPKDKAIKRFTIRNM VEAAAVRDLQEASIYEEYTIPKLYVKLHYCISCAIHARVVRVRSVKDRKNRAPPPRFR FQKPATAAKV PHYBLDRAFT_87772 DYAFESDPSLAKLDLVLRPEIEVRPYQTEALKAVVNQDSQDSSK HTVKSGIIVLPCGAGKSLTSILIAAAIKKPVLVVCSTIIAAEQFCNEFLRFTTLMASK TGMFAGAKKWPFNGPSGVLFTTYTMLVDNKNRASDSKRMANFVHSTDWGVIILDEVHQ VPASGYSKAITKLKARVRLGLTATMLREDEKIEDLNTIVGPTLYHAKWKELADRGYIA KVICTQIETSMTDIVQKAYDAVQPSNGNQNNMLGHSHHLKSLLAILNPSKMQICQRLI QYHEARGDKILVFCDHIDAIKLYAEKLDRPLIYGGTSTEDARNLLQRFQIDAQKEQIN TLFLSRIGDTSLDLPAATVIIQVSSHFGSRRQEAQRLGRILRAKKRSEKGFYSRFYTL VTTETHEITFSEKRRQFLEEDCGYGYQ PHYBLDRAFT_67295 MGVFKGGRRQNESGYNFKDPTFFIGTKMEEYRRTTESADRSDEN KPTSDNEIIIGSNGTITLYVDVGLKALQEDKKPSIVIIGKGKTVNKAVSVVEIIKRRM EGRLYQYTQIGSTKTVDTWDPVKENNLDKISINKQLPVIIVRLSLTAIPALEATSGFQ APTGKDIYQ PHYBLDRAFT_151771 MAAGIIPTSEGGSGRFPRVCSLSGLTEPHPQTKEGGANGCALGI DAREVFEPFTSSSCDCQSTNAIKKVPGFNLAELLHFVTTNDPKHTIQPLDKNLIASKR FDTDFNGAKEDNLSPHHVQNGLFCSFKESGLDKQSFCLEEVSSSAMVMALETYLVNFE NMWDGKKIINKLFDKVILVLLRHHLTRNRESKRISTTTTRNPSGKKDMRNHVHHICRK FLPPKGQYHVIAYKIYLCIFVNYVLKYGRYTKFTRPLCPSALFSPLSALHLDSVVLYR LLTHNLDQEKDGLIRSQDKARQNKDTTFNIVFDMGEIQKACKLYGLSFAHRITCLPGM KTVRLLGSKIKTHCTVKEETKQSYEARILINPGVRASSARNSKRQSRNANTIWGQHMT RISYTGRLKNLHNIKDIPPNSRTPIGRCGKGVTKAEDRTIVNPDDFNYAGTDNGLVNM TTLIPMSLPRMNFHLKLFNYYTVLSDGSNEDNKSSSKNPLIIFIGVWGTGVGFRIKGF RKYGGKWKQKIHGEAANVCITNECFSFFMFGAAPLRFTRRRDYLTATL PHYBLDRAFT_183663 MSLLFRTCIRTNGPLMVANAMAKRSAHKKASIQVKLNQFIEGLG LKDELVSVRPGLMRNILYPASKASYVPTFTGPRNRQLELELEKSTATETDAGKFLNQE KRRESASKLAGGLTSVGSLVFKRAVVPSSTNTFGSVTADDVISKLKDVGLQVERQAIV FQSEGGRIKSLGEHLVTIQIGNQSTTLKVLVEAAKTHGATIFGYLITPTAPTTQEQVN DPLKSHPDLYGLKSIEGSQSIDNDLAYIDSKYYPDTRLIQPPQEDQSKEAPKLTFATV AAASHEGKLLEVKLSLRSLASLSPEIGLLRMMRKLDLSSNYLESLPDTIGYLVLLEEL SLANNKIVEIPDTISYLSRLLELDLSKNQLSHITPSVGYLKKLRILGLSSNQLSRLPE EIGNLRQLTSLDLSHNPICILPAEISQLSFLRRLVLEGCNLQTTLEYKLAHDPPSLKE ICARQVVSRKLALKSLADPLANYLASSKVCTSCHEPYFTSYVLRGRFVERSDTMIPLE YRLCSAHWQNQDDRLLYLFSSPPSTTAVSPPANPTRPRLPALEARVPTERQSVRRSFA RLRSPPIAMLSQRSKQSV PHYBLDRAFT_174549 MTMVIRYFVWTRKDCTSVYMSYCKQARCKEVPGGQPLSTLVPLS DHDLTVKKLYLKKLCRMDAFGEADKERWIAYLPVSLRESFKWDNQIYKRRMEKKNKTQ QDISDSSFSFLNVSKTGDAESPIMTDILQFSSKDAGGASFKREAKEHILTLLISPV PHYBLDRAFT_67300 MLKWNLMLEIILLMTMSLIVLQGKSRIYDNGRIGTDIFTKAELI SIRLAQLMLQHKIDRSSYRDIVRFVNTIIWNHDESLRLRSAMVMPLVLYYNKNQALRA TIDLISGIFDGENYKQLVQRDLFSNPDDIAIVIYTNSFVNQEKGYYSSSASLDPLLPN LYGGLTRRILTSYRHGCILKATLVLYLGFLLLLLLLLLFTITSANNFDLSFGFHMRLR MLRMDTDSMISNSEYGTDMSNDGRSSQTIGENYCIKSVAGKPVPLFMAVKILLTETKY VRVKESTKTSRNNSWKHSDYNPDLPNADKKEWTQIVIHS PHYBLDRAFT_183664 MLSRIAQSSKKIALTSGAVRLCSPARVVANVRLSAPKPFVRLQH TKPVHQVFAPLDTFAPRHIGPDSNEIQAMLKQVGAKDMEEMLSKTIPSSIRSPKPLAI KEGIPERELLGRLKAIASKNKVHRSYLGQGYTDTVVPNVILRNVMENPAWYTQYTPYQ PEIAQGRLESLINFQTMVTDMTGLPIANASLLDEGTAAAEAMLMTWQAARRKKNLFVV DENCHSQTIACLKTRAESFNIEVVTADIFNYKFDEHKKDLCGVLLQYPNACGTVEDYE ALTSSIHSAGGQVAVATDLMALALLKSPGEFGADIALGNAQRFGVPLGFGGPHAAFFA CKDEHKRRMPGRLVGVSKDANGKHAYRLALQTREQHIRREKATSNICTAQALLANMSA MYAVYHGPAGIKAIAQRIHNMTAVLAAGIRENGLVVENDGAFFDTLSVKVDSSSSILQ KALTKNINLRAINGQTVGVTLDETVTQADVADLLEVFAQEGAPAVSIDTLASALPQVN SFPIQLQRTSAYLEHPIFNSHHSETEMLRYIHHLQSKDLSLVHSMIPLGSCTMKLNAT TEMIPITWAEFGNIHPFAPVDQTDGYRIMLQELEKDLEEITGFDGVSLQPNSGAQGEY AGLRVIRAYHDARGDSHRNVCLIPISAHGTNPASAAMAGMDVVIVKCDEDGNLDMEDL KTKAEKYKDNLSAVMITYPSTFGMFERGVAEACEVIHKYGGQVYMDGANLNAQIGLTK PAEIGADVCHMNLHKTFCIPHGGGGPGMGPIACKSHLAPYLPGHPVITTGGQNAIGPI SAAPFGSASILPISWAYIKLMGGQGLTNSTKAALLNANYMASRLAPHYEILYTNENGM CGHEFIVDIRPFVDHGVAAIDVAKRLQDFGFHSPTMSWPVTNTLMIEPTESESKVELD RFCDAMIVIRKEIQAVLDGSVSKENNVLVNAPHSLETLMADEWNKPYSRESAAYPMPY LREKKFWPSVSRVDDAYGDRNLMCTCPSPEEYMDQ PHYBLDRAFT_174552 MIDIRFCAEFERLDDSGKNKLWKSFHADFCNLPKVVAYAASPGG RIFSQNYKNIDYMGNKFEMLRREFGIILAEIRDSRLDEFQARRRFPHYDAMKEITSTN PSFWPDYVLESPSVCQNDKACPVLYTPRKYTDTYDVTYLQTPDFFKRIMRERDIFMSA SPIPPPIPIPIPTQKRKRNATKATNSTIAARTARTAKPKTAKSK PHYBLDRAFT_151777 MEITSVTLLDDSVISRLRSSIVITSLKQCLIELIYNALDADATA IEVRVDIENFTVQVTDNGIGIHPESMTQVAKRHSTSKCHSISDLNNIKTFGFRGEGIN TTDYFQTRLPQNIYDHLEGKSHLNKIDGVLVEHSLANRQYRQQGTKVVVRNLFYKHPV RQKQQLTESQYSTEYRLEQIKRAISIISLVFPAVSFSVFNMARDTEIMRTKKCSSSIG VFRQLFGHALAQNFGDDSKVLNLSQILEPFEVHKDDFRLHGYISTRGFPNKSLRVLNI FLADINRHWVPHNDLYKTVEDILLKYNWDYKSVKPDSLYTNRRARTGQKYPIFLIQID HPSLAHDINLYSNTLNEQEPHGRAQQLLREFVYAFIKSHDMFNTLNPKGSGSQKIKNK QFPWERNSVYQRHSPHLISTRKTPPKPRPNLSPALADSSGFVTWKDPGSGTVYYIDKR TGRSYDILPSSLNLLDETKFNQNSINRTYLRIQPPQTLEESTKKSSFTTEWIESINHV PHKISKEDLQKATVIGQVDCKYILLGIKKPALSLLFVDQHAADERIKLERMLTEIEGP LETIDLNPPIKVDIHPSLSKLIIQYRAFLERWGIYLDIPPLTENLLLRGSKYFATPET SSHFNHTNPSEPCLYVYRLPRLIADRCCTFPNTLTQLINDYLVWLQDYKGDDVNDKRT CPRGMIEIFKSKACRGAIMFNDILSLEQCQSIIKSLSDCNYPFQCAHGRPSVAPILSA YSPPKKQRRSIHWDSLKLK PHYBLDRAFT_22486 MALDSKQKSSSSISSETVPYKFGATIEHHEDYSPSDCEKNDPGQ LEHAPEGTASLGKTIFMVLKAFVGTGVVFLPGSFVSGGLIFSICLLIFISIVCTISIH LLVTTRSKIGGTYGGIGESLYGRWMRYAIAFFVALTQMGFVASYMIFISTNIGIAIDT LTECHAAFDSKYIIWMAILVIIPMTWIRKIGRLSWIVIIADTCILFCLIVVLYYCSDK IAREGAGPNIIMMNSNDFALMIGTAVFAFEGIAMVVPIVEGMKKPEKFPLAVNIGMGI ITVVFIIIGTIGYVAFGDQTKASVIFNLPQTALSSTTQIVYSCGMLFSSPFMLYPVIV SLEKSLFRDRSGKLHFKWKWLKNLTRSMVALVCAAVSFGVGADSLDKFVSLVGSVACM PLCFIFPAMFHYKVTNGTWKKGGDILLGVFGAAVMVYTMYVNINSWVHPSPKAPAAVC GA PHYBLDRAFT_99253 SPPKPWEVNNAAVPVTAVVSPQAVTTGTSNITNADSAVPNVPLR TSTLGTTNGLGGSGYGSGYGSGYGSGYGSGYGSGYGSGYGSYGSSYGGMNSYSSYNRL GSYGSNYSPYSQFGYGSGGMYGAGGPGGPGDFSLTQRMESGTRATFDVIEQVVGAFGG FAQMLDSTYMATYSSFMAMVGVAEQFGHLRQYLGRLFSIYALIRWLKRMFYKLTGRTP PPELEEPVAPPGEGEHLQVTSSESTAEGELTGKSEDAKPTNRRRAAIIFMAVVFGLPY MVFKLMQRASYRREMERQMLMQARGFGPQGGGHFNQPQEVTHALHDFVAETPMELNLR RGDTVYILSKVDPATGVPSQWWQGQLEDGSVGIFPGNYVATPSKIEHQQ PHYBLDRAFT_174556 MFLLIKRYFVKRKKTKCDYNVKENFFIYLCEHKDIEIYSPKEYK CYLKQDSLRLPCCAIPIFFSYKNRYNSQFPVIPPLPPGFLSVVLGFRHVFSCACFFSV FYAQRPFHCSYGEVLHVKFMYQCPVSSAVLLLSTSTFLNPTQLSASVQCPVPSCFLAQ LLTTILLMVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSPDFVLWGM SRLSQKGAKLDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMVNLMP CRVGRNEGC PHYBLDRAFT_174557 MFLLIKRYFVKRKKTKCDYNCEHKDIEIYSPKEYKCYLKQDSLR LPCCAIPIFFSYKNRYNSQFPVIPPLPPGFLSVVLGFRHVFSCACFFSVFYAQRPFHC SYGEVLHVKFMYQCPVSSAVLLLSTSTFLNPTQLSASVQCPVPSCFLAQLLTTILLMV PSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSPDFVLWGMSRLSQKGAK LDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVMVNLMPCRVGRNEGC PHYBLDRAFT_151782 MARLHSRPTLKKKIKNYFPKHTLTKHVDIMIYLDYVMFLEQLAL AADEDAEAEGSKNIYGRNVDKVAKRVLQQFRG PHYBLDRAFT_151784 MISSKTSRHLYTASLFFLIFLTALCLAISAADVIIQALTDRTES DKFDYRNLVVVGGGYVLLTVLSLLFSCSRVFTVRSSLQDIPKLYIPIKEDDLPKRVFS HIQGQFTNVKEVRKECKPLSSDIKLVGRALPGEPLFDGVDFKRAIARTPTIIEYARPL YVPVRQYMEFLMHHNLVDSQLGCVYLEGYERARFSRDQVSQAEYLDIMKHLAVMLHHM GFYFNLREHSETYESEDPNDDASGHESFEASNSTASPGSYQRRNLSIRTTGTQNPSKA RSIDQGGPLEDDVVSLAQSVATWTSRSTSTVNQRNTQSITRASLTRPVPDRTYTDDDK RITVYERLMMDRIGMRL PHYBLDRAFT_174560 MAVYFITKLNTPLIQVILLGFVCLCCPGMFNALMGLGAGGSMSS NVALTDAANGTLYGCFSIVGFFAGSITNTIGVKYTLTLGSIGYAIYASAFWVYDRKSI SGFVIAAGAILGCCAGVFWSAQGAIMMSYPEEKNKGKYVAIFWALFNLGGILGSIIAL VLNLESNTGGVSTGTYTAFVVIMLVGVVFTLVIASPSQVFRPNGTNISMAKATHWKDE LKGAIMIWSEWRILCLMPAFLASNWFYAYQFRLNAVYFDASTRALNDTMYWALQIVGS MLIGVLLDYQGMSRKGRGLLGFTVLFICLMTVWAGGFAFQLTFDNTFSQPIHWTSPYF GGPFVLYMMYGMSDSLYQTYMYWLMGAMSNDPTLLARYAGFYKATQSAGAAIAFGIDA VNIPLRWECLICWILVFISFPLILIVAKNITETNENPVDVICVNEISTKIDC PHYBLDRAFT_128306 MPVNNVSSTMSLDANKPSLLSSPATQRPSTPTTHDLEKHSELGT SFTSDTLESVSPRSPSFSSSFSGRDSPDSLDNKRKWNDSFTFAERQEASSNISKASHT ENGYEDTSSLYSQDDRSQDSDDSQLGSRRPGRKPMAEESADEDEDPKAKRKVQNRAAQ RAFRERKERYVKDLETKIKQVQDNHLFATTQLFQENQYLRSVIYRLETENFALKGMQI NMP PHYBLDRAFT_174562 MAHEAIARTCVSVNASTENRKKKALQNGPLFFATSLTHLKRNNI HIACPIRILTETLHFVRENDPILGVFDHIILWGCFLSSEALEILLKCKTTVSQSHFKS NFDQM PHYBLDRAFT_174563 MSNTIFNLSNVQNALVDSPLEGRKILPLDTIVAIKASEWQQCLE RIQSLCSTKWIKKRKLCGKDYIFGETRKCHRAGRYTPKRQIRLAQKDSKLCKCEAILQ IKQHINNPEVVMLCMTKDHTNHIPGDNSDIRTLPLTSEVIRIIKGQLKEGKSCRNVRI SVLEQIEEWGVDIRKPSYEDIYNIMRKMKDVLYRFHSDENNTTTEIRNQIWRRVYIFL FLRYSNFYEMHLGKLKGSSLVLLSSRIMSDMNSMTQPLA PHYBLDRAFT_67314 MREKLPSRNYCIFTNNISANSENSEQFAFGFQSPTQMELMGISQ SFCLDVTRNISTRSIEILYSLVTYHPETWKGSPVAYMVTNNHSAAPISQWLVHLQVQS NFTPMCITVDCSIAETNAITATLPQATVNFCEFHVLRAWQYNLDSKITLGASYTSEQL NGYKISEKIQEFKLRIQNQQQFLSYFERKWIGTEELLRSWGRPYVVHHHQGYLTSSFI ESWHSQLKAIYFDSARIRRLDRLVYTLTNDTELYYRDAVDEVVDVVAEETSTVVESSR SSSMILQRVLTHITSLYNQREDMERMMNIPGLDIARLELIDNLLGEAQNQIDIIRNNH PSYFKTRNT PHYBLDRAFT_151789 MVAIFGKSQLEIQVTTDEILLQGQADESAGKLLQGTLVLNLAEQ TKIRSIVLEFIGKMRVSWSEGPAHNQRHYKQERTIISHLWDFLPESSEGIKKKARSFG PGRYEWNFELTVPGDLPQSVSATSGQVDYLLKATVQRSGLVHNIVKKMPIRIFRCLLS CAFELIQSFEIHNTWADKVEYDISLPSKVYTHGENIPVTFNILPIAPHLSVISLMATL KECCTYTTKDHTKTDARILRFSRHENPFLDTTFSDLPYWNRVVNLKVPNTSPSVLCDA SNDMILIEHKISIIISIANPDGYLSEVRCSVPVTIIDSYAEQQYRLDELPAYNDIWRS VPYSLDVLEALRSRSQSLSSTTSDSSVQSIRSPAISSGLNEGVAVTGSRFLISQNSSH DSIIIREGEERGDEDAIKRAQNQTREQSMPLSYSDRPSGWWDDQALSRVPSYRTALRN DFVYEASNLPTYDSIQRSQAIYS PHYBLDRAFT_151790 MRTDGQSQSQSQPSQAMSHQPNAYDYPPRNTHDPYRAVRKTPSP ADSNLSSSVYESNPVHSRTASDSSTSRLASASQPNNTTYSQGYDDNIYQQTYSNNPYH EEDPYAVAARHHQGEKKRNRKRDNPDQRANSYLPYSHHRHDPYAPSEPIYLEEDPLPS FNSPGKDGTVGSILQDNIAMDMVDHNPPRSTGRIKNMQHLEPLPEIKRKRRRLCGLPG RTVLFIAFGFMIVVGVIWYFVWPRTPTLHLLDAGLLEPSNNFNVNGTIYQMDSSWRIN MTVDNSDNWVPTRVNTLSFKIFDSTTGRAFGEGSSGFRVFPARTQSQVSFPMTISYKA WSEADVTWQNLYTACGPQKQNPMPEEQASLNVRFEVTWHIAGMVKTRVATVIPSNGFA CPTD PHYBLDRAFT_67317 MYSEFTKGLYIFFLEINTCTVPPVPIDAFRASAAVTKLILATVS IIQSLVWLNHTIYNVFDNVTAANALKASIDTGGTVPGLRRREKNEASGTCPDYTIKCR QYPLQIKYPNLSTKRFKGAQATLVAAEIIPENTRPKKE PHYBLDRAFT_67318 MSDQNLSSNDYIIAACRNDQDDILIDLLKLKNHDINFADGAGNT AAHHAYVMLFYFVQQIERYNSISIFNIRNRAMFGSLACLEILAHQGSINMNKKNIATG DTPLHQAVQYTDEPDIALAMVDVLLQASADPRLKNKNDQTPIMLVDPKDEDMLDLLKQ AIAGYEMVI PHYBLDRAFT_128309 PFKNPKYSSPKKSKNLKQVLALEKAQELALDIPTYQNIECPPSV LPQKKYCDITGLDAKYTDPKTSLRYHNAEIYQFIRTLGVPNVQTYLASRNAAVVLK PHYBLDRAFT_178379 MGNSQSNLPRGNDKNKEKEKDKKKKWEPPVPTRVGKKKKRGPDT IAKLPPVFPTTRCRLKMLKMERIKDYLLLEEEFVQNQERLKPQDERDQEERTRVEDLR GSPMGVGNLEEIIDDDHVIVSSSTGPEYYVSVMSFVDKDLLEPGCSVLLHHKTMSVVG VLGEDADPMVSVMKLEKAPTESYADIGGLEQQIQEIKEAVELPLTHPELYEEMGIKPP KGVILYGVPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPKLVRELFRVAEEN APSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLELLNQLDGFDSRGDVKVIMATNKID SLDPALIRPGRIDRKIEFPLPDVKTKRRIFNIHTSRMTLSDDVDLEEFVMSKDDLSGA DIKAICTEAGLLALRERRMKVVAEDLRKAREKVLYRKSEGTPEGLYL PHYBLDRAFT_93172 LRAYAVYDESLGYCQGMNFIAGCLLEHLPPNEALGVMVTLLGPN PGSHRLRYIFHSSTYELYASVEKINSLMSIKLPRLYQHMVQENIHPSMYAVRWYRTFF GHSGPKSLLINTINLVLLKGSDIL PHYBLDRAFT_22439 MSVDPSSGPSHNKHKSTTLEQFIQLRETKNVSFNPPIQNTGIDL HQKIRVCVRKRPLSRKELNMGEKDIAPVTGPHTVLVKAPRTKIDMTRFTEQYSFTFDD AFDCTSTNEQIYNRTARPLVDYMLQGGKATCFAYGQTGSGKTYTMLDLQHGLYVLAAK DIFNRLGQKKYSHLTASIGFYEIYQNKLYDLLNQRKGLVPRNDGNNNVVIAGLTEVPV KDVNRLMHTFEFGSQARMTGKTGANNNSSRSHAVLQVLIKSVDKPSAIYGKLSFIDLA GSERGADRGEETSTTTRREGAEINKSLLALKECIRALDQDQKHAPFRGSKLTQVLRDS FIGDSRTCMIATISPNMSNSEHTLNTLRYADR PHYBLDRAFT_183674 MSINDLSVLTLNCWGLYFIAKQRKTRLRAIADALSNENHDIVTL QEIWMLEDFEYLKSKVENVLPFSNYYYSGALGSGLAILSRFPIVSTSYFRYTLAGRPL KIFHGDYYVGKGCASACINHPDIGIIEVFTTHLHAGYGDVDEYEGHRVTESWELSNLL RASAAQGRQVIATGDFNSIPTSYNYALLKKHAFMTDAWLEAHSERLSQTGLDPGHRSP HECIQHLGITCDSPANTWSKHFLKQQPHSKQVGDRLDYIFYRRTSQITCTGSVVAMTD YIPGTQMCYSDHFAVQATFSINSQPTQVNSTSPSAVQLSNPSFTTFPLSIAQAVLTLL RRDQVATRKTAYRLLLLFGVAIIMLLFLCVSTVAVLPDWIAPKDESQLKFLLGSLASS LVMIISAVIATICVVVGFVFGHTEERALRQFIEEIQLLVASIQEDSRSDAPFVHLSNQ ASDNPSRETFYNEFNERTRLLDDA PHYBLDRAFT_118706 GDMITAGGDTIAVALYWLFALLLQYSDVMKKMRDEIDSFIIEHK RIPRFSDRSELKYNIAVHRESLRFRAVTPFGLSHCATDDVISNGYFIPKDTVILAGTD ALHMNEKYFVEPKKFNPDRYIGIEKTMSASNNGPAEERDTYVFGWGRRICPGIYMAES EIFNLCTRILARCNVEPALNKDGTPAYPNLDKVVTMGIVSLPVEYKVRFVPRTDSPLD F PHYBLDRAFT_156600 MESLNTNTIKRSKWASDESEEDIKPQEPKKHKKKEKITKPNSDS TSAVRLATISAAPPVSAPAPAPEPEPVQTQTQTQTNRIPRPTSPPPNPLLSACRSVDC YERLNHIEEGSYGIVFRARDRETGDIVALKKLKLEKEKNGFPVTSLREINTLMIAKHP NIVNVREIVMGNHLNQVFIVMDFIEHDLKTLMTDMRAPFFQSEVKTLMIQLLSAVSLM HENWIIHRDLKTSNLLLNNRGEIKVADFGLARKYGSPMGNMTQLVVTLWYRAPELLLG AKEYTTAIDMWSIGCIFAELINNEPLLPGRSEIDQIDKIFKLLGTPSEEVWPGFTSLP HAQNVAFVKQPYSNLRSRFVCMTEAGIDLMSRMLTYDPARRITAEQALKHPFFSETPY PKDPALFPTWPSKGSGEKRKVHSPSAPQGAHAGMDDDEDPGLEGTLFAGQSESTGFRL KLA PHYBLDRAFT_183676 MSWRKIDIDQFDEDTYTQDEFLAEFESPLPAEQVNATIQSRTTD IRNLLTRGDQNAALTLALSDPPYGRHLGVAKGMATQAVLDVLTQFRATDITPVINSIS QDEQDVLMKYLFAGMARPEVYNSSVLLTWHEKLTEVAGTGSIVRVMTDKRTIV PHYBLDRAFT_156601 MRKKLMGYVGFANFPNQVHRKSVKTGFQFTCMVVGESGLGKSTL VNTLFNTQIYPNKQPAEPTHDCPQTVEIQSITTDIEENGVRLRMTVVDTPGFGDFVNN EESWKPILDNIESRFDAYLEQENRVNRRKMVDNRIHACLYFITPTGHALKPLDVEFMR RLHTRVNLIPVIAKADTLTEEEVVAFKQRILADIKHHNIQIYQAPAYEYDDEETIAEN REVMSKIPFAIVGSDKEFEVEGGRRVRGRRYPWGVIQVDNEEHCDFVKLRQMLIRTHM EELKEFTNDVLYENYRTEKLSAMGIQQDPSVFKEVNPVQKMEEERLAHEQKLAKMETE MRSVFHAKVQEKEHKLKQSEEELYARHKEMKEALDKQRIELEEKRRRLEAGRPMTPEK SKKKGFSFNK PHYBLDRAFT_160489 MSTPCPRSNLYDSLPKLETWYSSEAVEYVIPQKKPSQMNRNVSF STEPPSVHYLDDQEEEEEVVLKEHQRAMNSSVRSFLKHCARKFGHHSSSSRNAIRHHA PHYBLDRAFT_67329 MTPPIRASSLLKWKDLNVSPNELRLDTLRCGQSFRWKQNNGLWS CVLNGRLVVLKETDTSVMYGEWEEDKTIEKDLRDYFQLDKVSLSECYERWSGIDPNFK VKAMGLKGIRILRQDPWENLVSFICSSNNNISRISQMVNKLCVQFGPKVATMDGEGFY AFPPLDKLIGTQDQLRELGFGYRARYIAQTAAQIAAEHPDQKEQWLHTLRNVSFDEAK TALMRYPGVGPKVADCVCLMSLDQHEAIPVDTHVWQIAMRDYRFNLTGVSKTKTLTPA LYKAIATNFRDLFGSYSGWAHSVLFTADLRSFDDRIIKEKKEKAVKKEALIGEVVLKK LIVPDPVLDQDNEHDTHVRRSKRQRKNTIG PHYBLDRAFT_67330 MSYLCDTLQLAKRKLLLLIHIDVVLQKLNGAFLRCVVAQPLFLV FDALLSGGAALLYHPATFTSENIIQTQNFSALRSMSYIWGFTSFGCLTVGCSGSLIRK MIGCDESSRNEN PHYBLDRAFT_174580 MLSKTRHFTPSVLRHPHFFSYKNRYNSQFPVIPPLPPGFLSVVL GFRHVFSCACFFSVFYAQRPFHCSHGEVLHVKFMYQCPVSSAVLLLSTSTFLNPTQLS ASVQCPVPSCFLAQLLTTILLMVPSYHVWSTEFVQSMLMERCTENQLSILHPSQLTAR TLDIGSPDFVLWGMSRLSQKGAKLDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIK AFHRYFAVMQDSYRQ PHYBLDRAFT_189139 MVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSP DFVLWGMSRLSQKGAKLDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFA VMTNEYFNTIFSTEICLNFFIFLQASILGLADLLSLDNSCLKTYKYKDFQFKELFLIG GFTSSGCLTVGCINTHKYIAHAQIPYKLSNYFFLDAWMLSFHFLKIRRSQSFFELNYR YIQQSSIQSCLSQTNSACTKYLFQFFVIDKLPHKSLNYNSTDIN PHYBLDRAFT_67332 MIAAIKRVGKVNVGHTIRPISWEQQKHVLLKSLSFNLLLGGVEK FQEEVDQLSHQLDEELVTDARILAVERLRGLICHVSGIGLITIRAELLEEVIPDEHCD LRVKVVFGLLCCHDFPRDRILLLSNIPKIWILSSSLDERLEQLGYDISPKQIAVEKHA PWIQNLMAMVDELVDDAGEMISRPNVSFPLANEIKAPGRPKHAKRKTALPKDFVRHKH RHLLKDITRRHYRCDEGTLRGEASKKNHFRWHYTMYMRATGQQSKLSLMYIGPGLSFR KHNCTPSVFNFNVIYRAQAQVLLLANELKKKWLLFLGVQNFCVKKQSDKDNLRRIDYI SLKKNKIKPSVRNTVSSIKNNLIFSPIGNGQRFGNQCRLNFFSSSFSKSKAFSIFLKL PHYBLDRAFT_183680 MRFHLSEINKIEKGILQRQFEASPFAKGYTTKTNPTILKIFDFD STLFLSPLLSSNIWHKSLINAVIKENLLGPGWWRDYRSLELGPFDQLEEKAWDGYWNE DVVSEARKAIADPNSLTVMLTGRRYHPFYSIVYPILKSKGLFFDAIGLRPDPEDKEPD NSGLMYNVMPNVFQTTMSFKSSFIVNLLANVPSLQNIIMWDDRAAHIIAFSKYLEDMT KEDIIVGGEMIPVKAVRPKYNPEWEYAVVNNIIDSHNKSIERYFQHKSENPDINYTES EEVWEQSTIVNNGSLATWKDQYKIAPIKTSIVVNLEKDAVGVLKNCFELFYEKEITQG RKVAQWEMVGGEGNIYFGVHVFLGQCSFDEDIPFGGLGSSVDVKVISRSQGCPDHGML LKVLLKASQDEEYGPEEYILPLWHKPSKYLSLNEANYMWCELEVEHQLVLCGEMQYGY LLGVETLPRPDQ PHYBLDRAFT_151806 MNEIEREQEDQESLIIPGFQFLINFTLDVFKGTLMVLKPIISVI MAVLAIMLILSYCYRVLSDGIMDIVCPIGFLGSLVPSCQNHLMGVPDFTHFVKAQESL YETMLTQNNADAISALELKKVELATRDLQVMIKYSTLVSADIMDAKLKDYLVRSRRFG RDIQSLQAQTKGVIDNLITYNTFTIKKLSDVESRKSSRQELRKVYESAMGLVEKEAKR LILAIEKAQGSLDELEEDLYAIHEISVQEKNYQRSEIPHLLADLLNLVNGKGLQRPLV DENLALLTNFDAERAKASKRLVVMLDRMESFQMDLEELRTQVVAPVVAPDIIPLEMHI ENIGKAIERLKSGKVISWEERAQLD PHYBLDRAFT_94301 RGFSGDGTYYDVGPGSCGSYNSNNEYVAALNKEQMGYASNPNEN PNCGRRVRVSGPNGRTLTITIVDMCPACGYGSLDLSPVVYRQLGGGSAGRIHINWSW PHYBLDRAFT_57534 MFDNSKIKEYKGHKEKVHTVAWNCDGRRLASGSVDKTARVWTPH RGTDIRYSIELKGHTDSVDQLDWDPTHPDRLATASCDKTARVWDQRSGKCTAVVQTGG ENINICWSPDGNNIAVGDKSDTISIIDTRTYTIAKTQKHNVEVNEIAWNNANNLFYVT TGQGTIKVYEYPSLKLLQSLRGHTANCYCVEADPTNRYLAVGSADAMVTLWDMEKYTC VRAFSELTWPVRTLSFSYDGQFIASASEDNFIDISHVESGESVHHIECTAAMNTVAWH PRDYFLAYAGDEMNSEGKYAGNLKIFNIKDPRETL PHYBLDRAFT_151809 MPNWNKTLNHPLFNVAFFLVSRQITKRLDLDNYIWYLRALYVTA QLAILGMSYYLITVVKKKNDKTLLRYVEPAKQNWDGTDAPEQLVNITVMDYDIEEIKK SIKQSLMGIAMIIVLHLKFNYVQPLIIQSILGFKTFLLTKEARIHIWGERATTGELKR PFRVVSPFGLNNEKMQPKVDQGSIKKAEKARKAA PHYBLDRAFT_189142 MGAHRVDYRMSKLIVLCKDCGKDVGMYPARHNCEYLLHAQLPPL TQLPESSLNERSPGSDSSSIKSYFGFSRQSSALSRQTSELSVGSVESDNTTSTTGTNA HSNKWARFQKPEETDGSYYDKFAANLPEQPETGKSLWGRVRQNEKWKQMTAEKSESRR TSGKLWGKLLNATQAMSVREDEPESDGSDYEGESHVSRILREYYGKQQKPLPDWMRES PVQRRVTVHTSENINRQQSGRRRLWETSEDRPTPRELERHSLRSSPRDHTRSYVV PHYBLDRAFT_183683 MSDDLIELTNKLQTVASSISAHGTLDLPQIVVVGSQSSGKSSVL ETIVQRDFLPRGSGIVTRRPLVLQLITSKQADAPDYGEFLHTQDKKFYDFGEIREEIE RETSRIAGGNKGISRMPIHLRIYSPRVLTLTLVDLPGMTKIPIGDQPSDIEKQIRDLI MDYISKPNSIILAVTPANSDLVNSDSLKIARQVDPEGKRTVGVLTKLDLMDAGTNALD ILTGRSYPLKLGFVGVVNRSQQDILTNKPMKDALKSENLFFQQHSAYRNIYARCGTQY LSKLLNTILLAHIKEKLPELRSKLSSLISQTQQDLSQYGEPSLAAEPIHHGTLILRML TRFANAFVAAIDGTSSEMSTKELCGGARIYYIFNNVFGHALDAIRPCANLTNNDIRTA IRNSTGPRPSLFVPEIAFDLLVKPQIKLLETPSLRCVELVYEELMKICHNSEDKEMMR YPKLQTRLIEVVSELLQERLSPTSTYVESLIAIERAYINTNHPDFLGAAGAMSSVEHE TRKKKKVAEKKRTTRAESISSVGREAEDERAMMMMNGGSPRSADSNMQQGQKDSFLTY FFGGANKNDRPALGPQEVMENSHYVPPMSVNSMMESELERKLEQASIQGDIVPVSDRE ELEVQLIRTLITNYFTIVRKNVQDMVPKAVMHLLVNHSREAVQNRLVTSLYKQELFPE LLQEDEGMAAERSKCKALLDVYKQAFDIVNGVL PHYBLDRAFT_174590 MTHEFPAELFFIIASHLTRSDYINCSKVCKSWRKPFYQLIWQTV VINHRRKAIYTQKVSNGINGLMYIKDTIPIYEVIEMSSIMLPNYRTNGAFTRKLKLGP LILASNAEITEIQKYFVNVNILFLYPLSVSPSVFMKKVYWTAWRNLSYLNTDIPYIYG TRKAQTILNIAFCIPTLNVLVIRNIDYETSDYFSVDDLEKLHHLLPNLTALRIKFSIA PVTVEEFERIACPSPAKMMVELDICYELTDFSLLYYCALKYPKLEIFSYKALSFRIRP LPTLFENIEGPFERPVRDLMNISVQYQGHILNKLRRLPPFFQNLKSIVLKDRPTSQWQ SHMIWEFIMKFHVRITKAEYRVKGLRFKTSRGNPSMPMEMCLNACSDSVQRLCLTCCF IPYGPSIQIRRFDHFPCLVSLIIKLNYRVEIEVDYILHGCPVLELLLVDGDVLIISTE NYNNIEHNLEEQKDISLLNERIYPRSHGLKHLLLGRLSIMAYILYYISCTCRNLETLE FHWVMIYGLMSQTKELEINMPYTSLKKLVIDYVNFERMHKGYRKQSYSSPHKINYFMI DTIDNRGPDSNGKKQRYERQKPVSVTGFNSSSWIYRPVFFETYPSGGRMWKVCLFYVL IESINFPQETANTRADDARPSLEIRHTIGFSENNHTNTLLSDKRYRQYCASFLFKVVE EYCVNMPVSE PHYBLDRAFT_137088 MSNEPLQEIQEVEIESNWDEIVDNFDNMNLKTDLLRGVYAYGFE RPSTIQQRAIMPVVKGHDVIAQAQSGTGKTATFSISILQSIDVSLKETQALILAPTRE LALQIQKVVLALGDFMGIECHACIGGTNVRDDITKLQSGVHIVVGTPGRVFDMIDNRG ALKTEHIKMFVLDEADEMLSRGFKDQIYDVFQLLPETTQVVLLSATMPSDVMEVTTKF MRDPIRILVKRDELTLEGIKQFYVAVDKEEWKLDTLCDLYETVTITQAVIFCNTRRKV DWLTDKLHAREFTVSAMHGDMDQSQRDVIMKEFRSGSSRVLITTDLLARGIDVQQVSL VINYDLPSNRENYIHRIGRGGRFGRKGVAINFVSNDDVRMMRDIEQFYNTQIEEMPMN VADLI PHYBLDRAFT_32217 MVVFKSKGDTAANTTTTTDTTAPSESAAPKTTVLKPIETKVTPS QFDTSPIWESVAKSYDNEIGWDERVMGIGLLRRWLVSQAKGDVLEVSTGTGRNFSYYK PESITSATFTDRHPPMLEEAKHKFEKQPKPWNVEANFITANVDDPKSMPQGFDTVVDT FGLCSCGDPVEALVSLADACKSEDSRILLLEHGRSHYDWLNRLLDTNVDKHVTRWGCW WNRDMVGLLEDKRVKEKMEVVSMYRWHFGTTCYIVAKPKRSPTETK PHYBLDRAFT_67344 MLLRKLDSFDNTNCVGHFTPSVLRHPHFFSYKNRYNSQFPVIPP LPPGFLSVVLGFRHAQCFLVHVFFSVFYAQRPFHCSHGEVLHVKFMYQCPVSSAVLLL STSTFLNPTRLSASVQCPVPSCFLAQLLTTILLMVPSYHVWSTEFVQSMLMERCTENQ LSILHPSQLTARSNFLLQASHMNIER PHYBLDRAFT_183687 MVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSP DFVLWGMSRLSQKELDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVM VNLMPCRVGRNEGC PHYBLDRAFT_67345 MSHFCGRLSTILSRPLAQRSLFHSQSVLYGPRVVATRKLLPESQ ARLEAQGFDLVQWKEDASMPRDLLLKEVKGADALLCVLSDKIDKEVFTTAGPQLKLVT TMSVGYDHIDLATARETETKIGYTPDVLTDATADLTSVRAAETGEWREWRPDWLCGSQ FTNRTLGVVGLGRIGEAVASRLKAFGIGEVLYWGRNKKPVVEAKLNAKLVSMDTLLAD SDYIVVCCAMTPDTKELFDYEAFSKMKKSAIFVNTARGGIVKQDDLVRALDEKLIAGV GLDVTTPEPLPIDHALFKFPNCVILPHLGSATVEAREEMGQMCIENIVAALDDKAIPF GLRL PHYBLDRAFT_67346 MISPILRKTFSTIRQRMAVPAQEILSQSSPREMAIPFDTKPFPL GRFEPCCPAPSSPASQNGFVPCSKHSMPAVLGRKIDLTDPMPTRGSDRHVVVCIGPNG AEWDKAKVEALKGGMVWRLNEKESAWMKEGNRPLPDKEILTTVCDRPEMHANGVDVIF FPDFKIYSSIQPDSLSSSSESSFLSTMKSVWQNPSNRVSGGEEVTADTVILVCTHTMR DKRCGVLGPLIVDEFKRVLKEKNLLKTPNGKGGVEVWGHKFAGNIIIHQKGLGGHMYG NVRQCHVEDVVDRHIINRKVIRELWRGQVTPP PHYBLDRAFT_118650 MYEEELADLQNKLGIEQAKISEQLAEIDALGRTLEDVEQRLDAK SEEFAALQSDMELLNDKYVDEIERVAEIQHSKDMVESELEDLSRRLFEEANGMVANEK REKYNLEMAQKHLENQLKE PHYBLDRAFT_174598 MGWGDSDNSIEKWVKQLKSNDPTLKSLHILSFRVVTPSQFASLF TALAQNKTLKELYCSGHALDTNAVEQLSEALTLNDTLESLNVGNSEFGSVLNGKLMTI LCEGLAVNEGLVKIDLENKGLTMGSITTLAECLKKNERLQNLNLSRNSIDDTSFPEFA KAIPQTNLRELNVSMNSIGPVGARILADNLGKLEVLDISDNPLMEGASALGHALATNQ HLHTLKMMSVSSPEQELSLPPAATAENENEEENKDGELEEPAEEEDDGRSVHGNSLFE AILASIDSNSKLTHLWLDSNGIETSAFKQVENLAKSSLVDIRLRRNKIDDKAIESLTK VIPQSLVHLELGENQIGGLGLWKLLSTHLHYIGLFSNQVGGFSDYPDILPVLTQSGAR SLDIGCNKVTRQDMEAVIEILLGQGVPHLKLLEIGGNAEEKDKDAWESAVGRLKEIRE DLEVAWKRLMSGEMNEPGPM PHYBLDRAFT_103666 YQTGMAPNQQPIRFMRPTLMEIEAVLTCFSGKRSSIRILNATTE LRQVRGIWPRPALRNPVRN PHYBLDRAFT_183690 MDTKSPPFPTLSFANKPNKPLASKLTKVLNGSVADDARIKTALM ALSDIPNVDQADLRSNLRGTIEKREIETNRQFLDAFSSVVMQLERLEIEAQAMTSVCK DMQLKLNTTQKKTSLMMEQASTLEEQRSACTTRLLIVDGFLEKFMLSEEEIKILTTSS PVGNAFFEALAHLQQIYSDCKLLLTTKHQQAGQHIMETMAMYQETAYAKLYRWTQYES RSSFGNDSIDVSSLMVKALSALQHRPVLLQTILDELAVARRDAVARAFINALTRGGPG GTPRPIELQAHDSQRYIGDMLAWIHQACASEREMLESLFQPVTTSRNTDSPSLLLSSK EVVEDLLDCAMEGTCRPLKSRMEQVLVLQPNALTSYRVANLIHFYAVTMSKLVQRQAS LAKTLYEITGMAYAHFFKTIQAQADRLLQSPEAPSRDLSVPPLVRDMATQLRDILASY DSSLIVATNNTGGFPEFDFGETLDALVDPLLRMCEMSVENLNSVDRAIYMVNCMYHLN SIMLPYSFTENKRQILDAQINELLEKLAQEQYKELLQQSGLARISDSVSKKEKNIPLS SMPLMDSISLQGGFSQLDSFLVRIGSEVSPPLRRLSALQHTRQVQESAIRLLLETYRR ISSAIEDPENGYSNPESILPRTVDEMEAIFSFAL PHYBLDRAFT_151823 MSKEYQAQAFRTLIKRLESRRAQRQSYLNLLEGSSAPMNNQLVL PEDQKKRIFAIIDGTNFMILDVAVNHVPRRFHGNHFPAENGTESSLRFPGPTLCSCSS RQLCAPRPKFVHSTYKTALQS PHYBLDRAFT_151824 MGSFGHKDHKYLVFSIPFVWGIAGILPGGGDGDENIVDKVFHQV YLDVVSVAAGGGCSTDSAWPEMAVFKAPYAQLASRPIETGV PHYBLDRAFT_78768 MNSFAFSNNENSNPDTIDHCLCVIQNPIRARSCGFGEKDRRPID PPPILRLDVRADQTQAKSQRTTLFLLVQCELMDEYGVKSCNLVSIPISRSISNIPSIS DEESGKPMSGLVQLQQPERARNLTGATHSNAYHLKDDRNTKGIFFIFNDLSVRTEGIY TLKFTLIDFCPRGPHGTPSGVLSVAFSAPFTVYSPKKFPGMTESTRLSRAFAKQGIQI AIRKVGHSKRVADGHYDAEDKNQGTNSRKESDSQMAVDFITDPVTSTNPKRNTFTRIP IEHVLSDDQES PHYBLDRAFT_67352 MLQRASEISRFCNNPYVFGTKKRKRIAKSMMNFWMEDDKCQVSA VIYFSLLAYICTWSRDALTSIWASIRLFQAYAFNRIVAKMSNDLSPAGEIMGNDHEEY TTTCHKFTLAEEWLKDQNFPVNDMAVQ PHYBLDRAFT_174602 MIQNLYKIWQTGFDNHINCAKVCFFFCSNSAQERDQAKDNANTN NIGNRLYPKISDYNTFNLKRILLNMATTNQQVYFKKKLRLVLLTKKHLNIIPMSSEQA NNTPLKKFSG PHYBLDRAFT_151826 MRQLTGVYYLSWQFIQPIKKILILPRYMLLGEHCSHQPAGPHAC FVAIAEIGNCIWRSFTLPPFDLLGILKGNQGVPVIETTSNLPIESIEGSCGALQTKGE RPINGTYLVLEKL PHYBLDRAFT_67353 MGFLDKLRTHYDLQKVEQYTKRREAQSPFEFHDRDYYQSVYQEG VYLDPHSSSRRSSSSSLSSIGWGTVSKLVKKRRGLSLATEQIKTSESYSSRPSFDPIK LCCPDRTECLPIRNNTVGNDSIHFRKKNCRKFQNHSNFREVSLWTQIFSLPGPSHIIF PIQNSINSMSDSKSHKTIYKQSDDDDWITEDFNVSDQYKLVKGNILGLRKNLARLSDI RLLVTALTRQVDRVFGKTRKKRVDWFENNLFSRFCFA PHYBLDRAFT_160494 MNQGYGGGGGGGYGGGGEYGAPPPPPPASGGYGYDNGGYDNGGG DGGTTTTVIKEDGGWFGHDKETIVTTDQYGDQTIVQKDDGWFRDETTVTETDRYGNTT VTEDTDWF PHYBLDRAFT_137096 MASSHLTQLLQVYTKGTQAWFTDDQDGWVSASVESNETVGNTVK MIFTNDQDPTREHVFEGSLTELDKHKGNTLPPLRNPPKMEDIDDLTNLSYLNEPSVLN TIRIRYLQRNIYTYSGIVLIAANPFARVPLYEPQVIQQYSGKRRGELEPHLFAISEDA YRCMIREKTNQTIVVSGESGAGKTVSAKYIMRYFATADDKETAGKVAKDSSGSMTEVE EQILATNPIMEAFGNAKTTRNDNSSRFGKYIEIQFDKQYNIVGAKVRTYLLERSRLVF QPQIERNYHIFYQLCAGAPEAERERLGIKDWTAFNYLNQSGSGVIPGVDDIEEFELTQ SSLEKIGVTKETQWDIFRLLAALLHLGNMEIGGRGDASLAEDEPSLVLATRLLGIPLA EFRKWIIRKQIITRSEKIVTNLSTTQAQVVRDSVAKYIYAYLFDWLVAIVNDSLSCPD PDSVTAFIGVLDIYGFEHFQKNSFEQFCINYANEKLQQQFNQHVFKLEQEEYVREEID WKFIEFADNQKCIEMIENKMGVLSLLDEESRLPSGTDQGFCNKLYSTFSNPPYQDYFK KPRFSNNAFTVVHYAHDVQYEAEGFLEKNKDTVPEEHLALLQKTEFSFLSTMMQTAAA AIAASSPVVETQKKSNNAAAKKSTLGSIFKLSLINLMDTIKHTNVHYIRCIKPNEAKQ AWAFEPNMVLSQLRACGVLETIRISCAGYPSRWTFADFADRYYALVNSSHWDPATKPD IRKLCSVILDTHIKDKDKYQVGLTKIFFRAGQLAYMEKLRSDRWNACATLVQKNARRF IARIQYLRTKEFILKLQQLARQKVAAQNLITLRKEKAVVVLQKYWRGHLARVKHKRQL AFVVQLQASVRALVARKRFALIREHNAATTIQRMLRGWVVRKKYQARRNHVISIQACV RRRMARKQLAEIRAEARSVSHFKEVSYKLEHKVNELTQSLNHQKEEKTMLKTKTTALE ARVKAWIEQYDILGSKAKDLENVVGKPSDVDSQWAALQQERERLQQDYTNSLQKIKGQ DQAIAQLSQELIRQKQEVAKLKQVSETVVQTGQAPDVTVLKQQIAALRSQLSSTLHHS QPSQKTRSQSTTSTPLSTANRHNDISAGGGDLAVNQLRVGEVAKPRDQKWEFMDEEGF LQDMLDALVTKLAIPFDGQTKERKEIVFPAHCIGQYIIQHLAFDRLAAERLLDSIVET IQKHCLGLHDEEATIACAFWLTNIQELVCILGNNAQSDSAEIAVNQQSTVKINAKLAL AQSKIYGHISRESKRKVSRMVSAVVENQSLPGFITPDTGRAKSSMDDLTSVLTKLDRT LVCFQVDNFVVEQLFMEVFKYIGVCAFNDMVMRRGFNSWKRAMQIQYNANRLEEWCRS HQHTDCTLPLEHVSQAAKLLQLKKTTQEDIRIIFDVCWILSPIQVQKLIQQYSVADYE DPIGHDILKTVASRVSSGETLLLDPVSLDESQFEAPEPRQQAIDTYLPAFLQGHRIEQ LMTTKST PHYBLDRAFT_67357 MGFPRTTINTAIKRCEKKRKTSTAKPKTRPGRPKKLSVTYVASL CLSVRRNLFESYAYHQRNLAAAGVIIYRYTVIRHLKTESFLFLLFLHPCFEAKLNPRT EEEPAAEVWKRSKDGVGFFWAGGLGPLSFLEGFIDQDAYVNCLSKDFNSWYKKSDGEQ KIMFIFQEDGASCHTGSYTTWWKNRWEIKRFDYWPSQSPGLNPIEHVWHALKANPKAC ILQEWERFDPGLLRTLVASMPDRIRAVIEASGNHITY PHYBLDRAFT_118695 HFDTFKFVERLENEGFSREQSEAIMRSVRQVISESMTDLSKAMV TKAEQEKTVYTYKVDFAHLKSEVQLLEKNDFSMMHAENERLQGEIEKLRQKLREEITR TQANVRLDLNLEKGRIRDEASAQEIKIKETDTRIESEIAGLRTQMEAIKFQILQYMIG TITGAGALFLAYLRMFR PHYBLDRAFT_174608 MTFLDTCLNALRFVSEPVTRGPEFPQVLKKNKVNPGRFLAVLQE NMVLTSTDDINNPGQGSSDHSAQNVLSLIFKQLENLNTKLDNHQATLQRLLLDQQHRQ SQDKCLAHSVHELRADMQLLREASVMFGTGTKYLPSTLAQSSISPLVSVSVSVSESES ESPSVADLPLDLPVPTSPVWIQQPTKKSIYSLSRDIVTVTDLWREWSVGLSDAMPSVI ELNKTKGSSWRSIAKERQFYSRRLIIVNKIYKVKQTTNCSIEEAVGAVELLRTEMKWS LKQIGMSRNSRVNLLI PHYBLDRAFT_189153 MATHTSPLSINDLCNAPEQEVSSAASTASTTSTKSSFSSVASTP SSLIAIDQQDPDVQLAVEALGSMARGMTLEPKPIISISNYSAPSTTPSSPLPTPTSTS TSTNFPFPAEWSHNPDDPKNNKDFMHRMSNLPIVNSALRVYESSKASSSYVKYGAEMV ESIAAPIYDKVGRRVLSNVDTWGCRQLDRFEEKYSAPPHPAQTLVDTDEDGPTMAAHA LARATISDHHEQQRDGLRRRRDDPREDPYTKARSRSCSRSTSPHRPYTLAKTVVRHRT NAVPRSRWQQIVAQAGSAAGTTAAVVSEESMKCLRYCLSWLEYAVQHIDQQMNLLRNF LVSLASKDSSSSILPSQSQSQSQSQSAASGPNTVAAMSSSSAATLAAIKKEMVDTLRK VVEVVSRYAGSGLPEQAKASVRAFILALPGRWAVLNTSTTVSPSASPAINPHTPSHVQ DTSIKLLNFGGESIEMLHSVATVFSDTIDRAEIWLDRLRVVGVSQAQSHMDIVREQSQ HEHCPKTERMDMS PHYBLDRAFT_78772 MVEFCPCESTQQRSPLRHNQHNHGSFALLPLQHRAGGHVTMFRL ENGAICKAMTTKEQRFFEVLQCNLPCQVFMPRYMGVIRIIYCPQPEIALEQDRQRLEA LFSSTCNHNRPTTPAVPEHQVVFRDPCLASPISLALPIIDNNNNITTTPASDSDPNPN LNTTTVTTKGMEEFIVMEDLTVGLEKPCVLDLKMGTRQHGVYCSAAKQASQTLKCLES TSKILGVRICGAQVFKPATNSYEFQDKYKGRLLTPTTFRHTLYTFLHDGTRALTDLAR ILIDKLKQLACVVGQLPGYRFYGSSLLVVYDGAHPSTIDVRIIDFTHCVTQDELVHPP IPMTHPPEDSVDEPDHGYLLGLKTLLEILEAMVVSDSH PHYBLDRAFT_98969 TPPEDSLVNAVKQLKIDFPEYGIKKIAAKLAEKEPKWAVSEKRV KKVMQAQGLTQTEPVVKKSGVEDDPSVPVSYIDPTLDIASISPNIVAKMVDRVTGKGL FAARDIAKDEIIFQELPFAYFPSWEGFSMARQGNACGMCVKPLLRSSVLSIHCSHCNL TYCSKACRSHAWETFHPLECTHLNPAIRPFLNFCEGESWSAPMAVARMYAHIILANQR GELDTVLAHYDAFATVNQAERQAKETEWIFMEHPTRELWAKARSLLAKAYNPPAKKCK ITKPLPEDLAKKLFEDEDTFLNYLGKFNINNQSGGMYMVQSHINHSCSPNVCIEFPGQ TQYKLAVRAVRDLRKGEQLFETYVNPRWNKETRVNYLNKSYMFQCKCPRCESD PHYBLDRAFT_151837 MNDSTKETIMKVFDVSKQIVHWGFIPFVIYLGLTRSNPRPSLLK LISPLA PHYBLDRAFT_118714 MVYSPVTVHKNPKRSKRPTTYSRWTPEEDEILRHAIAIHGPARW SLVATHIPNRSPMQCSTRWMGALNPHIHKGRWTDYEDSILRYSVQEFANFVDHEGRSM PMPWNKIAERIPNRTGIQCQARWTEALDPTVRKGKWTADEDVLLRLGVVELGRSWIRI AETIEGRTQVKINSHPTTNIAIY PHYBLDRAFT_151839 MSSDIESLQNNTNNNETAIVIAEEDHQNQPNNQYRRYQNEEKEK QKRRHTFFVLLIIACIMCVILSFYASTTPSSSGQPCTNYIIWIPNCNQKEQAQPQPQE EQEYYIQSIQMEQQYDNIIN PHYBLDRAFT_67364 MSNINRPLCIIKIQKPSKIHKSKMVRCSTPTPNRRGSLAISALL NQEPVDPITTTNRNNSFSSSDEGSIGGSSISISTSSNSNTDSSSSRSSSDSNAHSTNM STTSTSTSISISNIINTTTTTTTTTTTTTPAAASTANTSAASATRSNRNRTRNNPITA PTVRVKNQRRQSYRLSVPAYMPPPNQNNNNNNNNNNNNNDNDQTQTQTQNQGQGQGQG QGQGKNQETVYYDFDVTDIETQNIEGTDYLWNNTTTGIRHFEGGYIVTAIAKAKRKRI SEQQYAGLVDVFNVTDTPSSDVRERLARDLEMTKREVQVWFQNRRAKQSRAKVQERRL KEALSTLTT PHYBLDRAFT_67365 MYIIVYSICLVWNDYNGGKLRNSCIILAFFILARYANSSATNPD HGLHVAMATRNGKVVKRSVSSMLDKCRVLLHLVGFVVLVVLRLGWFVPNVTERLSKVG YTDSENVFIFKTYKQLF PHYBLDRAFT_189155 MESTSLTTPAPVPAPVPVPVPAITPTPISLTVPVPATATTSTST TTSISTSISTSTSTSASVSAIVVSVVVLIVGQHETCDKPLDLFLIVFVVRAGVVWPFD VRQRIKSALNTQVENSEHVSKDWADRAKYLLDLFSILWFIVGNYFLFTSDNCSTDAPR LYYTILVWTIFGYLIVLIPTLLCISAIFCLPSVLHVMRLLHLYGIDGLNQGATDTEIQ DMITLRYRTSEDGSDTHQAEKSIDKRRRWFSRLGFGQRKQIQDTEVVYDTITFSQQQQ QEGEALCAVCLADYEDNDLICKLWCSHHFHKDCVQQWLKLNRKCPMCKQDFQGKNLSQ DED PHYBLDRAFT_174616 LQTQVNGISAVNSDYNCTSDQTRHALACDESIQRRQSIKSIDSS SNCLQNASQSQSHTLPPPITTTAALLSSSTTASSTAAGPTSAAATVTGAGAPSVAASV TSVTSGPPGGPQTPHTATTVSQLMSPPASSFSLQESVRERKLPPVDVVEHLAQMFYTH IYSSLPIFDRNKLFHDIREQTCPEFLVLCLCAIGARFSDRSDIKENPPWHAGEKYASK AREHLINAIDTPNLANVQALLLLGLYEYGCARGPRSWMYCGMAIRMALELGLNKESEA DDVGETLSMESWTEQELRRRVFWSVFLTDKFTSAATGRPSALQIEDCDCLLPSNEEDW SYGYFYTESLDKSRVAHFNVQELRDSHLLGVSAAGGRCRPKQEQSPFAHFLRLVALLS KVTTLINRCRDSKNTLPPYHPNSEFGIVEKQIIAWEEALPQAMKNTPENISRQTTSPV IDETLFVVTHVLHNVMIILLHRPSLVLADSLASELVQPDLRSFLNASIERCLRAVDNV TEVIKIIRGSIKLMPPFLSYLTYTAATIVVNNIFSRKPEDSKKARAALGEHFRILQTM RSYWAMSDKLFFMIRDLYAMHNNTVSQKLTMSNHNNNHNNSNNASSRGTSREQSAEWS SSIYESSLTAPASFSDNVQQQIGMQQQIQQQLHQHQHQHQQLQQLGQVHHQASQSDND RVLSQPSPALPPLQGVFQNNLTYARKMSLADITSSTGDGASCTNWAMPITPMNHHNSN SDTGNGNASNSNTNSNGRDADPLGNMMQSMDTGQPPENYLDPFLKQNIADTSSGWWPD MFSYQQDSEEVPNGYKNHGYV PHYBLDRAFT_183696 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_74201 MSKLLAFVFICIANLIQLKLDLVIFDLVIIVIYHIEQIYNIVKK HMQKCIYCLTSVGVTIMTSTLVLSTNLAPYRYDTVYPAMIATMLSQYEYVLICDKSNI QVSGSPQYY PHYBLDRAFT_27132 MKHLQNIRQIFEKLRTVCMKLKQSKCFFARHEVDVLGSIITEEG ISSLTRKINKV PHYBLDRAFT_151844 MKEAATQNALPLLKKKLHVIFSSVNNIISRIWRIGFSKFSVFFT IPPKASPKFDPFWRTLLSAYSHEVYMGITLGSRSSSDTCELHLPTIADCKQVCSQPLV VGNLFFLTQAAVPIGTIVCRVFLTKLPRVPYYDLATQLAKCMSLFGKVRKIAIHESYG FFDGSGYVVLANTLTNDVPSDSLTYQIAYDDTQKILGKWPSMGSHCTYCKEMGHDVAK CTKRPAETRTCFGCNKTGHLQANCPYITDPSKTSKTSNKRSRHPNRNSKLDRPIIAPK PLIPTELSLIYGGSEASKHNPRQPALRELSKLSPTKTTFTLPTPTETPTSSGPRPRSR SVDTPTRGWDKEIDDRMITNLMDRDEARALRLQSASRHTHLRFSRPARPTGRNTSLSP PRFTHPQTTKALGAEANINQ PHYBLDRAFT_174619 MLVSNKQDQKHKVWFTPRETGLDHWRLFSKDLFVYRKKTKVYAT LGITPFELMYALVLSTNLAPYRYDTVYPAMIATTLSQYEYVLICDKSNIQVSELPQGS L PHYBLDRAFT_151846 MSKPVHSKNKILRSNTGLIINPSKAYPRSETRDKLTGLTIFQGG LFRQSQAIKNRFPSRATGTPRLDEHILIVFCMLLVSSVQVKHQGFHQSLRISIRSIQV KLQGPCQSPRFQVKYQDSNQSPRFSVFIPSRSSIKVQVKVHVFQVKVHGFSIHSIQVK HQGSDLPAMAKCQGSDFPAMGIAIDVRRQGSDLPAKCSVIQVNQQDSHLPSMCSVNHA KRPGSHLPAMVIDCSVIQVKHLGSNRQSMSPAIQVKHQGSTRPFMVCSVIQVKHQGPY RPPMSPGIQVKHQDSDRPCMFSAIQVKHHGSDRPSMVIAIRVKHQGPDRPSMVIGIKN IMGIHSLVLSISSVQSSRSSNKVLIARPCVQSSRASIKGLIARLFIQVKHQGFDRQPI VISYSAIHVKRQGSDRPSMVIIVQVKHQGSGLPAMVIVSSYPGQASRFRSPRHGSSLR PSRSSFNVPISRFMVIGIAKIDEQSHSALFISSVESTKIMLHGSGFMSTHPRQLSGSD FHELL PHYBLDRAFT_160496 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGR PHYBLDRAFT_160497 MFSLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLQQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_160498 MNGFIHCANFDIFVLVLVCPKKELNIVVGGVNLDSVLKLCWYVS KKRNMLWLLVSVL PHYBLDRAFT_183697 MPDSRTNPDVDALFEDDPGSLDEVVDDEDAEDLKLDNANTKVPK FLANKWKAIDQDNVNLGSVRIYSQPPPGRSSAISLVLPENEVTENIPREYSISILPGE VTNKFVFTESEHGGKSISGRVHHECAATPTQFGTYRDIMRKRVIDAGTPQRTVQVLGQ DNQPVFVPGASSSMPSSSFSDFVTAKKPRTDKEKATRMPRNELMDLLFAAFDRYPYWS FKGIVEHTKQPAQYLKEILSEICILNKRGPYAGNYQLKPEYKQRSAAERPNGDKEDSK DISSSEEDDEEEEAMEAIHV PHYBLDRAFT_151849 MPAHPPLPPILSQQSPGMDLRDMPEAEGNRSPAMHPVKHEPVSP PSLSLASPRATHSQPWSVRSDDGANSNPMALDKVLSSTNGAGSHIRHLSEPEEPNKRP KTNLGEPKRDDNKPFRFAQHRNVLPRPLHTVSSQSQSQSQSQSQSHLQLPTSASLSKR LSAKHGKNTRNLTIFPPAYTDQLALGIRSAPLNSGFRQQQQQQQHQQQHQQQTQQQQP HTAYFHPPQQPPSTAGRTNGSTLLQPGHTLAPLLSPRAPVRFHKDADKTFPPSPRKQE FAIPPIVPSQQQPSHHTTNFQHQSQPQSQQQQQSQSQSQQQPQPQPQPQQYVSSPSLY GSGGGYQAKSSSGSGSGSGSTSALLPTTAAAVPLPPQTPTTTTFAALQRQQFLQPFEH LFDTIETTRTLKTTLDDQIRRSSSLMQTLQASSTTIEGLIRNQIKEAHKETTNRFHNT INDILKRLDALENNSAVPATAISTANNTASNTNTTTPTTSNANANANTPAASHANNHP SHSHSSSSPSSSSPLSTSINESIVESSSESNHHPPHAHAHAHTHPHSISHPHPHVHTH VPPEPTTPAKINREHLRSPPTIVRSQNEIGPDEYQSMLNALRERLDRLERQLEV PHYBLDRAFT_160501 MCTGITADLYSNDTQKQRQVLRQHFSPNASFVSPLLRTSGIKNI GHVFLVWKTLNRDPPTIEKSCSNQHHCVIFMTQHLRPRLFPLLHLALPVIVTLKFRES ESGKLKVEHLEEHWTVEGMCICVFIRTCIEYIICLLEYK PHYBLDRAFT_174625 MTAENQPIFPAEVFLMILAYMSFINVYKLYSEFPANMKPFVNDA LIKHQIFNQRRLLIILSDYSRPTLPNQFLPGSEVPEYYYNRAPGSSSDIRMAARVSSV FRTPNPAYTFPFMYELEPASIDYENKQIFFKASGTRQNWLWVTHSGAVHITIILVDGN RILKRALKATAPQLRHEVPLSSLSGKLELNNAFPGQTRPSSCTVGVDWFCT PHYBLDRAFT_174626 MIFMTTHTGISSTEYWFLLSKYLRITSSFLITRLLVHSTEYTLP ISVFFMPCVDFFFKDTRSSRTKMSYWRKIGNDIVLRLEALIAVHKYNMNMYPRLRANS QIPQKYIYFYYVDFFGVNGALSIRKQHQETYQEVYMAITELRSLKTADLLSFISGRKQ R PHYBLDRAFT_151852 MNSEYDYLFKLLLIGDSGVGKSCLLLRFADDMFTDSYISTIGVD FKIRTIEIDGKAIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQGSFDNVKQW LREIDRYSAEGVSKLLVGNKNDMLDRKVVSTSTAKSLAESLGLPLLETSAKSALNVEQ AFSIMARQIKDRVGQSVAQPNNLNTLRVGPGVSIQQPNQTTPAGCC PHYBLDRAFT_183699 MDVFSILWQFLCMWVVIYAFVFLVKFYTTEKDAKRKPYPPSAIS LLPTFSTGHGTDTDTDCDFDDDRRNPNTPLVERDQWSIKPFQIRYTTQNFNRLFAKMT RAAPRFWNWWFTIGTLVACALMVAGVVVIGLAAFRILSGLYHAILPAPETSHRVKRDL GDDQVFLPMIPGVTLPMSHLGYYLFALLFCGLIHEAGHAIASYSEKVPIQSAGVFVYY LYPGAFVNVPDQPLQLLSPFRQLKIVCAGVWHNAILYLLTLLFLSGGLKAGLLLCGWK TLEGLGGVSVVDVRSLSPLATHLSTSSVIYRLDDHPLDNNIIDWNDFLLFDQGRHRPT QGFCTEKYLDNLGLECCEINDDTPFGKSPNETLSCFQDFKSALDLKKPEDLVCLPALE ILASRDRKRCTSDNECETKCITPYMPSTGGQIVRIYARMPSWVQGPPEELDKVFLFEG ELVDIWESVKVGILQPRFWILPASIPHILELLLRYVSSFTLALALLNILPAFRLDGEF ALGQILVLLLRSNHGPVTTRTGDTQRYTRGVLAVIVKGTSIVVGFVIIGSIILGVFNG LSGDF PHYBLDRAFT_137099 MNLIRLVADMMHLASIIILLLKIKKSRSCSGISLKSQALYAIVF LTRYLDLFTDFVSVYNSCMKAFFILSSLYTVYLIKIRYKPSYDATLDTFRIEYLLGGS IILGLVFTSKYTIIEVLWSFSLWLESVAILPQLFMLQKTGEAETITTHYLFALGAYRG LYTINWIYRHFYEKHSTDWIVWAAGILQTALYSDFFYIYYNKVIKGAKFELPKMV PHYBLDRAFT_70276 MESFEDRRPSSPSAERAVPDEYHTLLNELNRHVHQEHPKDIIQF CIDFFKEKLEKRKRGSISGPAFDTREASPITTTTSDELGGGETLNVPFSRGRRTSVSA ESIQPSQTPLKKIVIPKDAEQRKQIRAAIQNNFLFKNMDDDQYNDIVNAMAERKVDEG QIVIKQGGVGDYFYIVQSGTFDCLINDHKVTSYGPGGSFGELALMYNAPRAATIIATS DGVLWALDRVTFRSLVVENTAKKRMMYEHFLEEVPLFKSLNKIERHKIADALESIQYE DKDVVLNQGDYGDNFYLIEDGEATFYKKGKDGKERMVNKAIKGDYFGELALINDRPRA ATVVANGRLRCATLGKNAFTRLLGPIMDILKRNSPEDHPEQ PHYBLDRAFT_79769 MDLVKDILFEKQEGNLCAQHALNALLQGNYFTAVDLATIGQELD REESRVTGVNVSGRTSQNYDDSGFFSIQVIQNALCIWNLSLVPWLSEEASDAREHPEK EAAYICNLNQHWFTLRKFSVPWRWYNLNSTQSAPIHLSETYLGLLLQQIQNEGYSVFV VRGSLPDCVADRLAITLPRPQKSTQDKKKTLEAFSGQGYSLNGPTTIPGLPEGEDEDE EVQLAKAIEASLQTSQTQSVDELRKKRLARFGG PHYBLDRAFT_70278 MKFTSILAAVAIFAATAQAAPWGPGWQKAHAHDKGVSHPAPPPV HHSPPPPVHHEPEHHEPEHHEPEHHESKHHDSKQSVKDVGNEGNVSGALNNFFKGGIA SDNSVKNSVSQNSGH PHYBLDRAFT_70279 MHRDRRWSIMSSDCMITAPTNYALFLKLPKKPYYRIVYKTVPKL STTRVNQSYKISSLKKRNTMIYKDYKTPVLYFLIHNNSDIPIDQQLASIFYLRYSAVN PHYBLDRAFT_183702 MRPLFFLRPGRLVKLGSVTASASVAYYVLKKHAEDTKNPAVGGG SDGGTTTGAAALTADYIPPPFYWETIPGFIKKKFEPPYPANSSAWNFMSSLVMGGVGS FGKFFIYCLNDTHTHNMQPTLDIIDQENRARGLITVSNHASVWDDPFLWGVLPTKTLF SVDKMRWVLGAADICYTSLFKSLFFSLGQGIPTIRGAGVYQPAIDFAIHKMDQHQWIH IFPEARVNQGDDLIRFKWGIGRIVMEAKECPIIIPGPFVQLFNPITVVFGEPIDVQDV LNEWQQGKLSEEEARIRITSIVYEALKKLKEDAENNRLKN PHYBLDRAFT_151859 MIEDSSDEENQLLDESQTMHVGTPLLHSSRLSVKLNRFSKMSSN IFLKMENIQPSGSVKMRGIGNFCYRTVQLRGTDIRFICGVGINTALAVAYCARQLGVD AVIVLPKNTNESICDGVRLEGAHLILFGDDFTASESHARKLLKRNDVYVPSSDHAHIW QGHSTLIHELKSQLQNNPPAAIICPVGGGGLLNGVIMGLQEIGWKQVPVIAVETHGSN SFQSAVVAGKMVTLPRLTTIASSLAVKSVSSKSLELSLVHPVVPFAVSDAMAADAARL FADDNKTLVEAAAGAGLSLCYTQIIRDILPSLSPESDVVVLVTGGSDISLEKLEEYRK KYKRPPVVVKSGSEVFLKMDDSLTNVQEVNMDEPSGISKKALKDLSARHTYKTEVMED LTMQQAQDEEESYSSAKTPTPLQNN PHYBLDRAFT_70282 MSTMVFVEILQKAGCTLDLDKSSVTFHISLDYITSSSYYSYSCQ TYILFPRNITEVEPILFRRQLGRILRSHANYPDIVDEFIENLQTVTEDVEIFRRCLLP STVSEQVSRSSRATLNSTSLFKTLLAVDVVQPKIITYLLERLPEFYTELENDNSSSST PRLILQQLRWLDYIVEPEVLTDKLIEIIDITPPIIQHEIITSLPEIINDSEHKPIVVY LKELMMINTVLTVPILDALSNLTLHSESLDDVRDVVLERLDSAELDDLPVIVKFLLHT VSPTTVDIVISGIRQNLDFRTLGKVQQAEISHSVAGHKSKLQKEDSNKHYPEALILES IKLGLQVHKFVCDGWIKAISALNGPREHKVLDVLILFTLHSMPSTKKKAESIFKKKIL CGGITGALLEETILCHANGLTSYWNTILSLTEFVLRSNQKSTAVAQCAGALYASAFKS CDAYYRQEIVGLLVTHIGSVEMNVALSVLLKLVRSDAKSMAVYADFVKGILDYLDNLS LFQIRTLFNIFSVLALMVEDHCDGRDSSLWSDIQIVIRKQLSNPREKYKKIGIIACIA AIEVLGSKQTCSEAATGSSTQGNSDSYTAEQALRHPVLKQAIDLVDMVLRHCKQYPLC LALVYDELSHSVKSGCLDRRFELWVRDKMTNDFLESYMMDLEEATQKVESAQNNHEIS FKPELWMNLDKEESQVVINLYDLVHGVEESKRRSLVIPLCSIFNLMQSCEKRITDGKL DDVDAVLGCGIVLFQVDDIDNMVRELHPEEFEEACDLLFYAVNWYRELIKAFSTLEDA ETREKLALRLKNIITLESVLEKLLKHVPGFVPLEFHASSSAPNTRDSTKAHPGTQNSQ MIKSDPTSGQDSQFEIFKAPFKKDAGPRAYLPQFETINDLRKYMRALDINALRLLKPV ETSSGEIIKFDYKENNYLLKDLNQKLDIKLGQTVKKKINEDRFPTSNAILLEKISAAR FVKEVVQYLPLILQTLENLYEDAQLQENEPGRVEEGGREMALSIKLCVNILSKLFLWP DLENIENSFILQSLVSCLADRISEISTKKNALNSIIQDAFTYLSRFGDNMMEARPSVD LSKILIRMMALSDNPSSMKPGALAAINRILSTNWLDRITIKKDILFLVEKSIELSEDP LQVMYEYMTFVLPTYERDSCDERDRVVEDYPLLRPDTVLYYYQAVLNQIVSNLQSSQR SGMEFEDMVLYYSKIVKLFERTTNNIKIKSQRELFIHLLKTGRVFIKLFTVICIPFFT NVFKAYRETILSTFKDFQTSTRILQTICSHVKAVKDNNLASHVPALKMALENVLYQVK ILLNENNVPSDAFVLGALKHRDIRGVEVSSQLPRDDVSDDEDEEEQVMELQEGSDNEE EGEEGEEEEESEGGHLGPATKRRLEAKKAYQKSRMGNSTYSIRTTSQIPASSDEEDSL QFSENGSEDESETSSPTAPLSYSPESISKSSKISAAVHERPKLSEPPNKKGRFAKNHR DASGKDKVFSLTRLKTESEEE PHYBLDRAFT_174637 MLQETNELDFDTYIDTMQRPVPHNHQHQQQQQQQQQQLHNHHNQ LLLQQQQQQQSRSTQSMSQPQHNSFGAASLGLRMNQELARPSLGYPQESVPEYILSTG NEYEVYAWLFKNHEANRQQQQQQQLAHSSPPHQEAIISSGLSSPLSSPSSPHFPSITI PASHSRMPSDQKSAFASIMVQQQQRQQQQQQQQNIMMGHDKRTDQNNNNNNNYSTLGP HSISSQNTSSYGPESADMGVSVSPIPDYPYTDERPLISAFAAIDQWSQNACAESDSHD EHDHWSPIRTPPHHSPTLPSLESFHRQFNGVIEPEQKLKKVAHNAIERRYRNNINDRI QDLKNVVPALYKAHIREPGPGKRSDDEDMEDEEGTGEIIDGVEVAHKLNKATILHKAT EYISFLKHSNDTIDQENLILQQLLSQLPGGNTVLNRFQTQKQKCKQSKEERLMRERKD AQERERAERQQKLKERAAQRAAVAELIPKKERKPYRRQIKRQRQQSDADYSHTNNNNS NHNDHEHEHEHEHEHEHEHDHEHEHDNNNDNDNQSGSGNGDNINISINSNGIGQSDNR VFMAMFVCLAFFAAAPTKPSSTFTSPRHRDNYPRSQIFSAYYGQATSTPQINLISSPG IDLWSTIRISLFCMLVIYYLVLPFLGRLFRIRRVNHRQRRLARTKTKKVKEGSETEQD PKTVGYQPLKWLQS PHYBLDRAFT_137103 MPRISLQNPLASLEQLSCSPSVRDGIPSDLEDDLRNLGGELIQS AGILLKLPQVAMATAQVLFQRFFYMASMRHHGIVDIGMGALFLAAKVEENSVRLTHLV TVYDYLIKYSRDQPTFPPLDSFSQKAYDMKNSLITAEMQILKQLGFNVHVELPYGLMI NYLRILSLEEHPTVPGRAWNYLNDGLRTNIYVTHPPSTIACAAIWLACRDQQVKLPVS PGSEWWLLFDTRLEDFKNAAGQIRRLYYRKLNRRLLPLNPKEIKTITYV PHYBLDRAFT_174639 MDSYIDRVKNRVINNNSGAPPFVLANDKNTYRPSSLFSASSAPT IRLQSSSATLIQPSQQSSIPPTRYGAGYNRPPSILHPHRQTGSGAPTHLLGPILGAFA GLIVLAGFVLCLVRRRRPFSRTQKVFHTFQETNESKTRQGWGTTMTHSWSTLGSSSSA FSACPPPPVYTPKDTRRLTNTTLTSMTATDTTLNTATPDAQLSSQQYQPPLTVGAVKS QSQIQTQTKTKTKSITGTNTLVDLTPFRQNSLSSKNQYTPQLAFSPSAMGDRFSSESL LHVAPAWSSFMISARSTEHPVNNPNKTFQRK PHYBLDRAFT_79774 MGLTEEEQQHQQLLQTYAQATRQDLANLTASSLAQAMVSPMTVS GLASSLLQQLHAGIIITALPGPTPTTHITPTPTPMDDTASDDEDPKRGSTRSMSNDER RQRRLLRNRVAAKECRKKKKQYIQEMEDKIRRLEEENVRLHKQVAEIHAKLTLGSLHT NEGYRLMKEVEELNAKLGMAHLPSTSALTAAVVAAAQQALKSEPPLLLGLPEKDLSRA RMKELKSNCAELEISQHTEAE PHYBLDRAFT_79775 MYHPTRTVKTWAVGISVIFVCSLVSLMYVSQQSSIDIITEQYIP QRDSDEKYISFLPHSGLHNQLSSLTNALVLASLINRTLIVPELNLGSGTYWRYTPVLA DRLEICLPRLLEHAPESVECWDYKKYVPVAVESIVDLSEIHALGIKTYQRESLQRDYF QTYWSVPNDERNRSLAIEYTDRVRYSYQFHDTLGLGKRADMPGAYDSYINIPDLILRQ EKFLVFNSMFGANRLLLTKKKNIALRRLVRNKLVFSHPDILKYSKTLVDRLGGPGNFA SAHVRQGDGAFKASVQDTMQEVRIALEGTNRSKNKNGGSVNATQASEDQKLIQRLSKI PKKGLALLDECKRIQETDNKTNNLHPRLRLIYMATDAKKPRERFDELYNEFVCMFCLS DFPDIISSVLLNSTVDTMGAGVYENQGKLLLPPVDASVASFGSIFFGTHGSTFSSYIR NQNRLFHKLKKSG PHYBLDRAFT_189167 MSTATDITEKSRPTGLPSNRTNVTVPTPSPTAGITISTTATTMT TTNGSSSSTTTSSSSTTSPTQHSPGLNGHKRVCEADADESESQLDKSGRERKCRKAVH ELLTDAEKKANHIASEQKRRQNIRLGFDQLIEIVPSLHNGNRSEALILQKSVDHIKQL ISVKNDLKDQIRDLQNILGEANYEEDSSEDELSYNSY PHYBLDRAFT_151867 MSDVKIHIFTDNSPVADRSSVLLFNGIKAAVPAPVVHVKNLACS VRRASQTDFEHIDASNFDKDALNADIYLFPTTGVLSLFEKHFFSEESPSHEKPLKGKK FGVLLTDKTTFDGPTPSDSHDLDSKLIEKLVAHGLNKPAIIKVNSPIESAIQDAGQQF LIALL PHYBLDRAFT_101648 CPSAHHWALTFDDGPSNYTSELLDILDEYRIKATFCVLGSNVKR YPQVLQRIFQSGHQIASHTYSHPHLMSLTNEEIIYEIKATEEAIRDAIGIKPKYIRPP FGEADDRVKGLLRTMGYRVLMWNVDPTD PHYBLDRAFT_70291 MGSFATLKKEQLDDQVVAVKTYTRYHGHLVRRHCERELRAVEHL GLRSPESLKMKNRGLRIVDNDDNDDNENEKTRKIKNKRGFDYQMKLLLMHVIDVVTTD QIISLTMPFYEHTLASVSLSLTEARRAVYQMAKALSYIHAQGMVHCDVSPSNVLVNSA GQCALSDFGCAHLQKFMPDPREVDEIGTRYYKAPEHLFGYRVYRPSTDIWSLGTLFCQ LLLGFPLFAGENDLEQIGSIVRRLGSPNESEREEMNCCPDATKLFFFMPPSECDSEDD SETELSEDQEEEEEEEEEEDALSECSDIITLDAAMENYSIEERDRVILRKMLTWSMKT RGSVEEILDINVSENDWVA PHYBLDRAFT_151870 MTLLCSSTWYKAQDLLKIVIRVRKTLYEHRLGPQGISQLKAIYV ELGKTQTGCPLSATARFPANLCYVCEANGEWPSLMQQLRLAVCRLTVDQPTTACVDVA FSFRDPEQSLWEATSNVMNAIGNMRDVFVRETVESEMIWRKDKKG PHYBLDRAFT_41457 MASFAENLVKNLNYPLSLGLSDRLSHLSTSLAQSLNLKPQQPQV PPTEPVDIRRLAPPAPEQTKGTFEINLIDAKHLAIHDSLKAQVYCLVYYQGNVLSTLD TIQNPVTTTANATNASYSPFDLLNMVSRQANPTWNTTATFDVSSEQGELTLFVYDRGN PLESGEDRCLGTATLQPRLQHEKTIELRLELQPPIPLATCSQSTTSVSGHVRLQLTYM DAEARIKLTPDSFHIVRLLGRGSFGKVYQVIKRNTKQTYAMKVLSKRLLVAENEIAHT LSERNVLIGTFTNPFIVSLKFSFQTPEHLFLVMEYIPGGDLFEHLQRQTFVSDQVARF FISEIICAFQDIHAQNVVYRDLKPENIMLDSLGHIALTDFGLCKELGQSQTTATFCGT GEYLAPEMVLHKPYGQQVDWWSLGILLYELLVGRPPFQAVNRNTLYDRICRAKLSFPS HVSAQSKDLIRSLLERDPLKRLGAKGAEQVKAHPWFDAVQWDLVASKRVQPPPLLASK PPPKPICIPTSKKRDTHASFLSSLQDNSPLSPTIQSAFHGFSYVNETSQASLRSSIIS FDDDDF PHYBLDRAFT_174648 MSTSSPQPKETPKSNPEYPFPTEEPIRRPWLKEELDDINTVDDE SSSSKTSPTSSEEGIVLQNVLPSHQDFSQVDMLWDEMTDIQARQRSDSDSTDESDWDE EDEELLKRTESNRVIPPEEGEETTGPTADLKRIVSVRQRLLSEQRHLLVFTDPEIVVE PSRATFTLYYGVRPAGSGTNSRKPRSYFVACDFSEESFYAIEWTIGTMMRDGDRLYVT TVVNREDNPDAAQIDGMTPSGELESAAGIVTEKVKGYMAKMLLFDIKVSVFAVSGRVK DVLKQMIRELTLTMVVCGSRGRSTMKGLLMGSISTYLVHKSPVPVTVIRPQKFKQKQK KHHVAAASLSESVKTGHLHVDELAN PHYBLDRAFT_189169 MGAKLSSAKSHSRRTSSTKSKTDSVSSNTAYNVPMLFPKQKTYD RGDSDYWYPIDDDEIDRLVGLHFAVKTLFGGNIPYHEQLLSQYPSNKGAKVLDLGCGP GTWVMELATEYPSSEFVGIDICDVFPTNIRPPNATFQLGNVTHKLPFEDNTFDFIQLR LFIISIQRDQWTKVMAEVIRVLKPGGFIQCIEPTIMTQGTPLVRQVADIFRDMITSQG QEPSIADKLDEYLAESGFRVLHDETKSVFLGRPGGVNDEFIWVLRSMLQSGEAFFLDR MGMNSEDYPAFVDSFCEQLHFPHEETEWSFVYVLGQKPPIL PHYBLDRAFT_151874 MLWVIGWTCVLTRVDGTYHDLINCKFANDKLGLVKLNDDHLKIL QESKVILDDLANNKFMQPKDVRWVIVPSFRTNGSESKINLMKLVAPGLYSSKYLGSVN LPYLVNDLPLLKIKAIPRLLYMKHYAIKNGRLLHDAIKKDRRRKKSSSYQRSPSNDGD IGTEVHWTRKTWFPNMKAKLQINMPFDI PHYBLDRAFT_174651 MKYHPRHCRPPRKHLIFLQHLVAVILSIYGDLQLVSLLAVVVNW HVILQTGHLNAKFLKGSGCSKKCLYIDSRTSFEHFQKLNKVKAIVSLVSTKELFNSTV KARYSDMDGEQKFYHYHYIERNFFLTQHIF PHYBLDRAFT_160511 MPPRDGSPFDFDPFISALVLGKPWSRLSTRSYRLTCSHHHANAQ LLSPHLSPRQLHSFWSFALPHWARNVWFRSLHNKLSCRALLHHIMPFTVFSPLCNICQ MSIETQEHFLLSCPLKSAVWLGIWLEFFGTIPPPSALSLAFTSFLFPPTLNPSIPAAS VFRPTILAIWDHHWALHFNSAPFLPSLVLATARKSISRIYSELELDSADSSLA PHYBLDRAFT_151877 MALSTNTPEPPEVKSPSTTGSSPPLATSFTPISSTLTPLYSQVA TQNAPPLTEKQPHVIFSSTNNTTPRTWRVGSSKFSVFFTAPLKTSPNFDPFWRALLTA YPRKVNMGITLGSRFSPDTCELHLATSADCERACSHPLVVGVSSFPAQPAVPIGTFVC RVFLTKLPHVPYPELATQLTKCMSPFGKVREIAIHKTYGFFDGSGYVVLANTPTDEVP SDSLMYQIAYDAMQKILGKWPLMGSHCTYCKEMGHEVTQCTKHPAETQMCFGCNKIGH LQANCPHSSDPSKTSKISNKRSHHPHCNVKLDRPIHKPKPLIPTALSLTYGGSKASKH NPHKPALLESAELTLPATLPAITTTSATTTSSSPRPRSRSVDTPVKGWDDEIDNDMIT DFTDRVEAWTLHLQNASRLPHLWFSWIVHPIGRNTSLSPPHFTPPHSKKALDAEAKIN Q PHYBLDRAFT_151878 MSSNRTNFSINKINDDHIIRIFENSAKRHLNWNMTNTHISQATS TASPKDVVATMYFVCDHQGLPKKVKVVEGTGNQKAKMVQTKLIKDGCKAKIIKKTLQN GNVVVGYLWQHATHQPEKVQNIVYSRLPVELKQWILSHVDNNMHWKAIKMLLCIDHQR LEELEAGLGISSFLMSLRINYHDVQNVINARLNKLSRKNVIDKASVEQWIEFLEKEKN YLVHIVFHQDLQILGGFQQALISVYDCCENLRVKRVIINCNPVEIDALKEVFGQSIQI LLCHKHIKRAWEMHIKKDHKQNAVRVALNLMMHAKIKEAFDQQYKKFVSKFAGYGKFD ARFYTNNLIESYQHILKAYYLGRSRNFQVDQLFKKFVLIHVEKAKKKGAFDIDHEDAL HMIETVEDNLYELLVKEEVLIMGLQYSLIKELHIVQNPEVQVVERSEESTEAQNELHL LKFNEVSESLFKFFEQAFRKTESRKLEEWFWDGRNTPDFERLLVSDRRNWSCSSAKV PHYBLDRAFT_70301 MRIMWSSLILLMLKLVRLELIMSIPIQILREIHLTGIAEDIILS FSLTLRFSSNQYLFTTTTFSFENLNLLHSHYMTIPPQLSLSSVDVNAAEMLTTLYLTF AAKALLVPQFCPGIYINSWLSLYKTETTLVGVSLDLLDKFLPNYLPPDIGEWICISGT YHNWATLTELLMST PHYBLDRAFT_70303 MAGWLTSVSNRSDKKGWLLSERIILGSPCSVNTLKQIAAITVNL LKLVKDTKMSYCENKYCIAKIYLCCVFVVEGPNESAAHEDQRFSTGIGRLGDQSLQ PHYBLDRAFT_151882 MSSNSILDSYQCNQCKERHTNLKKAKSCRAQCFKNHHRRHNDIQ TSQTTLVPGQVSVVLNTVSNDTIEERADAIKDQIMDTLNSEDNDDPIMNIFSNDDNDE SMYDAELGNDMDIIENETSPLVFDFSQPAPTPDKDDAKNLEFLKIIKDFGIFRNAHEM IVKHFNSILETSTCITYRACTSHLSKKLLKRFSGVEETTECSNCGQSRYKTRRGETEG GDLVATATMIQLPLARQLALALANEDTRADIHYRHNHESSSDGSKTDVFDGQVYQQAK HLFSGKDDIAISLSVDGFMPHNVPGSVTILHATILNLNPMVRYERSRILQIAMIPDPG APANFWSFMEPTMKELLVLESKGMVIKTPNETISAKVHVLMVTGDILALAKLACHSGH MSKDGCRICHVVGQCPKHGQYFRTLPSTNIHTLESFQNFSQASASSRKGLNGQSPLAT LKVFSGPLFFALDEMHGLCHGISKQVWGLVSGTYGTDHCFALSSGVWKEIGTAMYKTR NTIPTSFHGDWRDVYKNPGSFKAVDWADFLLFVVPTLVAECIGDATARNALLGLVQAC NLLMSWELSAEEQTSIKSKLEIWNMYLESLLTSGKIKINIFTINQHLLQHYPLTIDAY GPPHAYSARSVERAISEYSRAIKNSTAGWPLTDEGERVGAGSDIEFWGPLRNRTIRDS FEGISCLSKLLEDFYESKGKECSMIEAAIQTSHKAFVNGCVIDSALDQNCVREAHNIR LQIQVDENRNINSAYSPVYKDFFGKVVVFFEHKLNNKRWPLALVEIVAVRLVNGIPVI NNGQMKPKVVHLADVKELVGLVKSDATINTTTTTTTTTYIVWSELNRGPKLSLGSLAD L PHYBLDRAFT_174658 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKPVSIFHEITLKHIFK MISEDLGIEVTSNEKATLDMCTKLICDDMAAHPSVIALGPNPIWGSIPVALKKEMCAR HANIMKDSSIDFTRCLGNWASTARVAHLWRDRHKILQSYFFMLQQPIK PHYBLDRAFT_160512 MPLATFGSGCFTTRSHVNPCCITVFPSHFPPLIVRCVEWKLTLK TIFSTHAHSSFLSDTHSGLRISDFPPNPLTFTMHYTSFPSLPPLIPLHILPQSLAL PHYBLDRAFT_151885 MNCRGLAKTNHPQTRSLFIRHLRSCGIDLLALQETHANTEPLQQ MFHTQFHAQDSLWSPHCGLVSLSLHLSFINPLFSPCGRCISTTVIHTNNSIPSFRICV VYAPASYRDRFSFLRSLLNSPALLPRLPSSCILLGNFNYTYRTTSSNPCQAPPEWLNF ISNHFVDCVTLSGEVPAPTFHRQLCSSSIDYVFASSDLAPCRQSSSVSYIHPNWSDHC LVATTFKFTAKHATGKGMWHANPRLARNPTFCTEFDAYISTSVFLLSPYMSPQDQWDR LKHMLTKFIKSFTRRRTQTLTTLEARLQSKRDKLIHKFRQQPAQNFQLPIIERQLQQV QLERVEILALRAGKHWRENGEISAGYLKRTVAERQA PHYBLDRAFT_151886 MIIEYGPPRGYSTRSVERVIGEYSKAIKSKLAIGTNAGNIILGL AWTRQIGSLAKQNTEQPKSWVLSDDDSLAGWPLTEGGERVGAKSDIEFWGPLRCRLID ESFEGISCLPILIQAFYKSKGVECSRIEPVMTTSCKAFVNGCVIASSFAQTLLREAHH VCLQVQIDLFCNVYSVEEYNGVLVAKNGQMKPKVVHLADVKELDGLVVSDATSSTTTT TTKYIVWPELNHEQKLNLGEHLINGHTDNSPTITTPTPGLPLTYLTALTNTAPTFCHA IVLGTSQFSVYYTTPPETSPKFIVFFDALLQSFLPGEIFGLNPSNKAGTLFELHLSSK DVCAHACRVGFWYNNETVLASPAIASSSKLFKLTLSKLPQFPPQEYATLDTKLHNALM KYGYVHDISINTFGFMDGSGHAYVKRPLYEEETRSCYGCHQVGHLRSKCPRAAEVDNS YKRDCKVPEPHGPHRTTATCNTTNRPTVTHSGSHMKKTLPPSFTTANPYALLDPSLSS AGSQHNPANTTKAVPTDSRTKSPKTPPLPFEANLVDRETLPADDPTLTNCCGG PHYBLDRAFT_151887 MLVAECVHDQVAQNALLGLVKAYSLLMSWELSVEEQTSIKKNII AWNTYLETLVAN PHYBLDRAFT_174661 MSLRKTNNKKDKLYINNINASNTINVESTSGNNNTDINDLTYNI KYENNIEEPAVVNDNESGISPLVFNFSKPASISTNNELMNLEFIKIIKKFNISYNAHK KIAAHFNSILERSVDLTYRASIPYYTSELLKRFSSIEKKKYDICHKGCRLFNEANITA CSNCSEPRYKEDSDDQGHANKLTPGHGQYFRTSLATTIRTKESFQNYDESSSSDRTGL NGQLPLAKLSSFSNPLFFALDEMHGLFHGIAKQIWGLVCGKYGEKNSLVLSLVAQREI GAAMAMTRSTISTSFHGAWINVSTRFGYSRAVD PHYBLDRAFT_151889 MNNTDYTVIQVLQGMQETLWDVQETLLALQKGQEALQKEQNLGG AIPRSVLNIKDITLVHIYRMVSRDLGVELDKKTKAIINTCTRLVCNELASIPSIHACL LGNNGIDFTRCHKNWASVAKVSQLQKNRKKQQ PHYBLDRAFT_151890 MDKNKKLLSSLTPQLIIKTYQQQKFICTANISMYLIEWLVFENR FSLNNSQCALCLVLTKVLFKRTLHLYMDHYKFRSYMDNSQKSNSPVSSIAKLHEFDMA NVVNLKYSPLSTKPKTHNMTHLTEDIRRFGSALNFETEKDKQFNKIAEFIKPNNNKDK TFCNLFLGGSQVLADNNDTGNITTLKDNSFAAFVIKNSIGTTPSIGLISGSMVTFLQP TACTSEEMKNNYLKLEMTDDCMPLDSLKPLCKIALMKVNDTIYMVNLSKFSSYWFYLQ HGLA PHYBLDRAFT_151891 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIAPSFSS ATIQDQQYAEIVEMFNKVNNSINGVKDDIAAVNSNMTAFKNRMGVVVDTSGKTHMAFA DFATAYANDQTCMASLGPSLMPSYVPQTSLSDAEISVIISEIFAEKLWDWKFESDDPA LVAENESKKKWNLNKKINHCDNVAVINYLKSYISSQTRLAGTHPRVISDKIKNRYKHS HHTFHESPEQKSKKNSKGRANSRTLHYNLYNQMSIQRKLTYMDNWVAIDAAMGYKTGN PVERTYLKLFQKDDMSDGESDIEIFNRLLTMVDYIDRTHHVSNAGVGTKPRMNRYPAT LLPCSVPATLSQSLPHWAINDE PHYBLDRAFT_174664 MPSNATRKSGRTGKQNARGTLSRVAAGRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMRAIVLNLINGRMWARNFRSNDPELVAENESRRRWNTDERID HPDNVEVINYLRQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNN RINSCRIEIHLRRVDTYINNWLAIDTKMGYKPGNSDEMAYLHLLKKSVMSDGESEDED VTPIIRVWVLQVARPSWRSAELNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKTIAV TPVPGHLTAILPVWAIQNQ PHYBLDRAFT_151894 MLRSKISKGFPFMKADEWKSWCLVYSPVVLQGVLPKQKFENWMF FVNACRFLTKPNVSEDDVQSAHIALEKFGKGCERLYSKDLLSPNMHLHLHLRDTIKDF GPVYGYWLFSFERYNSVLKNINTNRRSGFEMTYMKTFIEDTHKGDFVRNFLKTSGPFN FSGIFDKLVTGYSPADSTTSTALYNWFSLPDFLDAAENPNLSIRGNEPLPPSALPLQK KAYEMMLRQEYDCLVGYYQAVYNDPTISSCKDVIQDTAFVNDWIEMLKSVNLLGQTFK GSRGTNGRGLYIQAMFIEGRNGAKYAYVGEIQYLFVHSFSPLVSTPHHRTPQSSQHTF AYVKWYKASKETSRKIAGVEIWDVAFSLPDFQSILPVHRILLPVAIVDHTTLRNISKK LIVPLPRKLYF PHYBLDRAFT_174666 MHAKPVSPIRKPTVRKKCQCSICKSKTLEFDHVSIKTFKCHREK DNYDITHVQTPHEDTCDTISSAVSEPVNQEEDSFEFEQENAEMNSEFRNLNDTNDILD IRTRNQPFSEMNCVFGPEDNVQYISDTYEEEEEYEDESDVEMDNDEDSSLESISELNL IHRFIVILVALFVSLYVVDEGAIILIVIINKILQFLFDLFRLPMSVAGLKRLAGFEVL TSGVKKYVACSKCHAIYDNEAAPLCCTSPNFGTAKRMLERWVADGLIDNKKLVAMQKV VEKVVLPPDYTSLGTKIAKGFSYMKADEWKSWCLVYSPVVLRDVLPLPEFKNWIEFVN SCRYFTKPSVSEEDIEKGHKCLEEFCKGCETFYYLDLLSPNMHLHLHLRQTMIDFGPV YGYWLFSFESFTESFNDNSWKQAPAIIRLAIENKTIIIYAKT PHYBLDRAFT_174667 MSSKDKEKWDAVIKKVLFEIRDHVKLTHEGRFGLEPQFKHPFIV MNKNNKYGTYQLKTMEGKPLASWVHVDQLYKTNGDAPNDTWYNPTTSCSAWCAAMRLL DTEILNDAVDSVASPDDVGACGRSQSQEGGNVRVDIGNQNRDLQASMVLILRLSYKCQ KRNTRSRLDNLTTNFVQQCVVPDLGWSSFYYNKVKRNSHYTAVNAQEDITAHKEVLRL TIFRFYRFIKIMSDKETKSDARTGNRTRALRVAGEDYTT PHYBLDRAFT_174668 MHLRHIIFTNAQKKKFEIEERVQILLPAELASSFAASHTVPSLP EDQRLHSSPSRVSFWDTQAQNIVAKLSGVPVSTPLVPNSPVHIPVPSSLPMSTPPAPV DPAALAAPAAMTMPELIAAFTTALHSSQPPAAYTGPNSVPAPVSLPPLVQIERPDFYH GARSANAIDGWTGSVESYINTFQDLCMDIPSMTEDEALDRFVSGLRPETRSQVRFQEP LDLAEAARTALAFENGHRPVPTSRPEVVPQYVRDDGPTPMDLDAMEGRGSMRTNYQGR SGQHSN PHYBLDRAFT_174669 MPPKRTSKNAENSNKKLRIKQYKLSGFEEEKKSKKAHGFWNNTK ELVLLQEYAHLFPPSLKKGAHKKAWRDIVKSVNDVTPGKTELSYNTCRRKMLKLVDIY KNAFEERTVADTLGANRNRPEVEKAVRKILDKKEQHKAFKVFGHNKEIARQDFKLGLE GRVRAIASGAPDQITNLRQKYQDFIKKINAEMHENFPAESSAMAAAQPVGSQASSASI EDEEGKEGGENENADKENENVDEDVDEYVDEVEDEDHLKVGNEVPESQATHHAEVMGV LKQILQKMCNIKEELKKTRK PHYBLDRAFT_160513 MLLNQYSFPRHLGDMSILFEMSESNVSVVCQGFESIVMSQIKWG LQFNIKFASAIYDKGVALPNIVSFIDGTMQAISRPSQGNKVQKAFYNSWKHMHALKYQ SIVTSDGITSSLLGPYVGSRHDQYIYTMSKTEARVEKYLDIVPDVELPFALYGNPAYM VSKCLYSLFEGVSLSGIIKKINKSMSKVRVAVEWEFGEVQKYFKYFKYKYAMKTGETS PATVYMLSTVFKNMIHCTGHNRLPTSLYFGLEPPTLEEYISGLRRDKIDREDEDDILF PHYBLDRAFT_151900 MTMGVMLGVSPETMRHLIVSIHQLIQMDLTNNDIRIGGIDANGH RVEGVWVQGGIEKIAERKTFLVTVPQRDAATLLQVIKQYVKPNSIIHTDCWAAYGGLT SVVDMNYTHRTVNHNVESVEQNKNELQCQIANKTNGAMDVDGIHMEKKI PHYBLDRAFT_151901 MSNLNIGLWNANGLQPRAIQDTLQHCQSLHMLFITETWLLSPSR LPTSWSQIHLYGSPVAGTYRGSMGVSVLISPHCPYAVTQISMPSKYALAVKIGSLRIV CLYLPPTMPTYDVLYVLSSIPLTHDIILCGDFNARLGSVTGDYASNSHGLALCSWIEE RSLSVVNADLAPCIPTYILFHNNYEISSIIDLFITNMPLINSSLHIATDLSLGSDHRL LSLSFTYDLQHSTNMPLPLRKTWNLSRLNEPDVHALYAHTFNQNLTSLLSTLQDIVQN PPLTRPNIDAITDKFNSLIYDSLNSSIGHRPSCPNHWKSFWNVALQTAVDRCNQCYKK PHYBLDRAFT_174673 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSASEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVHMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCL PHYBLDRAFT_174674 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSASEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVHMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVC LYLPPNMPTHDVLHVLSSIPLTYDTILCGDFNARLGSVTGDYASNSRGLALCSWIEER SLSVVNADLAPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLL SLSFTYDLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQDIVQNP PLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRRNQCYKKW RLAIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRR RQPQHTFQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPFASDDSPFT SPIVEEFMQFMPNCKAPGPDHIRAEMLKPFAGNGHMFQSIATHRRSGTLATMATLNSV GACRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQDIKVLESIQDKCLCMIVGGHAT SSTIVLKHICNLPSMKFRADALTAKFCIRSRFLPAQCLLSLLHRHHTIYSSLVSLGKT HLLSNLPPTLKLRSPSAVKNHFESIREAGFATFLQSNTQVLIQACRPVLGVDPILFLP ASRVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLLDCPLVPMALFEQLPQPDQDQI HRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICNPDGDYSHETEHGALWI PHYBLDRAFT_151904 MDGSFCVKMLMDGYTLYVDSSKIKFWNQANKMLKRKSSAFLAQL AERLPSKQKMFKMVNILVEDITGQQTSKTYYLTSAIKEIPDQDLTVVPKFLSANQEDD YTEFSFENDDQSYTVRYSNLFLTSVDFIPAVYKLTLLSLQQRIVNLSQKLQIRPCLTS FPDVVSAEATGNSELEDAIDKEDVLHSKDNEDAEQAASMEDISEVSTSQFWSIDVTCL LLKVILQTDHHNLVKAAAFKAETDFENLSLSFKLTVDSNKCNTKFKLLKTSFKVNLDR VYAQTGHNAYLPHMCWFNKMREIMLNNLTYSIPCLVLTGTMSQGATITRTSMTGRITR EHVETFQPLEILEEEQVVAEEPATEEPIAKEPVTEEPATEEPIVKEPVTEEPAAEDLV ANSYSSLPPNVLLHVAPAHDRLPAGDCYDSMLELMGSAYAAMREDIRVSTQELTEVFL GTAEEREEKKRGVALELELRTIEMNSFVKENEEKSLEHARRARLDEKRAVEHKRKTRR DNKFLADHKRITDLLSKFVNRNIVDSSTQSNDNGEA PHYBLDRAFT_70323 MSSTTNTLSPIDLSEVDMTLISLIVKKSDDIFKEASKSDEFEDE EFDKELDKELINNQILLQKRNKSNNSAQQEKVEFISAINEFYLQQTLKAIKLINQNIF FLIYIGNELTKIHTVGEQSIIQTLLTKRLKSVPLRPIIGLCDQLLRTLIAKATLGVGQ GSYNEFTVCFINTMTKEIANKVIVWSSTIQKQREIANGFATHTSINIHGHDHLKDVVG AIDGKLIQIEKPRVDGN PHYBLDRAFT_151907 MLTISKVDLWAKTIDVKVEDLDCIDTLAKDVIFYSCLTTSVTGL DKKHYDLLYISHCLKKAVSGESNVGTFYKVIIAPIYLFTDDTSGSWSKQYNLYKSWSM FLTAMSYAERSSTKNIFFLSAVSKKKGVSDLSLLPMIAKNLMKLESVILMYSAKHKKY VLVVALLLFIEADTPCHSELCGILGVVVVEVEEVFKRKN PHYBLDRAFT_151908 MLQRNVPLQIKEYYLKKHTPRTQKQYVMANSTDKRDTIMPDVLE TNKFVTARALSFINHSTGCLLELKAFDPEKVTLIEIFHAILLGIAKYLSSELVKKILK MNPEKLKRLANSLKEHVISTGLSHKFIRLLKHSEAFLGLDFKMLLQIFAVALLRDFSD DQIIGTILPCFVDSAVNTLTAKLHAFDEANVDSPKHTSLCNKPKAHKMTHLTEDIRRF GPALNFEMEKDNQFNKHICEHLIYTN PHYBLDRAFT_151909 MEHGHMPASTNSLIISLQDKHSPLPQQHWFGTIDYPQLVANAYN RTVAIYWNTPRETGNCLFTSTTFSSQNVNQPETSIGRR PHYBLDRAFT_174678 MHDKENWVNTYVFKHSHFGNRTSNHAESSYASLKHALGTSSGKL KTVTMKVVKWYEALIDDRKCRLTMECLGESNTVVFDKINSSRLNDIRHKVCRFAMDHI KLELAKSIIPEKLTKECECLINYNYLLPCYHQLAQYKKIPISCIPRQWRINYLEGEDH SILHNALSVPKNTTKITTITSQLAYKLERITQILINAQNKQQQIYFEEYIDKIIELDS KQKLENLNDPTVVEAIKGRPKNTKRKMIALEHCLEAEKEETIKKKKN PHYBLDRAFT_174679 MMIRISTIDDIVPLLKMIYHKNCDDIGSIIYIFGTFDPDEITLF FQCTLLSLIPKSIDTSPKHLGKLYQLSNIAKPRFLQTSWNQKLSDSVKIPESLSIEAR ILHGVMMKSLQEIIYKEDINEFHHKIVQFIEDFDNQESFLAFMKRNRNKRVDKLVFVL VHDVEYYLIQEYEHGMSNNGPMSSFRGSLQTAWRDSLKGQPESILRHLVMCLGKCHIG GTAWTAWAA PHYBLDRAFT_174680 MRHKVVFQDDNGHLLLEYYFLQPTFCHAQYQICVSLFGYTLSDI SRMYLYSKTTGIVLIILKNMFISILYQVFFVFIPAIKQARNISDYNSYSIKTVAKLGF LSRKDFSIK PHYBLDRAFT_70327 MTKCIPTAPRRPNLCMNAVLNSTIAGVVTPIDTPTPEVAVDTAP EVQVAVTPIDHVLTLLAANNVSMQSLQENAKGVTDAISHLKNGLDLFNKTNEFLKNSV LQLMTENAKIKKVMTSQNSVMFSAVPADSSSFMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKLLDHRIITYQTYTEAIHEGMNQYDCGNILSIDVMSDGESDGDNKVRAYR PSWRTDELQTFISTIDKLTVIHLKKNSESLKKRIPYEKEVSIPKNLAVTLPDWCFSK PHYBLDRAFT_151913 MQLDADKLVLPKDYMPLGTKIGCGFPFMKADEWKSWCLVYSPVL LHGHLPEAHLAHQSLEAFCRECEKLYKALFLLPNMHLHLHLRETVLSFGPVYGYWLFS FKRCNDILKNYATNKKDGFKRTYMKKYLEEAYQGDLICQTLPIIRPEHSAIILELTAS TANSIATSTSTATSIQFDINTFLDSSEINFDIVKGNEPLPPSALPLALKGEISMDESE YEYLLEYYCKTYNDQTLVHYCQAGHSDNFVNNRIQMFESIDLLRQIYKSKMKNQCRSF MQALFETSDNRSTKPYTGQIKYLFVNTAVNSFAGHASQHVFAYVQWYKEVLLQPRAGE GVEVNEVGFEDDSMNSILPVHRICYPVAVGEHLGLEGKVQMCVVSLPQKIYI PHYBLDRAFT_70329 MCRNLIPQCYHSVISSLKIFFRCPDFENDIDSWNRGPKVNEMMF DVYNGKIWQNMLNVREIPFIYSIKIKTHQCPNGTTIRAALLMIACDIPAARKVCGFTS HTSTNACHKCKHQFSRLAETSSVDYSGFDFSKWLLCTKNDNCKDAEVWKNATAHAERH RPLEK PHYBLDRAFT_70330 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPQEIAPSFSS TTIQDQRYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHMAFA DFATAYANNQTRMASLGPSLMPSYVPQTSLSDAEVSVIILEIFAEKLWDWKFESDDPA LVAENESKKKWNLNEKINHCDNVAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHS HRTFHESPEQKAKKNSKGRANSHTLQMSIRCKSTYMDNWVAIDAAMGYKTGNPVEKAY LKLFQKDAMSDGESDIEIVDNLPRRCLHVARPTWRSEEFNRLLTMVDDIDHTHHVLNA GVGTKPRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_174684 MAPIRKPTVRKECRCSISHEDTCDTISSVVSEPVNQEEDSFEFE QEDVEMNSELRNLNDTNDILDIRTRNQPFSETDCVFGPEDNVQYTSDTYEEEYEDESD VEMDNDEDSSLESISELNLIHQFIVISVALFVSLYVVDEGAVSLIAIINKILQFLFDP FRLPVSVAGLKRLAGFEALTSGVKKYVACSECHAIYDNEAAPLCCTSPNFIAHGVRWS ELHRLQYFDIVHCTIIDPMHNLFLGTAKRMLERWVADGLIDDKKLVAMQKAVEKVVLP PDYTSLGTKIAKGFPYMKADEWKSWCLVYSPVVLRDVLPLPEFKNWIEFVNACRYFIK PSVSEEDIEKGHKCLEEFCKGCETLYNLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFS FERNGFELTFMRQFIEESWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTYLSH YFSISEYLEASQNLSMIIRGNEPLTLSALPLKTRPLSFMPKHEYDCLVEYYQAAYKNP QISGCKDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHA YVGEIQYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRELEGVELLQDEF YKQDFQSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKTYY PHYBLDRAFT_174685 MILLVVLSFLTYQLLLLAAFYCICLLFYSIIIVFYLPSDPLQST TNLTHHTSVLTQSILHIDLTQFTRQYDIIILTIFKILSTVLTKSNDNEEVETPTLTRD LSLSEVDVVFGIEDNEYTGRNNFNNEEYETDGEMSDNEGAYSILKNMSILEFFFECVS KLDFVYRFIIVAITLFVSLYVIDEGVVILILIVNKILELFNDSFHLPLSVSGLKQLAG FGGLTKGIKKYTECGKCHTIYDNNESVSLCCTSPKFDGSSLCFTTLFKAGSKSRIPKK TYIHTAKTDQFRICKVYLSSDSQNR PHYBLDRAFT_174686 MPIRMSITSNSFLRIMTKLKQMFSKFMNSDAEQQEFTKRELRDF EVEEVKFHLKSNDNEEVETPTLTRDLSLSEVDVVFGIEDNEYTGRNNFNNEEYETDGE MSDNEGAYSILKNMSILEFFFECVSKLDFVYRFIIVAITLFVSLYVIDEGVVILILIV NKILELFNDSFHLPLSVSGLKQLAGFGGLTKGIKKYTECGKCHTIYDNNESVSLCCTS PKFDGSSLCFTTLFKAGSKSRIPKKTYIHTAKTDQFRICKVYLSSDSQNR PHYBLDRAFT_174687 MAPIRKPTVRKECRCSISHEDTCDTISSVVSEPVNQEEDSFEFE QEDVEMNSELRNLNDTNDILDIRTRNQPFSETDCVFGPEDNVQYTSDTYEEEYEDESD VEMDNDEDSSLESISELNLIHQFIVISVALFVSLYVVDEGAVSLIAIINKILQFLFDP FRLPVSVAGLKRLAGFEALTSGVKKYVACSECHAIYDNEAAPLCCTSPNFGTAKRMME RWVADGIIDDKKLVAMQKAVEKIVLLPDYISLGTKIAKGFPYMKADENWMLFIKACRI LVMPNICESDIATAHKYLEDFCKNQLYQHHTGHINIDERQRTTLTNYIPINKETNVIN VNNIHYQVAYNDRRISNCKNDMTSSAFVNDQIEVIKSIEILGQVYKGCNGNGRGSYIQ ALFQENRTNACYDYISEIQYIFVHSFTPTKSSTTLYNHNHQHIFAFVK PHYBLDRAFT_151920 MTQLASMYCKVGQLMDGQKRNIGAAAWQESMPASLPALFSLSPS PVPAMSEQEMSSVILILAHHTHTYLTNWRLIDNEMGLEVELLSEMSFLHLLQKDVMLD GESDVKDMNIATVHVLRVKHPS PHYBLDRAFT_70335 MVAMCSILGRTETFHINNLIESYHNQQKTFYLECARSLQVDRLI YLLAKVLTLDYRQENVKTLYRFQSVCLTRQEEQKRQNMYMLDSDTTMDMVEKLSDTAF TCRSFTVDLVVYNIELQNDFLQSCTCSDTSKLCKHIFLVNYMLDIFYSLCHSLFFSSS AVHEKPLKSQN PHYBLDRAFT_151921 MSNSNYTQLSINDINDDHMIQIAPDYEMANPKVTETFADEGKFT VWFENLAKRHSNWNVTNTHFSQATSTASLRDVVLTVYFVCDHQEFLKKAKNGCKAKIT KKTLRDESVQVDYLWQHATHQPENKAIKTLLRINPQRLDELKAGIDIASFPMSLRINY HDTSVEQWIEFLEKEKNYLVHIVFHEGNGPYLVSWISPWQKKILELADEWCIDSTHKT CKSLVDSSKHSYLYTIVVRSNVTNKGVPVCFFVTNAEFITTLLQWLNWVKSNCSLCVK CMMIDCSPVEIGALEEDFGQSVQVLLCHWHIKRAWEMHIKKDVKITGATHKSKCEQNA VWVALNLLIHARSKEHAKCALWSMAWRVDARFYTDNLIESYYHILKAYYLGRSRNFWT DWLVYMLSQVVEHDYRQEGLKIMYRFKQLVLTYTEKSKKKKAYDIAHEDALGMIYKCC SFTDNSVWYKLLVKEEVLSVCSCPDPNHLFQIVDQSEKSSLAQNRLRALEFVERHSSL INKIAQAVGKINAVARDTASGMDITSQILEDCLSSLKESGVSF PHYBLDRAFT_151922 MSHLPGVLFFWKDPERPIDMILLQSEQSKGFVVIDTSVFFPSDV FSLQWLSGLVQTILPKIFPTIKQDHQHDEYSSAKHAINQEPLSLGAIIDMVISTLDKY YLGLQIN PHYBLDRAFT_151923 MTNSLAILHRDMTTVMKDVADIKAKTSNTPVSAVLQSQPMALVH AVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLREHLWDPKFKSKHLAEIQANNGKPR WNTAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVCDFVYMNFTSRKRAANKSQAK KKSDNACNRRSSREKEHLKRRKTVYQSNKTAIDDEMKRDCSGLIIEEAMSVGESDDGT SPHVSYSGLRLRRPGWRSDEYNHFITLVDNKVVADLGLNSHQLLSRAFGKTVEGPVPD AIASKFLQ PHYBLDRAFT_174694 MSSTSELYNKKCYCTKCSDNQQGYSFVSTQTLQRHNKRARYEDM ERSERNVSVQRNLMDIDFETTSNQQTGPMEAIGGQTNSPVWEGAPISDDEVAFSNELN GKSSDGDENDNDEESNGSEESEDNEENIVEIEVEEFDTEDPFATPNMPENPVHRFIAT FVVMFASRYVVNKGAVVLIEFINKLLSIYEQDFQLPMSLSGLQSMTGFSAMTKGIKRF VVCQDCHKVYEESVPAPLNCDFVKLGAHTACNCKLMVQSLSGGLVAKKSYLYRLGYFD LVRGTIIDPMHNLFLGTPKRMIETWTKIKKMKNNDLLAMQTVAAMMILPSNYTKLKTK IGKGFSHMKADEWKSWVFVYSPVLLKPVLLSNMFNGWMHHVKACRILVKLSISFIEID QAHRYLQEFCQSCEDTYEPKVLTCNMHLHLHLHDTIYDFGPVYSYWLFGFERYNSLLK NNKTNRKDRFETTYMTKFTADAYKADYSLKESTMSDIDYPQLLDYYKIAYVMPNLISY HNARLSQYFVNNQITKLKSIDLLGQTYIGNNSSGKRGSLVQAFFHSSNGRTSSLYTGQ IQYLFIHSFTLPPHPNHQASTLHQDQHVFAYIRWYNSTNDNEHRDKGIAICLPEFSAD NYHSILPVHRIHLEVATAVDVTDMNEERMLVIPMPKK PHYBLDRAFT_151925 MYTKKHAEMKNCSKYPHGIQGHFSNVACHIVRAFKNKKFLTTTE AARQLCSEGFTLQPPAIRTLLKASGFTCEQEQPLKVKSNPAIHKKHVAFARNYINYTR WKWRLKKTVTKKTKNGFPPVRAGGHIMQGLLETLEEQNLSKSEIEFLHNNTKSHTAAN TKK PHYBLDRAFT_174695 MTKKTINLVELNDPLGNINKATGNKLRSEDTVIEDLNEEDAEYI RQKETAANQLDQLMSKTEIKIFQQVALLHKQFANNCKAETVNNTQKTISQMSQLIERL SHYCTRKTFQGKHKNHEGVHISFRDIPKFQVQGHRVRHPKEPIFESISHYLSAIQKVL SAGRTPINQAWKTWLLVAFDHDHNTWYKQHLQGQDLNWEQVNLTPELVANVKLAWFAC HNDMSQSVEQFLSLANIMAIAIKQKHTDPMEHITSTLESAFFGKNIDKNNLDKKNPFS LQTPIILQNEHKVIRLLDTRAERFCLDIHFYNNSIKLPIVKVEGYLVFAGKNQTSKRL GRTVLLEVAYANGHNFAHSFELIETNESDNDLILGQDIMPKLGITLAGVAIDWNTKSL DENK PHYBLDRAFT_174696 MAPKRGRPPKKVSNNEAANGAPKRRGRPPKQPKWVGCPPKNTQP ITPVMQKRKAPSRPANTMQRNKRDRGFLDDGDRVLHRLIKIVKKRFSKSFRSYYYIEN VFINVHILSETRQNIQALAFELRQKSIKSYVSFLFYIFYDLNQIAYKCTDFVLQKIKA NYMVFQLVGCQ PHYBLDRAFT_174697 MAKVNDLINNANNLKDHPEVAFPLSSEDKASGRPKHVKRKTALP KDFVRHKHRHLLVQNKLSEIRKILKEGIIDVIKEHLEEKPLKKTIQNIKKETQFAEKQ ETLEEDLTTSQMTTGTIFPVQKKQKKNVHDFALPDLIDQTAISLTFNPKSDGWCGFRV FARLKEGGEDQFPLVKKKMLATITTHSELYEQNFGMDIAEVTKVIAFGSDIDPAIGKN IPYCPSSMWFSAPDCAQIIADTYNEPVCVYSDDRSVLPITFLPLHDRKLFKRKPLPMV LHHVHGCHWTTIKVKPHVHRFWPEVNALYFDAIRRGSITDCFSTSWNHWGQFPKNKSY FLPSTTITNSLTNSPVNSSDIIDLTHI PHYBLDRAFT_151930 MIALLLNTNTNFGTPIDKIYSVKTTLSFPENGYPNKQSVLEAVN EYALSNNFTIKIKDGKFLTLHLACSKAGAYRDKRNISEDKRKKAPNSSLTGCPFLLIF SFKNKSQRYLPLPVRGDNEHCHNHPITPNNLASSHQGRMSLLTSEDAIIAKTMLENHA KTRDIQKATRDKVTGMRKLRISDINNLKYNSSRGG PHYBLDRAFT_174698 MEQGVLIKDGDGGFFKTVSIHNTFLADNNKSKHCYAPQQLSNYG EKYGSSTINTLKVYTAKQEEWKNWFIEKKIQDGYTVSDEKLSFFLMEYVSNRGNKCRK NDDDTSVPLGLESVKGFEAMTAAMMSSRAANAPDIRKLQDKMSEFLAMGSNLFSSNST TATTAATSSALLSTITTAAHIQLEKIHISTSILYRIF PHYBLDRAFT_151932 MSIFVTVGSTGFDDLVEAATSASFLSFLEMRGYRNLVIQYGSSE TIYSRTTFPTISNITVTGYSYKSSIEEDMKQADIIVSHAGSGTLLQALRLDNKKVVVV VNTSLMDNHQREIADVMESKNYVVATNPSNLSDAVETIQKRSIDKFPHANINHFGDLL DEFMGFL PHYBLDRAFT_118832 MLSALRVQSNVLRRVTPSTTQVRFISNSDSSTSHGDQRLEVIRR VLFESPARASIIELEGEALEKHETIERAWKLHKMREREQRQRTLERQFRAMSLAMTEL EKTSDRLFKGALVKSRHTTYPKQAKIPSETPSPHGWDYAYKAPEPIV PHYBLDRAFT_151934 MYLHPSPCSNLYTISSRFSLHSSMFSHISPRCFLFDLRSPFPLV EPKSLQISSISLRRHAHGPLLLCSNRRSPTLLSTNLPTNKNRTIRKFKPLDCDPLLPW ILPNSRPRTHSTLGSPLHFVLKAVDHLPHKFDDVLTNLSTCLLLPLSSMVNTPAPSHP LCRPSWQDLKVNNLYQIDHNLNVPIPIVPVRPLPRSITLPRILQRLLEKLFLAHPIVF RACIPTFILESRHLNMPPRDVSPIDFTRFLSALVFGKAWARLSTRSYRMTCSHQHVHA QSFNPYLILEKVSTFTYVK PHYBLDRAFT_70351 MPNVCESDIASAHKYREKFCQNCEALHNLDLISPNMHLHFHLQD TICDFGPVYGYWLFSFERYNSILKNIKSNRKTGFELTYARQFVEDVHKQDIISRIMES SDTRAYMDIFQKITKYQQKIASTITSYSHFFFSLANFITATLEVSILIKGNEPLPSTA FPLAKKSLSLMPTPGYNCLIEYYQGKFIRDVMAMDVVRTSKLCFKKIGPMRVMAMSVK FNTSLCTHSPHQQPLSHQLATTTNIPLLSSDGLKQHQIRADNFEALKSTTTTSTN PHYBLDRAFT_70352 MPKKACRGRPTKRIMLVENSKAKSSRSANLSTSVGSNTIVTSLN TSSSNVPEFDFALKTFANEITENAASNKNGSKKVIEVPLGIAAVNQYKKVLMFLHEFR SERREAEWPSPKKTKEVIELIKKYEHDLVYDQNQDLRNLNFANCFCTIIPKKQHKAIQ QALALVSSLDKGKTLKEGEIKFACAMRHENIFRSPFGAFVFFMFRLLQVLRGRISPEK RLTGASQWKTAKKALADKEIYTTRVTPGGRHDGSMEAEGLRIPFHSIKPITWVNSHLL LREIKDYFGVGNTEWIALCEKEMDEANENIINLEIDKEADSVEFVEEDGRNRSKEKKR KGKQKTIQSSINTEKKGFLQLLIRCRRIVLQDAAVYLYLNKENKHVSTKKLPFTSNSF KMFQEDIVAAITSPSVGRLEEYESLVPKIADTNKEVASRVTEVNHWIIQLQQQQDSRF EKNENSFNNFIEQSNQQNWLLMNTTQQLAKDIKILMIQQQCLNSQMQLLMATNNIPQS INTNTRKRSEADTVFGGKNHFPQILSNYIGSYFMNKLQYSHLIEKMAPVNHRTSRPNA GSSLTQVAAGRVEQRPVTPAVTQEQHMAEMTNRLDGMGALLGSLGSRFVAAIAMSLAS NNRQVLPVVTTSSAPSYIGMSEAETKTAILIHNRRQDIHTKYWKVIDKEMGLTIGENS EMAFFGAIQQTVMSDDEFYMEDLVHGVSAHILKFNKFMGLIDKSMRTDNAGNGAATPK MPKLQRGEKNVAVSGRLILSLPSWEIKQFFYTYTGAFLHLIDT PHYBLDRAFT_183712 MGSNTSKPLDAQNNDPASSHAQSPQRRRTKLGSIRQPKNKISKG NLGRREPTILSPDTLPLPASCTRPSVQSAKSTPLTGATIQRSAEQNTVASNTTTTSTH PINSKLTSSTTPPSGPDGFANHNNHNDHTVGLDSNNLNSHNLNNPNHPSSLPNARISL VSQSSYVGMTQSREPRQRVPRGRNPQNRYSQRVYSNISCATSDSGQSSWMLSGGLFSQ IDSTTSSIITTITDYSNISRRSMFAQDWPKEDTSKMVISASETTPASPIKPLSNLQES ALISVQPEQTNNNPKSSPSLSLSSSSLSLSLLISSQAQTLTLSLLDQLNNSPHQEFSI LKAAFAKSQQTGYAHHQEEAFRAAFAWSQRTNSLTGRVWVARCQIEGWGTSADPSHGF STLKAIAETDDYWEAFYPLAMCYLNGVSAVRNHQELSSSSSSPPPPPPPITTAAVVAK SSSSSSSPSSSPSLALSANVITHNEPYSVQPIDNQTAFRWLKATAEIDYASKGTDPEI RSIVAKAQYRVAVMLFKGAEKMAQDPVGALQWFIKSADNGDKYAQYITGLHYERGMFT EKDTLKARSYLLQSANQDFGDAQAALGIACIEEGQDKEGMQALLKLGLMYETGQGVEK NDAIAVSYYKSAANRDDARAQYVLGLAYYMGNLGLQKNFGRAEKYFTLSAKAGYAPSQ RILGLMCAQDLLGFSSDLSESNNTNDNNNNSAGGGGGGGGAAAVSRRKDRERDKDKDI KAALTWFRRAAYQGDIRALGLVGFCYENGHGVAANFETALEYYRKAARITGPFQDSAQ LALAHLLHRMERHRDALNWFNRAAASWSAEQPPIGSAESAKSDPLQLLMEHRVASRTA ALMVARYQLHGWTGASKRPKAAFDILFHLARQSELDSHAHYWLAACYEEGVQGRCEKD LSKAFEHYLVSAKAGDVDGEFQVALMLSNGQGVEQDRAAAFYWYEKAAKKEHKTALYS LGLYYAKGMAGVTKDLFKARSCFKRSARLGVVSAMTTLATLYKMTASRIDPSQDPDET ETQSQSQSQFLEEQQRQAVYWFEKAAQYGDAVAQRELAILYDAGIGVNQSYAKALSYL QKSASQRDTQATLLLGNYYQNGHAVEKDLSQAIELYLEAADLGSPVAPFAAAQVYHAL KQYEEAYAQYKIAANDPRLANARVSKASKLMVARYALSYVPLLSSADSTEVVSQDVEK MSKKDAFQMLYNLATEDQFESSYFWLADCFYMGNGVAINYPQALMWYNRSVEATRDAE AMAKIGSIYEQGLGGVEKNERLAIDYYKQSADQGSAEGQYKMGLANWRGMCGVPINLG DAVLWFTWSAKQKYPDSHWALGQMALENGDQDVATEWWHKAIALDHIPSMRSLASLLL QTSNQTQPQTQAQAQTQTQSQTGVVTPNSHLEHAMELLANAFRHGDAESLVDLGKLHQ RGIVTQADSNSSNNSGHPTVLLLQPGFLTPKQQEEQELATRCFEEAAAMGHVESMFLA AESWHARQQYAAALGFYEKAAHKGHISSRAMVAHYRLKGLGGIEADPSSAYKDLLYCA EKESSSAVFNLIGQCNEHGLGTPKNYQEALEWYLRSSRKTRDPEAMFRVGQLVARRQA AIQRDEEDHLISSSLPSTGLNPDLQALEWYQLSIDTSDHGQSHLRAGLYFSQGIKDKK TGKILLAPDANLAIEHFRKAASQCIPEAMFELGQFFLLTTGARPSPRETTVMANEGVK WLERAAQLGLRGAQRELGKLYHSGHTVMTYPQVQENENEDEELEAIDVVNREPNLPVV VVAQDFAKAFDYFCRAAQQKDKTASLFLGIYHENGIYVQTNTELAKEWYRIAVVLGKQ QEQKSRGSREDGGEDGWWLAELALAQLLHQEPVNRHEAYPLFEAAYCHAPLHQKRTAL IMVARYRLHGWGNVAVNLEEGASMLVRLANEGEVKVFLEVAQCYEFGIGVEQDFQKAF EWYQRLVVGLDNMKNDDDEGDSDQVSACLDEEDVEDQAEASFRLAEFYKSGLVVSTDL QKANHYYRVAANKGSLKAKESLKQKL PHYBLDRAFT_79780 MSILGKLGLGKKKSTKKQQQQQQQQQRRSTSNPHSHSPTSSLAS ISTSSSSSFLPTPQETSPVEIRAIFRTLELAWYYRTPALPGQSDDSNHSNWARFDQSN EDRIEAAYGTNPSCVLSSTAVGPCTIFFSEPLPKPPKRRPSTLIHSFSERQKAHFSSM PSLNIQALSQKKEPTDIMAAAAAVGSVLVLDKDVRRSVAPVWWFEHDSLEDGSKGMYR FDHRNQARLEALSDDRTRLVLTDTVIPRPFTVVLENSSGKSRDSPEEVRGYLYQEPAA FYTQDMFLPGVDYPFHEQKMMIHKPNSYDDYNSNSINNPNSFIRSSKSTKSSSGSGSG NGNSNDLDIEIISNNNDMDHHNVLVTAPTATPIVAEGGIWGEGLVRRFTL PHYBLDRAFT_79781 MMDTTAKVFLLKRLANASHNKIYPPVIVKTEVPLERILLVTRLW NNVREQQEEINLAAQTHESSIASLTSSPSTNALDDWFLLATSTVNDTNPNTISNTLDH HIEQDDQISSSLDSFIFQTTSDIDIDLNDDGIIIGHQKHISPPTTAADIGLHIDPTSQ SQSQPSILSYSHHNKLLNSNQDDDPMVTPLPSSVLTPCDWSWLDDAKSFRSLNHEVSD NNNNNNNNNNNTLLTTSTPEPEPEPESKSEPTLNTTNPSSYSYSGHLEPQIDSPILSD SFDLPESITPLEAGLLEDDLSSLVRLITEEQNRMAAWTQAQQVQQEEYDDQSILPKKR PETEYESEYESEKDKDDGQVLAILVQSDILQPDSKKPRLMMVDVKSEQTTATSTVTAT ATTTATTDCQSILTMCSGPTNQFHRLHFPLIDTSSQSAGPTSSPSSPVLLSA PHYBLDRAFT_70356 MQSQFNLKSKILCLFGIILFIQASDASQSRILRFPIEKQPSSTY SSSSSSSSSVHISGSTKSLLKRDSAFLFNDGSEYIIKVSIGTPPQNFTVALDTGSSDL WIPSVDCAKEECNLSRFNPEKSSSFVDTNYPFQINYGLGSAQGYYAKDTVQIGDVVVE GQQFGLASTTKDIITNGGTGSKHPPASLNVYPNGILGLGYPGLTSASGTGLGSYDPFV FNLYKQDLIDEPVFSIFMSHLERSGWTGELLLGGVDHTRHKGELVYVPVSYMETRKTR PEDLLKYWMVTGQSFGVLDYQMNRGSEESGEVILDYDLGKPRNVIIDTGTTMTYVDAS LAEKLVDAAVGSGNWSYDADIEMYRVECGVYRLDRAVEIRLSQRAMKLTSTPVVVSVP VRELVIPLGGLKRERARECVFGIAPWIPAKDKQTNNLSGSEMILVGDSILRATYLVFD MGKNQIGFAQAVGTSGSVTGPVLDATLDKEPFGDQSYISSGTSLNNQKNSRTSEFIIY IFVAYFSLFSLFSL PHYBLDRAFT_12553 NDQSSQYLIEVGVGTPPQKFTVTFDTGSADLWIPSSKCPARSCP FTRFNEAKSSTFKPLNEKFGIQYGIGSVNGTYATDTVTVAGAAVKNQQFGLATITKEI LALPSGANSSSTPSVSGNGILGMGYPSLTAATTENETPYNPFVFNLVQQNIIQKPIFS VYLNSATTEGWAGEVMFGGVDSTKYEGDIHNTPGADSSYYYWMVYGQGIAVRNGIKDH DWKLKSTGGFILDTGTTLTYLPLNIATDIASSFAGEDGYKVDRQSGVILIECSAASSN GTVELLMSRSSSQTSQNPVTVETSQTCLFGIAPTMTTGAGIGSNMFLIGDSFLRSAYL VFDLGQNQIGLA PHYBLDRAFT_70358 MYFISTLFAATLYILPLIQALPSSYDQHFAKHPIRVSISRTENG HVAAVQRSIAGEQVLNKRDNNNTASLYNASGREYIIQVQIGTPPQQFDLTLDTGSSEL WVPSSECSVDMCPYSRFNQTQSSSLNITSKTFSAQYSVGQANGTYVYETVRIGNATID NQLVGLVNTTKNILGIVKSGEQSNGILGLGYPGLNTVRGVDGDIPFAFSLVKAKIISN PIFSVYLNSLLNYGNSGEITFGGTDPTKYNGTLEYVPVVSYRLETDSITNINTNISST STILVPNVGSTHTTADSLYLYWSVPGQGVATSNGGYVNNMSDPRPFTFDTGTTLTYVP SNVSDSIMHSLSGDVLKVVFDTYNGVYRVGCDFGQKATSEFVEFHLSTSVKANITRPV IVKVSVVDLVIPLDGTTLANSKLCMFGIAPSVQDSIVPAEETWVIGQSVLRSVYTVYD MSKNRIGIAPAINTNSTNGGADGSIGTGTDTDTTTTTTQPNKNGSSFVPISDAQSLLS YSYFSYRTKSDIFVNQMAILCWLCAFSAIINGLLKHLFLSIVQIIEPWLMS PHYBLDRAFT_70359 MKTIIVLFNILALSALNCCAQTSLAAPSRVNHTIQSNSTRNNSS SQTFLTVPTAQTSSTAIGTPINSLPISSNRPVASIDTISAPPIPNENNTNVSQSVNNF STRTSIVLGITLGSITLVFLLLGGAFLFLLLKRRRRAKEYAIKRARELDYDGSLPWSQ ASMSTNSRSDLTRSSNNHDPLDHLDAASQNEKYTKISLIDPSHPSFVYAIRQAEAISS DPTSPI PHYBLDRAFT_70360 MNPSESVPESNQLLTVDTATVWNNRASSSFSNDPQSFNKPSSFL HAQYPPLTRDRLKKKNMLDTLLHKNNNLEAPQVSRRRSISNGNISRRLYNDDNTPIII TNRITDQESSLDMSDSDTSILPLNSATAIEEQEQEQEQEREREQKQLKLQQQQKKRQR QKERGKGKMKIKDKDKAKAKGKGNRSIKFTDSHLTQDDHTEIFGSFCREKPYTEVSNQ QCNTCWLDGTKSSHNHTRQSIKGDHQNKNLQRSVDIADRPSRGFSEILEISFSHLIKN RVSMGQFRPSPTSSSIWMKLIDYITMAASRVSDARSCEPISTRPATEDMLTLLEYSKK IFVQNITRYNLYKSNNNTNKDSDSSDSRLSNPEIHNLSNCEDENISFKPPNKTYSQTN TLSIYSQNKCKGKDKDKDKANVSLLTPVHPLEIGNSKMALRTLPNNQNLDCENHLPDS QPLVYSEPLSTKSYKSGRPDPRQDEVDPNICIHFEGWSCGLFGPKSKGRTWLWKIIGS RWFDPFIFVLLFMQWTILSITSINTPEEKNILSIPSQQLLLLINIIFTFEALAKIIVY GFVLTHHSTSWFFHTELQILYSWFLRTDKLKHFSDSTNTVSDSHTSLPAFSWHLTSPR DTITTTTTSNIRSKASVSPSPVKSCINEQVPPWIQVPRSPSSSSFPSPPPSSYSHLDK TPGDQRPFSVIEATDDVKYPYTHTSGKIDRGFKYVPLKYRDQLDTEIKEGLDHLKVAH SPYLRRIANFLDFVALTFYWIGVVCATTSTSPRRWSLIQGLSSLRILRFLVITKGTSV IMQSLQTSFDILCNVVGFFAFFMLLFSLLGLFLFTNSFSRRCAVIPDGRLSKDMTNIT YVNPRTSCNSYYDLDGNRRMNVYDINIGEFIDVITRDGYSCQSGQICLQDEKNRPGWT YISYSNIFYTMLNIFTVISTEGWTDLMYMSQDAISSSGAVLYYCCCIYFMTFVIVPMF IAVITTSFSHARCNMRQNIFSSQYQAPKTVSKSMLEKNGETNDFELHNISDYVEVSVN PSNILSYFKKWLACAIESRYFLWISNTLVVANVITMSLYTTHLEKSDMALFDFVGRIF TFVFTGEIILRIAGSSSFKADLIIVLITLINEIDNVQNSPFHSYVYIFAVCRSYRLVY IFPRVLKLLASVISDGQGIRNLTFFTFLVLFLLCPISMQLFGGDFVPIEEVDEPGMRF DNFYQAFLSLFQIMTGENWTDILYDSMHSQAYSSIVYAAVYMIIVYFVVHFIVENFEL DEYQIREIQIKKYIRHHRWQPEYYRIDSISRFLLPFFVQKKNPRLHIKNLPQSLVLPL NKDRFKLFCTKYYIQKSDLDDENLDDFEKLKNRRRSQVYLAKEVERKASIVSTLSSKI HAPFLGATYDEDDEDEKPLVKYGDEYEINVANENKDVILENLDTFKALFLFDSDNIIR KSCIRLSSFSLYQWTIMGFICASAVLSVWTDEFYRQNEPRTTAVVEIIQHIFLGIFWI DFLVQVIADSLIVLPEAYLRKGWNIIDLLMLLGQTVCSIFLRGEKRGKCLRILRTLRS IRIMYYVSGMRVIFLDLVHVFPKLFDAVTLNLLVFIPFAIYGSYIFGGRFLRCNDDSV SFMVECHGEYRSVDASTKHITLPRVWHNPYDYSFDNFGNSLLHLFECASGEGWVSSVF SAMSVPIEKGAQPRFDWNSPSIWYSLYYICFMFLASLCSIQLFIGVFLEIFKQRSGIS SLTIPQRQYRDLQRQLALMGPLRKVDPPLEGSLREFCYKLIAEKDVIFASEHMNQPLW LTNLQNVLYILFFVLYSFEALIKLIGLGYRKWASTKWNIFDAYVLFLSNFKLRISGSF FITMIAKRFTGNMPSLDIPYKLLLVAVAFRLAKRSESLDTLLQAIQKALPSIIYVTTA FFIVVVCFAVVFQEVFASTRYGPYGNPHINFRSLKTAMLTLFRMTTGENWDFLMRDFS VTWPNCVDEKDCGSPTAAFTLFVIFYIICTYIFVNIFTVIVISNFSFTFDKHNQFTLI TRSDLRSYKQVWAEFDPRATGYIRKEDVPGFLRELKGTLSIHMYKREYSIQSLLEASD QMDADLSHLASVPLSESRLESSFGANNILGEKFYNFYKVNQRLGTMDMKAVQERKKAY NLVYQEIMMVSTRNGISFHHMLEILALRLVDINRSLTYLCRFDKLVYQTRRRQRVLRM VANEKIKNLVSMFILRRRYLQKLQEKQVSQVIDVGIFDNWKDGPDSHSAHSSQISLVN DSASMDLSNHNNAPKIVIDEPGGCNTLKRIPSQLDTLLHQRTFRQPARLPTESSSPTA AHSVHTCVEKDDYLAPKPGLALVGSESNKGLLINTKGHRKTISLHCWPRLSVFPPENS DSHQMPLVPESTFEKYYTMDLTLESMTTEDAMNLTDQIKQTKWADMLTELDN PHYBLDRAFT_151946 MVWSCFWSGGFGLLKVIKNETDGASCHTGAYSQWWKRTHQIGGF DFWSAQSPDLNPIENIWSTLNKRIDKRRVSINSLNDLEVALQEEWATLDRESAGRLVE SMQRRCQAVIDAHRGPTDY PHYBLDRAFT_137112 MNIFRSKAVTTTPEKVPVENKGPVTHTPILSPPGEYTPVTPPPL DETQVKKLGDLRAYFIKNMLPESDSYYPNEKGFLTDATLKRYLRARKWDFEAAKNMLD NTVKWRRGYKPDLLDPDYIRPEAETGKMYFNGFDKCGRPVWIMRPRLENSKDAERQIK HVVYSLERGIRLMPDMVENIAIVVDFKDSSASHNPSVATCKKFLDILGNHYPERLGKF FINNAPWFFFATFKLISPFMDPITKQKIKFVYDREGKTDTKGTTNEWVHLKDYISSNQ LETDFGGEYHFSYEVEPYWNALLDKTGRPYKVIEY PHYBLDRAFT_57829 MLRNAARVARPALAVFSKATPAPSTAEVSSILEQRILGASAEAD LQETGRVLSIGDGIARVYGLKNVQAEEMVEFSSGLKGMALNLEADNVGIVVFGNDRLI KEGDTVKRTGAIVDVPVGPGILGRVVDALGNPIDGKGPLETVGRSRVQVKAPGILPRH SVNEPMQTGIKSVDSMVPIGRGQRELIIGDRQTGKTAVALDTILNQKNWNNGSDESKK LYCIYVAVGQKRSTVAQLVRTLEENDAMKYTIVVAATASEAAPLQYLAPFSGAAFGEW FRDNGRHSLIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNK AFGYGSMTALPIIETQGGDVSAYIPTNVISITDGQIFLEAELFFKGVRPAINVGLSVS RVGSAAQTKAMKQVAGSLKLFLAQYREVAAFAQFGSDLDASTQFLLNRGARLTELLKQ PQYTPLSIEVQVPIVFAGVNGFLDKLPVGKVVDWEKDFVSYVKTQHQAELDEIRTKGV ISKELDAKLRNICETHVKTFL PHYBLDRAFT_174715 MCQQRFYIKIGTIQIPDNGQLSVKESKSRYFLINETRLIALHAN QYKHLSACNQSQLCMSTINGSELSKPMVPSVIAIFGANQALAEALAYEYSRNNSSLIL VGYRQEYSAYHFGINQLDRIAQKCHALGSPSVMTQIVDITDKEAVSDFFKSKLSQWSV DLVILDVVDGSYLSADLLHRQKVLPTKIMYDALCVVNLMFSSVKEHGKGAQISDFVSY VGSLHCCDVYSTIMANYACDIRTIGKIHDFHFNAILAGDISGNTPLWTPLLSWFYPTL EAFSTKVKVGIECDNPIIAVPSSQYALLFIFSCLPLYVRQLLSKALYRTLIQVASMH PHYBLDRAFT_174717 MASLLQNPDRIFIKMFYDNRRRCISSMSTYDKTKLRTICFRSGM FGKDAISFRYHRTCVAGVIYQQLKYRQNCEDLLLVTVDEIRPSKVASHIYFSFVFDIL AKKLTLLMLLICSTLYSFPRDRE PHYBLDRAFT_156613 MSERKVLNKYFPPNFDPSQIPRQKKPKDLQHKVRLMAPFSMRCE SCGEYIYKGKKFNARKETVEGEAYLGIKIFRFYIRCPRCSAEITFKTDPKNTDYLAEN GASRNFEPWRGEGGEDDKGGVDEEEEEREDPMQALENRTLDSKREMEIMDALDEIRTR NARSERVDVDDVLDKFSEEDREQIQLRLTAEEQEDEEVTISYFKSGDGERVRKLSDTI EPDAITLVNQSEAAKALTSFKASSFSQQAKRKKETEKHSLGLVKKKAV PHYBLDRAFT_156614 MRNPLAGILNPNRGFLELYRCYPIAMMQHGSERENVNYGGKIIL PQSALEKLSQLNISYPMLFRLNNGQEKKHTHAGVLEFIAEEGRAYFPQWMMESLKVEP GNLIEVKNITLPLGSFVKIQPQSTDFLDISDHRAVLENALRNFSTLTAGDMIQINYNS KVYEIKVLEVKPNHEDHGGISIVETDLEVDFAPPVGYVEPSRPTPTPSMKSQMVIDVP ETPKKTETSAFSGSGQSLRGKGKEPIRTENPMDVDTDFGEESRFDPNDDGPLNLPFGQ LFFGYKVVPPKSTEEESDVKKTHVFSGSGQTLRTKKGGSSKPK PHYBLDRAFT_70372 MLITQAIYWFIAIQCTLLVTISSIADAQQIGSTTKATARVLINE PQSALEHNAEENPNYGKLDTLRNINRAIDFHASSFDANTSKNQPGVSAVVMGFIADYT GGKRSSPILNLSSNCNNVENCEQVAHDIKSCQRKGIKVFLSMGGSAGPYHKQKWDPEV LAWWIWNKFLGGDDRTLKRPFGDVLLDGIDFDPEGTNGEGYDKLVDTLRKLFKTAYPP RNYLITAAPQCPDLDMYSMNAMYPLLHPDPKYNAYPDLVFVQFYNNYCSANTFDTKGP AKFNFDEWENWSLKNTNGRTKIYLGLMGKENHMDTGYVNYEKLTEILDTIEPKETFGG VMMWDARYAFSNPVSYLNGIHYGQAVAEYLQFLSRTDDIWMPLLALDTASIYKDTMMP LMVPISHKIASLHEPLECRGQTFLLLRTVTSRILAESFGLSGGDIDDHLLSLGIEPTA PIVPGSRICLGVGIKADTLAVTYVYNATISSIKSRPPLAF PHYBLDRAFT_70373 MIEYLKEFVDRIDSKTLENVKSLAFSKEGAIGISTAIILSSAYN YHKWSSRTISNGCPRVPHTLPFVGLTRVYRKDSKAFCEEWHAKLGPVFRAHLFGKEVT VVSGHYVREVFLNKHFDFVKGVAKVFDTRLLTDSGSREDFTPEDLREIITKYLTPKLN FYTKRVIKRLKQGVESSLGDKDSIELDNLYPFVQHLVVNASASIFVGEELSQNKLLID SFKNMVRDVGKEIKQNPWFEPFPTINKFRMWLIGKTSPVIKNHKEQLLNAIKPEVEYR LSQARSNPDWKKPTDMLQDLLENSKPPAHLDLMDHLVHIITFLIFVALHTTSENTTVL LYRMLENPAIVDELVIEQQEVLEQEGLDANCGSEVFTRDILKKFVKLDSVCRETFRMK NQYISLPHEYDGKVPLTLSNGAVINPGEDVLIDVWTNHQYTEDANDVEDADQFKAFRF VDQDKQSTKVGEDYLFFGMGRRACPGRWFAIQEVQTILAMLVREYKFMPKGPIVFPTE ERSPIPTGKCIIQRK PHYBLDRAFT_156615 MAQQTANPLLSQSPSRPSPSKTSPPLQSTTSSRPLDAIYVNDVR YSILSQVGAGGTSKVFCVLSEDNKMYAIKQISFENADVQTYQMYLNEINLLQALSDSE LIVKMYDHEINATFNLIYLVMEFGEVDMACLMAKERKSSLNLHFIRFYWKKMLQAVNV LHNSRIIHSDLKPANFMIVKGELRLIDFGIANKIANDTTNVHRDHNMGTPNYISPEAL LDPGGGPGSGRPTVIGKPSDVWSLGCILYQMVYGHTPFQHLNMWQKIQCIPNPNYPIK YPDIALLHVPVSEELRNLLDGCLQRKPKDRLTIQEILAHPFFSQ PHYBLDRAFT_189179 MSPPNYNIDFNQIHVKKLFYLIKFHLMFFYMSKRIKSMTPSSLS PHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHISSPSNVGANSPENLPLGDKVQ LIRLPVASPSAVKEFSQVSSEEPAHLTTG PHYBLDRAFT_70376 MKDLKKCFNVFKKHLISVILQIAFISSLSIGTFDSTKQVSFTYL SCCELEDSKHHYPIQSPNCEDITTKNQLGGVPQFFEYIQGLVFKAHTVTIHTYTLSRY IFRPELARKPSFDING PHYBLDRAFT_151960 MLSTFPLNLQVMASLKFEHRLRNKDLAAVLNFRYILNQLRHICS MPEVFARVIQVGNTKM PHYBLDRAFT_24833 MNSAPVTAPVPPILYPLLAYISLSAGLLATGMFVVQEKNTLLAK QVQTSLIAALFLGFGTIFTSISVGVYV PHYBLDRAFT_70379 MASNDKVSLTKQSDGIIITQSWYRNPTFVWMLKSTAINFILPFF NGVMLGLGEILANELVFKFGWFGASRLSPVSLGIRTGVSPTATAEYKKALQTEVKRLD KQNER PHYBLDRAFT_118840 MPKHRLPDIEADYDSDSSTEETENTIGNVPLEWYKDLPHIGYDI DGKKILKPATADELEKFLATMEDPDSWKTVRSDREGRDIVLNDEEMDIIQRLQGGNIP DADYNPYEPTVEWFTSKTEVMPLSARPEPKRRFVPSKWEAKKVMKIVRAIREGRIVPR KPKDEKPRFYNLWGDDDKDREEHVMHVAAPKMKLPEHDESYNPPAEYLPDEEEIKQWN EMEDEERPKNYLPKKYASLRQVPAYDRFIQERFQRCLDLYLAPRVRKNRLNIDPESLV PKLPSPKDLQPFPTHQSISYEGHTARIRCLSVHPAGLYAVSGADDKTVRLWEVSTGRC LFLWNFDAVIHSIAWNTNADMWLFAVSIGEGEVLLIAPPKLCSPEQMMTVDVFVKGGF ANVNDEEKSKAVSWTRPTDDEEEKYGYKVRLVHTQAVKQVTWHRKGDYFATVAPDAKN FAVLIHQTTKHSTQTPFKRLKGLIQKVAFHPIKPIFFVATQIYVRVYDLMRQELVKTL QPSVKWISSIDIHPAGDNVIIGSYDKKVCWFDMDLSSRPYKSLRFHAKAVRQVMYHKR YPLFASASDDGTIQIFYGMVYNDLLQNPLIVPVKILRGHTEKDGLGVLNIEFHPTQPW IFSSGADGSLRLWT PHYBLDRAFT_151966 MKPPIHPGNPVWKTRSEWLKMKESTAVDIIITKEQSRDDLLKKF RLKDEITNQGWGDEPVEAESGWGEPKASSGWKETWEYALVYVCMKR PHYBLDRAFT_118852 MPTIDNTKKATFSWTVPPRVLLVDDDSVYRDLSGKLLQVIGCTI DLAKDGVEAIGKMGAEKYDLILMDIMMPNLDGISATRNIRQYDALTPIISMTSNFTDN DIMQYIGS PHYBLDRAFT_104010 RMLENKAFRNTFCWGPNGKTFIVKDTNEFSKTILPKHFKHCNFA SFVRQLNKYDFHKVRNAEDAPKSYGDQASKAWEFVHPKFIRDRKDLLEEIRRK PHYBLDRAFT_41473 MAHQQIERNQEATVYVGNLDERCSDSLVWELMLQAGPVVNVHLP KDRVTQSHQGYGFCEFLTEEDADYAIKIMNQIRLYGKPIRVNKATSDKKNLDVGATLF IGNLDPDIDEKLLYDTFSAFGIIVQTPKIARDPETGNFKGFGFVSFDNFESADAAIDA MDNQYLMNKQVSVSYAFKKDGRGERHGSAAERLLAAEGRKNVQLPNRLFANGTAGQPM MAYPQTPGFRPPPPPPMPAYGRPPAMGYPGQPQFGW PHYBLDRAFT_41478 MSTSRAPLEPNVSKVSILGSESIILGFHLTEYIVRDVLANITAS NYVLITDTNLAPLYLDRYVAAFEAALAKLPQPPRLFTRILPPGELTKSRKVKAEIEDW LLSNHVTRDTCFLAMGGGVIGDLIGFVAATFMRGAAFVQIPTTLLAMVDSSIGGKTAI DTPAGKNLIGAFWQPKRIFMDLAFLQTLPEREFSNGMAEVVKTAAIWSEPDFVKLENG VEAVREAVLNGNTGIPFQEAQGLLLDVILASARVKAHVVTNDEREGGLRGLLNFGHSI GHGIEALVSPMMLHGECVSIGVIKEAELARNLGHLNQVAVGRLARCLSSYGLPISLDE KKVKDRIGNLYCHVDDIMEVMKVDKKNQGDKKRIVLLSAIGKTLEQRASIVPDSAIHK VLAPEQLVLPVTESATGPKKQVSLTTPGSKSISNRALLMAALGSGTVRVKNLLHSDDT QYMLAALKSLGAADFEWEDNGECLVVYGKGGQLRVPEKELFVGNAGTASRFLATVLTL IKTVDDPKHTQAVLTGNARMKQRPIAPLLTALKANGAKLTSVEKEGFLPIAVTPNGGF KGGRIELAASISSQYVSSILICAPYAQNEVELVLTGGQVISQPYIDMTIAMMESFGAV VKRLPNNTYRIQKSVYKNPDHYLVESDASSATYPLAIAAITGTTCTVTSIGSKSLQGD ATFAINVLRPMGCTVVQTETATTVTGPPLGQLRPLASVDMETMTDAFLTASVLAAVTS NPKGENITRITGIANQRVKECNRIAAMVHELTKFGVTASELPDGIQIHGKPIKDLRAP KEGVHCYDDHRIAMSFSVLASVVPSGTIIQEKKCVEKTWPTWWDDLEHRLGVRLNGVD QGLKLQDQKPSSSYGRVKKSIVIIGMRGAGKTTLGQYAAETLGFDFVDVDQYFEVVEK TTVFDFINTWGWEQFRIKESQAIKNLLAKDSKYARNYVISCGGGIIETQESRDILKEH TRQGGKVLHLVRDLDQIVRYLNRDTTRPMFGEDMMSVWRRRRPWYRQVCNYEFVAYAD SLVDDGWVSPTEWQAIKKDFQRFLFFILGKDTNQVDLSPTRIGIPTTFVSLTMKDLRS YADRLVQVCEGSDAVEIRIDLLQQPEEDEKTFIEYVGEQVAILRRHVNLPVIFTVRSK GQAGAFSDKDESGMFELLQWGQRWGCEYIDIEICWSTTAISSLLSTQGYSLSLASWHD IHRLTPWDSKELEAKYELAFQYGDIVKLVGVAESLEDNIKLENFRATKTEKPMIAINM AGAGQLSRVINNCLTPITHPALPHKAAPGQLSLAEINKARHLLGLLPTQHFWLIGTPI QHSMSPTLHNTGFNALGLPHNYGLLECHMLEYAEHALNDPSFGGASVTIPHKVAVMKY LDEVTENAKVIGAVNTVYVRYATVNGQKVRRLIGENTDFLGIQRRVQPLMLDASNPPA EGLVIGSGGTARAGLYALHKMGIKKIYLWNRTISKAYELKKVFEGMFEVTVVESLESP MEPGVIVSTVPADSGILLPEKVYGGIRGIICDMAYKPRRTKLLLQAERKGWSCVEGIE VLISQGIAQFEIWTGKRAPVETIEAEVMKKYEL PHYBLDRAFT_79788 MNLEYESKADPCDKLINRVVKDLFSFSNTRQKRILDYYYFPEAD FVSPLLTTRGVFNIRHVLLLWKTLNKREPTIKNVCFNGQTCVVYLTQHLSPRLVPFLS LDLQVMVTLSFKETEVDSGLLKIERHEESWTVEGLFSSVPLLSFWYDRVLRVMMGKFM STTGEILYLATETAQIMAQRSQEIEMSGRQLANANATQRSKLRKAMSHCQMDFGCEKE GTKSED PHYBLDRAFT_174736 MNNEEKADLAYAQSVGIVNKTFKLYRSTPLCNYSADRRKQKRLE KSLLQTLSSRLWFDPGDQSGMDIPSMTNSNITTYKGIIRSVSYRQLLIPDWPSDGHRE PIELRVELMSYDKNIQPVHQVIFFPPHRSEPPVAEGLTSFPLLLVKATSGIGEIIIKW LQGVHDCVINPFNVANKDLEEFVNTWTSSLLNRPAPPEERIEVAGTRMNQPRPLELVY GLPGVFHLTSITISLEPSELRHMLRVALASRVTMMDAIKGHILHTMSLDVQALNLVRL GTHVAYLTNDGKIKLIPNAERRQTIEIIRRLTDLAS PHYBLDRAFT_70387 MAVNAMLEATVRRQASQVAQLKKQLKLNQANGHEEIALLVEPQK QELADLSEDEWEKDKVFQRLCHLTDKMLEQAQAAVVFEFKSLGRVITHYEDVDIDIDV DKEQSDIPEYDDVNGNTNTNTNTSVDADDDIDNEYKQEPDTPVVDKPCTDKPRIDIPP ILLTESPTTVKSSHSKERNGRAPRLPSNSSSFHKDSNTLDPRKPHSSSTSSLTLSSAP TKKKIPTLKKRPGTLK PHYBLDRAFT_183725 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELEGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQDSFNNVKQW MREIDRYAAEGVNKLLVGNKNDLTEKKVVDYTAAKEFADSLSIPLLETSAKSATNVEQ AFLTMAKQIKDRMGSASVQPQQQSTVRVGPGASVQPAAGGGCC PHYBLDRAFT_137132 MNLFRFVADLMHLASVVILLLKIRSTRSCVGISFKSQLLYTVVF LTRYLDLATKYISLYNTCMKIFFIGTSFYVLYLMKFRFKATYDAGLDTFRIWYLLVPC AILAGLSTREYSVLETLWTFSLWLESVAILPQLFMLQRTGEAETITTHYLFALGAYRA LYLFNWIYRYVTEAHIEWVALVAGLLQTALYSDFFYIYYTKVMRGKKFELPKMV PHYBLDRAFT_70391 MGMLPASLNDMQYAIHFELQFMMDMRDVLATSDQGLADFYNKIN PAAESILQSSRVMNLILTTLIGEDAIDHYISSLCEWIDGRHADVLYTPVTSSTDDFPS VIIEIQNVMDKPSVRRLIKYCGYIFDKYQVEPIALTICLNTARREITKKTKKLTETDK FIKEATIASSLETPLLPMVALSYVLTKQQIFLLGLEHRNDPTVQMEPLTMKSTTKKTT VDVLLSVCNNNRDQFKRILDALEKDGDVKRARLYADNGLLYSQPSSSTMPEPLALLQE VVEGTEAIIPTASTGAPFHNVIAAISTIGVVNITMRVPMPPKKIRSKMFVESETLAQI STEACKDVLLSHLVDITQRSKNHFGNYLNKIFYPNFKKVFELNSQSVPIEV PHYBLDRAFT_137137 MAGLPDFMTNPNAVLNDKDHEWRYNRVPDYTKVNAAFEAEKSKE HPEGSLESLVSNLVKNWEKEVSYKLRADQIRTIDHTKYRFSVNGKEWHDVEDMLKIGT YNALIGDTELYKASESDFSDSHKLFKRCLRTFSWEVLEVYSGPPTVAFKWRHWGTMTG DLSVKLGNGKKLEAPASNEVVQTFGITVAKVNDKFEIEQLETFYDPNDLMKQLAKNKK TEEGEQAVQSSGSKCPFAA PHYBLDRAFT_70394 MKEEEKKSTAFIIINSSSIRMASKDPLVRPVHCSLSSCVTNDST DSFSVSTPLDASPTAPHSSPFSIITVVSTDEFSSSPYIPSIVAGVSRHRRNTNDNRST QTVDPINPSTTLTTQSHSNQDALMIPNPEFEYANNASTSLSPFPSSSRPIPTTQDNPM WTRIKNRIIKKTRKSEPHYVTGSVTDTYSKSECEDQMMEPVSNQPTQSLNSESNSCGN RSGSGKCSDSRSVSGRCGGSGCGSNNSNSSSNPKRQRLSISWLNNIKTHL PHYBLDRAFT_79792 MALGLFVIVIRRWNSTKLIHGPYQSYNQLAEYLPEETFNERMAV IQELTHRTYPHLWPNTLLFAGSVALVVFAAVFAVVANAIRLSLWYPLLILIVPGIMGF WSSRRRSRHPERVEQYHNELKDCLKIMSFEDYGRQIKWGFRRLRESDNIQELCLGTSP KTWNIDLVIEVIQIDPEATVNQDIGEALPLYGLVAQDVVLEIGPAMQEYGPGSGPIDA LPDYHPGETHVSLPNQRQRQVDDSEGPDNVLPMSIIPSEAPPDYSHQNQNHNNDSNNN SNSSSNSNHNNNYNHNHRENNIRMEEMASRASSYDSRQPLRAHTTRENSDRSE PHYBLDRAFT_151981 MLSSTRKRHAPKISAQTVRKLLYSGCQSSASNSNKNSQQDLGTN QQLVCHANRDFSDLNFKHVSLELGCVKTGLGDHDGGLASSSEKKLALLSFSSHSSNNA PSSFAFSNLSSYSPELNPIEQFWPVVKSNASSQAAQSYFEGSSHYSATRFEDYFAGQP I PHYBLDRAFT_151982 MSNNTNTSSYIDSSNSVYDDSDDENETSAERELATMQKIFYVTF QRNSNIDLDKYNCLELEAYNVMMLAQNVQTVDDFQCACYLGTNHWWTYRHPYLHDETD PEYQASEERSKISHLVWKQIAVVLWHLSNMHFGYRIALELLTVSHGSYSRFTNRFVNG MIRSFLKTTIRWPATLEESQKIIDGFAVPSVMYEKK PHYBLDRAFT_151983 MLDTTPSVRSEYQHLNECIGAVDGKLIVIQKPSLFGNSWLDQHS NVSMALMAVCDHKKRFTDIRVGLAILESCFVIQDHTLLEPESMAKVITTCCILHNMCI TFGNSKVYLEELLRADGASFEAHDADNDDEDFEDPYAEMDNSCIETETASERRFRRDQ VKDTL PHYBLDRAFT_151984 MSAFDNYNIHNYEDQEGIVQMTVTPKAGRRTEWDDTTIMVLLRL IIETEFYVKHLNGNSRRKASLWSNLHAEFCINPNVIRFAGTSVEHQFSLKYRDLKYVK KRFQTVKKEFRKVVTNIQRSGFSGPPSQERFQFFDAMKEITLLDPSFFPPMIISLSSI LVGANAAPVISVRQEDGSTRSNTYLSGPNFVPEIMDAIECSVSPVTADLELLPISEGS FEPSSQLSYQSSFQLPSASASATMPAPTPVPTPVPSSLAPETENRRMMQREFQSAFLR NSKRQTAIFDETFTSLSSQLEEVKDAFVYSVECQYIYAVSFQEARHLDRVSRDNNARE DVLARKQISRDNLLSREIIAREEREAKGKLLAIFKKSADTITQYLSASSTSPNNASY PHYBLDRAFT_174749 MNDLQKKVLFVIKRYETLESESLKIGLPNKAIDSRGYKKAIVTP KKSPVLLVPEAHVYPAIAAINPKKEIELPSYKTVLCNTGKISHGVLLMFNLKSNGWCG FRIFAYLKEGRENQFPLVKKMLATMVTHGKLYKHNFGMDVAEVTEIIAFGSEIDSALE KNIPYCPFSM PHYBLDRAFT_70399 MYSKFDFLSIESTFTFTLTNINGFKKKRKKYASGRVLVSTPRQP GQYNFSLAEAGKICTHCEKDFKSLWNLKRHLEEYHHIHEILSNVEAYVGAALQNQLNM DQSNKDSISKNEIFESDLDEDITEFNVIDSDVSDTEISDESENENDNGKNGNFADLDT GNYLYEAILSSMTTSAESISLSVEEDVNVFANGVLSFENLESFVPKNYPFKNLQTMIM LALIDGDNDMLSRRIIKKILFAMNLVLKLQEEAINTKIPFTLPRFDALLNFQKRKKST MLVFKSKIVKFDLSDGTQMEVCFNLPSEHLKLLAANPKTSKKIFLLPDRTPDQAVCLQ QGEKWRTHPLFQRPMWTIDNIDFWHGDVVKLKADQNNVCFLIESFHTIKNSYIFCCGY NIWSSGAGKFGIEITCSDIPIERLDSVLPTPSSSLCYSISPTTVSSLISLHSSLLGIS HFMRRHVSEEHRVQSNHRLFYRVAISPISLFTDDTSGNTTVQSNPYECGIRKKKGVTG ASMLPTIVKDVQLMEGGILMYSHEYKEFILAYAPLVWIEADMPCHSMLCDILGPTSLY PCRICYVELQRKVENLKDEDYYTKRHKNRTKQHYIAAAPSLDKLIVIPDISLIYDKHT AEFLRFKNTITHILLDLQSFDPLQDTPVEILHVILLGIAEYLINDLVNSVLVKKDELR QLMGYLKNYEQSKGMSQKFTRLLSGCGSYLGRDYKCLIQILLVILVIKFTGNPVLKNI TPCFIQLGQLCSLVFVRAIESDLETYIHEVDTAVKGLIKQLLVYDKNCELNGHNPYTS KLKAQLLTHLPDNIRRFGTPLHFEMEKGEQFNKHIREHLFHTNKLNTSKDIGLKFAKQ TMMRHILDGGSWPIENGLRLSCGKGVKAYIDNTASVHKFWNSLFGGSREFADNNNDGS IVNSELCDDTFALFMLMHSGSQTIHPIIGKVSSF PHYBLDRAFT_151987 MSIVQETEYQDIQTNNSPKNLLTPSESVEEKSDVDNEYYNNILN YDKCEESDDGSRVDNSDFDVEENTETNADISLFNHILNNISAFANNNESSINEENEFQ SEVFNSTAWNRFIPNIHSFKDIQMMILLALVNDNNDMISYRILDVTFSDSTKVTAFLN LPSEHIKLLAADPIKSKSIFFYLIARQNNLFVFNKEKNREQIHTYCCGS PHYBLDRAFT_151988 MSSIPHHHNVVCRCAQCSRNSQGYSLMTSRTAKHHIRKDELERI ERLDMAERLANTMQKEQMMDVDTQYDQADSPDSNAAIMADNVSADDEISEVNGNDSDI ERDMNSDSGSGKEEGVKTDVEECCGEKIKQNII PHYBLDRAFT_189187 MIDTGSSRTFINLKCLNNNLQITKINSSIGSFNFLSKNSSTKRL GKTDPLNFKYINGITFKHAPEVLEFNTGFNFDILLGRDILAKMNIGLINVAYDIEGEY VHSDNAKDYAAIYENLNIDKEKKFEPDNSPAGTAQQRAEFMSSIKASLEENKNIPVES YCPLSESIIRLPTKEGATAYRRQYPIPHALRPTLDKQLCINRHIPQKTLSAPFSLMYA RRVNVPDEYGDKDKYSLPKATVTIDELEKQIDHMENIIFPAINEPEFTV PHYBLDRAFT_174754 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFDPFEVSNMSENPV HHFIATFAILFISRYVVNKGATILIEFINQLLKMYSKDFQLPTSLIGLQRMTSFSNYA NSIKKSVVCEDCHKVYEQDVPLPTHCDFKKHGS PHYBLDRAFT_102313 NLPQEERFKPENVILVGLMPGSKEPKTKEINHYLKSIVDELLQL FMGITIPTFKCPAGVNIHAALHMVACDIPTTRKTSRFTTHNSTCACPRCVRQFTRLPS TNQADFSGFDYLTGLENRLHAEEWKSTSTPSEWHQLEIENGVRWSQLHHLGYFDLVRR TIIDPIHNLFL PHYBLDRAFT_70403 MHLHLYLHETISDFGPVYGYWLFGFERYNGLLKNVNTNRKNSFE VTYMNSFVQDTFKGDFVHAALTCPSQVPFLSLLVKLTTTAQPSTSKNTITFPQRPFRL SAFIQAYSNPSLPVLGNEPLPPFTFPLHIEPPSAMSDVDYPHLLDYYKVTYCMPNLEA YTGQIQYLFLHSFTLPVDDTELQTRVIYQDKHVFAFVKWFQIEHDRSRELESVDICSA DFIACDFECILPVHRISSVVATCDYKTSTNNKKILVNALSHKQYN PHYBLDRAFT_151991 MPTPNCRLAPSLASTDVQLLQALNAIKEEMKAMKDKITLMDTRI GVVITGNATTINGIDALSALPAPAHVSTSVAFTSAALPTAESSDTNAVFGYIYGYMWN PKLKSRDQAEIQVNAIKPKWAVDVRFDRSPNRELVKQLLYYLEKKFAGTDMRTRDLRK CIYTNFCSRHRQQRELPETRRALNTNSRRSGRETDNYTCRRLAYDAYKADIDLKMGRN CSGLIQKLVMSEGESDNDMSPSQPRNEICLNKFITEVDSFVVKQLSANSCQLLKRVYD RTVESTVPTDLDSALPQ PHYBLDRAFT_174757 MNLVKNIKMNTVDPVFDFPYIIQLEMILHVLSSVYKDNLSLLIY ILATVKDIIRNAMDYQYQHLFFACSFSIFIMYFFSVDNYSIQTVSSRPVEAIMDIQ PHYBLDRAFT_174758 MYVYKHAHFGNYMLNHAESAHASLKHSLGTFSGKLKTVTLKVKK WYDELVADRKHWLMVESLGEGTKIVFNKVNAARLSDICLKVCRFAMDQIKLELSKSII PKKLAKACKCLIQYNYLLPCYYTLAKFDTIPRCWRKNYLEGEDHLTIQNATPVSPNIN NIEPITPEFNYALELICKHFVNAQSKQEQINIYQLIEKTWKQIDAQKLKNLKSPTVVE AIKGRPKNTKHKVIALEHCINTKKEKITKKIKTEKEQKKQKIFSAKEQKAIKNIINLG SPCDLTLLTNFTIAPKHISTIFSPEADGNCGYRAIAMEVYQDQEALEK PHYBLDRAFT_70406 MSNINNINNTNDFVIASKTLKNSCIKLICKHSGKYRDTRKAKKV ASKTSVMGETLFEWERKRKKDMQKHGCPCFMYANTKKGRKLTVRSHEAEHNHPIEEDH RAYAMYCKLSSETMALVVKHLENNDDVSTIFNSLKINGYTNIVCHDIANIKQHFGKLE KGKEMFDFITTLQDLDFHVRYSVGNTEDNQVNMVFFVHQDVINEAQRMPETVIIDATY KTNSHQMTYVNIVGTSNMSGNSCTTLKMYPIAGAWVEHETEENYL PHYBLDRAFT_70407 MHTFKFVKVAISVTDVSSLPNQNQDIASNVKDSEPICLGKLEGN EAPENQHVALFLKVILDDYTHAKILRVKNNSFLKGKIWKDLVNKFKQHDQFKDLNDDY FKNTIDGDKCGKNFKDLTKTYKLRADCNRRKTGCARSHEAPAWAFFDQMKEILINDPS VYPQNFGQSRTSRPTSMTTTSSNRPIVHENTFGDSRTEIEVLLKAVVSNNTNDNHASD LNSVISNNENEKSLTAA PHYBLDRAFT_70408 MVALNIFRQHIQEEELIDSERKQPGGVSCNPSLGDTPHIDDIRR VVKENMFKEHYRITLSTFKTLFILAMSSIVIDNVITWPNTIKRTLEITAGFEQPTKSG KQRLKEVIGAIDGKLISIKKPTTANYGDSYADCKENISINLTAVSNYKKRFIHIATDT PGSLHDAHMLKLDLMYLLLPQLLILFIAAHGCRSENQEIVPLTMEQKLYNAVQSRTQQ KIENTILLLVLRWKFLYKHLYLKNVGRLT PHYBLDRAFT_151996 MIGLQYSLIKELPIIQNQDVQEEREEVSATVQDKLHCLEFVEIQ INLLKKIEQTVTKRNAMVMDNLSGMDVSVQILRDCLSFSEEAGAAPQQKRAANCATEA FMNVMCIVHNSINWPCCGARYWKVSLLGGFVQWFVVTCRPGYERLTSTVC PHYBLDRAFT_151997 MNNTNNNDISLLHSIYNEILSLKAGQENFKLEMKTQIKELKLEI TTLKNQPETQNTLNQDTSPFLTTILGSNYIHKPAENFCDITLMHIFKMISEDLGIEVT SHVKAILTLTTKLICDDMAAHLLMIALGPNPSWGSIPAAVKKEMYVSHASIMKDSGID FTGCLGNWASTARVALL PHYBLDRAFT_70410 MSSNSILDSYQCIFCKEHDPSLKKAKNCRVQCFKTRHRKHNAIQ TFQIIYVPKQVSIVLNTDSNNSIVRENNTTNNDQTVNSFNNSDNDESMYDIEYDNTIE ELVAVKDTEDVATPMVYDFSQSLPTPSYDDAKNLKFIQINKDYDISHKAHEKITSHFN GILATSTDITYRVCTSDLGKDPLEK PHYBLDRAFT_174764 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEDMHTEDLPLFGIDSLFDSESEDEGVIE ATILDISDDESDDVREHFSSSNMPVNPTHAFIASFAAFFISKYVVNSGGAVLLKFLNE VLAHFGQSFHLPLSINGVNSMTSLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFER LSGRECGNALFFATSRALTIPKKIYMYNSIIKTLSILFCRPGFEDTINHWIIRCTVIN LMHNLYLGTAKRIMKKWRSSGLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFM KADEWKSWCLVYSPVLLRGRLPEAHLSNWTTFVNACQYLSMPSISMAHLDEAHQSLGA FCRECEKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKD GFEGTYMKKYLEEAYQGDLICQTLPIIRPEHSAIILELTASTANSIATFTSTATSIQF DINAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESKYEHLLEYYCKTYDDQTL VHYRQAGHSNNFSKTKNQRGSFMQTLFETSDGRSTKPYAGQIQYLFVNTTVNSFAGHA SQHVFAYVQWYKEVLLQPRAGEGVEVNKVGFEDDSMNSILPVHRICYPVAVGEHLDLE GEVQMCIVPLPRKIYI PHYBLDRAFT_174765 MTKRIPTAPCRPNLCMNAVLNSTIAGVVAPIDTLTPEVAVDTAP EVQVTVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQVMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMARNKAGRRRNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGKSDRDNKVRAYHPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_174766 MSSNSILDSYQCNQCKERHTNLKKAKSCRAQCFKNRHRRHNDIQ TSQTTPVPGQVSVVLNTVSNDTIDRERADAIEDQIMDTLNSEDNDDPIMNIFSNDDND ESMYDAELGNDMDIIENETSPLVFDFSQPAPTSDKDDAKNLEFLKIIKDFGISRNAHE MIVKHFNSILETSTCITYRACTPHLGKKLLKRFSGVEETVHDICQRGCMLFTSPSQTE CSNCGQSWYKTRRGETEGGDLVAAATMIQLPLARQLALATLPSINIRTLESFQNFSQA SASSRKGLNGQSPLATLKVFSGPLFFALDEMHGLCHGISKQIWGLVSGTYGTDHCFAL SSGVRKEIGTAMYKTRNTIPTSFHGNWRDVYKNPRLFKAVDWADFLLFIIPTLVAERI GDATARNALLGLVQACNLLMSWELSAEKQTSIKSKLEIWNMYLESLLTSGKIKINIFT INQHLLQHYPLMIDAYGPPHAYSARSVERAIGEYSRAIKSNSAINVNAGNIMLGLAQI RQAEAGATVMITEARTARHLQYEDSTAGWPLTDEGERVGAGSDIEFWGPLRNRTIQDS FEGISCLSKLLEDFYESKGEECSMIEAAIQTSRKAFVNGCVIDSALDQNCVREAHNIR LQIQVDENRNINSAYSPVYKDFFRKVVVFFEHKLNKKRWPLALVEIAAVRLVNGIPVV NNGQMKPKVVHLAGVKELVGRKHSHKSKKPPFFPVVIYLMTSASYVYIKGA PHYBLDRAFT_152002 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSIKDLNLEITVLQSQLENRNISNQHTSPSVSAISSANTICKPVSIFREITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKRLQSRK PHYBLDRAFT_152003 MNSTTKTYTVMCTCSSCTKNAIGGILQNAQTFKRHNNADKLLDI GPKNRVNTEVVEEETDVEMVDVSETSIDYEDNYSIVSAETTLQSSDVETTSLASDNDD PHWGIRFLHICDSMGNSE PHYBLDRAFT_152004 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIDPQFLI RNYPRSAILNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFADFATAYANN QTRMASLGPSLMPSYVPQTFLSDAKVSVIISEIFAEKLWDWKFESDDPALVAENESKK KWNLNKKINHRDNVAVINYLKSYISAQTRLADTHPWVISNKIKNRYKHSHRTFHESPE QKAKKNSKGRANSRTLQMSIRRKSTYMDNWVAIDAAMGYKTGNPVEKAYLKLFQKDAM SDGESDIEIVDNLPRRCLHVARPTWRSEEFNRLLTMVDDIDRTHHVLNAGVGTKPRMN RYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_174769 MAPIRKPTVRKECRCSICKSKTLGFDCVSVKTFKRHQEKDNHDI THVQTPHEDTCDTISSAVSEPVNQEEDGFEFEQEDVEMNSELRNLNDTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYKEEYEDESDVEMDNDEDSSLESISELNLIHQFIVI SVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVKKY VACSECHAIYDNEAAPLCCTSPNFVAHGVRWSELHRLQYFDIVRCTIIDPMHNLFLGT AKRMLERWVADGLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQ FIEESWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTYLSHSFSIS EYLEASQNLSMIIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGC KDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEI QYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTLDKTRELEGVELLQDEFYKQDF QSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_73932 MTLFNVIFQAFYLVQAGGTAMLKFFRHLLVAFDKDTNLPLTIDA LKTMTGFNFMTKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTE ADRAVPLMVFPYNSLKNALAQHFAKPGFEHQIVQWRSRETVNSTLLDVYDGAMWSELL DEDDEPFVNHDHSLMLTLNTAGFTGFASTNACHICKHHFTVVAGTSKINYSGFDHENW VSRTKEENATEAEMWFCAESDAERAVLEKQHGTRFSELHRLHYFDPVRCTIVDPMHNL FLGTAKRMISVWKDLRYLPTAVLVRMQRLADGILVPPGYAVLSTKIESGFPYMKADKW QSWCLIYLLVVLKDALPEDDYKNWTLFVKACRKLTSPSVTYSEIDSAHQLLGEFGKEC ETLYGESSIMPNMHLHMHLHESMLNFGPVYTFWLYSFERYNGKLKNIKTNHRNGLEVT FIRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGVAQVKSNSDSSSPLNLDAGHPPALPF SLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDHYQWLFEFYVKAYQSTSV SFCAVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGKKKKRGSFVRVLFLERTNDDVS EFPGQIEYLFTHTIKIGGVKRVSMFAFIKWFPAYHSSSHQPLADQGLQLWDKGFMEED ALCIVPVHPNNI PHYBLDRAFT_174773 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSVLHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNS KIGKQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEV NTAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIP SIRQRTFRIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKV AYNNEQLVHFQQASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGST GEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPE NDKSREYEHVETCFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQ YI PHYBLDRAFT_174774 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEALFGISSAAPSVAPSVGPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELK KHNFKSNKPELVAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADIS DCIYTNFCGTRRRVKESYEARKKTNSRSRKAGRETDHFDRRELTYHTFKAEIDMKVGK SCDGLLQKEAMSEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDF NSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_174775 MISVFRPFGPLVFCSFCFFFFIYKFSLFSLFPTFIIFTDFLDTR VLLPSDASPSQCPSGLAKAISPKLLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMT ILASPMYSLGLQINPFASGSILSTMVRIHDAFSFCLW PHYBLDRAFT_152010 MTYLKPQLSTHQLAINLPLNIPQAIYINVRTTKLAQILIDFAIQ SRTENRLHSYPPTSDPVKSDPFLYLISYNNSYK PHYBLDRAFT_152011 MRGLFIVLEGCDRSGKSTQCHQLVKQLQAKGYSAQYRNFPNRTT QTGKMIDSYLRQATELDDHAVHLLFSANRWEAMNSIKDTLESGITLVVDRYAFSGVAF SSAKGLDLNWCKQPDVGLLSPDVVVFLDLSIDEAEKRGGYGEERYERRELQTKVRNQF MKLKDDTWKVVNAAQSAEQVEKGIWSIVEAALSTSTNQRKSLLYSLWKD PHYBLDRAFT_174777 MTVDNLDKGSISTLALGYTFVDVQDEENEAMARLGLYQLGLSSP EYQPLLKFALNSDTLADSFVILCLDWTRPWKFLETLERWIDVLQRSIQEICKEGSVGE SWSRGKAIVDDLREKEPTVNAAPPVPSSSTNTASTPTTTSVPSTPLTTGFVLTPLVTT TTAADQVTLPLTQGCLTNNLGVPMAVVCCKSDAINTLEQTQDYDEDQFDFIQQTLRCI CMKYGAALVYTSTLYPHTFHNLRQYILHRLLTRSTKTFPFSLKAQVVERDSVFVPSGW DSWGKIRILKEGFDCEGVSAGWDADIEAVADRQQPGDHGARGLYEEATPNPDTGDMPL NIPPVVVCEDEQVFLERHFETLQRAPEIQNRSGTGADASLTRPSVVGPLGISSLVLDT VKSEDFENDLPRQRKESPFDKLTLGKLSSSGSNGSIDRLHGFAGSSATSQSHLGSPGS LGGGMMGEGIGAGNGGIGGINSSSSISSSNSSSSGGGPSHEVLANFFQSLISKKAPLT GATPLAGSPKGGSSSSSLSSLLGAQSLSNRSEDGKGRPPQVSRKDVYKELDKMPHYTT K PHYBLDRAFT_152013 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSSTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGAGLRKSDVRDF VYTNFTSRKRAANKSQAKKKSDNARNRRSSREKEHLKRRKTAYQSNKTAIDDEMKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPGWRSDEYNHFITLVDNKVVADLGLNS HQLLSRAFGETVEGPVPDAIASQFPQWALRNGP PHYBLDRAFT_174780 MSSNSILDSYQCNQCKERHTNLKKPKSCRAQCFKNRHRRHNDIQ TSQTTPVPGQVSVVLNTVSNDTIDRERADAIEDQIMDTLNSKDNDDPIINIFSNDDND ESMYDAELGNDMDIIENETSPLVFDFSQPAPTPDKDDAKNLEFLKIIKDFGISRNAHE MIVKHFNSILETSTCITYRACTPHLGKKLLKRFSGVEETVHDICQRGCMLFTSPSQTE CSNCGQSRAIFHLSMFFGVHVYKVML PHYBLDRAFT_70939 MNNTDNNSISLLHAMYNEILSLKTGQEKAKLEMKAQIEELKLEM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKPVSIFREITLKHIFK MISEDLGIEGGKVDIWQKIATKPPCQTAIKQP PHYBLDRAFT_70261 MYFDDISAWLRPLEDFKVGNPSKNIYQPSIYTQLLTFSRSSFFA LDELHLIARGIGKLVYVLITVTLTKETKFYYTHPDNTLNTTKYPFHISRADLVTIVNC ITSLQKYIPTSFQSSFDNVFAKINGTHAVDWLDFLLYLVSTLVVPYLPNRAVKTALLS LVKVCTLALQWTLTSELLNKMELYFKHWHSFLYEKVQNNTLSRSVFRLVQHYLVHIPY IIKQQGPLRCYSTQSMERVIGIFSKLIKSKSKGGQNASFLIKRFAIHNYTSTAISICD EINLIRPKPYGRESYMDLPNDPSGAQLWEPFHQFVNLNDDLVEGVGGPSVKEALLKYY QRTTVLTGHEFGDSVVVVAAHLNRNVIVHSWLVGTVQFYFQHVDFHGFPHFLAFVEVI KEHDTADHDSSVPIVKQRSQSTRTLGHQTQSTYAVISVNDICHQVGLVQYPPNGNQFY VIAPYYIFKNNMRITKGNLSIL PHYBLDRAFT_174783 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDKNDTSNEDESVSNSEYTMESMELDNTISYKCACNFEDSE GEAHIYDSSRISTNTFTKAKLMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEPGAKISHGETVDALLKSRSSVKGHEYDVCSSGCRLYGINDDQKSCTDPDQSQTPAA SMKIMSVGDMLSQMLEDPATRELHCYRSNWESVAGQLTDIFD PHYBLDRAFT_152018 MQQSIIEQSSLERIAKSLGKQVINTGGEFKGKNEAQKYNLLLQI LHEQDWKACCKEVPQRQPLPPLVPLSDHDLTVKRLHLKTLGHTVKHDIIDKDYSAASK EWKNIPEKNREYYMMHLERSLLWESFKSNNQTHKRRMAEKNKTQQDISDSLLSSSDMS KTGDVESSIMADVLVKPARKRSQRS PHYBLDRAFT_152019 MSTYSNIQVKCICFVCSPYDQAFEMMSKQTYRRHASADLIEKFK RVYLLPSTQTLHELNDNDMEIDAKNNEVNEQIESTEDLPAFTEDLLFDSESDSDDDSI ESEAQNTLDALADLDDSEDIEENFSSSEMPTDLINAFIASFAAFFISKYVVNSVGAIL IKFLNKVPMHFGQSFRLPLSSSGLSVPQCCNFQKLLEATCRNQLFLSAPLNSSRPKRA YLYNSITSAFRIFFCHPGFEAAINAWRYRHQVPDMMFDIYNGAKWAN PHYBLDRAFT_102132 NLSRSERYKKENIVLVGLMPGPKEANTSEINHYLHPLVEELKQL YMGVMIPTAQCPQGALVCAALLLVACDIPAAHKTCGFTSRASINACHKCDCHFPRLEK GHGVDYSGFVFSEWVHRTEEANRQDATMWRNASSDAQHMRLERENGVRWSELYNLKYF NAAKCTIINPIHNLFLGTAK PHYBLDRAFT_152021 MKILVPGVLSHSSARPPSISTEDLDKAHCSLEAFCVECEILYDL DPLSPNMHLHLYLKETIVSFGPVYGYWLFSFERFNGVLKNYATNWRNGFEANYMRRYL EDAYKSDLAHGIIPCICPSHAGLLVKLIGPSVSSASFASSASSASSAFVLDDFIASAN ANFDITKGNEPLPPSTFPLELERETSMKESEYLNLLVYYQETYDDQALCHYCQPGPDQ KMVNNQIQKMKSIHLLGQVYKGGDGMAKRRSYIQALFQTSNDRYINAYTGQIQYLFVN TTTNSFADHLSRHVFAYVRWYKETKLQPRVNEDVEVNEKDFVAENIKSILPVHRILVV IQTLGCWIYHI PHYBLDRAFT_152022 MRNCHISPLHHQNIRMCAVERSELATTTNIACTPGTVSLAVTAP NTELDVGQRDSILELLESTNKKIDSLSSEINKISRWMNNVETGVRLSNETNVYLKKAV NDIIDTQTTLNSATTSNMTNRNTISVRDYASLIEEDTTVSDINLFGKRYPKISELIHG YIRNPNFTSLDRTKIAENNERVGWSLTNNFKNEYNNALAVRLVNYLRIQKDAVDVPTS DLIRIIKNHFWNQVREFRSSPSKKTSWKSLSKRHSCKKALYDHCVLTYQIYKTNIDTL IKISDCGRVLLRTVMSDGESDEEGKLQVYCPSWRSDKLQVVINTVDDFSVVRLKKKAN SLLERNHSTRTESIPASLTVMLPEWAISME PHYBLDRAFT_152023 MKFHANALIAKFCIRSCFLPAQCLLSLLYRHHTVYSSLVSLGKT HLLIREAGFAIFLQSNTQVLIQACRPVLGVDPILFLPTSRMERGRLIRWRMGWLPGKP KECPCGSDHTSHCHLLDCPLVPVALFEQLPQPDQDQIHRIDFAITSLPLSFQEPRSAY WILLLTILWHIDIICNSDGDYSHETEHAAKWRAGSAIEASMI PHYBLDRAFT_152024 MFDNVSISVLLDNFSSHSFSPATGVLQCSVLSPHLYSIYINSLP PLLRTVAHSQTTTHVPSPSPTSLDAYNALLAPSGVDGFGHIHFSTPINSLLFADNVAI FCSLSDVQTMLDLAADHSLTLGYRWSPTKCEVIYLKTRASSPVSLLLYGQVLPATDQF IYLGVPFANKGISSKSIATHRRSDTLATMATLNSVGACRSGFSLLLSSRLYKTFVRPK FEYDLAISTLLKQDIKVLESIQDKCLHIIVGGMPRPPQLC PHYBLDRAFT_70255 MGPPPWLTPVGVQLIGPPVHQGHALFIGTWVRTQASHDSGPNYA STMSATLASAINLVQLRNLESQAANALHLNELFKAPTFEVNQQVLLFTKPLSCQGRSE KLTRTWKGPYTIQ PHYBLDRAFT_174788 MAPPPSLLPYWVDRCASPSMWWTIWPTIIRFDSCATISKPTSCA PSPVRAMGHVQLTRLSHCYHHVIGPANLLAYVKTSVEVCSGQLCAIATGVVQFDKQRH GVIKLANLSTTTFQVQNGSLLATVEIMGSINAISIKNDNNTSSTPASTTTPGDPFDLK DMSVDADLDENHKLTWLQPRYNITLTRTIIYQSPAHLIECQRLRLTKLMT PHYBLDRAFT_70253 MATNSQHNPLNNPDMANIQGLLMDSPMDLPLPLPDILANNMLAS LYLTSAAESLIMPVYNPSMYITSWLMQYRTKATLIGVPHTLLGKFLSHYLLPDIAEWI CVSSCQDNWDELVHLLTSTYGLDPEVEKAQRRRALQATTQGNLSIRLFCVKFATLAND MPDSGCLSPCTILKIFLHNIKPRLHQLIEPTLTVLDD PHYBLDRAFT_70252 MSDTERTLMLHTKSRKRMKYSDVTCSTHICHLIIEKKIVKELNI LHLTVNSIIRRFERTGSVKYKSLGSDFRTIIKDYPKQFILDVINSKSTITLAELQQEL INHFDDLEKISIPTLCNHFHYVERITLKRALPIEKKRNDKVTLRKRCNSIGHARAKTG ECALVPTKSKRAKNVTILSAFSSERVKFCHTKIEIYIFLDICIVVSKDNPAHILAVTS TPAFSLSASLNAWITSLRPSVSVSVSVSVSVLYCCGMHKLTYTTTCPISSFSIS PHYBLDRAFT_118927 MKKRLGYKGRRMHSTAASAPVDTMGLKLRIENIKKLKTYKRRDI LNFDETDLFYKQPPTTTISKAAVSGQKFNKTWLTVALIANSDDSLKLELFIIGKHAKP RCFSKKTSNLLQILYKLRLISFTGKMTLNTYVWGYLNTIVNLG PHYBLDRAFT_70250 MSSTSNTHNKKCFCNECKNNQGGYSLVQRQTAQRHNKRARYEAF KRSEMDTFAQSSSMEVDVETFLSQEAGPLEISVGQTNSPFWEANIMSDNDNMTIDNEV VDNADDDNDMNNDEEESKEVEEVEGVEDIVEIEVEEFDNEDPFATPDMPENPVHRFIA TFVVMFASRYVVNKGAVVLIEFINKLLMIYEQDFQLPLSLPGLQCMTGFSTMNKSVQR FLVCQDCHKVYEKSALAPSHWDFMKLGARSACNCQLTKTSFSGLQVAKREYCYQSVKN ALRLLFLCPDFKQKIRQ PHYBLDRAFT_102136 NLPREERFLAENTILVGLMPGPKESKTDKINNYLRPLVDELLEL YVGIHVPPYEHPAGTQIRAALLMVACDIPAARKTSGFTAHNSTCACYKYNKQFPRLEG SSAVDFSGFDTDQWQPKNNDMNRFHAEEWESASTPSERQQLEVENGVRWLQLYCLRYF DLVRGTIIDPMHNLFLGMAK PHYBLDRAFT_152031 MSEINKAHDYMQSFCQQCLVIYKPGFLTCNIHLHLHFRETINNF GPVYGYWLFGFERYNGLLKKIDTNRKDGFEATYIKTFINDAYKGNYMRKVLICPSLVP FIPLLQKLTSSATTTANYDSYASYAFYASLSHQNFRLQQFVNIFLCPSPSTKGNKPLP LSSFPLRSLNALTMSDINYPQLLHFYQLVYANPGIVSYRNTSLCPYFVDNQITKLKSI NLLGQIYKGKNSCENRGSFVQAMFLGGNNVTKTACTGQIQYLFIQSFTPLPHPNSPAS QVHKKKHIFAYIRWLSITADKRRETESIDIYLPNFTPDNYHSILPVHRIDMEVATASE KTRNNIKKTLVIPLPKKYYV PHYBLDRAFT_152032 MSGNRHILPEITVEEKRITASLAASMVTNPIPAPIVATILATAS TEITAPPQADFAAGPNEPALVQANKNRPRWATTVCFRLLPNQESAKNVFDYLVPKFVG VGMREADLNKYVYTTYCSCKREQNKDREAKKKSNTVSRRHRREKEHFRHRKAAYNKNK IAIDKKMAQDCSALLIREAMSEGESDSDSRGSTSSQPIQILRPGWRSNEYNRLIELVD EAVIADLGNNAHQLLERIWLRTTDSAVPDAIASQLPQWALRNGP PHYBLDRAFT_70247 MNQVPAYRSLNWHDPCITIKCSELGFCYYYSNKNWMTGAIFKYI CMVIDSQARNLNRKFLILLDNAPCHNTTASYINLGFLYLPPNTTSYLQPLDASIIQNF KVKYQNYQYTLGTGRYISEKIDNPDNLSKLTQLESMKFILRA PHYBLDRAFT_174795 MGNPWRASRAPAALRIRSEPVQDQNLDTETSTSISVSELTEFSL ENETITEVLKAVMEEGIKETSSDEKVTEREEEHNELYLLGAKIDIFVDNNINVAYNFR IHRTIFYRTGFILPVRESDIAHPKFAQIYIYNSAAQIDQRQYHSLQLERSVLKKIWSI LMETNPFIHLFRTIDQISWEKRQFMDLTLRLVAEGPWDQRQYNAPTAKIMKRVLQETL CCTPEPTSDKTSMSSTDLTMLYTMCYSFHMVKMVRLLMPAHCQESMLQ PHYBLDRAFT_152035 MTNSLVILHCVMTTVIKDIADIKAKTLDTSVSAVLQSQPMALVH TVPLVSMEINVAGSPIIAFDARSSPNTELTENLIAYLERNFIGEGLRESDVRNFVYMN FTSRKRAAKKSQTKKKSENACNRRSSREKESNKTDIDDKMKRDRSGLIIEEAMSVGES DDGTLPHYNHFITLVDKKVVADLGSNSHQLLSHAFGKTIEGPVSDAIVSQFPQ PHYBLDRAFT_152036 MKNNNLLAMQTVAAMMILSSDYTKLKTKIGKDFSHIKADEWKSW ILVYSPMLLKPVLLSNIFNGWMHYVKACCVLVKLSISFIEIDQVHRYLQEFCQSCEDT YEPKVLTYNMHLHLHLHDKICDFGPVYDYWLFGFERYNSLLKNNKTNRKDRFETTYMT KFTADAYKADYVRNMLSCPSLIPFLPLFEKLTSTTAPITTYATYAPTNQQSFQSQQFV DSSLS PHYBLDRAFT_70243 MTSSHKSALGLPTKLASYQSDTVYLAMIATTLSQYEYVLICDKS NIRVSGSPHVVLIKLINKLLTIYEQDFQLPLSLPGLQHMTDFSAMTKGIKKFVVCQDC HKVYKESVPVLSHCDFVKLDVHSSCNCQLTKTSASGALVAKRSYLYQSVINTL PHYBLDRAFT_174798 MSTIPELYSKKCHCAGCSQNNLSYSFVARRTAQRHNKRARLNAI RCERDMFTQRNMMEVNDEHKQPRALEELYTQKNSPVLEGASMSDTEDVSFTNDAISND NNGDSGSNSNEISEDESEDNVIGLDNNELNSKDPFATPDMPQNLVHRFIATFVVMFAP RYLVDKGTVV PHYBLDRAFT_152039 MYTPDGGRTRNPQIRSLKRYPITPRGLTCCHMCYKQIRHLAMLW CPVLEGLLGVSQFLMMDTRWWVPSKVWSGGTGVVSPDFLGNLVSDTPYT PHYBLDRAFT_70241 MTLYKRIVDLTIKKYHKSVVKVASMFSLSRSTVDNIKNSFIDNG KIVVKQRGGRKKECTKIMEEHSEYLIEILDEDCTLTLEMMREKLYECFDDLQEKDIMF SILYCHIMNNIGFTLKRTKAVEERRNDPDVIEARRKFVESLPELGVAYVANCIFIDEA GFNRALNISILAAISNNGVKSMSAKLVPKGTNIELFIKFLKTIIKTLDNINAVPQWFI LNNAPIHRSHLVRDFMATT PHYBLDRAFT_152041 MTEIVYPAVFEHVKHITQKQKEHFDTSHKMIDLVPGTIVMILVT EKQNKLDPKYKGFYTVVRKTAANTYVLKNEKGFLKPKNYPPSLLKKVSNKILEQKNDF FEVEAIIGHKKDDMNNYLYRCKWLDYDESHDTWEPEDYFTVPKFIKEYWQRIGEAPES IKDINKANKRLLKDMKVVNSISKEKSDIKRKSYTMTALQKKKHSKI PHYBLDRAFT_152042 MGEIQNYNFITVDPEKLIESMEQIETIQKNKKDDYKKSVQQTAG TAASTRQQEILPSLTVSAELDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNN SNHSPIGQALTTGEYIKYCLPTVLRLICSQTRAVLATMPLMVNEGAFSTSNRPIADVV QSYTHQQAEGKSFARKDSSTKSDFLTPVQKEKYYKAIHLADKANLESKFGETVVDLLD YDMLSDIESDEEKNKTRYTPRNRHLLVDEYFTVLKKQRLANKGPDVIDNSVYPIILRN TELSNEKKARVAAWIHTRQQ PHYBLDRAFT_152043 MNNLPREERMKPENIILVGIMPGPKEAKIDQMNNFLEPLVDELV ELYGSITMKTPEFPNGTSIRAALMCVACDIPAARKTAGFTGFASTNACHVCKHHFTVV AGTSKINYSGFNHENWVSQTKEENATEAEMWFCAESDAERAVLEKQHGTHFSELHCLY YFDPVRCTIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLIRMQHLANGILVPPGYAVL STKIESGFPYTKADEWRSWCLIYSLVVLKDALPEDDYKNWTLFVKTCQKLTGPSVTYS EIDSAHQLLREFGKEWETLYGESSITPNMHLHMHLRESMLNFGPVYAFWLYSFERYNG KLKNIKTNHRNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGIAQVKSNSDS SSPLNLDAGHPPALPFSLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDHY QWLFEFYVKAYRSTSVSFCVVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKCG SFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRVSTFAFIKWFPAYHSSSHQPL ADQGLQLWDKGFMEEDASCIVPVHRLHLCFVLTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_152044 MNSTTKTYTVMCTCSSYTKNAISGILQNAQTFKRHNNADKLLDI GPKNRVNTEVVKEETDVEMVDVSETSIDYEDNYSIVSAETTVQSVPFLREDEIFQFEE SDVETTSLASDNDDPDSSDESEDESEVEVASVEDFEDMVASVASLKIHEMSQISQFMA LFGIIFQAFYLVQAGGTAMLKFFRHLLVAFDKDTDLPLTIDALKTMTGFNFMTKSIVK YTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTKADRPVPLMVVPYNLLK NALAQHFAKPGFEYQIVQWRSRETVNSILLDVYDGAMWSELLDEDDEPFVNHNRSLML TLNVD PHYBLDRAFT_70237 MHESLGLKLKKFSRGRIEDLRLGTKIRENLCRFCLLRKDFVSKF DQVPTLNYYCYLYYFGLGKLYDWGQLRPYIISFRLILNYSSSQYSFTTVLYRHAWATR MMGYKQCMSDFTGEDEEIEVTPILLKNQKKLVMVTHDESTFYAHDGKVDMWLEEGESH IRKKGQGRSLMVSEFQCACHDIMQVKGWVSHRIFNVGAAYDSYWTREDMLDQLKNHAI PLFESLHKGCTGVFIFDQSSNHKAYATDALVATRMVLKPKVVSENDKVIFKDTTFLRD GRIIPQWFYETVFEAGREGKGPVEKRQFVSVQWILQERGLWMELDPSNLSRRWRMDCN EEEAENHCCCAHHLTAKGEWIWSNFIF PHYBLDRAFT_174802 MPNMQLRGEWQKLCWKCQYKKTVAHGHGESVSEKNCVSSLFVVT FMTKFLTFEKKRKLEKKEPVEDQPVEEYDWELLALDTDMMIAVPDAGKNLHGLYRGDS RSLIICNKRKMKEELEANKDKKVRTLADFSFSVPVAPVSPVTEELTVYKQSKDEELEE IREACEKISEMIKPPVSSDSELGKFALFEKIEASEKAAEIFWTTPSKYHEEAVCSWVK EFLQLGKISEHQQGKHAKQSSIVDNENLKRKQSFGFVLKKQKDELLWI PHYBLDRAFT_174803 MPEDKKNQISLTKFIANPKPFYGNKQGKKPTTWLKSVDCVCKAV NMSDKDAFVVATSYLYGLAKVWWNSIEDQTHIWKEFTDAFMNQFASFERSSVGSKSGC STISKALTLRDLVKKFHTLKVHIIEQPKSKSVFLPSTNKPHGKCWTCSSEDYMSPQYP QQPAVKESTADSQNLIPHTQLRPSPVNLVEAVQVFNAEKRSLSEKPGKREHKRTKEEP EFPEQSIFQVPIEDIQQVGNSLGVVIKKIKYKSSDHNICDGLRYLYARKTKKPEVVVN WVHAILGTAEANEDSLDNSFGSGKSDFSNVGEYNSDDTTYSYPYDYRKMRASNTVKKC PIPIRDGIAVSSVNDTAAIAYSGLSLLIDGDSEITCVSPAQEEHVTISVTTPGSSEIG GDRGRGGYGSPGGHGGRGGSVVD PHYBLDRAFT_70234 MTTVIVMLFPIWVGLPFTITKSKGQTHWGSRSIQVLRLTIFRFY GFIKIMSDSKRKTNPDRKRQKMETPGFEPGAFRMQSEYDTTTSHPHIYMDTSAVTIEF FVIEVFGIVYGLVSQ PHYBLDRAFT_152046 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSVE DLTTMQQSIIEQSSLERIAESLGKRVINTGGKFKGKNEAQKYNLLLQILHEQDWKARC KEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGHTVKHDIIDKYYPAASKEWKNIPEKNR DYYMMHLERLAKNGGLHIHQCKRMWCVRSLLQESFKSDNQMHKRRMAEKNKTKRNISD SSLSSLDMSETGDVESPIMADVLSPPPTASVKPACKRSRRS PHYBLDRAFT_160529 MFTCPYRNNCNVIVHSWLIGTVQFYFQHVDFYGFPHFLAFVEVI KEHDAAGHDSSVPIVKQWSQSTRTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFY VITPYYIFNNNMRITKGNLSIL PHYBLDRAFT_174806 MSLDLKGIITQLASMDCKVGQLMDGQRRNIGAAAWQESMLASLP ALAPSSPLPVPAMSEQEMGSVILKRNLKSRDSSIIAENKARRRWNVNECIDHPENVAL INYLCQYVLAQPCAGNFWSSMVVSKIQNNYKQHHRSYHSTMQQDILARCTHTYLTNWR LIDNEMGLEAGLLSEMLFLHLLQKDVMSDGESDMEDMNIATIHVLQIKRPSWRSDELN NFLEIVDFVAVHTCSDKSMLKSRARMPRLQSEEKNVVVPCHLISLLPHRQLNNIFFAS QTHAIFVLD PHYBLDRAFT_152049 MLDVSILIKDNEPLPPTIFSLTKKPMSPMSTTEYNCLVGYYQVA YNDKRISSCKNGMTSSPFIQYIFIHSFTPTNSPTTLYNHNYQLNFAFVK PHYBLDRAFT_152051 MSTQNSAEASASQKRGLTCWNNNNVRDKPSSIQILIDWLTNAAN YSCWKGRNSSRKTKETFCSEIKAIMVENDITHRSNSDIRSKIQYLHDKFKDATDFING ISQEILNDVDKNGNPTAAAEKMLRVIEWFDVMISRPSTVAPFPISNGNPTYVSNVFER AYQYDKEATQVEVDGGKGIQNILDVHNAQDFQDVLNVQDFEQFEMTFPVEHLSAASNY QRPSKRAQKTVDSSISDIIEESIKMNHEHFNLMQKKFKAEEEFHERQLQHQKDIHDYK MMFKERRLEIEGKQVQNETQQAENEAKQLKNDDIQIKPQYIAKLESLRLSKEFSISLI LILTFVGIVILAGKFQKVFISMA PHYBLDRAFT_152052 MQKNTRQETYKIVKTCPPKRQGELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHYISNQMATNTVLDEPEQAELIVKNTIWDANAFDYSSDEDDSSSIGDE KDNIVDEENDIVNNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEILEEDFET IGTVNDPTSCYPFRNLQTMILFAFIDRDNDMISQRMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKFKMPVFPSQRISVPGSNGNAFAHINLPSNHLRFLMANPKK SKLILSMPNHTPNQSICLDQGEKWRTHHFFQQPMHTVNGIDVWFGNIVYLKTNNCRIR FLVESFHTANKNIFAREYLVRAILIVCYGVEAAVINLRVEQISHVDTTPVERDHYYSI SSSLTRLSPAHDFLLFGVHPMKKPMSLSVLPGNVGRNAVFYKVRVVSIIFFTDDTSSN RSKQYNPFESWLMRCTALPFKDQNLIANIQFLFTIPKKDGTNGMSLLPAIVEDFKKLE KDVKMFSTADNKYVLVVAPILWIEADMSYHSELCRLLGPATIFPCRRCYIELGRAKDF VKDLSYFCECHERRTQEHYVLANLIPGRDTKISNAPKIGMNMPANEISFRDCLTGCLL ELQSFDPEKNTSVKILHTILLVVAKYIVIDLVKVMLKNDTATIARLSEFLTDYMQSTS LSRKFTQNLRHSSSFLSRDFKVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLV FVCWVTSDFDNYIIRVDNAVKHLIRALFDYNKGTKNKLHKVYCTKPKVHYLTHLKEDV IRFGPALNYETEKGEQFNKHICKHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWIN SSSNQEKSETGIERFIKNNNESLFYYTFFGSSREL PHYBLDRAFT_70226 MSRQQSSRPHKPFERLSEVEKGILIGLHKDDMKIFDIAKKKGIS KTTVTYIIKKYNETGSATNKKPTERPSKLTARDKRHLFLDFKWDCHQNLVEMADLIKK KAEKKVSKKTINQMLHKMNLVYCVIKSKPLLTKEYIAKRRAWYRKIKDWKKQ PHYBLDRAFT_174810 MQMFCLQDKIWRIRYSVLTIKRSFKTTVSYVPEVINNDKQNSVA IDNDYDMDYDFDEMNTSIEADMETQEPIRVLPLSESDAVFGYENEEFNSDLDSDGCED DSSENDMLDSEDNFPEFNSELSFIHHFIIQVLALFVSLYIVDEGAILLIAIMNKILEL FRDPFRLPVSIPGLKSMAGFNTFTDGIKKYVSCSKYHSIYENNESNPRFCIFDKFGNN SMCSTANRMIEKWVADGLIDNKKLVAMLKIVENMTLPPDYTMLRSKISKGFPFMKADE CKLWCLPNVSKDDVQSAHIALEKFGKGCERLYSKDLLSPNMHLHLHLCDTIKDFGPVY EYWLFSFERYNSILKNINTNRRSGFEMTYMKTFIEDTRKGDFVRNFLKTSGPFNFSGI FDKLVTGYSPADSTTSTALYNWFSLPDFLDAAKNPNLSICGNKPLPPSVLPLQKKAYE MMPRQEYDCLVGYYQAIYNDPTISSCKDMIQDTAFVNDWIETLKSVNLLGQTFKESRG TNGRGSYIQAMFIEGRNGAKYTYVREIQYLFVHSFSPLVSTPHHRTPQSSQHIFAYVK WYKASKETSRKIAGIEIWDVAFSLPDFQSILPVHRILLPVAIVDHTTLRNISKKLIVP LPRKLYF PHYBLDRAFT_152055 MPSNATRKSGRKGKQNARGTLSCVAAGRIEQREIAPRVLPLAAG PSGAKAPGITVESLTQIMAAINMMYDRTVEANTRIRFLVDAHNQAIAQQTLVASSVTQ GVTAANVSTNRHTKGEMRAIVLNLINGRMWVRNFYERIDHPDNVEVINYLRQYIVAQP RTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNNCINSCCIEIHLCRVDIYIN NWLAVVIQTLGSIDTEMGYKPGNPDEIAYLHLLEKSVMSDGELEDEDVTPIIRVQVLQ VAHPSWRSAELNRLIQFIDFLAAENDKKIAIPQSKQRMLRYLKTIVVPPVPGPLTAIL PVWAIQNQ PHYBLDRAFT_70222 MYFKNHTEFYILSRMGIRHFFVVLEEKYHTDCLTPKVSENEGSV SLWECIWSEGVEQLVTLKSNINALLYNEVLEKEFMPLYQSLPNVEKGDYIYQEYNMCY HKTNLAERFKKELGLKILEWPSNSSDLDLLDNKIRARRPQPITLRQLENVLQEMWKAI SIETCMTFCLNMQNRLFKLKMHKFRPISC PHYBLDRAFT_174813 MITLLLTMPRSKTNCSNKSNNSTSTNGYKSAEKILPSNAEELLD LMQQRIRVPSSAPIAAPPKRARTNNEDNSSESFHKIEDLYQKIVQMSTFLLSSDQNIA SSAVATTANEAVKNILAIERIPTQLMRDYMFNDSLYSQYNRSETCCSDRNRQIIQSVI TYLSNQSKAKNLLPSTLHKKVVVHIANKKSKENKSKEQKTIDNKSSWYRQRLAKDGSS LLPKKNTL PHYBLDRAFT_70220 MQECANGVVIPSGYAHITKKICDGFSFMKVDEWKSWYIIYSPFV LKHVIPAIHLANWMLFVKACCLLTRPSITVEETTEAHKQLQEFCTKCQTLYKKPVVTP NMHLHLHLSEYINDFDPVYAFWLFSFEHYNGLLKNFETNQKGGFESTMMKQFLEKAYI GSYIRAFSTSLDEFIITFLHNISNSQPHLPLQSDSSAFNLPQFVEFSSNPCKLFSGWE PLPPATFPIKLEKMIIIHNVFAHYSDHHSSQIFMNNQIEKIKRISLLGQEYSSGSYFQ AFFLEHKGKDISAFPGRILYLFQHILNINSKDVVHTFAFVE PHYBLDRAFT_174815 MSNNRIKNDYVFCQCLECIAFSPSGKCQRKQNARRHNKEHGLLV SAANTTDIQNEDIDIEDFIFDNDNADNVNSDDNDNEEAFILAHQKESIFFSEDINLES LIIDSDEIEEGNASFDFKQSETLDVDTKSSVTSRVHEFSVNSMPIYIRFCGYFHSHIS TDLFGR PHYBLDRAFT_152060 MNNTDNNSISLLHAMYNEILSLKAGQEKAKFEMKAQIEELKLKM KTSIEDLNLEITALQSQLENRNISNQHTLPSVSAISSANTIRKPVSIFREITLKHIFK MISEDLGIEVTSNEKATLDMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKILQSYVDVSSSSSAEKASRKVQKG KEKVSTSEREQWDMAREIALMQLIYNCCPFTNDHGDKSLAWDEVTANVNSVEHTHLHP LTAKGVRARKNLLFKKLNLVVNKDNERSLSVSNPHIPEKLLRLIYDVYTAENLSGNDD SSDSDSDSDSDVSHDRKNPQSSSMANKSASLLKQQLDILQQQQHTNNLVLEELKKTSQ SNAVLAESNQTIADSNKAIAKSNSTLAKSLLTIADSISAFVESYKNNK PHYBLDRAFT_174819 MNSSLTLTSFLLKTTFPNVVGFVDGTMQKASCPSSYKDQKLVYN SWKHIHCIKYQTIAIPDDITSSLVGSFIESTHDARIFDKSKTLDRLIAHLDHISKDNN VPFEYIVYGDMAYPKSDKVYKSFPLSEANNDIEIEFGKVSQLFKFCKYNYGMKIFANT KPATIYILSTLFKNSHMCINDSAGSKLFKLQPPNIHDYIKGLMYERQPGHY PHYBLDRAFT_152063 MVRLRARTRDGKPAATQKTKNNKKQNKSKKEPLDLIDATKNKIK QIKKEPLDPEPLDPVDATKEIGFKRSATAQEDYQYDYRTSVGKRVKFQPGFPVSHEIV DDVKSGFNPTSDGWCGFWALAHLIYKDQEKFSLVKRDMLATLPKYSSIYASTFGTDVK QLEDIIKHGSDLCITNSNSNSNSNFIPVCLDASMWFSTPDCAQLAADTYKQPVCVYSD NPNTPSILFLPFTLPKNISKHQQPLIFNHVNNNHWTTVHLSRNISRKWLTIPELFFLG CVRNQIPDNFDTYWNKFKEFNKYDCRNAMFSFLSDQEEHVDFTIT PHYBLDRAFT_152065 MSDIHYVHLLQYYKVAYNNKQLVHFQQASESPHFVDNTITLLKY INILGQVYKSKGESGSRGSLVQAKFIGSTGEHIITYTGQIQYIFTHSFTSPPSSSSLA PLLCTHCRPTQLLHNSQHTFAFIKWYTLKNDCCK PHYBLDRAFT_174822 MANNHQSIAPASSPEYAELLRRLITMEESLKTMDSNIGIVIKSN KDLLEILGSIANASGELLAVIASNTIHASASVPFAASSIGSTLDWHTTPSVASSVAPS VAPSVAPSVAPSVASSVGPVVLTGANAGELSKQDHNRVLALIRGELKEHNFKSNKPEL VAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKANISDCIYTNFCGMRRQIKELYE SRKKTNSRSRRAGQETDYFDCCELTYHTFKAEIDVKMDKSCNRLLQKEEMSESESKDD IPGVLSKHEICTVRPSWRSNEFLAVVDDFMHNRIDFNSRQMLTKSFSRDAVLAVPPRL TSLLPHWAFRDEFQ PHYBLDRAFT_152067 MHLLHNAISPKLLSTIKHSYEHDESPSHEHIANQELSFHMSVID ITILASPMYSLGLPINPFASGSACGKNPYTKNANTSYYPAVLTFSYVQKLVLPPMILH IRKPHFFSSVLHNSLLPSLTKTEARLVHLQESKPANLAQQASMRWMESEIFTSTSSLL IYTRQLYETLYSAESIDLDATHNLIQFLPLSDCLSLSSSASLIYLITASKLESILNLT PINSSPGLDGLPYPLLATLLSSRTDAYFCLLIAMPKYSPSSLLPGSILASDLLSLLSN PALYLTGLLLTMAFLPTLSFLCLFFSTQIHVNVNSFVLASFSQGDPLSSLLFNLAFDP LLPSIFYSPLILGFVFNIPSRISPPHSNLSCKFLKLLTYVNDLLILLSFSYKLAPLLS LLFVYGKASNAYMNLDKTIAISLSGNFTPNWDPVLTLADITSLHNHNSANTIYYLGFP LLSHLCQLDSFHSAPLSKLDQHCLVLAQCCLSVHGKSLVTNSLLLSTIWYVLYIMPVP PSFFTTICKSIRTYLSLGFGSPAWDLLCQL PHYBLDRAFT_152068 MSEMDHNGHICYSTNVHTGNLWGQKKMKCCSKSTAERQREQFGL ERKEEVQLNTNSVVETLSQRIFHQKETRKKCPDVMQQKSESSSGREVD PHYBLDRAFT_174825 MANSTDKRETIMPDVLKTNTSVNARALSFVNHLSGCLLELKAFD LSKNTLVQILHTILIGTAKYLINELVKEILKPYPGKLKRLANGLKEHNILTGLSRKFT QLLRHSGSFLGRDFKMLLQTLSVVLLRDFSNDKIIDPILQVESKFEKYIVQVKYAVNS LIVKLHEFDMANVENPKHLPLSTKLKTHSMTHLTEDIRQFGPVLNFETEKDKQFNKDV CHKFAKQKIMQHNFANGSWINSNRQGEYSGPGIAEFIKSNYDKDKTFYNLFLRESQVL TDNNDTSNITTLKDNFFSAFVIKNSIGTTLSIGLISDSMTTFL PHYBLDRAFT_174826 MGKTFVFNALLQKTRQQEKIALAVATSGIAALLLDVRLAFAMTI NKSQGQTLESVGLYLPAPVFSHGQLYVTLSRVRKPSTIKIMLDTPANSNETANTVFTD NIVFKEVFDI PHYBLDRAFT_70206 MLGHSRSTHKQCLMNPKNISLHIPQKRTNVDEYSAESSRTAVLR IRSEPVQDQNLDIETLTSLSVSELTEFLLANETITEVLEAVMEEEIEETSSNKEVTGR EEEVEEISTVNRGSILPHCPHCNGTDHCQITSRFCPNNNSSRARGSRNRGRGLNNIAR LPAISEPAVDNRRDMDIECRFCGAMMWAHEKNSHSSLRSPTFSMCCNKGKHVLPQIVL TPTGIAELLNYRTRNGKKFLENIRSYNSTMSFTSLGAKIDTSVGNNINGAYNFRIHRT ICHRIGSILPVTESDITHLKFAQIYIYDSAAQIDQRQYHSPQLERSVLEKIQPILMET NPFVHLFRTMDQISQEKGQSIDLTLRLVAEGPRDQRRYNAPTASEIAVLIMNNEEGTS RDIVLHTRANFQQNINEYHRSYDALHYVLLFPHGEDGWTIDASSLSGEHVTVMQWYSN CLMYQRNTQHLLHLFGRLFRQYIVDMYAKVEHDRLHFITSNQNRLCVDLYSGILDAVI HNNCNLANLGKRVILPSSFISSPRYMAQLYQDSMSIMRRFGKPDLFITFTCNSK PHYBLDRAFT_174828 MSKVSFEKLYNIVKGHYLYKSSPNAPQTNVKFQLTIVFEQLGSD SNAASYSRIARRSDISTYQQMRLYSKQIEGSTRNFLFRFFRVMMSIEHKSVYWPSELK KQHIIVMYELGDDMMISCNVCFSTIIFYDLVFMFLVLLTRVLVFVLDSVILQKKYPNH YLWISEIFSTSLYQEFEAQLSGAIGESTKKFQVSDCIQVVASEIAAAISVGLETRNVL VEIFLQALFCLCNDPSQIRKCLENVLQTQSPTSSGHTAPSVPSVTSASLGPSVPSAPF ALSSFTQVTNPDNNEEILPYYKMSQKILPVTDM PHYBLDRAFT_70204 MINKNCANLVEGGFTYNRDEAMTLAEDQPERAAFKATIADLTRK LEKLAANYQSVMEKFNSLLAKHNASTTRATFAPTDKDSLMASKQAPKTLMNDDSSNNC TQCANCNPITDNSNNNKSCNITTGSTATPTQTSYATQAKKGINAKQAKQKVQVRRVQG QRLLQKPTGPSEYEFVYLLAKRCVKYQEMREILSSFKIPTSHILDIQFLARGTVTLLI DGEFRKELIALLGKAKVPPLDNFDPTTTNVIANPKLKEEAIEVRTRKAQTLFDTRLVK ACLCMPTYLVHSIIQHFLSPKAVVKISQIAVSDYLEGRKTDSTIAPIGLFNANGLRPK LVNVIDACETNDIDILFVTETFLPESAPALQCPWTQIHNFAIPTTLPEPMDGILLEKI IAVYIDIWFPNLRSLLVNGWLSLKQCEVYKAWIDPYTIHCIYFPPRLTSSECQERLAL LPVDDFTIVCGDFNTQLHHTTRDTRGGPLSEIMANWISSKDLTLWNSELTFGIPTYER VHTSGRVFKSIIDFFLSREEQFHDMQMQIHDELALDSDHHLCELYFQPVFIPQLPTEN SVRRLWKLQRLEIEEVYKKYQRRFEVGSADWRQELYRWRRRVGNDFERIKAHAEYVAA SQDLRTAVRAARQQIWYDFCNKIQKAPSEMVSALKRMKIWRRSPISLTSPEGPLTAAN NMIDHLEDVFGRSTEILTEPAVTPMEREVPWDVDQVKEAIRRLPQWKAPGIDHIRAEM LKSSTPHALQAVLEMVVDACCMENGTGGTYLQKNKGAHADPSSYRSISLTSVFRKLLE RCLAEELLSTMPELDIAQEGFRASCGTLDQALCLHELMRQYSVPDPDDEKCSVPWCYA KTWSPAGPTATPLSLLEELRTVTCNVFSVHRTEGDAPDYFPKSMAPINCLLYAEDVAL IGTPDDVQKMLTVAKTHSNLLGYKWSPSKCEDSLLLAKGYIEPTCSLSRSKKLVQQCK AQNMCLRTCIRRPNATMGVVHIAALAALPNLFTRSRALQAKFLRRAETLLSVSFVKAL TTQLDLSKEKTTWGELCHSVLWIKAQLLKEHQPRLKDPLKEAYVLLCQKEIDMQLVSI NRPVTAARGFCKPFWDPVLLLPCTRSERRRLIKWRIAWLPPTPSVECQCGAIKGNRKH MLLCPTTITLVQKLWSLIDPAPPPEVHLIDYALNCLPQSFKSPGTWCDWWPCLLALLR AVDQTTSSYKLPEEKAHGQILIDLAAKFRATKPTHPHRIPPPTQEPVPGDPFPHLLSE ISTVPRQPPPSVPARNCA PHYBLDRAFT_24766 MEQDEAISVFNDFTDILQSEVHVDLERLRILARHGVPNQLRGEV WMYLLGVQDADRSKELTRSRARSQEYDQLEKGDPDLLRRIRAEVGRYQRRTSEMADQQ YLPRFENIITAYLNTNRDVDYHPALIYLCAPFLYALDRECDAYFCFERLMQAIDEVNT TNTTKQRVAHFLSLFRYALPELCNYFEDEEVNLDEWSTSWLQNLLSKELPFSNLVALW DAYFAVSDPLEFHPFVCLAILCNAKDNLEDLEQSEIRTMLLCLPVMDMKRVSLQIKLN PHYBLDRAFT_118893 MLAQKGIPLTEEERPNQFERPKEKADAEFVKDIPINDLKNRYML TRGATQTQIQRETNADVTTRGKYYPENILPTDKEPPLYLHVTASTQESLDKALAQIDN LIKTATVPLPGTGYPPRERKFLEHKIYVGIEGSPHFNIRAKIVGPQGAYVKHIQNETG SRVQLKGRGSGFYETNTGVEAEEPLHVHIS PHYBLDRAFT_152076 MRLGQPLHSTRSMNTTHHESIHASSTLDLQRSWELDRDVADCRQ CHRPFHFLLRRHHCRSCGHVVCDRCSSRRIRLSPQTMIEDPTIPTLHYASIAQQPQRV CDSCAESLDRQRRTRRMERSISRQSRMAECPMCGVGLLHHSLAEQEHHVAECLDKGSP PVRRPRYLVHQLSNDSPQLGEECPICFEDFVAGDAVARMVCLCSYHAHCLSEWLERGK GCPLHDHDSLASF PHYBLDRAFT_183730 MEDTVSVIAATFGGLMLFYALFSLLLKDRLYISEPLVALAGGIL LGPACLDWLNFQELTSTPDFTREFTRIIIAIQVMAAGVSLPKAYLRTELRSLLVLLLP VMIWMWLVSGLCVWWIIPNLGFLEALVIASCFTPTDPVLASSIVQGRFAETHVPENIR HILLAESGANDGLGYPFLFLAIYLVQMTIGPALQKWTLFVLGYQIIFSVIIGFVVGYL ARKGLQFAESRRLVNKESFLLFSISLALFLMGTVAMIGSDDLLACFIAGNAFTWDDWF RVETKNSSFMEVIDLILNLSIFGYIGATIPWGSFINSAIGLSFGNLLILAILVLSLRR LPIIMACYRWVPAINTWREALFVGWFGPMGVGALFYYAETMRHISPQGRTASAYLVIE PIIYFMVLSSVVVHGGTVPFFTLGSYARRSLLPTFGTLADDTKNDDNPVNRTSGYGSI ATS PHYBLDRAFT_79763 MASQVAGYQIHASNLLDLSLEEALANAMHAGSLLSAIVQALNHK ELETNESAKDAYAECRKLRDYLAEQLWTVSDTDGVASIQAALDALTETVDDYEQKQSG YFKETIF PHYBLDRAFT_189191 MDPSACPQPQDNYSAGTPAQEDCSTLSPTLADILSDDRILRFYQ CVKDIKTQRSPKQTAAMFFALCHALGDTVSFLKVPNMSKIIFRQEQQIFAVNVAGTQH DAVQLFHNTGELPALDPLKMDNGPILHPVEHQNLFAAPDTTKRAKKASPRDKEYAVRR NELIQDLLKVPEADLLHQANIVDDDIKLVIQGHERSTKFGVLPPLHRFATFANLPAHY DCDFAPKNAGVYFNFEYFQLYLAYRDLELERQQQQQQQHQQHIDTSDHRPVLVQCRAD IEKVLVNTNWEAIRRRLTIGERICQVCGAFGRGFLLLSKQVSGRKLLHNFNTGEWSEF MREFQHPESQALLQTLQAKYSPERFYGTRPVQALHDVHSVIASANSSSSGASSSGAPI PASPSASSGAIVVVGPSSSSSSSSGPGSGSGPGSGPGPSSTSTSSNVNHNHNHNHNHN NNHNHNHSHNHNSNNTGANTPVGTSTASSANPNPNNNVSNPSPISTTIPSSGPEIARV ATPLSTPVEPSTETQ PHYBLDRAFT_87177 MAQQQPAMIDSLDFAILGAIGLGTAAWFGRKKIAERFFKAAPPP PPAPVQAPKNERNFVKIMQEQGRRVIFFYGSQTGTAEDYASRLAKECSQRYGVSCMTA DIELYDLSYLDTVSPDMLVFFIMATYGEGEPTDNAVEFWELVTEEEPAFSKDESDPTT SLKNLRYVMFGLGNRTYEQYNEVCRILDKKLINLGAQRVGERGEGDDDGSLEEDFLTW QETMWPAFCEALGVDEHSARSGPREATYKIDELEISPDSDNVYLGELAEKSDKSKIIY DAKRPYLAPIESRILFDEPDRHCLHIEFDITDSNLIYHTGDHLALWPSNSEVEIGRLA NVLGISHKLDQVVDVCAVDKAAAKKSPFPVPTTYRTILRHYLDICAPVSRQTLMALVE FAPEEIKPRLHELATDKDAYRLQVSEAVRNLGEVVEYVVSGGGSPEGVLAGVPFDLIV ESISRLQPRYYSISSSSKETPKKISATVVTLAYQPTTSPERTVYGVATHFLYNVYARS HNSNEVLKAGGYPTYDIQGPRNTYLEPQVRLPVHVRRSTFKLPRNPACPVIMIGPGTG VAPFRAFVRERAFHKKSGRPVGPTLLFFGSRTQQDFLYADEWPGLFETLNEEKEGEPN TASRLITAFSRESAKKVYVQHRLQETGEEVWGLINKGAYLYVCGDAKSMARDVHQTFV NLAVAYGGKTEEQAHDFIKTLRSTGRYQEDVWS PHYBLDRAFT_70196 MVQYAENVLLDRNNIVHLTFNKKFALDNYKLIGYLIVRFQVHQK RKKKVFFVLFLKEYHRPSNIPCAYKPIAELCKTPLSIQAIGQALYRVGFPQDLDLITH SGSGLIRIIRYL PHYBLDRAFT_70195 MRDFIGLGYSELTKDFRTCEGQQPSTLHRIRPIPTKISVKTVII PTHCKITVILSSSIVFFSEVIVESILYCFQEFLIFSICCCFFTASVPIVVNSRYYYNF WAIT PHYBLDRAFT_70194 MATELPLYKNNGPKKLQRPSRHIIIVLMLSAILIIFLLNRHVDQ LPKPTQQDQVLWDVQAELPPPPKIQPTQPTQQQQQQPHKNSSQLSNDIKPASPHSDQH SRLSRPFADLTEDPDINQSGHYTHLVVIGSEASQGSRRQLIRSKYFGLRDNLLPCMQY DTDIYYTFWIHGGLPLPDTPLRRTYESEKMEWNDMQEIPARLEFEQANVIAWVENTLL VEKKITYDYLIIQDGYSFIQLSKTKFELDSGVIGQYTHSPYSLTSDTPLNFVWGTFDS TKSKSKSKNQNENQNKNQNVIVGSTAAKLALSKQSEIHHLYPDLPLLTGMYELYNSIQ DTLKAVTDASLEPEAAAEEQERLIPAFIREDGPEGTQRFIDWENNIESVHAEDIVVKN VYQDSEFAALSDWSYLKPTRVCHQHGSFSANHPPVHPMDDDQDMSEDSEETDDETSSK DYSNSGGMGPSVAVLTSSYIYDACMEPSATRAAMNKRAYALEHNYAFVARSAEFAQQS ATGHVRRKAVWGKIDVLEKALPKYDWVFWLDMDAVIMDQKRSLDDLLEELKADYQKQD NGFQFDKQIDMIVSRPSKDRMINAGVLLIRNTPWAMQFLRDLQDMSGWYQKGPSYEQG AMWELLQKPGNRERVLLLDRDDHTFNTFPSYYIPGDFVVHFAPDKCPNDAVVKGLNAA DLILQGQRITAKDIE PHYBLDRAFT_137141 MNNVLERQRAAHEDIERLEQAIVDQFMQDAKTHRERLHAEHTMD TFLTRISEKSHRLYDLYEDQDGLRQSEINALSGSSEFSEFYERLKVIKEHHRKYPNET VEPPEMEFIYLNQRKDESDDDELEKMFSGEEGLGRYFDFNALHAQYINLKSVKKLDYL QYLSDFDNFAQLYPKSIKSSPEYKAYLDSMVAYLRSFFERAKPLFDVGELENRARKEF EEAWDEGRVSGWEWSTQEKPGEALFCQKQFTKQSVFDGHKNGKKHIKAQEKLDAGGPK TKEDQRKATAWKETLIIRYADALSDVREESKANVERKQALTDKERILEQEQEEVEIAE QESDEEDEDRIYNPLKLPLGWDGKPIPYWLYKLHGLGVEYPCEICGNYVYMGRKAFDK HFQEWRHAHGMRCLGIPNTRHFHEITKIDDAYTLYEKLKKEGVQEDFKAETMEEFEDT EGNVFNKKTYEELRRQGIL PHYBLDRAFT_70192 MYVYIDVRLEVLSELNINLLVDKNEPKDQDDILLPEWKDITEFL NKATDDFEIGQLVQLPSFSLFDAMSAIEIMDPKMDTGMVLPDSQSGVFDVSKRISAPH LIWIMDRLLSYEMAWISGHALSQTVFTCTYFHHITALAEDYHPPAATDPAEVIYSALR TYIIATVKCCHYVWNEMTQGNVYEEEDFTTNLFGLHLCEQFSDVRVLNDIDTSLHLIG QVIEREPKDIEIKDLKGIVNRLQARKEFLVGLVYLSQPHCSHFSQARAELRNLLDILR SSEPDSIRKTMRCDHVLEGAFDPNINRKLVSQAPPRPIILHSDEEVMSINPKSQSVIY CRLTFYTQSYDNLILLVERLESICNVPEFPSTGSLFNYFGYFAAKPYPDALSRSTLNT SFYHDSRIFGMYPPSQLIQSAIEEFTQPPEWWLAPSKAPITASLTPENLDLARNMLDQ VMDRAAPSFIDFFKIQCQNRARQRRIQCKIVKEWDALQETVATVDEMFHEISGNDTIP YYMSSWVFNIKLDMMENILGLGFELELYGIHEYIMVYWYMQNVLDSRVFLINRVQKFI QPAINSTSESNNGATSSNSKNNINNNNNNNNGGGGGYRQPVQTGVKSPKSIVSSSSAP HPGSKEKKGPETTSDSSPSDLLECLSLLYKAKKNLVVAVYRLLRCVEKTGQLELRPLG FDDEATRFQHRFKSFAGLASPPAPSYETYVETQNFDINISEWLCSCLAELKEAKGIFD TLLNHPAADTKTEMCEDAFKKELLAMMRTCVANSIAAMRLLSANPNETKVDIEFKYHP WWPVIQLVNK PHYBLDRAFT_174840 MSAPLPYEILSYIAKCIDRNTQLTCALVCRHWTEPFLNAYWNFP LINGTALKSLCKKTNRNNVHLRNLHRTRGLNIYDLTEKDMKNFPKLQQIYQNITRIKY TESTRFEPILSTIDWAPWKTVIHLEIDYDYTWTDPVEDILFKLSAMHSLVHLIFDTSS AHMDDLKGLHLSWGDMEQLHGHFPRLEYLETNCLLTPMSYRVLERVLELPPASNIKHV RFDNDAVSTPWMFYFACKYPNLETMRFVEGDREPEDVERDFQSKYYQGDLLILSTLDR FFPCLKTAHIFTESWNGWPFSLFTKSLKHFGVKLEFLVYNLNIYSADWLALSNECLEH VASSIRIMYIKWGENMIDKRITDDFVSCPSLIELHLYTRSQFEIDVILDKCPELRIFD IDIDGGDWFENGQLQLDMPFSQLSILTLAEFYHHYKRTFNLFAFEQTGDSSTDTSANL LEQTDQLQLPHLKWYHVCLDRSSGKEKPAIWELGSQDIDSVKKYHENYFENKQHDIIQ EEEQEKAKKTSDTRLVPRSDWKRDLRCGIIIVRVKSVEYFIFEGVPVTHDH PHYBLDRAFT_174841 MVKESTILKSRTLKDFIIKTHKPRKDSDFTKGSDKQTSCFSFLK SKRQQTQAVQNHSHSATPSHSKYFLSIPTHSSGDIRTIKTPENPEKIACLFNSTPKTA KEQNNKFFSNCEEYGVVTVIQKQN PHYBLDRAFT_118967 FNSRVCQVILGAGAMRSAGLKNISAKHLALASQSVGLMIGLIPS LRDCIGKHMPAKHGVLLSEFDRIVRDYKDHQSEIHSKLVAIMNERFSVHVKAMQNVQW DEQETTGKAANQYMETLVKETMTLHKVLSKYLPHHDLQFIMSQVFTSFTTQLSDQISR LEIRTEKGKERFVVHIDYLDYYLLWLLRG PHYBLDRAFT_79759 MTADTQNEIDIHTVLYALKNQIDDLENENMDLKRQLEDNKEIQR SSENDREYLQKKIANLQQETLNYSNIQERLETEIYTHEQAMAKCQKENLQLTKAKKDT EKKMAFELQTFETERVRWQQREADFYNQIRSLNALQQTEPRTPKRKSTLGVAKSIESS TSSPLLPSSSLSLSQSKSQSTSSALSPQEQQEQLNTSDSIENGFFTSATSMSTTTPSA PRLLQNIDSKLSREAKSAQRMVKSQDKMIADLRREAENSQTIIQEQGLQVRAQMVRLD HLEQEVASMKQLNRSLMEENESYQILLHEKTISGEFMMDPILQVDQESEKAQENRRSL NPSSSTASSNSLNLAAELNLVSVGTSDWEAKQISDENTKTIQKLTEETKVLQDTNRAL QLYMNKILMRIVNNKQLEDVLSIDQPKPKPSPKLAPTFTSTSAPANGRKSGSLSVSVP TSTNNNNNNNNNNNNNNGSKRSIPSRPPRTSPEAISPVRSFSSNLLSRATNRQSRPHT VSYKAEGSPKNLTVEDRRHSTAPNPNPNPNPTLSLSLNSNGNGPQPTPSRSSWSSALR RMSSAWTNPSLVPPEPLEDKRKDLGIVSEE PHYBLDRAFT_70187 MTAVQESSSYKGYFKPKFWQRSKVDIEPTTTSQDTIESPITPKD IKPLQKQSQPQEEEVEEQQEQLKPKQQRLFRSPFSRKAVDPTLSVQHTAPTEVYELSM VSDTGVYIPPIEEKIRDHWVDISQPAEFDLPSPECLTTALGGPHEFFTPSAVAMRSSS ISSLSLNEDNSDDDGGVPSLISNSSRRSSSESFH PHYBLDRAFT_152090 MSHARRAVTYDIEKKTTDRVELEDVHKSSKEHSQDMAKVIAVEE IDTNLYMSKELWVPLGSRGAFGGQVIAQALNSAWCTVGDQFRIYSLHSYFILPCNADI PVIYKVQRLRDGKSFATRLVTAVQRGKPYFIASFSFATPEQVVGLVHQAQMPDVAEPE DVPLETERAKEWLSTDDLPTEFCQYLENRIKNYRWFKSYNKLDSDDAKLHACSIAYAS DSGIILTAAKANGYIYDTMGMVVSLDHSICINRLLYDIHSPRTSEGRGIAFGRIYSRE GTLVATTAQEGLVRLSEKGQIIEKQKRDHENSPADASKL PHYBLDRAFT_70185 MFLARFHETNRKHPPQSHTKGSADQDKTALLVKNGSKRPSKSNQ TTSGKLNQKPHQTRPEIKTIMVLQNPRPRTSSARRRMKSTTPRSWNQAWKEVNSNLLI GKAQVNPAIVQAPH PHYBLDRAFT_174847 MTSSFNTMQAQNQFEFANARSVGLETRNVLVETFLQASFRLCND PSQIRKCLENVLQTQFPVSPVHIVLLFYYLFVVVAFVGLRTLQQTINLLYLLQLKISL NRLVTRLWCSDRLNQGDSTIISSD PHYBLDRAFT_174848 MGTLIKRIVHMNFDVYVRKHINQGSLGGFTSLFSIIIIIGWCLN YRYIQKSTSKAESPRNTYSEFFRMSTANNRNHMLICPATITLVQKLWSLIDPAPPPEV HLIDYALNCLPQSFKSPGTWCDWWPCLLALLRAVDQTTSSYKLPEEKAHGQILIDLAA KFRATKPTHPHRIPPPTQEPVPGDPFPHLLSEISTVPHQPPPSVPARNCA PHYBLDRAFT_174849 MEKDTISGVEAKATTIIVARLREPIPYLLSQLRQLSYFEFFAML QFKPQFFDCIGWQVCNSLQVIVNFHFQVLGGFTSFEWTIGCSGSLIRKMFGCDESSKN EKRASKRLKK PHYBLDRAFT_174850 MNPVKKTVQKLLELYKKLKSDSLTTDRSELIELPKEIHDDMENT LSISLKQNLKRFAKDTLQYHGAIHKGAERIRTTTKATIEIYQNLGYLIETGGSPEEVH ATMEKARRLAIYTYAMGKGLDGDTKDIADKAIHLPDAIRYLNENEEAEGKDLAYSPET LERIQEARYEDAILQKATDSPCAYNQNCGQYPGRGGRGQRTYQGRGYTHNTNNHGGLF WERTTKRTRSTNDVSNKSTSSQQFSPSSLELLKHPSLTIEKISKTIQLKNGSLLSDNT MKKSLENKKKRQYSTLQYPCRLDCTCEQTPKVPPQLNNTHESPMAVLHYQPRVPNTIP IPTNSLEDQQAFQTLRDRPASSEQECRKMLRIQFRDSSSKVYDQVWNKFSEWCQKMDP TLNIEDYNPTLMVEYLVLNRH PHYBLDRAFT_70179 MAEAAYYISRRRYIRKELVDSYNSMGPVEKDRYYEMAKENFVTL HQESSVLEVNLGAKSILTIMNTIKLSVNYNNLFLFWESGTSYCQDIGRLFLLKLLGNV ERRPTTIAANEYRNKINKRLITLFNEETEELRKAFPWKIVKEHKDIIRVVRWPEKMPF KPLSSIKDIEKEILVDSLDKGLISFYYYLEGLA PHYBLDRAFT_152097 MVDDGDLRVAAPIYANNMKLYQQQEQLKYTEEERPKPAKQEAKR CGAYAPELGLL PHYBLDRAFT_174852 MFPSIQMNNTECHCTRCNNIDQGVSRVLRRTAQRHNKRAIFEVI PTYQSDSMEAMDGQAYSPILDAVSTFDNDVFVVGHTNDNNSDENGEEDFANIDVEEFD SEDPFAASGMPKNPVHRFIATFTVLFASRYVVNKGSVVLIEFINKLLKIYRQHFQLPE SLTGLHKMTGFSSITKGIKRFVSCPNCHCIYEENMSVPPYCIFTNVRARSPCGLRGTI IDPMHNLFLGTPKRMMDRWVDKKTIGAKEFAAMEKIAETMVLPTDYTKLISKIGKGFP YMKADDWKSWVLVYSPVLLHGVLPFEMYNNWMNFVCACRYLIKPRITFDEVNSAHDYL EMFCKKATKLYTPTILTCKMHQHLHLRKTIHDFGTVYSYWLFGFERYNDLLKHIKTNR KDSFEATYMRSFVQNAFKGDYANAVLKSSSHVPFLNILSKLSPKFTPTTTVITLSSRP FRLQSFLLALSNPHLPPKSNEPLSPSTFPLQLKKSSLIDETDYAHLLQHYKTS PHYBLDRAFT_70177 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQSGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARAPLAAPVRVKLSVAAPVVISNHEPTREES NAVYLSLRTPENILANNLKPRWNTNVAFNKSPNREIAERLLSNLEHMFGSSSMRRSDL RKRLHTNFTSRTRRERMSDDEIVETNALTRRAARADNNECRHVLAYKDNKEAIDLVML RDCANTLQKAVMSDGESADGMDEDGIKHVIHIVQSSWRSNECNRFIALVDTYAVQAMG SSANQRIREITTSVSNPVVLDNISPNFLRWVLRDRL PHYBLDRAFT_152100 MPQELPTHATVIAMNLSSPPIKADPSSTGRRPVLTSWKRTEWGG DRERWGVRLAMGLKNKREGKLNYPTPTTPTTPPIHTTPTPIHPTRR PHYBLDRAFT_174855 MSFENYVRWDGIRWDGTELNGVIGIEEGVGIMVNNIVGGGDSGS FVKLMAGCEGHFNIPSSLGDCTCFFGVKCLMLFDPISLSIIIEECVWIWILLSYIKSI NKNINNNAIVNDL PHYBLDRAFT_183736 MNILKKDDGMDEWENVEGGCKPPKSVSQTISVFLLCMRRVGWMG VGVVWMGGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_70175 MISVFRPFGPLVFCSFCFFFFIYKFSLFSLFPTFIIFTDFLDTR VLLPSDASPSQCPSGLAKAISPKLLSTIKHGYEHDEPLSHEHIANQELSFHTSVIDMT ISAPPMYSLGLQINPFASGSILSTMVRIHDAFSFCLCACGKTPYTENANTSYYPAVMT FSYVQKLVFPPMNIFIGERKKFLEVDYFLQNFTVWIENKNLEFQDL PHYBLDRAFT_70174 MANNRQSIAPAPSPEYAELLRRLIAMEESLKTMDSNIGIVIKGN KDSLEILDSVADASGELLAVIAPTTIHASASVPFAASSIGSTLDWHTTPSVAPSVAPS VASSVASSVASSVASSVGPVVLTGANAGELSKQYRNRVLALIRRELKKHNFKSNKPEL VAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADISDCIYTNFCGTR RRIKESYESRKKTNSRSRRAGRETDYFDCCELTYHTFKAEIDVKVDKSCDGLLQKEEM SESESKDDIPGVSSNREIRTVRPSWRSDEYNHFLAVVDDFMRNRIDFNSRQMLTRSFG RDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_174858 MHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPDGYT SLNSKIRKQFSYMKADEFENWINFVDACRLLIKPTITSDEVNTAHQFLQTFCTRCDEL YNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERLNRLLKNLKTNRKIGFEETFMK KFIEGVRKDDLVNSLPQSTRQTSAFPLLTKLTSSFTPAAIPSIHQRTFCIQSFVEASE DPNVLIKDNEPLPPSAFPLSLKSATTMSDIHYAHLLQYYKVAYNNEQLVHFQQASESP HFVDNTITLLKYVNILGQVYKIKGESGSRGSLVQAKFIGSTGEHIITYTGQIQYIFTH SFTPPPSSSSLAPLLRTHRRPTQLLHNSQHTFAFIKWYTPENDKSREYKHVETCFPTF SPDYFQCVLPVHRIMLEVATAEHTTRKKVKKMLVIPLPKKQYI PHYBLDRAFT_70172 MTQYIKWANTLPQKSSRHSKFSKRCTWFLFLLTLQDMSIQRNMM EVDDEMLYSKCSYLSPSTRSLEESYTQTNSPVWEGASMSDTEDVSVTNDAISNGDNDD SGSNSNKINENESEDDIIDLDSNELNSEDPFATPDMPRNPVHRFIATFVVMFASRYVI DKGAVVLIEFINKLLTIYEQDFQLP PHYBLDRAFT_70171 MYRYNGLAVILFSMVYNRSGEAYKDLSFKGCLTLAAQILIKKSN YFTLKKNINIDIWAILAGKCVAQNSISLQDSSTHRNTSMTAKIHRKESQRYRLVRLSD ILKINDSVIGVNELLYFD PHYBLDRAFT_96141 DNLFAFDPEQLAVLVDPKNIPLLKTFGGLQGIARGLHADIINGL YSSELITTPITLADLSQPQIQSQSQSQSQKISTSPLQPVQTNHSTGANSTSSKAKGFV SRSAIFGTNVLPPAKVKSIFELMWMAMKDKTLILLAVAAIISLGVGIYDDVDIPEVDA MGNTIPGVKWVEGVAIMVAIIIVVSVGSANDYQKEKQFRKLNAKKEDRFVKATREKNT LLISVHDIQVGDVLHLEPGDIVAADGIFIEGHNLRCDESAATGESDALKPTTATTENK NPGTQHKSLPDPFIISGSKVLEGVCTYLVTSVGVHSYYGRTMMALRTDAETTPLQEKL NHLAETIAKLGSAAGLLMLVVLLIRYFVKWRYGVPSPASAIVQGIMNILIVVVTIVVV AVPEGLPLAVTLALAYATQRMLKDNNLVRVLAACETMGNATTVCSDKTGTLTQNKMSV VAGTFGSSFRFIKEVPPNRKDLHPIIAVGQKAPRQILNLLNQGIAVNSSAFESLDDNG SKVLVGNKTETALLAFSHEIGSEDIEALRSRWEIEQLFPFSSDRKAMATVIKIPHPTK TGKFIYRAHVKGAPEILVDKCSTIITLQDSQYAFSEHDYDVKSRKLNTEDMTRVVHII QSYASRSLRTIGIAYRDFEQWPPSGENVLSSASSSSSSSSNIGEEIEVSYDEIVRENG LTLLGVVGIEDPLRPGVTEAVKACQRAGVFVRMVTGDNMMTAKSIAKQCGIYTQGGIV MEGPVFRNLPPSEMDAILPRLQVLARSSPQDKQILVGRLKELGDIVAVTGDGTNDGPA LKLADVGFSMGISGTEVAKEASSIILMDDNFSSIVRAIMWGRCVNDAVKKFLEFQLTV NVTAVILTFVSSVVSDSQDSVLTAVQLLWVNLIMDTLAALALATDPPTEELLLRGPES RSSPLITFKMWKMIIGQAIFQVIVTIVMLYSDIFSYDPKSGILQTLVFNTFVFCQIFN EINCRSIDDSLNIFHNIIANKFFMFIFVFCVACQAIIVNFGGAAFQVTRIGGVEWAIS IVIGLLSIPIGVIIRLIPDHI PHYBLDRAFT_137145 MILGPIAKTKDDYDNDNNEQEKIDEDDDEDSHVQGLNIVLLPHQ VRGVAWMIDREDNERSLGGILADMGLGKTIQTIALIASTMPSCDPHHQNQTQSHSEVF DKDRRVTLIITPLALIQQWATEIRTKTVPGKMKVMVHHGPNRTKDPMEFLKYDVVVTT YQSTASTSKFSTLRPGCGPLFQIKWHRIVLDEAQQIKNKSTRSATSCSELDAEKRWCL TGTPIQNHVDEIYSLIRFLRIQPLADYQTFKKTISIPILSGQGQIALERLKAVLMAVM LRRTKEVLILHLPLRDKQDIVLSFSPEERGLYELLTAKTRDTVQKIFHSGKGDRNYLN MLCMLLRLRQGKVYRHLDTYMNEIELHSYINACVCVYISHLPKKKKKCDQVKENLILY LQLAFEESEKPGSYCSTCKGQLEQRIRSASNVSNVSNGSNDGHNGQMKTYLTSTKIEK MIEILQMSRRDHPTEKTIIFSQFTSMLNLMEEPLRQCGFKYCRYDGSMPSQLREKSLE ALRTDPECVVMLISLKCGSLGLNLTAANRVILMDIWWNPSVEEQAIDRVHRIGQRLPV HVYRLIIDKTIEQKIMSLQDKKAQMVKGALGDGMIKSTKLTSKEIRSLFDL PHYBLDRAFT_137149 MDHFEAASQDDFTFLEYSADTQTSQYDYNDFTQQTKTDRHDPEP DLSLAALSLGNLTQTDESENAKANGTASFVNEFQFEDTDDFDAFGEGLGPLEEKDLPS HACNYCGIHAPASVVKCVTCDRWFCNARGHTSGSHIINHLVRAKHKEVMLHPESPLGE TVLECYNCGCRNVFLLGFIPAKSDTVVVLLCRQPCAAVPSSKDMNWDTTQWMPLIGDR CFLTWLVKIPSEQEQLRARQITSQQINKLEELWKENSEATLEDLEKPGVDDEPHPILL RYEDAYQYQNICGPLVKMEADYDRKLKESQTCDDIVVRWDVGLNQKNIAWFYFPKLEM GEVKLAVGDELRLRYRGELHEPWEGVGHVIKIPNNVSDEVALELRLLDRAPVECTHNF SVDFVWKSTSFDRMQLAMRTFAVDETSVSGYIYHRLLGHDVEPQVLKTQMPKRFSAPN LPELNHSQVYAVKSVLQRPLNLIQGPPGTGKTVTSASIVYHLAKMNPGQVLVCAPSNV AVDQLSEKIHQTGLKVVRVTAKSREELDSPVSFLTLHEQVLNNDTNVELQKLIMLKRE QGELSASDEKKYKSLKRACEKEILQNADVICCTSVGAGDPRVSKLRFRTVLIDEATQA SEPECMIPLVLGCKQVVLVGDHQQLGPVIMNKKAARAGLCQSLFERLVILGIRPIRLQ VQYRMHPCLSEFPSNMFYEGTLQNGITTQERLRKNVDFPWPVPETPMMFYVNLGNEEI STSGTSYLNRTEASNCEKIVTRFMKAGILPSQIGVVTPYEGQRSYIVQYMQFNGSLRK DLYKEIEVASVDAFQGREKDYIILSCVRSNEHQGIGFLSDPRRLNVALTRAKYGVVIL GNPKVLSKHPLWHHLLVHYKEKGCLVDGALNNLRVSMIQFSRPRKAYRKDDKFSQGLA HQIDAREAFSRPPLASENRRGGNGGGYDQDFMQTHGPVGYIPSDLGSFPSSQFNIPFI PSASGPFTQDLSQSSVFNRKNMRQNTITESQTSRYIPGSSTFATHSFGWQMGGPGGSG GSGSGSGSGAAAAGSANGAGGAASQNLYSSQASIGLALSQSDRLRMMTDMASQTNTQP QGMMTQDSMSYGFDDYKSQDVSSMMSQDFDLRSQASQAYTQY PHYBLDRAFT_152108 MQIKDKVVLVYGGTSGIGKELVCKLLKLGAYVVFTGRNQKNGDD LKEELIKEISSKDEESDDSRVLYHKCDITDWPSHILIYSEVKEKLGKSIDIVIVVAGM MDSSSIIDDSEQDGIYRTIEVNLTGAAKANRVAIQHFLKEKKAGCVINTSSIFGLCAA PLGPLYAASKHGIIGLTKSYGNLFRSTDIRVNCVAPHFVETPMLAYGSKTVVDKFGTI TMDNCIDAYLRLIEDDTLNGDILTISTEKSYVEKRYGDEIQERLDRVCKKRREGELDK IRDKFGYPN PHYBLDRAFT_70166 MCNLAHQGHSIFQPNNWKHIHSVPGSVVALDRYKPFFFVVYMFK ELSYFVSRNFVFDFMVLSYCKNIKEVIRISSKRGQTKRGFQTRSKAEHFGGGQPLWII TSVPVYPQNYT PHYBLDRAFT_174865 MEFIDAVNRTHSLLKQVFTSQDEFFLASCSANISEIPWSWVAVS LATILQEHSTGLTETAVLSNLEIQANATKIQPIYEKDESQGRVLEAKIFLSFAVRGGY KKSLRLLTVQAEMDSMPLELYLHPKFNALATSEIYSLQGQPPFLKDRKVRMICPPKLN RPVPSLFDDPSYASIYRIARVPATSLMMFELTAKDKPFITKQFSHSIQSIQSILGSHP HLQAHTYPDAPKFSLWLKVTHIENITHTSSVVAMEATEDPSNLKRLKREDIYLADMTN ENAPIMLSFYDDQTKLIAMIKRGDYIGLYNPGIQTGRLTNSQKEYADVILEYTSDTVL FFMSEQEAQLAQVAKVNNNSFYDTLLSSLYTLKSKNIGAQHHQQAIRENEIMTRNEEG FMDCASYPKCIKTNELVQSMLNVTLFGRIVALGNNALFHDPKGKIMDRYPMRIMDETG KVDVTLWEEVGRSARKWREGQYVLLSDLSTSSIHMSEKGPQWYVNGSAQCGTKVFNVS LIAGLLTSSEFRRTKTIKACVYEGVDHWQAEIHIVGWELHLRTDPERAVLSDENESFT RLDETGEQEDQYDDEFGFGFGYDYVSGQEQDQEQEQEEYDPFGHCTRRLGDAIITSVH DACLHPITRSDTITTTETATGQKILLSEQEEMDEKGRLMCEFCRCDITPNQVVQAFES KPSSNSNNLKNKNSKPRMELLAENSWNGWLEWRLDDGTGMIMASGCEEGILNIPAQQF KKMSHDTQVTYLDTTIGKPFFCSLTKMTYIDTFGTISTLSTLGTLGTLVVWPSSYRSG IAPTTSLPW PHYBLDRAFT_79757 MKSVFFSTLAVLAAVVNTASAATFITPWANSTWTAGGHGNITWT ASADEAALNCEIQMLNGEVGNANLVAYVTNPASPIACSAGKFDIYPLNDFASGKYSLR IGQSATGNWGYSGVFNFVGGGTIAPLVVVSGTSASGVAASGAAASGAAAASGSAAAAA SGVPTHAAAASGKASGSASVSGSSAAASQTVSKDSAGVQITAKNSLFIAAAGAALALA L PHYBLDRAFT_6352 GIGPYTFCHALGNGKFSRVMLAKHKNGTLVAIKMIDKQAHDYRV MSRLVREIYLMEALDHESVVRLYETFETCDTLYLVMEYVPGCNLDEHLQKSGGSISED EARLIFRQMVVAVSHCHSRWVVHRDLKTPNVLVAPDGIVKLADFGLGNRFGLQRLRTI CGSMLYYSPEIISGQKYYGPEVDCWCLGIALFRMTAGFEPF PHYBLDRAFT_160534 MSHDEVFAIFGDEDFQKRSNNHNHSHNHNHNHITNTSSGGATVN SSSSITHSTTTTATATTNTTNTNSNGGGGGGGGGGGTTSGYDSSVESTCDDGSSSEDE DSEDEPLNGWTDEEILRGRYNSTIAKGLENININKA PHYBLDRAFT_128388 MAQNRTIKAAWPVNKTSVNQFDPQSHSQPDHILHDGLPNRHVQP KPRHTQASHLSDTAEVVRQVAKKMGRARITWENPQSVLIITKPNDISLVRMTRELADW LIETPRYGQPSGITVYVDDKLRDCKTFCKKLNKHPERVDKLRYWTPEMVSLQPKLFDF IVTLGGDGTVLFASWLFQAFIPPVIPFHLGSLGFLTPFHFEKYASYLTHAMEKGVRIN LRGRLTCTVYRHVSQTNANGTGHAPSLRQVKRNPITGKITVGEWSEETDYGPIPCFTT IPVEQYHVLNDLVIDRGPSPYMSLLELFGDDKHLTTVQADGLAISTPTGSTAYSLSAG GSLTHPEIRATLITPICPHTLSFRPTLVPDSMELRICVPYNSRNTAWASFDGRGRVEL RQGDHIKVTASKYPFPTVCKDDQATDWFNSLSNCLHWNKRQRQKSF PHYBLDRAFT_152113 MTHKRQLSTDDMIKKKPRSTKSVRFIDQPVIYYTYSASDYNRAA ESHVLYKLNPRLLPQLSVDIPTYTPTNPTDPNDPNHQSPSPDSLASPETPSDSTSLPT NCQLLPLPSSIPMPHKISKKDRPRLSINTTMCAGPLFFTRLSTHPSKRSIWASNTPDD EDDSSYLVPISATVL PHYBLDRAFT_200795 MIKQTYDRLSPTWHTISATLEAFPRSTKRSHDDVDIDDEDELIT PKRTKVVLITPESLILQLHKCPSCQSNLDRPTTLPCGYTVCHTCATASIRKCVSTDCT RSHTAPSLINVTLKQMQASILTLTLTLDELRSNLESEMECIICCNQFSQPATTSCGHT FCHGCLVRSLDHQRACPVCREPIASAPPVTKILCDWVYLLYSDTSHEDVLMEDDSNLI PILAGALAFPHNRCVIHIFEPRYKLMLRRIMDSQRRRFAMCLSRRRKPNVSSATQAPF FEYGTMLELTHVQTLPDGRSVVEAIGSHRFRVLSHELVDGYHVGKVERVDDIDAEQEQ AKERRQIMTAGAMRARQQRETQLKQQREAQLLQQQQLQQQMQEHQASLQQPQPEQPQP LPQSQAPIQPTAPRTPQPYRPNIPQQQRPMPFSPMGRPAPRTTVAPPSVGAARPYSPI ARPGLPNSRTPLHHPQHQHSSVSASASAAASAAAPIVRPTVSAMGIGQRQSWASRAHP QIQTTSKAPWLQMHLQGVTAAQPKPQRVTPTLVVVPAAISTKSKEEETTEDLLDQLAS FVESLLEHQRSTPNDRFSQWLAALGDPPVGRGPNRDRVVFTWWVANMMPLDEEEKSKL LAMTSVRERVLTITEWIDKFKDQWTMRLSKDQSSMAGTGQASCAIS PHYBLDRAFT_41434 MAMFINPRRRITVIIVALGLGLTYLLLINYQTTPVLDISPGSIR QQQSKSTKKVQPIPVYYPKPFETHQPTPDEKYIAYLPHSGFHNQRIELENALLLAAYL NRTLLLPPVFLGNPAMPWLRFEKLYERLLLQTKRGLEHCIELKDDDPFPSECLNYFTW TSVPWSFFYDMKEIERYVRIVYRDDLSLDWVHSTLNVSSDDVHLIKDTSAYEFRVFDD PNSPTGLAKYVHRIDVSDLLAIEEPVLHFSSVFGTYRVLAQTPEHAELLRHFRTHMIF RNPVLVDTAKRIVDRLGGIEQFVGLHIRVGDGLFKVRATINIDNIFHQLVDEFTDLDL DQVKTFDPQHDLDRMENTEYEVKQLREFQTIADKPKPIAVSHPAEIKQRLGNRPPGLQ SQLSCGESNEVTRHFCKTVVYIATDCPNPRKHPLLQKIFKLFPCTFVLDDFLDELDDL RRVEVVEEKVRLESYLIPMVDAMISAQGHTFIGTSDSTFTSYIERQLHPVYRHKEVKL MKGSKPAQPPV PHYBLDRAFT_174872 MFWMLKLKNLKELSIIWFTTYQTDSGQSSRLVVSWKIAALIEED PTFNSTNRILLVIRKIAEVLPRFIIEDGPQETELITQYLHPALVPLFENIDELHVTFK WTPRQFHEIKFMTMTWPFGLSRNNNNEKEMWCNAMQCNVIGTCEQI PHYBLDRAFT_152117 MLRYSLALRSAASGIATNLIPAEAALLETSGFIHRVKVLNLPTK DMNSVSTLFKSLGVKQFKKSPRWDYAYINFKTEEAAREAMQKLEGTQLRKNTIATEYS SISEEAFNKRHEDKRKEASEILSNDSRTPAEKLADQVTPLYKIPYDEQLKTKNKSGIK HIFKLKKEIARLKDVSDEGKAQISWAFDHENKPCKFLDPIASPEINGYRTKCDFSIGT DLEGNKSVGFQLGLYRDGIISVLDPADCLNVPDTAKTIVKSVQDYIRFSEYDVYDKVS KQGVWRALTTKTQKSGDVMVVVQMNTETLSEEQVSKEKIKLADYLTNLKTPSNEKVNI KTILLQIWNGVSNGMNEKATTEVLTGDGYVYEDLLGSKFRISNNAFFQINTPATELLY SKCAEWCEIDSAKKTTVLDICCGTGTIGISMAKKVDQVIGIDMVPEAIVDANFNAEMN GVSNVKYFASKIEDKIDLLIKSNNEQVVAVLDPPRNGVHSTVIRALRESTQIKKVIYI SCDAKQAQPNFIGLCRPISKRYLGTPFKPSRAISVDLFPHTEHCELMVEFVRD PHYBLDRAFT_118924 MQWMRGELIGKGSFGRVYHALNLAADGEWIAVKQVDLPTTKSEL ANPEMRNHVDSLYREIALLENLVHDNVVQYLGYDADEEEGHLHIFLEYVPGGSIATCL SKSGKFDDPLVRFFTRQILLGLEYLHDRNVLHRDIKAGNILLDHNGVCKITDFGLSKL SGQDEAYDPNAQNSIMRGTIFWMAPEVVNRAPYSAKIDIWSLGCTVIEMLTGSHPWLD LNTLAALYSLGKHQAPPIPKDISEHARDFLQQCFTINPVERPTAADLLVHPFVRQDPT FKFKVRRVNDGLVIICTTY PHYBLDRAFT_183743 MKLNLFTASLLLLSSMVLASPGNSCATRHAASRRDTCGSIAREY GISVQELQRWNDNLGGNPRHCNKPVPGRSYCVKPRRRSNHGEDYGRDHGRDDRRDHGF HKRAKGLGDHHGIKEIKKHKPFKHHKPKPFKHHKPKPFKHHKRKEVDVTEDVDIIELE KRKAGPSNAHDKHPVKHHKPEPIKHHKSKPIKHHKPEPIKHHKPEPIKHHKPEHHKRE EHGKGPSNDHGKGSSNEHGKGSSNEHGKGPSNEHGKGPSNDHGKGPSNEPGKEHGGHQ DDRNDHRRPNTRGLHLAPHTDPNCRRYYVAKRDDSCRGIARRNHISENQFYKYNQGLR RRGDHQCVNLSPGRAYCVAV PHYBLDRAFT_79752 MSTFLWSIRSWITTGLLRVAFTIPSTHNARLIVHVLAKLFFGYD STWVKTEEHGFWVGRDIRDMKREELDDRLENSARVVFWVPGGGFRFNLGLLYVPTFIN WLTALDDKGLPSTFFVAKYRHGPHHLFEDVIQDLTQAYDWLVYTMNVPAHKIVLGADD AGVAALVDTLLSCTQPEDRPDGIVCVSPYTGLEAGGNSWQNNASYDYIRPVALARMED SYLIPEDMPQVNSETAFKLFTTTLPLADALPHRMLILVGGHEVLLDDAGLLAEKARKH GLQVTLVQVPEQVHLWPLLGNIVVKDPEVIQKTTERFVDFVGYSRKS PHYBLDRAFT_137153 MKKKYKTKFPVARIKKIMQLDEDVGKVAQATPILISKALELFMQ SLIDQACQETRTRNAKRLSAAHLKKTIETVEQFDFLKEVVANVPDPSAMTTAPLVASD TAVTPMEDVKEEAVTRPSRSRKAKTAAKVKQEAKEEEDEEDE PHYBLDRAFT_189203 MVSSRRNTTASLFLWTGLLLFAGLSLEPLLRMPASLFLYHPILM VGTMIFITEGIALLQAPTLPASAHKQRKTWHGYFQAIGLIVIEAGFTAIYVTKDRNNK PHFSTIHGQLGVMCVMWFTAQAIFGSILAYFPRLVGNISQKKQYWNWHRQGGYVLLGL MWITSQTGVRSTSMLALQRDPILINFHWLALGLVSLGLLLPSTL PHYBLDRAFT_70151 MDFVNSFFGNNKSTPKPQSTQQQQRIVLTWKQNNYDIPLTHLPR YFHEVTVGDLKEISKKKTGIPVAAMRLIASGAYMKDDTATISSCGIQPGSIVIIEGER PNEEQVRQTASGNPEEYGLIIRINKVLDKIGLELIHEVDMFEAIIASLEYFEDEHEKK RLYDQGSLLSEQLMQGLITLDGVDCPSQFDTARQRRREGVRISQELLDRVDCSKTAFK LLCIKPSL PHYBLDRAFT_152124 MAIQFPLIASDMFSVSRIPPIDILAFPEYDYSSLPPPPYEPPKR WTERMSAFKESNLLTHILDYNIQIISDLPKETVPRFKYKNHSTSSREGFGKSDDTTAL IINTLDPRQHMQQPNNTLTRLFRNSHKPHIHTTYYAPTTAEQDEQDQDQEQEETIDDK MEKINISLQQLILEAQTSLQVNHDTASTTTSLNDNDNENITDRFEDACSPITPTIGSD DCNDFFTNKKTCQVHDGLDRFDIEEQRQNMVRWKESDVSIVHFSPMCWLAWKSQEERY IQSKKRINIALEQLEESIQLLYYSDDRSSRRRESNKRKSAPPSLYHHLKNQHQQNQPN SSNSHSCHTPKRFAPQPLAHASRFYPRQNSTHHPVESTTEFNVNSHQITQTLLERIKQ ARSSFGVLFTFSLTATYSGRRRSVSCLISPWMATHPWCVILLATLFLAMATLSSAKYQ WPGPAWMLKLLLHCQKAYVSTGSPSLSSSSSSSNSSSLPTDCISECYTETELHSRFVK PHAQKHPPVYMTYLSHILPNVFH PHYBLDRAFT_98329 HKPDVNRTNPRVPKDTKPVLLRNAVVWDGQGGVQEGVDVFLKDG VIKAVGHGITAEKDTKIIDVDGRIVGPGLVDMHSHLGVYSWPEYDGTQDTNEMTEPLT PFVRSLDAFNPSDSAIKIVASGGVTSVLVLPGSGNIMGGEAFAFKLREVSTTSNEDML IQAGEPKEDQWRWMKMACGENPKRVYGNQGLAPMTRMGEAYLLRERLEKARKLKEDQE DWCSAAQTVFSNGGRLESRFPIDLRLESLVSLLRGDVLLNIHCYETHDIEAMVRHSLE FNFTISAFHHALDAYRVPEILKRAANNITVATFADHWGYKKEAFQASPRAPKILLDAG IPVALKSDHPVLNSQHLMFEAAKSAHYGLSAQEAFKTVTSVPANAMGLGHRIGSLKAG YDADVVVWDRSPLALGATPLQVFVDGVALFD PHYBLDRAFT_118953 NSKSDNYYGKAARGEIPLIVSVNNKDEIASLIQLKKQYLSSAKM SIMGGAEAHLVASHLAAADIAVILRPGLCTPANFDSIHCLTGAPLTNGTAAHVLHQHG VKIGLGVLDNSLARNLAWDAGWLSVTSTESYGLISEAQAVGFITTNLWDIFGLRQDDL FTNDFVVWSGSPFAMNSRPVFSYSQQQGIHTIV PHYBLDRAFT_33637 MVEAGRDYYRILDVPRDAPKSQIKKHYKKLSRVYHPDKNPDDKE ASAKFMELADAYNVLSDDEKRPIYDRYGEEGLEQHKSGNGGHHQNPFDIFSHFFGGGH HGHAQERKGPNMQVVLEVSLEDLYNGANIEVDISKQAVCDHCHGSGARRSEDIVTCPV CSGRGVTLARIQIAPGMVQQIQQTCDKCGGKGKTIKTPCPVCAGKKVRRANEQYSIDI DKGMSDGATVVLDQEGDEYPDTIPGDIIFVVSTLPHAVFERRGQALYTKQHITLTEAL TGFEKTLKHLDGSTVTLARQGVTQYGFVQTIKGQGMPLHENPTEHGDLFVEYNVVFPT HIDPEFVELLRQGAHYAPASFVHQEL PHYBLDRAFT_183749 MLTPAAENPLREQGLPAPSPTGYNNVPAFNKPVELTIEGTIPEW VNGVMYRAGSGRYNLLLENGDTFHIGHPFDGLAMLHRFELSGETQTVQYSSRHTSHGV ERRIREKDPTLLTFGPDPCKTIFGRIQSVYHHISKFGANAQIQEGDPEFDMVNVTITP NFPLGERLEAETGVKRGDALVVKRDANTLQLVDNKTLKPIKMFTYGHVNDKLQGQLCA SHHQYDEETDEYVNFTVRLGPIPSFQSYTLGPYLPTPPGSKEKMPAPQVRLHEPIYRH LGAWRTLEPLKPAYIHSFSMTKNYIIVPNFPYYYSFGGMSALYYSCAYQTFYWDETRP TLFHVVDRNTGRHVATYDADPCFSFHSANAWDEEVDLPGGGKERVIYMDYCVYENTDI VDASFDLGKTPTGFDASKVEPARFKIKRHTDDKKDNSISPSQLRRYRLGNVPVSSNAP ETSRWSPKGITGLLSGIFDFNKRRVASYTVLGSDIELPRFNSNFNLRKYRYVWGVCES KHAPSYASGAVVNGLIKLDLDKPTLCKNTEEGSSAKIWDEPGCSCSEPIFVAHPEQRA EDDGVLISTVNTTTPDGKESCFLLIVDAATMVEVGRTTLGAFTAMTIHGSFVDTNGKG VAVN PHYBLDRAFT_70146 MLGQVLRRSIHTERVLQLVSQSLSTKKGRQLKEIMNHSGLATSG LKKELVTRLQNHYERIITNGNVHGEYIREKALPSSVISFDLGYRNMAFCHLDSTNTIR NWIRVDLALPSFHPSITAPLIRQFVHSQIAPLMNQAGAVVVEQQRYRSGGAHSVLEST IRVNAIEAMMWYALEEIRTTNIMLMEPIQRAAVDKTWDEALKGWTDESLRRYYGKKKA CVVLVNTLLEKKQDILCPDNILETYKQEKKQDDLSDSLLQAMAWYKWRRFSLDMIDAT IIEHAE PHYBLDRAFT_160541 MVNIPKTRNTFCKGGKCKKHTPHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKIVLRLECTACKYKMQLSIKRCKHFELGGDKKTKGA ALVF PHYBLDRAFT_98551 PTKNPYFDPSLIAKTAPVSRRARSLKFVRPGKYIQQANQERAKA QLEKLKQEISENVKKAGMEVELDISDKAIKKEPPPAIEWWDAPFMPNKTYSDLDTQPV NPDSLGALVTLYVHHPIPIKPPSELTGPAVSRSLMLTKKERKKLRRQQRQETQKEKQD KIRLGLLPPDPPKVKISNLMRVLGDEAIQDPTKIEAKVRKEMELRQKQHETANEQRKL TPAERRAKIANKLKNDQKISNEVAVFKVKKCSHPKLRYKIELNAQQNQLSGMVIVHPK CNLVIVEGGPKGIKAYKKLMLRRIDWNDMPPPKNGPLEAMDVDETEENACYLVWEGQV KNKAFKKFTWRSFESEKMAREELSKWHVEHYWDAA PHYBLDRAFT_189208 MISRTHDVMSIGKVNNLHNHSIMSFLESLKFEPACLLDLDVIDH LEQTSYHPDEAATREKLKNRIEYAAHSGPELFLVAKDKETIVGFVCTTLSRSDLLTEE SMDVHDPEGKTVCLHSVCVAPEYRKRGVATHLLNTWIGILRHHNELQTPKKYERVAIL SRPNLLSLYGSVGFKNLGKSEVVHGPEPWYDCILEL PHYBLDRAFT_174888 MQPTIEESFVRYVKEYQEMCGSYVHVYQKPPTPLEFLRTSVHPN RPAIIKGAFDDWPARTKWTNQYLRSTMGKVPITVAITPNGYADAVTLDKITGKEYFAM PYEKVMPFDRFIDTIEGKENSPNKHYISLQNGSLPAEFSPLEKDVAPHIDWCSEALGK QPDAVNFWFGDSGSTTSLHKDPYENCYAVIRGEKTFVLFPPSEYYCMHESIYPGAVYE PNSTTGSLELVPIHPPTKTPWIPVDPLSPDYTRFPRFRHAKPLIITVQEGDMLYLPAL WFHHVLQNGDQGVIAINYWYDMEYTNSLFPTMGLFRDLVAGVLDGTNLHSSDSDKNSD SE PHYBLDRAFT_33625 MGCVRTKTVKKASRVLIEKYYPRLTLDFQTNKRILDEVAIIQSK RLRNKIAGFTTHLMKRISKGPVRGISFKLQEEERERKDNYVPEFSALDTSVIEVDPET EELLKSLNFENLAGVRVTNPIANVDFKPRRRDQARGPRKPRAEGAEVQA PHYBLDRAFT_128417 MINDLDLEKLTDHDFAKLEEAVYTYQVVVIRNQSHLTPETQYKI TKMFDPAMDTYGHGGMHRAKASVIARDLSPLPKVPQVQLLGNGLIKNYQGISEKLLVH PNHRVFHQTQLSTEEEAAGKTRFYRWHMDAALYRLNPPKVTSLFGFKNPGTRRQTLQY DDGSNSEIDVQLGTTAFISGQRAFEILSPELKDFCFRTKVQYAPHPYLWMSKARSRST GLGMVSEGKELSVDELPPIDEKDIKIYPMLWKNPVTNKIHLQVHPSAVQDLITDGKRV GDLTKVREILWNIQRPSINPENVYAHEWKDGDLVIFHNRGVLHSVVGCLTPDDIRIFQ QCNMASSDEPIPVTKEDFAPYATVKA PHYBLDRAFT_152135 MPKLDKMSNDELITISKKMFYGGFAFLPFLWLVNVMYFFTMCRK STAPPALRKYVLMSLAGCILSFIALTTWYAVFVNKRVQWGQSADTITVVIPKGV PHYBLDRAFT_89550 LFIAYGALMSMALVPIYVGSIASLKTMKRPENAQKSKKSLSPLD DSDDEDVGADTLTTNDALMFPIIASGVLFSLYMMYRYLDERYLNYGITAYFSIVGCAA MTKTGLMVAKAVTPLSLLKNVDKYKVSLSKGGKRLNHLSFTVVHIGLAVLSILMTIYY GITKNWVASNLFGLSFSVNALTLLSLGSFTTGMSLLAGLFFYDIFWVFGTDVMVSVAK NFDAPIKVLWPRDAIAYFLGDPTAIGFTMLGLGDIVIPGAFVALCLHFDRHMAWKRNP VGDFRSTDFPKPYFIACFVAYIAGLATTMAVMHIFHAAQPALLYLSPACIISALLTAA VRGEIKELFSFSDEETEEEKEKKSKTKEVKEAKEEK PHYBLDRAFT_152137 MSLVQFYGKIFGLGVGLGGAMELLLIKSNYYQMLAASEAKTIAK EMAKEEEDRLRVERMIKSETSSSSST PHYBLDRAFT_24773 MMKFTMDGRPFLKDIHDLFSALIVQIPIDNHRYLFRNYTNTFSS EDALQALGSLRFSHTQRASDPNDTTKQLWTTTTTTFNMARDMAKALCQQFHTCHLIEN AVDSHNRVFREKGLWQLTPKGLCVLQDFCVRTGADMTSMRKHFGQLDPIQLVRLERQA EDDELILSRQNLSIVFRVMLSLLPLEGELDQPGNHSSPVPPKTTSSTSSNSSVSSSST TSSRREIIPKTANTIRTVFSSQMCCDWLVDYSTVSSRDEAEVIANSFIRYGWLEFQDH RLSGAFIKVSKATLLLVTDKGKQILNEKSLSIESESKNKDSHVKKEEAEKSKNKEGLE TISKLSKSLSLQSISTTIIDHKEGNLARLRLILDDPQCRSLFKDFLRANFCEENLDFW IDYESLKRKCRSQSPALPSQNQKDLVEDAYNIWVTYLAPSAPSELNVEHSLRQEMARL VSSVVTVVPTYMPGQIKPTILISTSSASQSLRMMLKWFDRVDEHICRLMASDSVPKFI KTSKYRRLQDSREKERQKRDTIREARARETREVLEESVSENSTL PHYBLDRAFT_128422 MSSTSWESLLTPKEKLAYGQLFNIISVSNPGIITGQEAVRFFAT SGVPHQILSEIWEAADKDNLGYLTPETFSIALKLIACAQHGREVSDPIFATPVPLPQF EGIKLSVPPSPVVQKQTSVNSNVDTIKPAEREKYISIFRAQDTVRGTMDAETARNILV KSKLPTDVLGQIWNLADVRKSGSLNATEFAIAMHYVAKLMDGSLTTLPAQLPPQGYMS SPVQSFAPSTPVARQMAAAMTPPQRARTIDSLGNMAFAATTQPTPWDVTAQEKTQSDA FFDKLDVRHAGVLQGKEAVEFFKNSQLPDADLAHIWDIADTQRSGQLTRDEFAIAMHL IHKRLRGDPLPPTLPSTLIPPS PHYBLDRAFT_104067 LSEQTPVVSRRRLVFCPTIQCHETFAASDYDRRCDNNATCQKLT PLLAMRIKQELNEYKLTDMEVHVDSR PHYBLDRAFT_79740 MFGSLTRRVVRHNGICAFRPTVAQSGRHASTETPRQHVAYEEAL KLIQTDKKERLQMLSQVEKELVRVCKATSPAQPAQLQALEALRYDLLVKSELNDPQVQ KDYKLGKVDMGRPVYRYMRQREFQKVPRAKLMERITQMNVIPDVLAPGLSPKVEVSIK LADEQSVVEPGVFVQPEQTVKKPEIEITNFHTDTRLYTLMLVDPDSPDVANQTYQQHC HWLITNVPLSATEPIVNAGDSVLDYVPPHPQKGTKYHRYTLVAFEQPKEGQEKVEIKV TNRDNFDIKTLAETHNLSVSGASFFRQEWNPSVSKIYEDVLGTQEPVYGKPPKVQNYI KRTTYF PHYBLDRAFT_200804 MAGHTHVLSDSHSRTIPSDYLANSTIVSRAMAAAAANNHHNAHA IRRGRQSHRYDVSVFYSMAAEQDIEIEDDLALAQRTLRDFKSNISAQSKKNFMLERDV RFLDSRIALLIQNRMALDEQTEVVSHLEYHDDDTEGHYPDDRKMQQYGSLFFLLQCEP RHMATLCRLVRLSEIDTLLQTVMFTLYGNQYESREEHLLLTMFQNVLAAQFETTSEFA SLLRANTPVSRMMTTYTRRGPGQSYLKLVLSDRINRLIEQVDLNLQINPLKVYEELIL AAEEGREEDQGLERGISAEGAAAHPQVKATIKPRLALLMETAESFLDTILGSLDKVPY GIRWICKQIRSLTRRKYPDASDSAIASLIGGFFFLRFINPAIVTPQAYMLVDNLPKNH PRAVLTLVAKLLQNLANKPAYAKESYMIPTNSFIEKNKQRINKFLNDLCEVGDFYESL EMDQYMTLSKKDIIINITPNEIYNTLALLQQHIDILAPKPTDHLRVLVSELGTALPQV ARQHNKPIELPLFSRWEIPIQDLTMALMSENNITQSDITYMETKAIFVQIIRSLPYLS RPPLQLAQILETANTAKDPLLTRKGLKVTEMLDELERMGVIHRQDGYKLLVEEITQEL AHLGDLKDKVVEEMGSLEGVYKTILDHNNYLKIQLESYRAYLQNVRMLSIGNTKEAVH HGHEPALAEKQVGLGVALTTGKTAKKTVKSQHLQGPFKFSHQQLEREGVIAETEVAEH RRCNIFLSVLSPLPGTFIISLHYKGRERPVLEIDLKLDDLLERQQDNVQLLDLEYMKL HVTKTLQLLTKTFMTKNKTGFFS PHYBLDRAFT_152144 MPKKYLFGWDTAFARWFGGAKSEINDVHLDHILTGSTCSPISLQ DFRAFLQLKEHTAENLDFYYWYIGYCERFKQLSDEDKAMSPPPTEKPHPKNTKQLPAM EEKQTKCSMFGELDGNNPENLSSFVTAPGAEKQPFRDEVNTVLRTFFHPDSFKELNVI GYQNRYTVYWGTRTTNPEVFEEAHQHIRQLMEKSSLKNFVHHAVQNIRYSWIMLHYIG TFVNFMHVVSVFYYTYTHHMCRWYRIPIFPFTFLFLMSLLSGRSGFCTIRGSMKFRQT PLYELDLRRSDGMKKADIESISDLTSIIDSNVIRKQRTMIFHIWTSSLLLAICTTIPA LVITNENDPLPPPLPQA PHYBLDRAFT_152145 MNTHLFIGSYLGSKSRGGGGGEDEDYTFKNQSLYAGKGPVLKAT TDSADDSGMNQLL PHYBLDRAFT_178404 MEEKYDMGSVPLNQRKNYAGQYSYSNSVDTIIDNAVGSQGNYGE TEVNTVNIEGAIDEYETLKRELTTLSRKATNSSKLEEGNAPADDFNLDDFLHGVSSDS SKAGHTKKHIGVSWKNLTVKGVAAEAHTISTVASLPLSIFKMMKLLIKKQDVPQKTIL RNSTGFCKDGQMLLVLGRPGAGCTTLLKIISNMRGSYTSIEGDLSYGGIDPKTFATHY RGQVCYNEEEDQHYPTLTAKQTLQFALRTKTPGSRLPDETRHDFVNRVLYMLGNMLGL TKQMDTMVGNAFVRGLSGGERKRLSIAEQMTTSSSINCWDCSTRGLDAASALDFVRSL RIMTDILKKTTVATLYQASNSIFTLFDNVILLDEGYCLYFGPVSKAKPYFEKMGFFCP LRKSTPDFLTGICNPLEREFQPGYENRVPQFAHEFQEIYLASDIYKSMMNDLEDYEST FKAGNQADNFKQAMNEEHQKRAPSKEPYIASFYQQVRALLIRQYYLLIKDKPALISRY GTILIQSLITSSCFYNLPLTGIGAFSRGGALFFAILFNAFISQSELVNFLMGRPVLEK HKQYALFHPSAFYVAQMVMDVPYALAQVALYAVCSYFMSGFNLTAGKFFTFFIILFFI NMSMNGLFRLFGALTSSFFVATQVSSVLLVSVVSYVGYNIPYPKMHPWLYWIYWVNPI SYAYKALLINEMNGQEYTCDAPGNSVPYGPGYDNWDYKICTMAGGVPGESFVKGEAYL LQGLTYKVSEAWAPDFIVVVAYFLVFSAMCALAMEYVDSSNGGNTIKFYVPGKAPKPR TDEEENERRKRLAQITDEMDSISTGTTFSWQNVNYTVPVKGGNLQLLTGINGIVRPGH LTALMGSSGAGKTTLLDVLALRKTTGVVEGEVYLNGEALMSDFERITGYCEQMDIHQP AVTVREALRFSAYLRQDESVPRSEKDEYVEKIIQLLEMEDISDAQIGMVDQGVGISVE ERKRLTIGMELVGKPKLLFLDEPTSGLDAQSSFNIIRFIRKLADAGWPVLCTIHQPSA ILFSHFDHLLLLVRGGKTAYYGEIGPDARIMIDYFESNGGPSCHPESNPAEYILEVVG AGTSGKASRDWAEIWAGSKESNALDAELAEIRNAADKHPTRKPRTYASSYWNQFKLVL GRMNLAYWRSPDYNFGRFLNIVVTALINGFTYWKLGSSSSDLQYKIFALFSTFIMAMT LIILAQPKFMTERLFFRREYASRYYSWFPWTVSTILVEIPYILVFAAFFMFCFYWTAG MNPSSEACGYFYIIFIFIVLWAVSLGFVIAAVSELPTMAAVINPLVISLLILFCGLMQ PETSMPTFWRKWMYWIDPFHYYIEGLAVNELEDIKVVCTDNDLLKFSPPPNQTCGEYM TNYFSYGGFGYVANPDAVQPELCGYCSYNSGPEYYNGVYGWDAGNKWRNLGVLAAFAA FNVIVFHILVFWNRKGRR PHYBLDRAFT_174900 MSIKAQLVPAFKNKNRWNLKRSIANRKYRSLVPVENKVCQRMCN SKPVRFFLESGGIDLCEAMHILKNQTNESKALHHLYHVLQKEIIVFAFGSSVRRYYFV NNVTGNCNPLFLGIPNSCRTGRESQRRRVEEIKVFCFLVHHCLQVYQLTTVKTIAIKY DPDTQHTYTNPGIG PHYBLDRAFT_152147 MHIERCYFCSGPCYPGHGTMFVRNDSKTFRFCRSKCHKNFKMKR NPRKVRWTKAFRKASGKEMVIDSTFEFEKRRNVPVRYDRNLMATTIKAMKRVQEIRVK RERAFYKTRMADNKEKDRAEDVRVVQQNIELAPLDAKKRIQAMKLSEKNTEKMEMDE PHYBLDRAFT_70129 MSIDINCVEVDKARKELDAFKQRHHQQNHELVQFNIAYALKIQT LSKELRDLRHENISLRISLIHLKQNTPTPTPTPTETTTTKDSLKRELIRPSNCQCQCQ CKQKKHDTQNIDTQVPICDSPTQEDQHQKVDEPTEFFADSPGTLDICTQEIGRSKRST LRKCYTMPSVKSKLRKGDPFTFGNKLQTNK PHYBLDRAFT_152149 MSVKEDVCAVCYGARSSRKDQIIYCDGDGCDIPVHQSCYGVEVI PEGDWFCQRCEDGIPVDKTRIICCSSQEGALKRTIFRNSYMHVVCAMWNKEIPRKVEP YTFNLSKTNTHSMDVITGIIHLNGILETKPVAFCKDHRKVLVIEDISDDENALQNFID NSSASIKMEDFKNPAGTSRPREGSSETSQNKRLRGISESKNGNGNSGTFYSPSSPSSP SSSNLSSPYSPSSEISHRARESSEMPLFETLPAAIPLNKFHGKHSLASIKHKSQKHGG VLSNVSQTESSRANAAGPPHIIVTIPKPKQSKGKQRETTTHNRKTATSTVSTSAPAFA PNSNHTHNNNVPVPSEPVTTTITNSSSSSIDPEKRHKVPPYLPSTDQTKATKHSEPTL DPQHTKQHTTEEYRRLVSQLKAARQEVYNLRKFRKTTAQVFSKLNVPPAGGVSPSEDT IERYMTELNGVLERTRGVTDYERAKLEHQVDMMLTRH PHYBLDRAFT_152150 MSVNISLTNQQQQAVEKKIIVKSIASLSDVEKPYLYSGYYKELE PTVTLLKLNDE PHYBLDRAFT_174904 MKTELSVDGSYFVDLVWLLYMSIFRENSIRKLLRVLSRKERHRV RGISNINCMKSASHAGNTMTRVYVWSILGVFKRRCVSDSISVKGRVLVLIKAGVGSGS TLVAQW PHYBLDRAFT_174905 MAPIRKPTVRKECQCSICKSKTLGFNHVSVKTFKRHQEKDNHDI THVQMPHEDTWDTISSAVSEPVNQEEDSFEFEQEDVEMNSELRNLNDTNDILDIRTRN QPFSETDCVFGPEDNVQFTSDTYEEEEEYEDESDVEMDNDEDSSLESISELNLIHRFI VISVALFVSLYVVDEGAVILIVIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVK KYVACSKCHTIYDNEAAPLCCTSPNFVAHGVRWSELHRLQYFDIVRCTIIDPMHNLFL GTAKRMLERWVADGLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKS WCLVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCET LYDLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFEINGFESTFMRQFIEESWKGDFV RRLLKAMHALACFEIFDKFTNTNTNTNTYLSHSFSISKYLEASQNLSMTIRGNEPLPP SALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGCKDVIDDSPFVNDWIEMVKSVD LLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEIQYLFVHNFRPTVSSLTYRNPH SSQHVFAFVKWFKSTSDKTRELEGVELLQDEFYKQDFQSILPVHRILLTVAIVDYKTT KNVNKKLAIPLPKKIYY PHYBLDRAFT_152152 MPSNSSRKTDRKGKGKASASISTSANRVLAGHVGPREIAPSFSS ATIQDQRYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFA DFATAYANNQTCMASLGPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDDPA LVAENESKKKWNLNEKINHRDNVAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHS HRTFHESSEQKAKKNSKGRANSRTLQMSIRRKSTYMDNWVAIDAAMGYKTGNPVEKAY LKLFQKDAMSDGESDIEIVDNLPRRCLHVARPTWRSEEFNRLLTMVDDIDRTHHVLNA GVGTKPRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_174907 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGVRSSCNCQLTKTSASVRGTIIDPMHNLFLGTPKRLM DRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNSKIGKQFSYMKADEWKSWVLVYSP VLLKDVLAKDRFENWINFVDACRLLIKPTITFDEVNTAHQFLQTFCTRCDELYNAEIL TCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLLKNLKTNRKIGFEETFMKKFIEDV HKDDLVNSFLQSTCQTSAFPLLTKLTSSFTPATIPSIRQRTFRIQSFVEASEDPNVLV KGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKVAYNNEQLVHFQQASESSYFVDNT ITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFTHSFTPPP TSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPENDKSREYEHVETCFPTFSPDDFQ CVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_174908 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSVAPSVGPSVAPSVGPSVAPSVGPSVAPSVGPSVAPSVGPVVLT GANAGELSKQDRTRVLALIRGELKKHNFKSNKPELVAANDSKRSWDVNVDYRLPPNRQ LMHDLHAYLAPKVVGTSVRQADISDCIYTNFCGTRRRVKESYEARKKTNSRSRKAGRE TDHFDRRELTYHTFKAEIDMKVGKSCDGLLQKEAVSEGESEDDMPGVSSNRAIRTVRP SWRSDEYNHFLAVVDDFMRNRMDFNSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDE FQ PHYBLDRAFT_183760 MSKPASYTCKNYLITSFTHKKTAPLFQCQQQKFIRTANISMYLI EWLVFENRFSLVKQQSMCTMPGSDESTASLTPQRSRSPPHSVLFHEVRTGLRPVEDGS AFIGGELRF PHYBLDRAFT_152156 MLYQQYGPVRIGRLLSSIWTEGIFPTILGRRNPEDNNINLSSPP IKADPSSTGRRPVLTSWKRTEWGGDRERWGVRLAMGLKNKREGKLNYPTPTTPTTPTT PTTPPIHTTPTPIHPTRR PHYBLDRAFT_183761 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_183762 MVWMGGCGGCGGCASLTPQRSRSPPHSVLFHEVRTGLRPVEDGS AFIGGELRF PHYBLDRAFT_152159 MLYVTILRADLQLSKSYSIHTTIIPTPSSIPMTPFNSTKRTPPN KKSRDVNLSSLACAMNVKTLSH PHYBLDRAFT_183763 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_183764 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_152162 MSVQKLKASSENGGVSYSRDGFLCYTTTQFLPWKNVVYGHANTL AISSTTTNRPTSYFTVLTKAAQHFHRCLVAASFKFTSPTKQKRDRVAPTLNLSAIPHF VINSNITFIPLSFFPPHICSHKTDEINTSTNRLFSSRHLLSAAPRHSPH PHYBLDRAFT_152163 MNPTVYQFQIPSYGVSYDNILAEIHLSSQHNCHTSPQFNTYNCQ GLNSNQQEQTVCNQSSNLLYGYSPPLIPTQKATQTSSFFPDVNFELNNDEALCNTTSI QHILSINRVTLDKCPFCSYKVNIQKIYNQMSPSHPTNEKTLYHIMDQFNQHDCPAQAQ YKAKHIIQSELCAHSTSKVVPSILKPQQFVFFNTFLESFTRKLFPVVLLPSGSTPVLS NLRTSAYAKVRMPTSISARHFLRQSNPATVNSTQIRIHSFIDRRWLTDRRAIRSI PHYBLDRAFT_152164 MTHIHEALGSFLDNDLYKFTMQNAVLKNYKDVPVVYHFINRKQN AFKLNKDAVQWIKKQIQAMEDLYITEDELAYMAAFPFFDTAYIDYLSHFRYRPSEQIK IKFDEETSDLDLEIVGSWPETILYETPLLALISEAYFKFVDKDWIDDNQYERARSKAS TLLEDGCQFFEFGTRRRRSLSVQDCVMRAFTDMKQEYINECQDKGTKALGDAAGTSNV YLAKKYNFNAVGTVAHEFFMGISALEGLKNVNKKALEVWTQTYNGALAIALTDTFTTP IFLKDFQHTLASDFTGVRHDSGDPEEFAKTIVNHYKSLGIEPSTKKIVFSDGLDVDRA TSLHKLCDDLNIQSSFGIGTHFSNDFEKASDPKNKSKSMSIVIKLKECNGIRVIKLSD DVSKHSGNQSLVDSLEKELGIVH PHYBLDRAFT_71951 MTSFYIKGLECLDIKMAEKLSPNLISYIATFIPKADQISCSIVC KKWTQPLLNVIWSKIILSQRDIDNICNKYGQANNYWKNSHRVWALTLTNLPSNRAIFL PILQQMFRRLSYLEYTEVYQDKRWIVDSLNWNLWNSLTYLNVTLEYVDKSLVLMEMFE KLSVLRRLSNITIEDARNTAKNTTVSWLDIESLHQYLPRLKYLKVGLILTPITDADVE LLHCIPPAYTLTRAEYSTRYMDDIWVLYLSLKYPSLQTLRLAPYYTDCTTPTTTESIR LYEKEKPISSIHTFLTLNKFFPNLTRADLCWKSPNGWKSSVFYDVFSKFGTSLVHLNV YLHESLDIQLLNSTIDKSRISKGLKIMTLFVYKSSFSKCGNLAFTISLYPYLAELNIN AIHTPIEINNILVHCPVLQKLGIENSSVYLDNLSTHTYPHCLKKLNLAHLEVSTQVLN YISICCRGLKTLKMSFLKFSDSSFEENGQLLVDMPFTQLDTLTVREILFDKHPIHHFA IEQLKGLTSSLTTNHKELTQHISMGEELKFSWYHLCQDKTNRKLRSIAWGLSRRDIEF AKRYYRDFGRRRRREKKRKDMRRSNGLVLRRFWKRDLQYGMILFRFRFIKDYYITP PHYBLDRAFT_160546 MRTHTYNQNDERTAYCELFIPIFKAFGNTTKKLNYVWCEKKAKD SDYVWLVSNNFAKDKGSLKLLDGIGRLVDKELNYLLIESSGFNNSNVLSHSLNDTLKN MKSGSDNLKSFVSNYKKASFSTMKKARVYTCHIIQNKMTLVRYKIKSASQWQVVECRS ASIPLSFSGIIHYTKVFELFAFLMSDMAEQDRVFHQLEMESLGLVQVPQDKTVAHVL PHYBLDRAFT_152167 MSSIKLIYEDGQGKIVNEDGSEALSQMIDENSHGLQTISTHSRC LESKNTDILPEVSENGQTDDRAGSPIMPPAKKGKTTRQRNTYSDEDIIRFFKLKDEKL LSANAAAKKLNINPRVAQRWVKKYDEDPDNIFVRGKKSGKRRLTDEHKKCIKEFVAKN ASVYVKDVMDHLITIFGEINVSRETVRRFMIDECNLTFKKGVQTSKHLTSTCDKEEAK GVIYVSLRDPKFSKKQPSSGESGKKATAIDHFFMFVKSILGEMDKYPAMEGSFLVMDN APIHQSAGMSQYIVSREYRSIYLPAYPTELNPIEMFRKVVKDSVR PHYBLDRAFT_152168 MDIKPNTVHCIVNNLHTLSSQAKVSNVQIVLESYKCTITLSKDS NPESDQLCVEIILQTVGNKDNIHKLPDSLKANIVIEIVTTYTDKNLKEKHRTEYDKLS KNNGQDVYKWKLSNLHKFPETSCIDTSHISVHVRLEDIYSQPLNLISETTSLYPNPSD TYDIRIRVIEDENENENEEKEDAQTVSKSRMFYCHKYLLTDISDWFKDMLLSRSDSTG DLKITIRGVHPDIFEKLFTFMYTSRYDAKSVYEASQVIKASTRFQFGSIRHQAFQYLR SNINNETLWIIWDLSVSYGCEQAVCACEDYARKECRTLLSVYGWQDLDQESITKMICV GGLPISITKTLLHTTLLAWRSSTLNRIMSESSKANSSSSAGSDKAGCNTQRYFKELQR YPKSEEEVEKVFFEITQLICSSEISIHRVRKQTKRVTRATIPPSSRKLVFKPMYFNNS GPKKHCKSRDS PHYBLDRAFT_152169 MSRFTFKPSTDCSSSRCLYSTLFTVSSIPKTNDDNKLLLKRPAN SWDVYKNTF PHYBLDRAFT_152170 MSLLTLEDAIIAKTMLERHAKSRDVQKATRDEVTGMRKPRISDV NNLKYSFTRGEGVSNLGEERLKGFPIAFAQVFDETETSYAWFVKSLKSIVLERIEDCP TFVTEKCKTLINALDSEPPVNKKLLCGWHMINNIAKMAKKTMKAGEDRNTCTNLINGM KLGDNADNDKVKSFEEYFEKEWMSFKEKWAGYLTSKLKNFDCVTVQRVESDHRALKRS ISTLQSLDSSFEKICLYLLQLEGDYQDSRLDKELVIDARILGDKRLCELVNSVSRIAL FTIHIKFEEATVVEVCYCSENNVWSPLPPYSAKTSGPHAYTYSLKTGSLFCPVIPY PHYBLDRAFT_152171 MTHNVFYVMTLKMTNTHSEYVFVKKNWHQITTRFLDTSASLKFD CLLPLPYSPQSVKARNTTVPSYIVLGCTLGAKWEAAILGDGGNNTAQFKVTSSPMSG PHYBLDRAFT_183766 MLVSTLKQSRIFELPFEIIVLIVSTFSEYDMKNFSMTCHNFRQL SFDQELWKAMYETRFTTDKDTVIKYSANSLWKQNYLKKATLRLSAVDDMEISHMNTEY WETEETESSIYGHVAKLNLVCWFKMIGNLESVPPGEYKVQWRMRVVSNSGWTDSFKFR VSLKEIYDNDPITDDTHCMPFEFYENPTIVGCGWIVITLPGRFVINKKLGFSKVYFSH DDITSPWKYDLQEFDWVRLIPISPTAKYDDSKLYVKIDNYNRMVFVKDDSILGNIYKF QD PHYBLDRAFT_71943 MNNQNDSSSSANNEGIQRMIHTSTDMDRSNQKNMAKSMGARPIS IIKVYAAKQEEWKAKIGAESKSFTMDTLYQTKKNPSFFLIEYVSKRGKNYRRQSVNGY MKVVASIYNTKKALGWNNNGLTRGPLVKNSLDTSEKEKFKIQTVLSYKPCNIIHSQID LGMKFTDLISLEVENQGLTQCIALVATISHVKTS PHYBLDRAFT_174922 MNPVEHQPYIPFSDPFNNNYYASSQFNMFNCQELYPNSKLSIPD QASSSSSRDSLFCLSEREDVQAPFVYQDVSELSGNEILNILAIQDSFPTGLTAMAEYP LCNINIEENYVLKSLSISTNTSTVNHFLDHCNQYICPAQDPYQDKTLPQAELCVQSTV QKTSGISTHKKYTFFNIFQEDWAREYPRRPFRTCLTSKAFSPHNLNICGKDMQNSDGS TVKMFSCLVCRLKFNRKSNLTRHLKTHSPDSKKLECFLFKSKLEVYSLSVFYSTIFGR LRMRKKY PHYBLDRAFT_174923 MNNSSNNNQLINFNEMHSTIEFQQDADDSDDADDSDAADAADDA ADKVLEDSNKKEEPKTSHGLNKIEVYKPVNNHWNVLKLRKESKQNSFYAYEAAELQLD TQRSYFLRMIMIALENVVYKSHTVPPLPTKAKEDLKDIEQVPISDPKQAYRLCSRIQA KYTFIPEDFFFEIYAHVIRLLHRKKSILKTERSKDATEQDFVNEAWTPIMAAIFNDKA LVLKWGDSVSQTSASAKKRTLPEENVLGDRVDLRIMTNINGSMHDVLAGEFANSKLGP RKFISDHLKVLRESKVILDHIVNQEFTTGHDAR PHYBLDRAFT_71939 MLSYPIQLALQTLCLASAFLCALLFAVISSLPVPSVLSMGTSSY RMRIIHKDSEGCLQSFCTSSEVFSSGFLRCGSLGKTLGSMSCPAVSSEIKSAGDVEGL SRSTSSNQQNSICHRMNSTVREDDKHSHMERVAYIPVRHENQGSKCMVRTRLPYYGED LEEQMFEVLVSKTSPLELIRLASEPLGSDNQSEPYSSGYDEVCVGAPAIECFLALPCP FEQGTFNRHRPSHPLTDEIPSSGQNMILSDISDLTSLLEAMTISDASEDLSAGQNSFA NTAQQVGGVGIEVASAIETRWYSENAPWFEEIPQCSAFVGGFFAFVQEEPMDFVSTFD APLEQMMTDADEEDFDCSMSTISEPETSEMLDVEYDGIVVAGVSTACWYNIHDELAMV PEDLCGDSLMDLAVEVVPGIEGILDHYRDDCLMYWDESLVPKGSAIMMATMFPDSGVA GSFPLDQANMTNKGATCVPQQVAEDLVWSPEKEPRVPSSPLLPGSDSGADLPGQSGVS LPEVEAPEPPTIPGVVVWLTTAPESPVKVLEEEDKEDDDDDEEDSDEGYEDDDEGVTL QDSALADLLAELEESDFDDEA PHYBLDRAFT_189218 MLAKTCKYILYKQYFNRLKVRENFMFIARKRYFIYMLNCVTQIE KTLLRTVILLYVYVFRDVLLIKSRQIMVGRQFTPSVLRNPHFFSYKNRYNFLFPVFPP LPPGFLSVVLGFRHDAVSS PHYBLDRAFT_174926 MSSKGSTNTNAFKTVLPRQTRPAKSSADQMFCDPENTRLKAILG EALARAAMHEEQQKVLLSKIDTVVANSIALQKQSSSLIEERRVANEHVEFLHNQLQLQ IQVPGASTFTTIVIPSTKIAPVKNASADGSALAARALQPESGPFAYGFVYLSCRHHLK YSQVRKLLRTFKIQQSRVLDIAFPERGTLSLLVHNDLKDKITQPPADTGVSVKIDFDP LDHRIIADPAHAHKPVQERQQLAYKLHRQRLLTLCLRLPDPLDKMESSSLRLLPVCLE QYLEDRNLPSGPQASAIDTAAAMGTCKRQFNLLQYYCEEELSSCIAFTSRLLASTGFI SLMEACNYIQDYPSNFNRRTSSSLGRLINEITTRSGGQACLKMSGAATPL PHYBLDRAFT_71936 MRKLSSSGVHMNGFGLPAALRDYLIFIHPILEYGLAIVPASRSD VQILQKAQNMCLQTCIRRPDATMGVVHIAALAALPNLFTRSRALQAKFLHRAETLPSD SLIKALTTQLELSKEKTTWGELRRSVLWKKAQLLKEHQPRLKDPLKEAYVLLCQKEID MQLASVNRPVTVARGLCKPVWDPVLLLPCTRSERRRLIKWRIAWLPPTPSVECQCGAI KGNQNHMLICPATITLVQKLWSLMDPAPPPEVHLIDYALNCLPRFFKSPGTWCDWWPC LLALLRAVDQTTSSYKLPEEKAHGQIPIDLAAKFRATKPTRPHRIPPPTQEPVPGDPF PHLLSKISTVPRQPPPSVPARNCA PHYBLDRAFT_189219 MSDKDSSVVANKVEPNEEPDQSNILPRGISSLLGPVLCDIDKSV VAAHQSQEDLGKEIERLIAELEVFADIAEPPRLQPALDILADARKRLTVATKLMQQTQ ARIQRIQVALEK PHYBLDRAFT_189220 MAESTKPHFYLPKIFDNEGGWGPATNVIPNQFRDIPYAPYSKGD KLGRVADWTNPDGQKQDNREVTGRQGRTGFNRFNRDQHQAYGASFASAFAFTHNEDES SFSVVDNRSAIAKKMAIKASGGARSRPQNNKANNQGGGYRTVNQRPQNNRQQHQQQQQ GGGRRRYGYKDYDKPQRVRNASINIGADWKVLDEIEFNRMGKLSFGIPEAVDIASYGA VNYYNKAYDRVNTKNEKMLQNIERVKYDTTTSEDPIIQQFIKDDKATVFASDTVLSLL MCATRTVYPWDIVVKKVGNKVILDKRPGGVFDFVTVNENTFDPPAENEKETINSWSSL CTEATYINNNFARQVVDQAKLSFENPNPFASEETKNQLASCGYRYREFDLTSKADAAA AEGSEEEANKVSMIVRTEVDAAIKNGNQTTLMTVRALNEFDPAAQGAGGALSWKKSLD SARGAVVATEMKNNAAKLARWAVQAMLAGSDQLKLGYVARMNPKDNTRHVVLGTQAYK PKDFASQMNLSLNNGWGIVKAVVDMCLQLPEGVYVLMKDPNRPMLRIYAVPGEEDLDA YEEDDEEEEEEEEEDEESQK PHYBLDRAFT_156641 MNFNIFKTKQKTPHDLVRYVKDAIHRLDGSDRRKATEDISKYLV SMKNILYGEDDSEPSPDSVSQLAQEVYSNDLLQLLVLNIHRFEFEAKKDVAQIFNNLL RRVIGTRCPTVEYLCTREEVLFTLLKGYEQPEVALNCGLILRECLRHEPLAKIILYSP RFYLFFDYVEMSTFDIASDAFASFKEIMTKHKQMVADFLDTHYEEFFEHYKRLLLSSN YVTKRQSLKLLGEILLDRSNFSVMTRYISSADNLKLMMNLLRDRSRNIQFEAFHVFKV FVANPNKNKPILDILVKNQDKLIVFLSNFHNDRQDDEQFNDEKAFLVKQIQELKPAQE PHYBLDRAFT_71932 MFTSTSNHMRSISMSNHGNKIRHRVWAYFFPLFPVFIPMVSTVL LPAYIESIQTTKIAIKNDQNMSRFTFKPSTDCSSSRCLYSTLFTVSSIPKTNDDIKTS A PHYBLDRAFT_152188 MVSILLPNILSYIATHINKKDQTSCSLVCKRWTEPFLNAYWAKL EIDENKLSTMFATSTLNEVYRKNVHRVWALDLKRINIRHIGYVTQLQQSFPGIKYLFC DEENHEDESDNWMIRSIDWISWRFLTHLSIKLTSKHSGLEDIFMNLSVSRSLAHFTLN SENNVEETNTMLWTQFESLHRHMRQLEYLDINYPLSSISKYDLEMIKTIEPAHKITKI TFLDVRIDGSWLCYFALKYPNLLSLNMKPCKARRPLVQETYINKYQGQLILLKTLNQF FPYLKMVQTPTHTCNRWPLYIFYMTLNYFNIKLERVVFDTHVYKSLLMDNLTKCIKQV SESVKIMWVTITDYLNAKPRSRIIFNLCPKLVELHILEHRRIEMEHLLDQCPVLRSLY IENSTTYLINPSRHITIPHSLQKLEFINVSVPIHLFNYISLRCKQLKYLKLKSVTFLI PEWDINGKALFDMHMSQLKTFIIYNYSYCFQHVRHYAIEQLENTDGNSLQHQESQEIS FRTNWYHLCLDKTNRKERLLAWELGRRDIEFSQRYYKDFERRKKREKKRKDMIRHCGY APKRFWKRDLQYGVMIFRFKSVKEYFLDEKREIDKDKHIQSHQLNERKF PHYBLDRAFT_152190 MATDLSEKLLTYLATHVKKKDRLNCSLVCKQWTEPFLNAYWAKV EIGNWNIEKIFDEFGPKEVYQKNAYRVWALDIKLYKHSFKHIQLLQQTYSRIKYLSWR VDLEKLAGGKIDWSIWGTLSHLELSFTGVQLAPETTFEEFSALSCLVHFTIRQELDPK VNIHISLTNIESLHLYLPRLEYINIDFLLQLIPEKEMETIRNVEPAHNITTMEFVSDT LSTLWIFYLAHKYPNIVGLNLRAPKNLRDDDQIPCDDQKYQSQLRILLSLKQFFPCLQ KTSTYINNTNLWLIKLFYDALRHFDVKINYIHIDFEDETPEANDINRWISYFSESVNV LYLEQIDQLDKKRKLLVYCHKLTVLNIYLGGYIDIGNILDYCPVLQSLNISSSDIHSP EYPQPTHLPHSLQTLEIQDTKISQHTFKYISFRCRQLKYMKLYHINFHYSGSDETGQL LVDMPFSQLKTLKAYSNTNHSDDSDIYDYIPVKHIVIEQIENVDSNLLSQNNQGQAPQ SNWYHLCADRTNRKGRNLAWELGRRDIEFAQRYYKDFQRRRRREKIRKDLNEVLYGYK PKRFWKRDLQGGVLKLRFKSVKDYFLEEKNEYRNIFRPKSD PHYBLDRAFT_71928 MVPSLPYEILHRIAINFKGSNILKYALVCKQWKEPFLHVLWYSQ RVDKSSVNNICDKSYQGYIYLRNAHRIRELRMEDPELDDVNYISAIQQIYYNINRLDY LETYQKGRLYQLIDWNRWTSLSHLKMRLFRRTSIVLKDFFTSLTVLTSLTTLSLKANV VKSSDKIRLTVSWLDIESLHLNLPLLTDLEVDYTLEPISDDELDKIRCLTPAKSVKAI SYNHKYIDALWVLYFALKYPDISKLAFTPSSLRKQLNTTAYDQKRHLDDIKLLSTLDQ FFPHLKTITTPIASHNGWPMSIFYDTLQKSGINTKRLEVYINASHLNSQDGFNACLKP ISESLEILWQTASYSTSGKNFTDEFALYPNLVELYMGIDWQMDIDIILDNCPILRSLY LRKFCINQSKKTQQSSNHSRNHGQHPLQKLQMTSTKISIDAFKYLFIRCKKLSLVKLD EVGFTESNFEETGQVLLDMWSFQLDTLILYNIKIGADVIKYYVIEQLDTTPASGTATD GRELDTDCQEQPIRSNWYHVCLDENNSKRIVSWELGVPDIEYFQEYYRDFKRRKMEDE KTEEKGDKFNNYVPKRSWKKNLGEGSLVFRMRSINSYFLYDKKYRVFKRIRQQKYREH LLKYVREKWCVPITYLRIRMNMRVLKCMLTKYVTQNEKVNKVIFRNMIESELFRESFT TILDTLHIKEIYQKNFNRVWALSIEKPEHDPKKYIPVLQQNFSRIRYLLYNENNERSH IVSETIDWSLWKSLTHLEIRLKSGLFLFEEIFKRLSIFPGLISFVIRPVASYENCGPL FLWTCFELLHQSLPKLEHLELYYALAPVPEYDFEVMKNVIQASNMTKISFNMNYLDTF WIFYFARKYPNLLYFYDIKNCPDQASKDIFYDKQQYQDQLKLLSTVDEFFPLLKKAKT DYTTFNGWPFSIFYQTLHHFGSKLEHIEHTTSRRAYELSYSDDCIKPITNRLKYMTIS GDHFPRVRRYQSLSSFYPRLGYLCIKDAFVDFENIFENCHVLRSLRLYKCRVWSFTNE DYTYTPYSQDPPHPLQNLEIISTEVTPNLVKYISFRCQQLKFLFLTNFLYDYRPGKNI AHNMFEMPFTQLETLIVCHKIEGDNIVKFCGIEQMENSDISLLSLYQGNEEESSRSNW YHLCLDKTNRKPRFIQWELGRRDVEFAKRYYCKRLKGKRMKQKIRKDMKIYGSYYDGM GYIARRFWKKDLEHGIFLFRLKSVQRHFLDFKDGALLRKIRRGDVIKEQSYSYWR PHYBLDRAFT_137188 MPILAMDSKPAKPEHNLGGNKKTHVKPSLRSIARIGSSFTNLVN LAETEKSSLESLKEEDVKKKEMFDSIVQVRRAFDLFLDSRISEAETILTPHCETSMYH SLGYGFILFLKCMMTFEKDDINTTLQVLKKTINLASRERKKDAGWLDNITTWVKANGG LEYTKSLTCTQRHAELVYAEAYLLKALLGIVYDESMVSFLREGLNIRSSYNTYCTLEK YVLFVQQEAAKGKDVSAGYELDDHFTSGVALGVGCFNLILSMMPPSVVKLAEFVGFSF NRAHGMAMLDSDEGLRRQLCDMVIILYHIVLSRMMPLENVDVNLAERVLAYNLEIYPN AVFFLYFNGRLLMSKQRLEDAKVQYHKAIDIQKDWRQLQHMCYWELGVIAFIQQDWSV AHKMFDILSKESNWSKAAYSYLKAVAFYMLTEEENKKDSKGLGDVKKLMKKVDGERKK IAGKSIPMEKFVSRKSRKFGLQNKLVLPDLEILHAFSAFDFMPIELLKIDLKRTQDAL DKLETSEQYYHDDLCLVYYLRAVILRNIDQRLESDVSNEGENERVRVRADHARSIKTV FENAEKVKLDHYVYYFAHYEKAQMLMEENSLKEAEAEIQVVLKANDKGQYGVGSGQHA KSKYSLANALGFKCHNCLSKIQALASEQEKGQGK PHYBLDRAFT_189222 MLLFDPKDFPDTFELLRQYFQLCSRQPRRLVSILFLTVTLCFFY FIVLGYDDRIEFDMRPAEMAVEIKAIQDFPIWPLQLEDEAIRDRSSAYKPTMFTAKSP SFASFASLVLFKPVTAVIYRVSDNDEGIQQVVKHLSKYPFFKEILIFNQIESRPLVVE DFGGEMSEDVSIEIYDSRDDIQTMGRFTTCSMASHETCYTQDDVWLNIYLDTLYTLSL KSPELVYASARPTEYLDALGWRFGNIGNNTNNNIDSSLHTGYVDLRYGAFIPRHKAQT FLTQLAEQGITKAQLRQADMYFSLWTNQYPWILSNSLVSLEQEDKLNPIIRSPSLYEN MYDAMDKLGQSLAENITQKDHFNRLDGIIPLESRQERASCVNDKCLFITNIDPFPEPG TFVPATDLDSGIESLQSRKSTGLHHEWVYQSYQKAVDQDPRTCWNTFKIPKANDYFGL TMVGSIEAKRLVLYGRRDIRHAASMFNVTVESVENNWVTCKLWTLPDAQVVRQRVPLG IDCSGVKEFKTIRIHFVEDQKEPFDVCGIGLDNFNV PHYBLDRAFT_71925 METKISYSAPPLPNVTSTTVKAELLQGGSYRFLTRQDLPNLETE LSSSDLSSSDLPSTPARSLPQRQNTLSNPNRRVVNLKKLQTSNDPSSSDLPSTPARSL PQRRNTFSNPSREFYRVSVKFPSNYPSSSASLWDYMVESELPYSGLNSADFVHGSKIE SDIRSSHLPFSASLNMPTIAASLPANILSYIATHLNKTDQRACVLVSKRWSEPFLDAY WGKLTIDGKGLDTMFNEHNLREAYQKRAHRTWALHIDNVDIDLEKYIPELQKNYPEIK YLSCNSTFLLSGNIPKISGLSCWSSLSHLEMTLTRKYSKLKEILPKLSVLSCLVCLTI KECCPSREHSFSYNDFESIHDHLPRLDYIDITCTLKRIPENDAILSLLRLIEPAITVT KIKYNSSDVDTLWMFYFALKYPNLHRLDIGESASNKGIKVNQNLYENNKYENQLKILS TLDRFFPCLKRAETPITSLAGWPFIIFYETLHKFSVKLEHGSFFLDHLNSNKNNIDDN ILKYIKPVAESLKVLKIHTFAPIRLHFDKYMLDLDFRALVELNISFVKCVEIECLFDC FPVLETLRVDISFVTLTSNTYDTQIPHPLKKLEITNSVASINIFSYISSRCRQLRFMS LEDIKFKESHLDTNGIILISMPLSRLDTLIAFNLGNEPDYVKHYSITQTKSTNVDPIN QGDQEKTLERRWYHTCFDKTNRKPRVLAWELGKRDIEFAERYYKDFQRRRSSEGGRVD MEQYDGFVLRRFWKRDFQHGAVSFRFDSVKNYFLVRATKIESKGKPTKHSNSTIKTNI VLPDIQLSREASKTTLRNTSWSNNSDIMSLLVRETNCLAGTLRKISQTSINSIRSSIY SISLTNVYSSVMTPFIATYCDIWSMIRNFVLKNIVFQ PHYBLDRAFT_183775 MAAEYKQKLYDIQRRGDNRTCFDCGAPNPQWASVSYGIFICLDC SGVHRSFGVHISFVRSISMDKWFDDQLKKMDIGGNQKAKAFFESQSDYSAQMTMTQKY NSHCATLYREKLAAEAEGRSWTPTEPSARRPSPASTASIGGGSTRSLNSTMNASGRNN NNIGGGSSASMGGQRNNGLGRNTAATNGSGYSSDPSTGGKITVNEAYFSKLGSENEHR PDHLPPNQGGKYTGFGNPAFESSSSNQVSTPDLNELINDPIQALSKGWSLLSVGVGEL TKVAAEGARIAAQGAGQIGKYANENYVKPAATQLNDPNFRNNVSGYVNTLTQKTQSFY DATIRPASAPALRTSNHSSSNHTFDNDNSDFFNSTINDLQRQSSPAISRSQSPSLSNT ASPSSSLNTTRTRTPLRETAKKPKDQSDDEWEGW PHYBLDRAFT_174940 MHDKENWVNTYVFKHPHFGNCTSNRAESSHASLKHALGTSSGKL KTVTMKVVKWYEALVDDRKRRLTTECLGESTTVVFDKINSSRLNDIRHKVCRFAMDHI KLELAKSIIPEKLTKECECLINYNYLLPCYHQLAQYKKIPISCIPRRWRINYLEGEDH SIIHNALPVPKNITKITTITPQLAYKLERVTQILTNAQSKQQQIHFEEYIDKIIELDS KQKLENLNGPTVVEAIKGRPKNTKRKMIALEHCLEAEKEETTKKTKTEKKTKKIFSPE ADGNCGYRAIAMEVYQNQERWPEVKDKMLKNYLKYQHTHYRGRMEHGHMPASTNPLII SLQDKRSPLPQQHWFGTIYHPQLVANAYNRTVAVYWNTPRETGDCLFVPFTTTPDRFE PIILILDINHFLLAKRKPTRNFNWPQINPFHKAIAAQAAQAVPPGCPLQRHCFCARDI LAEFSLIYGHFCQNIPRSVPGQK PHYBLDRAFT_174941 MTWSLVLLMFLVLLMLLILECCPFRLSRQAVRKLPLAERWKVEK SDGTLMKVVLTPLILFTDDTSGNLSKQYNLFDSYLMTPAAMSYDARFSKNNSYFVCTS NKNLSAVDMLPPLVDDMKKLENGIPMYSIVHRQTVLVVAPLLVIQADNYRHSELSMHK SSATALETPNLLLPILHNHSRRTIEDLCFLRDTTDAAVVDAIENDGFTVNGSEQLLWL ESYCPTLDTPIELLHTLPFGVGKALLQFLFKTTLTAAEGAKLQTALADYRTCQAYSRN YRAHMNHSGSFVGRDFKQLSQIIPVILRNILPDAQQNGTIDLTAKCLESFGCLSSLAY MRNFTGNKDVFLGYITRLGDDLTARVLELDNYCIKAKKTPICVLSLQPKLHMLHQMVQ DICRFGLPVHYETEHGEQLNKFIHEEILRTNRHNPSKDVAVPFARQFIIHHIVSGGSY LADYKNPETNRTEIRMVADVSPGIKKFKIDNPKFFSILFDSRENADNNDYQEVSKTNM RIGTSGIFHANTVYGMNSFFGIITTVERENYTIQKYGIETFDIAISSRYFCSYKSFLF YQFLTTLDNNLVIKPIEEETEFFFF PHYBLDRAFT_71921 MNQRINRYDLYWDRDSSLVKKQYPHIVYKTGIRSIGCVCAYGKS LLQGVLSSKMLFLSRQLKAPCITCFTLEAPFFVSGHARSHPKTFVLFMSAHRLYNRI PHYBLDRAFT_71920 MTNPTLNDPPKRNSQSSIRSIASALTVSSETNNDASFDPNSLTL TNPNKVALDLEKQISQCEKSIAQSKYGHSLEEVVSRHTHMDAASIIEPIGDQAFYDSI PNGGYGWVVAFAGFLGNFILFGMATIWGVFSNELLTNEFKDKATMLEMMGVGTVLLAA INGLTFLSPLMARLGYRPVMAIGTIFSTLGLILASFSTQMWHLYLSQGLMFGLGASIV YMSVVAIIPQWFTTRRGFAMGISSAGTGFGGLALSPLANYLIEKYGIPWSYRIIGFLC LGICTVVIIMVRTRLPPNHPRPTTKSPIKVAMLKDLNYMLWVVGAVISLTGFYIPIYY IPRFATSIGVNHTDSSNIVGVCCAMNAVGRLILGFIGDRVGRVNTYIVASTLSGLFCM VVWPFATTYNTLLGFAVLFGFFGGVYYALAAPITGNIVGIERISSGVSILFLASALSA VGPPISSAIQLSTPDNSFIGVQMFSGSVFIIGAMICLVMKIKITKSLFSVY PHYBLDRAFT_71919 MPCHCFIIMGTLFPPIFLFIKACVKYRTNSAYYGTKTLTEKIRE KTKLSEDDGFDYEGGGKMNQTDFEEKGWVFKLQDSITYEFTSVYFIIQEFYQNNNSLL KSKRSIER PHYBLDRAFT_174945 MKMVVYDTYRLKKKEKPSEIFGVDFDSVVKLVLLGLIKKREYYS NRCQLLKCREIYKDMKSSLNDLSLQIGPEVVGTVIGLVSQYNWFALSVLEIVNLPGSL ARINQCLAVESVCHLFMVCIALYTVK PHYBLDRAFT_118989 VCMCVHLAIWVDFYVRKIYVDFVCAQINLCVSKCIYVCPSEFVC VQVNLCVSK PHYBLDRAFT_174946 MKIDYNKLFLPLGLISVVMLKETHAIPFESIKASLGKIETGYGA DNTTFTANRPKYHLQGPVNWMNDPSAPWHDGEYYHIYYQHNPYSAEWGNMTWGHAVSK DMIQWIDKPLAIYPDQPFDIEGAFDGTILENGYQNKTTMLYTGVSHVPLGWRLPYTVG AEKQVLAYTEDNGDSWVKVGPVITAPPKGLNVTGFRDPYLFRSSKLDTLLNIKDPNSI YTTVSSGIQQDGPRLWLYQSNDWENWNFHGPMIAAPENFTRNLEYSSRLGYNFETASY VEMPTTSTKDEWTLVTLGVEGGRLIHDLHSAVWTLGRSFELLSPDGKEVQRLTPGLNQ EMTGMLDWGNYYAAVSWKDPKNPDRVLLTGWIPEDIVPVTVNGVTNENNRNSQGWAGM FGLNRELEVIEIKNVSVSDPLVAIENASWVATTPDQDSKTVTVSTLAIRPMEEYKSLR KDCSLWSLKNHHSTASAIRKHKRNRVSIPGVSTRYAEIVAEFEFHEQSAPFGLSILES SEGQRHEETLIVYDPKQSKIDIDRSRSSLSRSPGYPNSTETGPLPLFKIGSKNGKVEI ETLKLRVFIDNTVVEVYANDRFALSSRVYPTLSKSAGISVLVTKDVGVKQLDIYQNID VKAFDRP PHYBLDRAFT_80238 MLHLSTQPLSGYEHNHHNHLSHHNINQHHHQGPIPIIATDDAQI EDSITNPHYTFTQDYHHQSSPILEFKSDHPFMHVTQEPNQVCDPLLDEVCILGQHQNT TNHNNETDNDNQEDNEEDDDDDEEEEEEEEYDDDEYDDEYEHKIETNNNQYNPTNFRL PSFQHSQYEIADRAFYCTIDKFARQNYPEHRRRAPYSFLENWFMHSMYSKSQKILLGM STTTPVVILSGDDYMFTPPVAQNQSHFQELLEPENHRLGHEIENENDQEALDYDNLMH SWEDENFGHYDAEFEDYDYQDSLFDLSCPQDVVPWRDASDQRLHSNESNGEQAWSGEQ WHQECFYSVDLEEQATDNSRRRLVNSPFSVPDPMLRDHIPMTITSSDQVQERGPEDND NYDDDGSDDQPSDRETSSRPTLEEDRSSAGSSTSSYQSLADIMSASLEHNPKSANNNR YGSTVVASTRAFSWKSPSLLSIEPTVGLWETLCKRTVTASESLVQTTSLVLELASAGD VNKLSGEESGIKNSRMFPRPSRLFRFTVGILSVWRTLFVCAETLLSDLWGPKCIFKSS TNSNNSNTNNASNSDIY PHYBLDRAFT_118991 MFTTAVYAVDPSHFVPSFSLEETNGTLITHPTDKAAIDEAVQWL KRGEAIGIPTETVYGLAANALSADAVSKIYSAKNRPQDNPLIVHVSSLSMLRDLLPNR IVPEIYLLVIKKCWPGPLTIILPTSDLIPSSVTCNQPTVAIRFPSHPVARALIEGCGF PLAAPSANSSGKPSPTLASHVFDDLQGRIPMILDGGSCNVGVESTVLDGLRNPPAILR PGGVTYETLRSIPGMEKLQVYRKDFVDSALEQAPTTPGMKYRHYSPEAKVILMERDSE TKESEPEFRRNFEQMWKDEQWEEVKMTTDGVECVILQMGRLGHPDQVARGMFKALREL DSRSVDLIFVEGVSESQEGMAVMNRLRKAASKVIETTTPNRA PHYBLDRAFT_152204 MTFLTLLGIYVFGGLTFLPLLAILIYVTHATEKLTVDPPPPKPV TKDVYGQRGNQGWIRLTTRYKPKDAESSGLLAGIQAAYLGPLNRRSKGLVVAYGVLKH GALFLYEGSDQQELRLILPMHDYRVSLYPENKSESELFGRHTAIRLKISPTTTSPSKH TTIAQKDTHEVDYSYLLNTDLYLMCARPVDKEDWLLALTSASEMMAESPDKAHIETVD QTHFDPPAMQALIGTVHQDANHYEIQWLNAIMGRLFLGIYRTESLKKYCQDTFTTKSK KAHLPHFLGDLHVKSVDVGKSIPYITRPRLLSLSPEGDLLAEAHVEYTGGLCVVVETD LSVSYSSLMKPLRVRLVLSVQLKRFVGKFNIKIKPPPSNRFWLGFCETPEMTWAITPE VSDKQIKLAMVTNAIESKIRDFIVETMVLPNMEDFPFCSSGGRGGVFGERIPKPSMSP SPSQSSVSLSPKTSTCTGNGTGTGNGTNIGGMTEIRPESGDRHASAPDILINTPKAVR KPVPKAPLDNMNYNISTNASANTNVNNNPTESFSASSSSSSTSSSSSTSSGSSTTVNA SDTSLVYSTEPDNVTLVEESAASELYWSSDSPHPTTPDLLFDQPFTTHDSSAPDQALI FDDPPYPPPQTAAVDQSTPPRWTSSFRRKQSKKTDDLDEPLIDSNQQQPNPQPHFKQQ SQIQSLVLSQAQILAHIQSQTQSKSKSKSQSQAQAQEQTQTQHQNQHQNQNQNQNQNQ NQYQLHHQFYSQPPLPPPLETEDSQSIASTKKSLLNKIGGSFKSETAHRSLTNKKNTL YSVAGTLFHKHKDTHEVRKKRNQEMQEIHARKMSAFSNRVADMQRRCSNDIPATKVTI NNNNNSNNNGMTEPRETAAIVAVVESTLVKCASSQKIDSVDWLDGEGHKQTAI PHYBLDRAFT_71913 MSCIPSPVPQPSLITAKPRASPLSAGHRIARPVSTRPTRIPIKP ANHARLSSTTSNITGRPTLLPVPVKHFLSRTPSPSIPKPKPPTLIPSKSRVPTSIVHA APKPATTTPPINTNTTLNNTAVNTNTNTNTNANANQNPTTTTNQTTPTSAINTIGRPA VQKRVPSSIAQIKDSLEKERQKNDEQRQCIEQQAAQINQLTTQLKTHKDQHNYTLEVV TQLRQELEAQKELQRQLRTEKETLERTQKESLDRISLVHQLQLERVREEERLKHQAPK QPEELRAPPTPPKHTVEQDHKKLEATMNDAIERLLNEVEQIEHTHRVSPTNIPISPHN PVRHGSRASSMSSISSSGALTSPGSEKGSRGGLADSWNARFAPTQAVSWPAPQPLTIL KKAPVNPQPVYVTKSLVKQNIDLLNGINVIKNNSGHIDEDEEALLREVETEINSGKVS SLINIDDL PHYBLDRAFT_71912 MSKTISLLAGVIAVSAITYKYTNDIQHDRQALRQRINGGPIKTQ DVNTLEPLPIVTQSQVYLSQRLIPSLKDSWNAHVTKAAHAVIYSDAPNKIKKFWVKNV LGNRVD PHYBLDRAFT_71911 MISLQARRQDKIGRLMDSLKTYKTPCMSHSDSTTIEMSLYQNKE KMARGERQSVEEKIKAHNISIIGKSLPLRYNHFFGPIDSPQSVANAFNKSIDVHWNTS RETGDCLFVPFASTPDKFMLTIIIFDFSHFLLSKRKSS PHYBLDRAFT_174952 MSYLLTNYTFNLKNFLNSAAVTIDNVKSIEPLPPSSFHLLEYYR PAYNNTSLRSYRQADFGQIYMGSNGMVWRGSVIKAFVYENNDRRMSAFTGKTQCLFVS DIIDPITLQTDRHVFTYFNWYKTAIQDAQSDQYMELNEFTFTKSDFQKILPVHSILML AAIGVHVTITGSTRILIAPSFRKKWGHRMSSLHTNAKIIQLLQSMQETLVALQKGQES LQKEQAILRLEIAEIRNDMNGRTDEEPSPDYDALGVPIPRPVPNIKDITLKHIFKMMS KDLKVQMSKDNKKLLNTCTRLICDEMATLPSVQVLGPNPNWNSISQEDKELMCTKHAY LLKQSGVDFTRCHKNWASVAKVSQLWKNRVKRQASANTVYE PHYBLDRAFT_71909 MIGFSILTGGVQRFVAYVKKKVHMYNSVTAATSILFSCPRFEKV INFRRFRQQVPGILFDIYDGAKWNTLKDSNDKQSIAHRRFILLTLNIGWFQPFDKISY SWEAIYLFINNLPREERYKKENIVLFGLIPYAKQAKTSEANHYLCLLVAEPKKVYSGV VISIKKYPSGTLVHAALLPVVCEIPAARKTRGFP PHYBLDRAFT_42121 MRLSSQHPELDTVWKTLEETKALPVIPIDQPAELSLDLLPFQKY GIGWMVQQEKLNDFKGGVLADEMGMGKTIQTIALLLSDKKKPNLVIAPTVALIQWKRE IETHTNNALSVNLFHGASKLDDTESLEKYDVVLTTYSTMENSFRRQEFGSRRKGELIK EKSIIHKIHWHRIILDEAHNIKDRSCNTARAVFNLNSNFKWSLSGTPLQNRVGELYSL IRFMQADPFSYYFCTKCPCKSITWKFTDRRNCDECGHKPMDHLCWWNNEVLKPIQRDG HGDEGRVAMKKLRLLLDKMMLRRTKLECADDLGLPPRTVVVRRDMFNEEEEDVYKSLF TDSVRKFTTYVEQGSVLNNYANIFELLMKMRQSANHPDLITKKKDNSNNKQLVCMLCN EPPEDAIVAACKHVFCRECCVQYYQSFDYGDGIVKPNCPTCFATLSVDLSQPSLELPE NERDGMYSKTSIVNRIDMSKWRSSTKIEALVEELTKLRRQDKTIKSIVFSQFVNFLDL VYWRLSRAGFECIRLDGSMSPRQRDAAINCFMTKPTVTVFLISLKAGGVALNLTEASR VFICDPWWNPAMDRIHRLGQHRPIKITRLIIENSIESRIVQLQEKKQALVESTIGNDN SALERLSVEDLRFLFVL PHYBLDRAFT_152209 MYKLCQEEEHRRTEPPLRRQLLVRNLLLHSLIAPEQPNKEQVWL DACFDELDWIEDDGYYPNHPETMIENNDNDDIQASFEYSSQPPLPPPLSPYSPFITAP TETTEATEATRDDYMNRSQQHHNHLNHHLNFNNHHLNHFNLENLQKFNNNRTNTNNNN TKTSSGGGGGGGGGDHRFVLALPIEYLPPIITGLSDLTFGQKEINPPSPHAHIQRDN PHYBLDRAFT_99354 IKAPSKGTVIFGSIVAAIGGTVYTSNHYATESRKRLCDQVSWLA ERPCDVHEIPRKVSVYISAPPGDGLEKSRSWFREYVKPILVAGAVDYEIKEASGPGQI EFAVRDEIVRRRRIAAAQKERKEQESSNISPSSPEQKNAFSAMNPPTLDAIKHKKEEH LVDGVLAIGRQAWREVLSGIVLGCKAPLVVIPPAVPESETNTNNKNEEDINKEKNIEG TKDELDLDLALGPVAEKLMMDEIAVNGNGNVDSDTTNSTDSSASQERFDIPPVLSPVM YIPQENIIGWTNIPYRLFMWAADYQRIEKVGKYVVAAVLNETRPLRPEDENQGESEKV YW PHYBLDRAFT_156644 MAPLCSVFVGPEVPGEGRVRRSVLAQDDIVDTPAQGVHTLYDVL QYSVRRRPEAAGMAYRKVVKVVEEQKEVVKIVNGVEQKQTKIWKFFQLSAYHDISYSE ISTTAHDIGAGLVALGVKPKAKIEIFAPTTADWMLMAHGAFTQNMTIVTAYDTLGPEG LLHSMNETGVEALFTSADLLPVVLSVLPKSTSAVKPVVIYAGEAKPEVLKQFEELEGQ TKAKVLSIDELKKTGKNAPVAPVKPLRDDLCCIMYTSGSTGNPKGVVLKHSNLVGAIA GTDRLLGHFLSESDKMMAYLPLAHVLEFLVENLCIFWGATLGYGNPRTLTDASVRNCQ GDIKEFSPTIMTGVPAVWESIRKGILAGIHKTSPSAQMIFQRALDTKAWMMERGLPTR LLDTAVFNKVKAQLGGKLRFALSGGAPLSMETQRFLTTAICPVLGGYGMTESKMCAIM TPESFSNGPVGAPVPCCEIKLVDVPEANYLSSNTPKPQGEIWVRGSSVTVGYWKQEEL TKEALTDDGWLRTGDVGEWNADGTLSVIDRIKNLVKLSNGEYIALEKLESVYKAALGV ANVCIHGDSLRPSPVALVVPVEARLRKLAEETGQDAKAELKELCANKDIIKAFLGQLQ AQAKKSGFKPAEIVVGVHLCSEDWTSENGMLTAAQKLKRHDINKKYKAELEALNAAQK S PHYBLDRAFT_152213 MPTISADTLDHSVDTSRDYFSKPSDTDHYRKQKRIKDAFIEGAT NRLRRCRLEGEMKEMKPVVISLFDSILSLKLEAEAIIDAISKIEQEEDSLVDSNVDYR VNENQKHNQKLDVLELRLQAHKLHLDIFKSVDIQHYETQQQEKQQQQQQEKQIIPAHV AAPVPTPSVSRITSFSTLFSRAPSVATSASSVTSSSTISTASDNEDLVSTQDCPVSAE EEQQRRHRRRRRVRRVRQQHQERVRLDNEDTMATELEWKPKYWPVEKNQIQESAHYEP NMATDNYRSTRLGRQHRSESISSLSDISLSSSSSFSNPRGLEDLDELCLLYKPSRPSQ PHHPLSPASSFNLGQRPTSYIYPEDDDMCSLGDSKPYRQLIFDPPCNKRDEYDDYEDE EEGEGEGGDYGYPTHVKILEEIENIANDDTEYDSDLMEDILNILNNPEFSGRSFAEIK DTVVAMRQSSVQIYPSPFSPSSWLEHGKKQSIEIANNAVGSSWKWCKFLSILSASVMV SLANGPQDISRA PHYBLDRAFT_189228 MAASSSQGINTLLDAEREAAKIVQKAKQYRVQCLKDARSQATKE IEELKTQKAAEYQAFVAQHSGQSDETLNQVNAETDAKITELQALYEEHKSDAVEKLLK AIVTVQAVPHQNIRV PHYBLDRAFT_42115 MSHRKFEAPRHGHLGFSPRKRTRSHRGRVKAYPKDDAKKPVHLT AFLGYKAGMTHIVRDLERPGSKMNKKEIVETVTVIEAPPMVIIGVVGYVETPRGLRSL TTVWAEHLSEEAKRRFYKNWYRSKKKAFTKYAKKYSEGAKDVTRELERIKKYCSVVRV IAHTQVGKARLGQKKAHLSEIQLNGGNVSQKVDWAREHFEKEVTVGSIFEQDEMIDVI GTTKGHGFEGVTHRWGTKKLPRKTHRGLRKVACIGAWHPSRVMYSVPRAGQRGYHRRT EMNKKIYRIATGSDKKSGSTEYDVTEKVITPMGGFPHYGEVNEDFVILKGSVSGAKKR VLTLRKSLTVHTKRSALEKVTLKFIDTSSKFGHGRFQTPAEKAQFLGPLKKDL PHYBLDRAFT_160554 MTGVMVTCTRGKEPAAVKEITDVFYQYAETLYPEAEDDEEEVDE DEDIEATIAREVAALKKQSSAGGKKKFSNIATGTTCLAFIKTAPPVDPCAFVHQILTD MNTTQVKKTRYISRLLPVQNTCKSSLESIEQLAKELVAPKFNVPNANGEIKPRTFAVM ARIRNCTKLDRMEVTMKLAGIVGQPHVVDLTNPELMIIVEVIQNVCMMSIVEDFYKLK KYNIESLLGVNDAGVPKPIKAKVKAKEGDKEEKEKPEETESKVETEKETNENDEEKKK SNEESN PHYBLDRAFT_71900 MEQPNDHNNNVCKIVQLNSIGQQKVLYIFGFSDLLILWGNTVFV QICFWLLVLCVLCVLCGLVCLECFGSDLPYQYKVFSLTFYVLQQKHNQMAKKKVLNIY FFNYTPNGFYIDISVSVNFRIR PHYBLDRAFT_174964 MSKNNGNTKKTPLLTHLVAGGAAGFMEACTCHPLDTIKVRMQLA KNVQRSAAGKRLGFIGVGVKIVKTESFWALYKGLGAVVSGIVPKMAIRFSSFELYKEW MADENGHVSTGSVFLAGLAAGTTEAIAVVSPMDLIKIRLQAQRHSMADPMDIPKYRNA PHAAYTIIKEEGITALYKGVTLTALRQATNQAANFTAYQEFKHHAKRLQNLDELPSYQ HLILGGVSGAMGPLSNAPIDTIKTRIQKSSAPGSGWDRFKFVTTEIMQKEGPRAFYKG LTPRLLRVAPGQAVTFMVYEKVKSWLDLVNDKVSENETVKLTAQK PHYBLDRAFT_152218 MNRSTTSPGGCTSKVSGILHQHSHYPAFTSHRFGVIGCDDAYEG RMLGGGWRSPS PHYBLDRAFT_99781 APGPQKNQSRKNKENCLSNTMVLESQRILKKLATVGLERGKSGN SEAQFILANCFGMGALGMRINHERAFVWYIQASKQSHAEATYRVGVCYELGIGTRPDE GRAMAFYRKAAVMSNLRSMYKLAIILLDGRCGQSSSPREGMAWLQRAANAASAECPHA LYTLAMIHITGECKDTSIITDHFYGLELLHKAASLGHAVSQIKLGEMYEHGDLVEEDP AQSVYWYSLAAEQGNPEGALALSAWYLTGSSEGGGGLLEQSDRQAYLWARKAATLSTR DRWVMAKACYVIGYYCERGIGLDYPVPKEAKKWFGRAVQLGHMEAKNRL PHYBLDRAFT_174966 MIIDFERAAGTKVAEMEEAVKTITVQMEEHQLYQLVFLESFLKS TRGSLLTLFDKRPTITLEVAREKLCRNLKGLEISIRGLHKHITREMLANLEERDKKIA FFIDEDGFQSQMTRCKGVAKKRNACYGQGAYTKGVNTSIIGCIASFDLFNFLKVDPIR KIDAEIIESEFHSKEENKGKKQKPNSQKEAKPKPKKGTTAYHIVKFTEATINMLERLD RRDYFIVTDD PHYBLDRAFT_174967 MKYYFVRNTNPVYSINSSLRPKFRLDSKEIIASYAYCVFLFLSP TDWILRDRIKLSNAGKKVTVCGLVESKHRLPPGNIVLLKFSDCQWRSHICCCYFNKEI MSLDHIATLTQQLFLTEDEVFTIVKLYLPDGNLTSNHLCEWMAKYGAWSPAKRNSNVQ QFHSNVKPTISSICTIGYTRKSNTKNPMTAKIKSADLQIYKLKKKNFLGRSSDSQTML SFITKANRKVRLAVINFAGLSTNVDDFRHFIKTNKSIIEVFVDEGYKVNVYICHELIH RDDIISRFNCRHGPVKRSQNT PHYBLDRAFT_160555 MEGLDYLEKMRMACFLSSTKQFQSLSAGQEHKNNNPTNPAISYA TYTTFSSKKPNHISALSEQLPVSNNSDSDCDSDDSSSLPFTDSPLSSEESDSETILSD NGPAITQSPCVNGKVSEPKTKKPFPRAAFRIARVGKIFRAKSENNSG PHYBLDRAFT_174969 MALSTNTPEPPGVKNPSTTGSSPPLPTSFTPISPSSTPLYSQVA TQNALPLLEKQPHVIFSSTNNTTSRTWRVGSSKFSVFFTVPPKSSPKFDPFWRALLSA YPREVNMGITLGSRSSPDTCELHLPTSADCERACSQPLVVGDSSFPAQPAVPIGTIVR RVFLTKLPRVPYHDLATQLAKCMSPFGKVREIAIHESYGFFDGSGYVVLANTPTDDVP SDSLTYQIAYDDTQKILGKWPSMGSHCTYCKEMGHDVAKCTKRPAETRTCFGCNKTGH LQANCPYITDPSKTSKTSNKRSRHPNRNSKLDRPIIAPKPLIPTELSLIYGGSEASKH NPRQPALHELSKLSPTKTTFTLPTPTETPTSSGPRPRSRSVDTPTRGWDKEIDDRMIT NLMDRDEARTLRLQGLPKVGHPESRAFFIRHLRSQGIDILALQETHASSSMLQSTFDQ QFRSSSSLWSPHCGVVCLSLHIIFTDPLFSPCGRCITTTITHVDNNFSPFRIGVIYAP ASQTPRYRFLASLLSTPDLIPPNPSNFILLGDFNHAIRSHYALGRRAPADWLQFIDTN MTDCITPRGQHPQPTFHRALSSTTIDYILASSDLHPRTTDPQVSYIYQKWSDHCLVAV SLSLPSTKSSGKGLWRANPRLAQSLSFRTDLNTLLSTLVPALRADFSPQAQWDAIKLE VIRFTFCSIHGSRSLSFRGRATIMNSLVLSQLWHALRVVSFPSAFLDRVRSIVRGFFR ANSFPPIAFETLCLPRLQGGLGILDPGIQQCALQLRWLKPLIRNPLLPHGLVPQWFSS LLRSDVPTVDPLLPLLFPDCRPRNHRTLDSPLHLVLKAMDTLPRNSDRVVLNLSSCLI LPLSSMISSMPSHPPYRPAWRDLRVHHLYQIESNLDILTPITPSRPLPRSVTLNRILN RIRDHTMHFLEVELLPSPQVLCNSSL PHYBLDRAFT_152226 MNLSPTQHHYFKRQLVTLQLEHEINILRAQPDLSLVLSTENDTQ FPLLRLVFQHLILKFPLLKQGSEFWDKVQEFLDQFCKIDLNTFAPKQNDAAQRRLMIN KTQKILVIALCAAIKTGSEESIKIDPRDLTPPPPSLPLVTLPGATLVIDIVGVRIVKT RHSLREKSHAEYIVQTDYNDKRYIVSRRHRQFAHLRNQLKASFPSLSLPHTPPNFHDT SALIREKDRLSLRCYLHSIAQEPGVAVSDPLLMFLTTDTYSLTQEDERDLKKRKEVDL HRAEEEQKFQAQLDGKVEELEALLDMLKAKIKQPGGLLSVFEDIKATEKIEDLPEPLR KALEWGRISFAFALHKQLVMSDAATENLANLKRTHGMMPYRTMAFMLKLSNPMAMLKG VMDLFLARPFGTKSLFQRMLLANMQEEAKGVSRDIDELEKKISCPALTEKLYKAVRTP LYGCTEAESRSSSNLLTVLQNTSFLPLLSDKDLALVFGDPGLQKKLHRLWSLYARQYE HDMMSELVFEGVTGELIKQLFTIFYQPLAQVYKAADIGSSLYHVSAFLDDLIALMAEL EEEQKEGQDSAIDSIPRFIDIVQSHEQNFYGFVHKVYSQDTSCLFDDLLHYVDGLFGF MSVGLPGTIDLNTVVGAVNLSDSENNQLIEEIDDLCAYRHWQKVKHLEKTRNQLIAAD KDPLQATTNHLSFLNGSQLEDEFREMEYESEGEGEGEGESQSTGSVSQSSEDTNSTAT SSQATSSTKSSGMEFPTLEIIPKIVPYFVDSVSASIFTI PHYBLDRAFT_97704 TQEDDGSSEHSAVYSIHTEDEEDEHEYQPGGYHPVSFGDQFHQD RYKVVRKLGWGHFSTVWLAHDKEKNMYVALKIVKSAPRFTQSALEEIKLLEKVKETNK EAVGWNHIAQLLDHFWHQGPNGKHVCMTFEVLGESLLSLMKRFNHRGIPSAMVKRITR QLLLGLDYLHRECGIIHTDIKPENVLLWIPNVQSYLGHPSQPSDNQQQVQQSASSASL LNQTSPGSTKSRKRRVKRRIKKQQARATVSLHEECPLPLEPSLVLPSSVLDILLVHPE ALDRVVVKLADLGNACWTSGDYGHVIQTRQYRSPEVIVGARWSERADMWSLACMIFEL LTGEFLFDPRGGSKFSKDDDHLAQIIELMQVVPRSLTTLGEYSREFFGKNGQLRNIKK LRYRRLRDVLHDSFLLPPSEADLLSHFLSPMLQVEMEKRRAAKAMLDHQWL PHYBLDRAFT_119047 MPKIVTSSIVSSSDHSAQAEDQRHTLHVYYCLCSEFLLVIDVDL RQLPRRRTDNAIIVSNTRRTYKLTAEADDCVILKRRDGFEKQYRYRCPRCDLLVAYEM VDRRKSGPYTYIVDCALTEVQGRAPPHAIQDVPSP PHYBLDRAFT_189231 MLSSLRKLTLLPVNVTLSRTYLTSKSLNPKKFTSLFENVPVRPR SGWQVFLRDHLKEKLKESKPDSVTVLTKQLAIDWNKMSEADKKVYTDKFAKEAAKHDQ AFEQIIMEATPQQIYEENLLRKKYKLKTVRDPKEPLKPANSYLVFLNHYRKEHPERFI GNTTSSQSLEAGKAYASLSPEEKKKYEDIAHQNRIEYAQKMEVYNAQIKKFVVTKTPK SSTKTAKATKSTKAAKSSTTTTESAN PHYBLDRAFT_119009 MLFNWNIQDVCIVFEWWHIHSVTQFILSSLALVAIAILYEFLRA QANSLDHKWSTKPSFQSDSLDHINETVPLISSKTQGNRSLIRSSLYAVLVALSFWIML VFMTYNGYLMLAIVVGAGIGHYLFANRLSTDRSIQCH PHYBLDRAFT_156648 MLPRTFFLFELLFHLLAGQPTQVGYQVGTGIADITGPIVQIMMM GYAKHGQTGQGLAQRLYSRAYTVLDPVSGNRITIVNTDTQSMGDIVKQRVVSSLQEIY GEDVYTEKNIMLASTHSHSGMGGFLQYTLYEISVLGWIEETVQPMVQGIVKSIQIAHD NLQEGNVVYNLGELLDTNINRSPSAYLLNPEEERARYKHNVDKDMSLLGFRARDGQPL GLITWFPVHGVSINNTNRLINGDNKGFAAYAAERTMNPDSLPGKGPFVAAFTQSNGAD VSPNTLGAFCTETDIPCDGSRDSICPGSSKCIGRGPGWKIGHFESNRIIGQNQATRAL ELFGQKRKGKAIPASVSASASTSASVPVPISVQGKVDFRQKYWDITKEVVITANGTKG LLCDPAMAAGTTDGPAIDGFYQNTTQGTFFWDIAKDLVKKPTQRQKECQAPKAILLNT GEIKYPFDWQPKILDVQLLRMGNVYIIGVPSEFSTMSGRRLRRSVRQNLIAQGLGNND TVVLHTGPANGYASYCTTFEEYQMQRYEGGSTPYGPYTLDAYIKVFGELVSSMASGKP ILDSEQLPNYVNASYDFSPRQGADRPKLFHQFGDVVRNVAPVYRRRKALTITASFVAG NPRNDGMLDKTYLTVEYRQPSSGDWKIIKTDDDYDTRFIWRYTMPILGQSEATVEWDC GEDTPAGFYRLGYFGHHRTPYTK PHYBLDRAFT_71887 MASMSGKLWFHSEVQNVHLLFESFTLDSPLHLGLGYLLVVAICW AERAITYWLDGATYKGKKLWPQILLKTTLYGIVMTLRLLYMLICMYFNTGLFITIIFA LTSGQLVFEFKKSSKVNLSGGAGYKDRRNDYGYATRPEEETQHLFVPQDEYELAHDMQ QTRVA PHYBLDRAFT_34313 MGMRIDIKRKLLSRSDRVKSVDLHPTEPWVLASMYNGQVYIYNY ETQALVKSFEVTETPVRAAKFIARKNWIITGSDDSQIRVFNYNTYEKVASFEGHPDYI RCLAVHPTQPLVLSGSDDMTIRLWDWEKGWKCAQVFEGHSHFVMHLTFNPKDSNTFAS AGLDGVIKVWALGSNVPNFTLEGHEKGVNYVDYYHGGDKPYLISCADDNLVKIWDYQN KNCVQTLEGHNQNVNFAAFHPELPIIISGSEDGTVRIWHSDTYRLENTLNYGLERAWC MSYQKGGNNVAFGYDEGSVVIKLGREEPAVSMDASGKIIWAKHSEIQTANIKAGADDA IKDGERLALPVKDLGSCEVYPQTLQHSPNGRFVVVCGDGEYIIYTALAWRNKSFGSGL EFVWGADSNVYAVRESTSRVKVFKNFKERSGLLPKLNYSAEGVFGGALLGVRSNSFLN FYDWETGSVVRRIDVEARNVFWSDAGDLVTIACEDSFYVLRYSSQAYLQFIENGGDVG EEGVEEAFEFVTEIAETVKTGAWAGDCFIYTNNANRMNYLVGGETFTISHFDKQMYLL GYAPRDNRVYLADRDVNVYSYVLSLTVVQYQTAVLRGDLEVAASMLASIPSDQRSRIA RFLESQGLKDLALEVSTDLEQRFELALNLGKLDVATQIAREVDSEAKWRTLGDVATAS WKFGLAEECLLKAKDLSGQLLFYTANGNRNGIREVATKAVEQGKNNIAFTALFELGAV EEAIDLLIKTERIPEAAVLARAYRPQEMSKIVKLWKADLESKNKTKIAESLADPAEYK NLFPELALASKNEENLLDMEGSSDDDDDNDVFDAASENITEPVATTVL PHYBLDRAFT_99985 LLPFYNQVGGHASLFRFSKRAICKPVSKKEQEIYEHFDANHPQL LPFVSHYLGVLNQFILIEDLTDGVRHPCVLDLKMGTRQYGVYATQSKKESQTRKCARS TSRELGVRVCGMQASFYHVIYIYISLDKYYGRNLNPHTFCDSLCSFLDNGQGCQIHHI PILVRKLHVLSRVIRSLEGYRFYASSLLIIYDGDSQSQRKIDVRIIDFARCLTSLDQQ TNRKDFKYPPANGGAGPDVGYLLGLQTLIKCFKHIYR PHYBLDRAFT_183788 MTTHTSTITNTTKHNSNNNNNNNNNPQRLKRIELVITPGKSLGP FRLGSSLWDTIQLLRDRPQFFPTVELKYSQKDPLRHDFIISLPSNGIHLRYDGSLQRL KSIECFDPSKVKLVYQNGDVSSSRTIPTFLLIYKSFGPTYPGEFDAAKSIYTLKYPGL AFTFPIPLRFQTLYQSSSDLPMEFPDGTTPIASRVYLYTGSTHWQQATVPSLSKVIAE HNASISTKYGKLGRRELETIIAKPTKGATLYFPTQVSSNETTDSAREPVKTTSNTVQI TLHLSTAQDILADLGKPSRIFYKEEDKMKIHSTANDLTALEKQDTKGDRSKASGSNNG SRAVSAEEEDKDPFTVHPTDYFFNYFHLGIDILIDGGLHVCKKIVLHGNIPGHYDFQR YKRCPFQIVFSKKDVKQANGKENSNNVLVDVESDTDDNDPVPVHIVTAEMKAATMQKR IPWKSNDVSNANEQKPVILTRGSSEQNPFGSTHLTGYDEGIVMEVTKNGYVPTIVLY PHYBLDRAFT_152237 MSSLQLEHNLWNRHLAAVLNFRHILNNLRYYGTIPLRFTRVIRI GCIRRQAEEDFQEGRRLRQRLTRLQKKIKQLCSGYCLGPTQVTAGFKMSDTLKPYTIA TKTTKVPDRYYTPQPIPKTPKESSLEFSYLPTPPPSTVAVQPTTTSKAKDPCCFGCIL ACLLCCAVKNETQSPDTTTG PHYBLDRAFT_152238 MSRKHALGRYYIPFIFLIIHVSGQPFTTPTINLDAVGQLGFTGN YNGLSPFIDTQQFETGADLRMSSLVLSKNNTFTRIASANGDILTTCTLKRADNQMDIY IGGNFTTITYNNDNQSNSNSNSNSNSNSNDNTALRYIARFDSQTQTLTGLDGGLDGPV QALYCDQEANSVYVGGDFGSPVQTPASNNGSTVFGKIALWQNNAWTALPWSGFNGPVY TITPNKKKNTILFGGLFDATGDGQYYNTNSSQIVNLGGSTTLGSGNGALTAGLGNPAN IVCSNNTLPLPDTPWLLQEGVPGYWEATFAYSIQPSLFRISNTHYDGRGTNSFSIIAL GSNEYFELSYLDPVTQQNVICSKECHLSNDTSIAFQDFTVVSPYITTGVRINIDSWFG SGGGLASVQIFQSDIALHAHLGDTNDGQCTSSITPSTTIVGNWVEKYVFGTYQNFLTS TFSASDLATTDASILYTPYIPVQGQYTIYATTPGCVGSSTCNDRTQIELTVTPSPGNS TIFFVDQAVTEDTRTLIYSGFLSATTTSFQPTVLLNLAANATAPSSGTVSLVATSLEF IKNGTGVTLVSVLEYSPQNYTQNIVPSWRPLTEQLLHGSTVRTIDASQGDVLYIGGQF VGINDIYRNIVAYDYLSSGGQLRPLNEIGLTGNVTCLQLVDSNLYVGGSFNSTVTGTK PLGNVARLDIAGNQWVPLEMGLDGPVESMFLSDSTITFSGSITRIVNTNSTTPTSVGN AVWDITNNKWIDRSSQIVGTVRLITSLSTDTTVNNKIWIGGIRSAQTYLASGLASLNL DSIWSPHVFGNDPDAVINTGLFWHNTTEPGTNITVLIIGGRFKISDKISNLAMYKDSE WTGIGELDGEVRALTLLNDKLLIGGEFGGRFYDSQPKSFAIYDLIGQKNVDTIGVYGS GGHIGRINVIQVSGNSHIVNVGGNFSLAGSLECPSVCSLNTNTLQWDKVAQNLQGQVY DMTTFAGRLIAVGDLQVNNQRTYIAEIKDQSSIWTVPTEIQSAELGIPTTLLSMPKKV LIAGSNATSGYLGTWDGTKYTDLGSNLGPSSDIRQLLYIPITSSPNDDRFPADSEDML MVVGKLDIAPFGNVSAALYDGSNWYPYVLTSQRDGTPGTIQHLFHESPCCTVSNIKRH LPVPAVILISIAVSLGIIFALVLCALCFVFIRRRNSARHDPEPMPPWASGRRASTLIA MLDAAQLGVLGASAGAGAGAGVGAYSGDTLTNKEKNGFVNQNQNSAEMRENLVGSPFI NNDHTNGTGTGTGAGAGAGVVTFAAMLSAAVAKRAESGPDAPVSEDCPSLFYAKYPFD AKEYGELGFGANETIIVTDMSDNVWWMGYKDDGSGKPASGLFPSNYVSSTKAS PHYBLDRAFT_174983 MVGSKCFLLRIKSRTNTLMRVRIRVWIWIKPRPLMMCALYHNNY KDQGEKCLQKEKREEYGKLTKIKNNFGTATFTINLVQNREIQMIQGALLQKLLYNATQ AL PHYBLDRAFT_160559 MSSTIYAPQQDEVFFLLRRAGSVAPAETKPARPPRPIRQDTPMP NRDDTPTTLVTPMPNRALTSSPVDSLLADLHPLQTQQSQIQQSQPQQPKPDQRAIRKD IDSMIFCDDFGMEDMMMMIRGAVRYEDETQKRSSRGTSAPLRTEILEVYKEHQERLEQ LEEALDQLMAEAVEVYAC PHYBLDRAFT_152240 MYSLQTALPPFKRTQKQVRFAAPQAQEPVARNHARTVSMPLLPS QQNSLDVLLDAIDLDQQMSERFKDQVARKSNVSRGHPFKHQAVRRRSRSAPGTLLRLP STTRWWTSNTPEDKPLTDNAAELVAYQIVQKHIQNAIHKSPYSK PHYBLDRAFT_160561 MLGSPVIQRPTKQAAIMKPSSSTRTPTMKRRSATLSDTVEESTH SLFSNFLFGEPSNRGNTSTSAGSLQYERTARGQWIQDHIPLDRRFSNDSGLVWTNGWT ASSVLSDNVHGKLFGDALVMLNSFRYKY PHYBLDRAFT_99255 IGLGVSVITNLIQAFSMAYQRKSHILNDLFPKELRISAYKRPLW VAAFGSYMVANIIGSVFSIGYLPIVILAPIGAMNLVFNTVAARLVLGDPLTQRSILGT MLIVTGALLVGFFGIINEPNHSLDDLILLYKRPTFIIYFGILESGLLLMMLITQYLDY RYGSLDLDEWPPSKRLLGIRSLGLVDLKTYIGMSNNINVNINIHTYFSRAKQERVNFL YGILGGCVSSQSLLFAKSGVELIILTVVYKENQLQYALTWILLAMMVITAILQLFYLN KGLRLCDTVMLVPLSFCAFNVSTLFNGLVYYDQWDRLQWWQLVCVLVGVSLTVVGVVF LS PHYBLDRAFT_71875 MIYKKSHRIIKTFCNTIFKDHVKLNSVLESKDMDNSLYALIKGE QFQSIYLYFTLNLYFYILIILSHKAKSLDNEIYKKRTYAFIKYTPGSPSSYAEDHKKL LPLDCGAILLDISLFIILTHTEPGRVIPCRQKCHDLWSVTLLTVLICPHQVSADRLKS SKVRTLKRYYLICLKNKIKKDQTSLTALSSSAGN PHYBLDRAFT_174990 MTIPNRNEDLRQGCRNVGNVERRFKPSLKKFYFWFGCGTEKWYF NLHMDKSVPVNGSCYGSFTIGYTKAACTTDWWSEIVGNIHSVQIERNRRPIWTESYC PHYBLDRAFT_152245 MVVGVNFDSAAKLVLAQCGEAGVGTGFKKENVTVVVGFNFDSAA KLVLCGEASVGPGFKKENITVVVGFNFDSAAKLVLAQCGEASVGPGFKKENITVVVGF NFDSAAKLVLCGEAGAGTGFKKENVAVVMLVDFESGVKRLLVQV PHYBLDRAFT_174992 MTIPNRNEDLRQGCRNVGNVERRFKPSLKKFYFWFGCGTEKWYF NLHMDKSVPVNGSCYGSFTIGYTKAACTTDWWSEIVGNIHSVQIERNRRPIWTESYC PHYBLDRAFT_174993 MTIPNRNEDLRQGCRNVGNVERRFKPSLKKFYFWFGCGTEKWYF NLHMDKSVPVNGSCYGSFTIGYTKAACTTDWWSEIVGNIHSVQIERNRRPIWTESYC PHYBLDRAFT_152247 MVVGVNFDSAAKLVLAQCGEAGVGTGFKKENVTVVVGFNFDSAA KLVLCGEASVGPGFKKENITVVVGFNFDSAAKLVLAQCGEAGAGTGFKKENVAVVMLV DFESGVKRLLVQV PHYBLDRAFT_174995 MTIPNRNEDLRQGCRNVGNVERRFKPSLKKFYFWFGCGTEKWYF NLHMDKSVPVNGSCYGSFTIGYTKAACTTDWWSEIVGNIHSVQIERNRRPIWTESYC PHYBLDRAFT_174996 MIGSTTSKRQIEWAIETRSEKQQKVKASQVMEQVEYDILYAEGN NISVGTTIKKPLNFSMKIVSELTWLTLQALVKNYGSLMKLGLKLYLCLELLSMLPDLT NRWLIISKSDLSRIVWRPIFELLLPPNQQNQQNLIIEIEDTVGLMSQEHK PHYBLDRAFT_156650 MTKGKIAAQCGHATLACYKSIKQGNLEILRAWEYSGQAKVALKA DSEEMLDELQAIALSLNLTAQSIRDAGRTQIAAGSKTVLGIGPGPIELINEVTGRLKL L PHYBLDRAFT_119040 MEAFVTLVATDSYCPGALVLAHRLRDLNTTRPIVCLVTPEVSKN ACLQLAKVCNVVHVNTLRSNDDANLQLLGRPELDITFTKLHAWRLTQYTKIVFLDADT LPLRNVDDLFDWPAFSAAPDAGWPDCFNSGVFVAEPSESVYSELVELASQRGSFDGGD QGLLNTYFSEWSTSPEHRLPFIYNTTPTAQYSYAPAHVQYRNSIAISHFIGQDKPWKW QMFSDGKVFPRGNAWPGLCELVQCWWDTWKKHYGEVRKSRKQFLYRSVSLLALVF PHYBLDRAFT_160563 MFGPEINDGGEEEEQDVGRRRTSIRSTGAVMDLLPPMESTLSRE SVMSYDSMNSVVTAMLETQYIGQDSGSDYSSTRIINVPRTATSSQPEVVVEDQGDDDR AMQAAVDRSSRLHSRVSTSEDYESERLQTITPSSSRQSLGLPRMRQPSNSTRDGDGSE HSSYFEGSVVYLSANEYEPTDMMSVSSGVDSIDWHSATGSMDFVEVVAQDDDEDEAET DARVAQWVMEELEAPSIHRLERSTSRDHLLYRYFQRQQLGGGQTGGQTDPSAHTGFES VEVDIISQDSEDEEGSVGSDKTAT PHYBLDRAFT_183793 MSDFGDFNSSNDPTADFLARERAALGEDADLFSTSEMPSSSPMP DFPSPSALMSPGQHSFTSPHEFVSNNDFLSPSAQSATDYSAFETEFPKAEELETSQAF HKAMLPDEEPETVRHWREKQKEVIAARDEEAENIKQETIQQARADIDKFYEEYNDKKQ KAIEENREREENYQKDREEAASANVWERVAREVDTSSGKANQPTRDVSRMKDLMLDLR KDPKAPGNIVEA PHYBLDRAFT_183794 MALNDTSPTDPIPDEPLEEEMYTSWALLILMTLLIGALWTSYYL QQRKIRAVHETVISIMAGMIVGLIIKLAPGTIIQRMVQFNEGYFFNLLLPPIILNSGY ELKRRNFFLSFGTILTFAMVGTLIAAIVTGVLTFGWAYFHPEGIHISFLDSMIFGAIL SATDPVTILAIFHQLHVDPQLFAIISGESLLNDAVAIVLSDTLRKFRGQELHVINIFK GIGLFLGVFWASTCIGILFGLAVALMLKHSHLYQFPNIEACMISLMAYSSYLFSNAAH MSGIVTLLFTGITLKHYAYDNMSLRTKRATKYVFQILSQLSENFIFIYLGVNLFTETD LDYKPLFILFTTLFICIARYFSVAPLSMLINAVCRALGKPEQLPQSHQVMLFWAGLRG AVAFALASNLGGESAAAMRTTILAVVVLTVLIFGGTTGRMLQILEIQTGVLEPEDMAS DNDNEADNTNNSHEEGGLSLGGRPSRRTRRSPSTDRLLDTDEDEERVIHGNSSSGDGG GSGSDRYRNDPTDVSIGGLLSGPIGDPIPDPGPPHWFMSFDEKWLKPFFTKRHVQQRN QTLAEYWKDRRRKMDRANQNMLAGIRNMSLGTTSGRLVNDNDNDDEDDDALTLHSVYP VEDRFDGGDLARTPSNNGSRLVIGAGRVFGRSVSNQQ PHYBLDRAFT_183795 MDDTLDDILRLLSQIEHNQPLTFIFDSPQLTPIHDALRRIADQK SKSLATSYGFYSDQTPPPDENDNEDQYSRISSQYTLAPPTDKPESFVSCQSLDGISEQ ACSCAEEVTRVSRAISQGRLDQRLLHCPFHSVSQFTCAYNTMAEHLEQVVLDIINSLT DTCHHETTLVERHKNDQVLLPTGHLKGAWLRLACHVNKLSLDYQNTVKQVTRVSQAAQ AAQAALATAERAATETTLLSGNFLNSVDQKLQNNFGTLQSTSTTALLFPNSNKSNYNN SHVAENRKDFHELGTKKTKDDNSNNDDDEYRSLAEEINFLASSITTDVTAMTDNIRAF ESSDGGDESNQKSSLGSLSSVRKGEFFNKRTINTMADQLENITNKVTRVAPKICIQKE LDHYQTPHTGETWKDLTDDTSQMTLAEAAEAAGAAGAAGAAGAAGAAGAAGAAGAKAS LTLQMKDIVRVSRAIANGDLSQKVTVDVCDELFDLKSTINTMVDALRTWTGELTRVTK EVGAQGGLDSRLEVVDVNTKADSLTTHLWDPPVYSKPVVQKNLTEAVTVDVRGEIAEL KETMNKMVDQLHMFSAKSQSRNKVNNTDDERTAENPKTTASDYVVLNIPNDDNNDYDY DYGYGYGYDEISIGSTGKTAVEMSLFAKEVTRVARRVHSKGRLGRQAIVQGVDGMWKE LAENVNMITGNLTSQIKTITAITTSAVHGDLSRKISDEVRCEIMQLKDTLNAMMAQLR AFASEVTRVALDVNTKDVLQKTKRPTETYCRVWKRILADINTLAVNLSTQLKLFSQDS AFPNRPFEVGVERGPRVGGVGVERGIRGLGLGLGLGVGGIRGNTGEIDSMKTKINQMV QSLLDSLHRNTCAREAAELANKSKSDFLANMSHEIRTPMNGIIGMTTMVLETDLKPSQ REQLSIVKSLALELLVIINDILDISKIESGQLTIGATPFSLRASLFGLIKSLAYKGKD KASPEVEVFYEVDQQTPDWMVGDCQKLVQALTNLIKNAFKFTSKGQVCLKIRPLARRQ DDQVAVLEFCVSDTGIGISADKLAGIFDMFSQVDSSSTRKYGGTGLGLSISKRLIEAM GGTIWVNSEPGVGSRFYFQVPFQLGDPSQEWSLPDQRTARRILYIQKQHKEKEEEEEE ERAEGERAMMVHQCGRLNTTVICGLLSPFTNDDISNTAALCGAGTGTDTDIGGTTINT SASRGTGDINDIRVIKNSETNNSVSLFLDYQDNERNKKNHSQDEWAFDGVIVDSVDLV THMRGLDRIRRHTPILVVSPTLERLDIKQCAGLGIEACLHRIHEFASLGTALEILFSN SSVGVSPIEPLNIDSVNHDNNKATDVMKDKEKLGMTERRKLSVLLVEDNLINQKVAVR MLEKAKHRVTVAQNGREAVDLHANSSSSRFDVVLMDIQMPVMGGFEATELIRKREGET HTRVPIIALTAHAMIGDREKCLAHGMDDHVSKPIRLQDLLSAIDRFCIDGWMDGWVDD RFRAPEKPSLPSIQFLLQQDACLPSPIPGSGSGSGSCSVGGKRTPAQRHQASRSFSGL SSLPSELQNLSLQQPYLDSAWGHAPSVPQLPQLPPLPISSSLNRPVLPPALPALPPVT HTHNHPTHSTHSTHSTHHDHLTALASRRPPGASHGRSFSDHSLFTRPSHQTHRRAASA NTADIYQKPYPIRPYTTTTTTATTANTNTFNSINNININTPSTISSAGSCSPSDQSHL TPLSPHQGLFDALNMQEKKPADTEEESLGRYCCTFCHKKFSRPSSLRIHTYSHTGEKP FVCTEENCGRKFSVQSNMRRHLRVHRLGKSTKPIPTTTSNISTAITTTNAVITSATTS PHYBLDRAFT_80577 MTGHNPFTDNPWEGNTTTTTTTTTRQVNAYEDQPQTTNNAWAPP LPTSPRPPPPIPARMPSPSAYHTTTTTTEVVGQPTNAYTSTGWQESNKTESAYDSSWD GAVTSSNKSAAHTPAPAPAVVHDAYQYSGTPYGNQDFSTTSNAYGNTDQAPSPQLTST TPVPAKAESKAGVLPPKFGTDRNPSKRRVLLRFLQFISAIGSVGFAAGASPFAGVDVP LSSAACLYFLYAVAIVSIIWSGFHLIYFLLRRVSSRQKMNRPLMTGLDLLLAIMWGIG VIVEVVKYRCSPGGYNHWCDFYNVSIFWGFFSLALFIVATGWDFVGACVMRKRH PHYBLDRAFT_160567 MNLVTVHVDGAVVPDVQAQQQQQQEDQDVRAVIDHLKDTLTDAA DLIQKIAIKSRVP PHYBLDRAFT_119063 MQPFNKYYPPDWTPDKGSVNTFVGKHPLGDRARKIDQGILVVRF ELPYNIWCTGCDQHIGKGVRYNAEKKKIGNYYSTPILQFRMKCHLCSGWIEIHTDPKN AKYLVISGAKQKMEEWEPEDSEAIKLQDDPEIKEKLESDPMYKLEHGIKDKKVWDDAV PVLTQLQRLNDSQWEDPYSQSQQLRRRFRQEKKLEKAVEDETNRLRDKHSLHIPLVPI DPQDDIKAKLIDYSGK PHYBLDRAFT_73523 MSTIGDQHDIDYACPSPSAKPSSSSSSAAAAAASPSLVSSLRQT IEDPQNSRVSRYQNTYFMDGGNRFISLPTLGNTTTKAASHISRIFEEWLQQKLYKATF DRLPILEDSVELIAEDVLNTLKLRSSLRGLTGLSNACVKIRTGHIYTYHQLEDGQPLI TEEMEDFFQQFPLFIEVIVYTMTRTNPPDQDYLELIISVENLTVNPNQPYSLSDQIYI PHYBLDRAFT_160568 MATIYTLDQIEKHNTKTDCWVIIHNKVYNITEFSVEHPGGEEVL LDEAGKDATESFEDIGHSDEAREILAKYYIGDLEESAHKVGQTFHGLQAGELPVQEKK GSALRIILPAIAVIAALVYKFVIIPQKH PHYBLDRAFT_137220 MSVINLETIKTLLENDKRVKVAAIDIDGVLRGKVMQKKKFLQVA EEGFGFCSVIFGWDIQDNIYTTKGEFSGQDSEYSDLVAKIDLSSYRRIPWEDNVPFFL VHLHHPTTRQPLYCCPRTVLQTVVDDLQTKSLTAYCGVEFEFFCFKETTESLDTKGHA NLAPLTLGMCGYSLLRPSQNQDFFYNAYDWLEKFGVEIESWHTETGPGVFEAALSYSD AQQMADRASLFKTSMKQIAMKHGFMASFMAKPYQTMPGCSGHMHFSLKDQYGRNVFAP ASKQEESGVPGMSKTMMWFLAGVLHGLPSILAILAPTINSYKRLVENYWAPVTVSWGI DSRHVAVRAILPPTASPQSTRLEMRVGGADINPQLALAAVLKCGYWGINTKQELPVES SADIKKNGSKPGARLARTLQEAIVAMEDKGSVARRVLGDQFVDHYTSTRKHEWNMWQN AVTDFELKRYMELV PHYBLDRAFT_189248 MTNSDNAFDNERSLVKKLDKRLLLFAMFGNLVKTLDNSNLASAF ISGMEEELNITGIQYNWMSVLFMIGYLLMQIPSNIMLSKLRPSVYLSCLEVIWCILTI AMACAQNVKTVYLIRLLLGLSEAGFYPGIVFLIGTWYTKRELGKRLALLTIFGSLGSG LSGVIQAIMLKTLDGVFGISGWRWMFLFDALVTATLALFGYFALPDYPFNTPWLSKSE SQLATRRMEEEKGYSTTSTSKITIKSFFQHLLGNKYLGLFVVGWASVHIALGAPHVIG IVAKKLGFDAVTSNLLTTPNTLITMGFTLANGFLSDHLRTRIWCILIPCIFGFIGCCM LSAFVQPFGFLYLGFVFADSGLKSTTSIVMTWASEIISPHTEIRAMAIAVMNTSASLM WSWTPLILWPVTDAPYYHTGFTVSVFCILIFIGSMGAIGYISQKTSDPEKTIIVPDDD ILFEPLLGRESIETIIR PHYBLDRAFT_119049 DAQCPVCKSDKYLTPNLKLLVSPCFHKMCESCIDRLFSAGPAPC PICQQVLRKNQFMSQIFEDLTVEKEVRIRKRVAKVFNKRPEDFPSLRLYNDYLEMVED ISK PHYBLDRAFT_189249 MSMLKKLKETQKNSVFLDDDDFDIDNMDFPLPTDQNDPMGGLQD LMKKMSAGGENRPQASSSKSNTNISVATTPQGVKTMDPSEYKDWVCVYPVYIDADKSV TEGRKISKSKAVKNPHAYHMALAVQSMGLSVVYEGKKHPRDWANPGRVRVQLKNKNNF FMNPNCTTRKQLFKTIADRLPTIQKESKLPGNILSPLATLAQVEAIADEHRKAQGLPT LAEMNASNAAMMPPPDLPGPSTPTKQKKQKVKYVRG PHYBLDRAFT_178412 MRFLSIARKTSTLHTFVPARRGFSVSSIVTREFHPTPTDEQGPL VGIRVLDLTRVLAGPYCTMMLGDLGAEIIKIEHPKGDDTRAWGPPYAQSVHDLGDGVE GESAYFLCANRNKKSVTVNMKSEDGQRMLHDLVKKCDVLVENYLPGKLAEMGMGYEQL KEINPKLIYASITGYGQTGPYAKRPGYDVIIEAEAGLMHITGEQDGEPVKVGVAITGL YTHSAILAALIKRGVSGKGQWIDCSLIESQVASLVNIASNYLIGGREAKRMGTSHPSI VPYQVLPTKDSFVMIGAGNDGQFGKLCRRMGLDSLAEDANYRSNSDRVKNRSKLIGLL TQRLTEEPTEFWLDKLTGAGFPFAPINNIQQTFDHPQLVARGLVQEVEHERVGKIKLV GPPVKYSGFKTDIRLPPPVLGAHTQEVLEQVLGYSTEEIQRLRRTKAVGS PHYBLDRAFT_175015 MPRDINDLCIDTIRVLSADVVAKAKSGHPGAPMGCAPMAHALFK DFINVNPKHSHWPNRDRFLLSNGHACALQYILLHFLGFDLTMNDLKDFRMVGSRTPGH PERGHTDGVEVTTGPLGQGIANAVGLAIAETHMAATYNKPNYPIVDHFTYVILGDGCL QEGVQSEACSLAGHLQLGKLIALYDDNQITIDGDTAVSFTENVLQRFESYGWHTLTVT DGDHNINSIKQAIEEAKKVTDKPTLIKIRTTIGFGSEFQGEEKVHGSPLSADDIIQLK KKFGFNPDEKFVVPQEVYDFYHNIAHRGAKAQEQWNSLFDKYADEYPKEAQDFKRRME GKLPEGWKDALPRYTPEDSPMATRKLSEALLNKIADVIPELMGGSADLTGSNNTRWKT AVDFQAPSTQLGDYSGRYIRYGVREHGMNAIMNGMAAYQGIIPFGGTFLNFLTYGWGA ARLSALAKLRVIYVMTHDSIGLGEDGPTHQPIETLALTRATPQMLTFRPADGNEVSGA YLIAIDRNDYPSVIALTRQNLPQLKGSSVEAVQKGGYVLQSSAPKGTKPDVIVLGTGS EVPIALAATELLQKRGITTSLVSMPCTELFDEQPLEYRQSVLLKGVPVVSVEALSTFG WQKYSHAQVGMTTFGSSGPYEEVYKHFKITPEHVAEVAEDAIKYFKGHGFVPELFPQF APCT PHYBLDRAFT_73531 MITQTLTHDQDLINVAFEGYKLKTFPEETRLSRTPIPGDPLQVT QHSSQTRIGFRELQARVRFSHLADGYPINDQTGTAFYVDTEYNVVMVVYDKSSQETKF HIIGQLTRPLNNVPSYVEPEITVPLDPHYPSLIAIGQNLLVAANGVGDLEIICINQSA NGTFSGCSVLSGHYEGEGNEGVSPVPFGLLAARRVEDRIVAVVYSRVAEVASKFNIAT VEMNVPTGNYTSTQHEILKVLHVQRGPEVPVYVAITKDGNHCILGSESSYENIHEEPK TMIIDSDEEEKEDSNQNNDEQPKKAPYEWTQDGSDITIQFTIPQNTPKSAIACNLTHQ HLSLVVQAEDVNLSFPYNKLWGPISVQDSTWTIDRAKGVLSIFLTKQDEHARWPHVFD MDDQVLESVEKERLAEMTARLAKFTTDYPESQSQQPEIASHPAATDMDEDVDEAGQPI HFLVYNRFGHLVEEIFSGGREWLSNGFRDPDNKNGLASVGVKMDVDGLVFGFEEKRDG VATTIEGVHRATFSAFAFVQASKRDSRFVRHDPYNNFAVIVESSRNAYIYHRTGTRVN TEAQTLIDLTQGHDTSVLGVQLILKNVLMVLTETEVITLILD PHYBLDRAFT_152269 MGINRVLTPNCKPLIKPLGFGGILFKSGNNTIATALVEFSGRIN DKETLAQGLEQY PHYBLDRAFT_183804 MDSPQESQFFLGDYIKSPNDLDLNKLKTLLTKRTTEQTQLDQKL NIIRQETQTVLADSRKKAYKEQTSLRELDTALQKTTSDLNEYDVKHNQQKNTDQHLLL NNLKNLENKLRTTEYAKSYIKALLVASELSTKSLNLVKKDPEAAIVPFRQLTKLRAHV KNQIDQEPQDGSYQNLVNQLEKSQHKLWKELNQVLENNFKDSLQSLNWPTPIRPPYGL QLRSKLEGFEKAFHHLLLLQKSLEPEDDKTEPPGEKKVEIPIPIAIMLQDLSLRFRFH FEGSKPTNRLDKPEWYLSHVKTTASSHLPFLVTTVQPIVDSAGLDQTPRISVKDFFIY GLQQDVARKLKKTIPRILNQPSWLSHTVHEVLEFDKSLENEFAYTPPRSSDKRSADVI LDNPEWFGAWFAAERQFAQTRYDEIIQDRQAFEAEAEEEGEDIGSNGKSLGSGGGGDP RNIKGTKSSVKILNLIESVTEAYRLVPSLQQRFRFLVEIQLTLLGHYHQRIYSALDSF EALSLIRSVPVPGALPESVTGVMTATETGGTISALKRLYRWWASAYTIGEGIRDWSED DFFLDMQYQVSQNPKEAQTVMDSLPAHENMFALSLSSNWETPSNSFFAEALNAFDMLS QRIEKLFVKIVAKEWATNAREYAKKDTWWQTSSEQLPGEISSELYVPLQGLRIACQFL YSTLPLSDYLSVYRSICEEIQEWYWRNIITQNQFSKQGSSQLEADMTLGIWKTGKQWV KKPENYTKRLKEATKLLTMTFDGEQDKDALASQAPFNLSYNVFMKYLADPKQQSVLQA ELGKLGIEVLSYGQMRDVLRRRNDMLHNWN PHYBLDRAFT_137228 MVSNTCIQSISRQDTLRRSSGEPLTNKDPIRLRHEAFVKNLQNS IVKAIEQVDGGKFERTSWQRAEHGGEGITCVLQDGAVIEKAGVAVSVVYSELSDTAIQ QMRQDRGKSLEGQGPFPYFVTGISLVMHGKNPHCPTVHMNYRYFEVMNPDGSTKLWWF GGGADLTPVYLYEEDAVHFHAVHKAACDKHDLAYYPKFKPWCDTYFKNAHRGEGRGVG GIFFDDLADKPAEQIFAFVQDCGNSFVDAYVPILKKRKDHAYDDEQVRWQQIRRGRYV EFNLIWDRGTRFGLHTPCARVESILMTLPLTVRWEYMHEPKEGSIEARLEQVLKTPVD WIPLK PHYBLDRAFT_152272 MSMLRTTKHGIRSLVNTTHKRSLLRLLPNQHRCRLQLTAAVNQQ CLHTTPTLRNEQLNPALIADSQFMVPELSFPPEEQALSTIPAPAEISLGDYVEVFSNH QYSGIVVGRKKMAGRLQKLSVLLRNGKIVDLRSDGVAFTIPGFVESSDVLPHVRKPAV VDRTADSLEAALPPDYARAVTGYQRTIRQLKAMSHRHLDNVYHHFLKDVSKDQERVVS LKDMARFAFSQDQPTHAQLHATFLHIVSDNVHFIPTPHVRRSGQWTLRAQDKAEHIEQ LIGWIRMRNEAYTGFLERTKQLISFVHQNANPDGTLPQKDCKRVAASDYAGSFTDTDK MFVNFVVDWIKSPKIILASPHEIFVPTILKSLKCYDTILVDRSLAVRFLKDIGMLKPW DNVGLLEDAGLMEDYVWSKKSKQTEQKMARYTDAFLNNRADDMSAMGFAVTDSCDSIR HDFGDLLVYTIDDPSAKEIDDGISIEHTRTADGHQTWLHVHIADPTAYISPHNEIAQL MKERVQTLYLPEKHFPMLPEELSSKKFSLGISAHAAPNRNGSQYAMSFSTRVDSNGDL VDWKVRPSVVKNVVKLHYDNVDLLLNPLSLLSSSPENDPLNGLDLDKNLTTLSLDKAK IKSQDQSKTNRLESIPESAKQDIIDLFHLTNKHSLMRKRNGSLVFNKPSPQISITPSP LPLPVTHFTNPDYGTVLPDIQLKLDRSSLSPARMLVAEAMIMAGRTVSQYGRAHGLPL PFRTQQWDPNPSTSDLAIRNELLEVSRNTTNGSIPMHTLISALGIIPPSTITTTPGLP HVGMGILDGYCRATSPLRRYLDMVVHWQLKAHVLGESKVPFGLGQLNTMLPPIEMREK QLAILQQRSTQTWVIELLKRLEYEGSRTWNYMVQFGNMTTFSELGSAMEVANGTVMEL GIQGRLVKLDRTVHPGEVVKVKIVSMDSRLGRVNLMLV PHYBLDRAFT_183806 MSSSYIVTFKKGTPQEIIDAEAKKVEETGATITHRYNSAIHGYA VSVPDSIVSSLSLANEHVDGVEADGEVSTLGQSLLQ PHYBLDRAFT_26970 AKKYTSDHEWISVEGNIGTIGITDYAQKALGDVVFVETPAVGDL VEKEAQIGAVESVKAASDIYSPVNGEVVDVNEKLGSEPSLINESPEEDGWFAKIKLDN LEQIEGLMDAKAYAAHCDASDEEH PHYBLDRAFT_175021 MAHSRDSLSSRLHCTLAQSSSTIQQLYTDTYLEACKAVKLDQQG KVDPARDAYRRVIQMFSELLKTGRVDAEQLKQKLNEYVHRLDQLDRLQQATARPPLKL ASSSFSTNNDSDSDEEWDEFSHVVEKAQFALNQARVNEEKDYNDQALEYYSEAAECYL AVYKELSVDHPRRIQIRNMFTTALDRAEIVKAIQKTSLVKLEKQTRSPSLSVPQNEEQ QRLGRLRSASMSSVVSIPADRPTTISSHNSTAAASNSSSSLLSTPSPSSLPPSLPLPL PSPSPSPSPLSLPLSSSYSNSNSYLSFSPLIAEPVDQDILSHRLSSAEIEVLKYTSNV NGKVFLPWVDSSDLKEKFSFEKKFLDPDGSLRLSDKQLANFGGWKRPSQFMHQPQMIR LISSTNIIQDIVTDCSFVASLCVAAAYEQKFNKQLITSCIYPQDSKGRPCYNPNGKYV IKLVFNGISRKVVVDDLLPLSQKNTLMCTFSADKEELWASIIEKAYMKLMGGYDFPGS NSGIDLYCLTGWIPEHLFIHDEKSFVPEKVWNRIIEGAKYGDVLVTIATGEMTEEEAS SMGLVPTHAYAVVDIKMVENKRFMQVKNPWSHKRWNGPYSHMDTKNWTPQLMQALNYD PTLAGQRDDGIFWIDFESVCSYFTSIHLNWNPELFTHQWVLHSKWRKDIGPKKDIYNL GYNPQFRLRVNVPDKKPAAVWLLLSKHIMVTEENTDYITLHIYNNTNGERVFYPGEPF KEGTYVNSPHILVRFNAPPGISDYTIVVSQHEKERSLYFTLRSYSLAPIQLTEVPMRY SIEQKIRGEWTDQTAGGNASSPSFLNNPQWKITIPSPESDDPGTDKNNNVTATTGILL MLEAPKSFAVNLMLVEGGKRVSRQCIPGSYTVVASTFEADLIGKFILTVASLVPLTVD PIPAEGAGMFRKIIHGEWIRGYNAMGCVAHNNYSKNPRYLLDIRELTTVKIRLQAKNI EPTPSMNITLYEKHPKDTFGQEVATSGPYTNVIQGVATNDIVLGQNGTGYLAVFATHD KDIAGEFTAFIYSDRPVNVRSDRS PHYBLDRAFT_175022 MTRFIITALVLTALAAHVNAAGNEDVFEMLPEINHVFRQPEVMP SAWFSVLFGLLALSPWALLISGWTSLGINPSKIVSDLTTSSSSMGPVSIVAFLLSLAS IEYILFLYWVKFNIFQTLGYLFLLSIVAAATGQRALSQIQKIRTSP PHYBLDRAFT_152277 MSNNNNGNSETFFNSQNTVEISNSKNESSEHDDKFSRQMREIML NLHTLVVDMYTTIIIAGLTGSLDNVIRPSLMARLYIFKKCEDRVLRSSEQRDILSPPV RKQMLGISQASYNRFTARFMSAIFWRFYPYNIRRAALTQESNEIMAGSGIAVKGSGYA HLQEYIRAIDGKIVTIQKASIRGCCIWDTLISNIIYEPR PHYBLDRAFT_152278 MNTQFPRFYPSGNPVVDAQMRAAQAQTTQNVQESRPENTKKTIL QLYDFAQIQSESSGLKETMQQLFTDLRDITFGRAPLNINLLVSGGPGFQTPIFIMNSE ANTGGSGSVQAIQFTNTPPQPTQPQQHQQQTTAYLPANKKHQKT PHYBLDRAFT_119021 MSLRLGSIAPDFEALTTKGPIKFHEFIGEKWAVLFSHPADFTPV CTTELGLVAALQDEFDARNVKVIGLSANGLESHQKWISDINEISNVQLDFPIIADDNR KVSVLYDMLDHQDATNLDAKGIPFTIRSVFIIDPKKTIRLILSYPASTGRNFDEILRV IDSLQLGDKHRITTPGNWKKGDDVIVHPSVSTEEAQTLFPKGVRVVKPYLRLTPSPF PHYBLDRAFT_160578 MIQTYTPPEPTPPSHHYYPTVGSSSPLPYCRPLPSVLIDLIAMT LCDLLPIKTTRRRSPQNPRPLPELVYFIHKITYQAGINYRTALVALIYLGRAKQNLPH NAVGNQDTCHRLFLGALLLASKFLQDTPWTPDYYYCHSTTASDATTAVSTLKVLAQSP SLISATKVQNVTPPLRPLHIVPLTNRRLCDMCNGIFHLKDIFQLELSFLQLIHYEGWV NEREFNAFVIKHRVDLSI PHYBLDRAFT_175027 MFIYPITKLYLICSFVSIGSLAYGYNSGALIAVNVLDKRDSGYQ NTKVEDNVLLVVPLALSCLGALCSGLVADFIGRKRSFGVAAMLDLIGSLIQLLARKYW EFLLGRLIASFSVGGRYLKSNARLITLHQLGITLGFSVAFWIGYALHKYTFDKAWRIS IGLQIVPAVVLLAGLLFIPESPRWLLCHDRKDEGFGILTDLRCAKDEPIKDTVRMEYT RILENVGYDRQCDAQGVGGLWSKGIENNARRTLLGTSIQSASQLSGISALQTYLPQLA GPVLGTKRVSALLFLSIGSTISLIFTILVDRLNRRKILICGGLGMGVCMIVIVIITGL QTEITDKNTDSESLGALLGFNMSSINRKPSIAIVSLIFGFMAIHSASFGTLAWVYPAE IYTQLIRAKAIGITVAANFCVQIFIVEIAPLMFLNILWGTYIIFGCLCFLISILIYYY YPETKGRSLEEIHLIFSGALFNQRAEAHHPSTAAETLFQMAKISIEESESEFESKEKD KPRSISDLESTSESLMPPICGLSRRKTAPAVMLTRFPDSALLRTKNLRRQQNININNN NNSKEESSLSIRSNPIRRTRKISPYLSIDIP PHYBLDRAFT_80589 MPNGRKLKTKLKGYTAPTATINHSAETKRHADEHQTISVTAKLA KMRFEQGRAEMNRQKRQDAQGTSSAPISFHSPAEWTFSWESTPEKSTHNAAAVTAAVT AMSMASATDTSGASTATHRAPAGPPPPPSWLPKPIVATPRRKTEDISYITKRTFTSLR VICAHEIAKSLKTWHSSAPGKCARYFSALPMTAKEIILQEFSALHRLGYNGLPDQFLY LFGGHDHYTHLCLEGSSVSLNKLIQVFWRARPEHARPKISSADEDSLADDWEDAIEDK ITDNYNNSDNNGESGSNDYDHNHNNSSIHFYTYDPEDQENENFYSIQDILRVILGRSM KPNQPYRLFTPLSTQLVSLNLSFVRPVLPSVALAHLLCATLPNLLSLSTAGTFGPSEG PLTLRILSQGLQRLHYWDIGYHDWITADILCGYTSKTVIHWAKDLRDLRVLALQSAGP NNNVGTDVANWIADPPPEDRLRHLKRIKVICK PHYBLDRAFT_73545 MSSKVILITGGTSGLGLAAARECLTKGHTVVITGRNEASLKKAS KQILKNLDLTNKLYTILLDLNNLQSVREAAEQFKALKLPLNVLINNAGLTTPTLEFSS DCERVEKTIFVNAISPLYFTHLLFPLFDKTSRGRIIWISSSLHDPKISGGRVSALSAM PETVDFDNLDGHIVWDSMLFYKLSKLGNVWISNILNEQLLLSEDNNNVSTIAICPGFV PTTALSRNSPWILQMGLRYVLSLMSFTTSEAQAAADYEYYATTPDLEAVSGKFLKKRE LSEGSEESKDLTKAKRFWDLACEITGIPKNAKWTN PHYBLDRAFT_73546 MPAKQYPSTGSSLYPPLFWIHKANDQRPKLSLEQLPAELQTHIF VLAQNPELATVCTSFWELSFSPLVRAQYLLLRFGKDAALGERAMSYRIANLKVIDNLL KLGCNPRADGDWLYWRACTKHNVHLCRLILAAAQPTPKILGHFLNVAAMKGAIEIIDL LVEEYGADVHQPNNEDLVLMLACAENQVSVVRHLMRRYGCNVHANRERHLRRACLQGH LELVELLLDGAYVHAYNDAALQNAAHKGHSAIVKRLLEAGANPQANQNAPLQYTIAVD DELSVRYLLDAGADPHCDQEWPIRHACRRGATKIVECLLDHGVDPDVAKGMPLREALR SSSYQTAQFLLNRKVDPNSTGAIRGICNAVRRNDLELVMLMARYGTRLDHPEILISAL SPCQPTKGNIRNFVKEWCQEQEENIL PHYBLDRAFT_183810 MKIQSAVILLTACWAQWTHGCGMSVHNEITHRSLEIFHPQSSIE AFYKAIVTKHTTFAQAGSFFPDWGYNCLGYSRQSEDAHWPPFIKSAVEYVRESYPRSE WTEPHVQGLIAFVFSIMSHGVADVKWHSLGGLEEYFIHAMAQNDFYGNIDEAHKAADT GAEFTLRHSSRLSYMSDTWQVPLIDLINIYARIYEATNETALVPTKDHLQHCMTAAFA AAKLDAKLGHHMFAYYGSQSPFLIEQLNDYHKGGLQDMSASVAECYSDMVHAFENTDN LNHTILCAQYFDSTNLLADKPRCATVTQLPEYSAICQPLQHYDPKRGILTLTLPTNQC NIPLPGKKHIPSFPSLSHSPLKPLEPLFRYQDGATDNTPAENEGIRHCSPLSIPDQKS SNSALAPFVVTLSLPISSVAIGHETAVGDYDADGQLDLAISAPYHDNIIPLDDDDYDD DYEISGGDSSRSSSSSSGSDRSNRSRAPKLMAGAVFILNDTRARFNRIAQQDKHIKIN DIRKQSQVVLQGNATHGRFGWSMATIDFNQDGVDDLAVAAPFSDNLQGHVDIFLGNPR KGLSSTPDIRIRVQAVVGHIEGLGLSIMGLDLDGNGYRDLVIGCPYCSVLDQIQAGAV YVFLGRKGDHVSKSDIFPDWVLTNPQPMPYEHFGSSIDFIPPLPHTAGLLLIGAPGHK HSELQQAGRVYGFKISPSFSPALWWTMTGTNEFQQFGRIVLVGKTEKTKGFLAVSSPS EETRVAFDKHWQAGVVRLYNANTLLLDTQQDNRGVVVELGVRHALLKQLDGRETSGSL GTSIAFHEQDKEVSLWVGEPMSEQENGRVYRWIIQKDSIQCLYNTPSLARFGSHIGHT GTNAMSVTSQHYSDKARFSGAIHLIQPRA PHYBLDRAFT_73549 MLAQDLPFEIIVTIVKFLFYKDKINCIDAFSSWKTVLEESLWNN INISCQNSLDGICNIASAKHSVYKRNGRHVRTLTLNGNICIADDQLLLIQQLLPNLDR LYCSNSSISEDGFGTQADWRLWESLTQLHVDLSDWEDIDPIREFMRVFPFLPNLKILS LDQDCVVISPSITLEDIDKIHDYMPHLESIRLDVQPPVLTADDLVRITDVTPAPSVRS IHFNVRNSTYGWLYYFAFKYPNLQVLEELTFEHNDTSDELASQSKLMLRMDSAVLKRL STVKINVEENSRQLEPIIWKLFRFFDIPVRYIDYFAYSYINTAVTIRDVIKESFNSYS KTLETLYIKECTSYSRNWIITESMDYYPCLVDIYIDVHRSSVALDVLLDHCVSLKRLK VDSGLLSVGPDSFAFASAPTSTSTSTSTLTASNIHSLRMLIIGKLSISASILEYISFR CRRLKHMCLSKSTVSGDISENTGSLVIDMSYTHLQTLQLHQVLFTTSLNANIDSQTIN LICIPENLFEKSPYCDRQIDNESAQNGFLEIYKKTSWFYTVYNKFCRDEWSSEVWNLA RNESEMAIKYFSSFKSSRISSKNMTVPYTDVGYFTKKNWSDSLPDGYVMLKCGSLERH TITGKWFNDWASHEYFYWFINYEAKTLQTWFVLFYFILVVHHYFALSKDRSNFGKLAF QLRFS PHYBLDRAFT_152289 MTHVMLHGQHGPYGLFRQSQAIKNRFPSRATGTPRLEEHMLIVF CMLLVPSVQVKHQGSDQSPWLSVSIPSRSSFKVQVKVHGFQIKIHVSNSIQVKHQGSD QGQRVQVEVHGFQYPFHPGKASMFRSPRYVSAIQVKCQGPDFPAMAIDVRRQGCDLPV KCSVIQVNQQDSDLPSMVIAIDVRRQGSDFPAMVLVIQVKHHGSDRPSMVIAIRGKHQ GPDHPSMPPAIQIKRQGPDHPSMFIVIQIKHQGFDRQPMVISYSAIHVKRQGSDRPSM VIIVQVKHQGSGLPAMSPAIQIKHQDSDLPAMGIVSSYPGQASRFRSPRHGSSLRPSR SNFKVLISRYMVIGITKIDEQSHSALFISSVESTKIMLHGSGFMSTHPRQLSGSDFHE LL PHYBLDRAFT_160579 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGR PHYBLDRAFT_160580 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_175035 VVEVEVRAGVNFRADTDTGAGLLVAFAVVVVVVVVVVIIGACVW IWFCVCVCIWVWESFHLVESFLKHFNVALSWATFLVAGYVEALSVGFFAIPTTWFGTI ALDLICLYDCVIGCDHVCDCMRLCAILCDWVRLGAIVHKIAKCNNVYLARSSRNIEYS NSTGQTLAFQGSVSKKRGPQGVRRDILGQQFLQVREIGLNFITIWPDMKDTLKPQYHR LVPSIISFGPLIRVIISHCFLAK PHYBLDRAFT_152293 MWLKKQPEPDVQLSKRDQIILDKYNERVRKFDEMFKLCCCWIGY DVLLDFIPIVGKVISLGFALSLYQLACKADLPKSIRQRMIYHLSVDFLLGLIPILGIL LDMLYRAHSKNARILRRFMYERARNGTVIAEQEAMAVDLTSLGPPPNNPRTIEKEE PHYBLDRAFT_175038 MQSSKAEMSSKQSPSYQGGSTQSEKPTPTSTPTLSPRRVLSWLM WWNSEEWWPCWIGLIFFGCVVSAVKHDIPEPKFLPWSTNPFLTFATVGNYGLLVLFFA VGLIVWLAMAATNAKNWRLYPIGYLVVFFVSLISKMLASNVTLHKASIGDSIWAIIIG CILRNLLSINKVSIALPHWLKVAQQTEFYIATSLVLLCIDISVLRPLAPRALFVSWID TPLLFMVLAFIGWRFLRIDLQTAIIMSGATFICGSSAAIALGASMGSPQKTELPIAII SIFTIPSIIALPYVAKDLGFSATVSGAWFGGCVDSTGAVLASASIYGDKEAVDTSAVV KMMQNVLIGPLSVLMAWAWSHHELRMAYRANEEEMMHAITDTASNESTIDNTDGPHGP HEPENEGCATIPQPRMAPIARRDKAWVLLWKRFPKFVLGFILTAILFNTTVDGDPQLR SNVNTFCFYVSEWFSTLSFVSIGLGLHLDDVRQNIRNLGKLCTLYIMAQMVDIVVTGV LAWTAFTYL PHYBLDRAFT_152296 MKGVAKKQMRTSRSVDNKALEQRAVSESATREEGSVSYVCQSAD VIRLSFSRFFSMPACADTFEASQTNPK PHYBLDRAFT_104343 MMCSNCHTTTTPLWRRDSAGNTICNACGLYYKLHLVHRPVAMMR TVIKRRKR PHYBLDRAFT_160582 MVVNRQVESTQSPSVDTNTNPTTSSVLSNLSQVNVPIIPRGSST PGVIPGAYDETPLAYASWNPRDPFVPFVVMAILLGLAAQLGVTDDWTVPITLVTMVSG FLWSGAAKGVQLKVNLH PHYBLDRAFT_74145 MLTLNNVQLQRVTRLLQQDLEEKTKQMLRIQCDQIMQMKNVGPE YEFLVQMVNLLHRQISGEPTCEDTCCYTLAPIDQSSMVMTLPPENDDQEMEAQHICRP IVHSSISQGSYAIELENKIVRLEQIIDQLEEDKEAAQCQQEYKDNDIEMLKKELRIKD EIVSQLEQDFMTLEEQLANLQR PHYBLDRAFT_152300 MIPPYLLYQDGLNPSEKTLSRPGLYETVDTFKGYYNIALQKGPD GVEPRVKHGLSSKSTSARVACEPVVRIPVYYWLLSVVSSGGRGWYHLFWSVASASGTF LPVGLLLPTGRPFPPTSEPVISRQWTFLHSRRRHLSHWSDICFLLLPVASAGETDGSI SAAKLSAMSSPQDTSSKADKAHQKPNMGASKKVTPAHKKGQSMRQKKRANRPTRKVTV CASKKGKLPHKKGYSSKCAPEKKWLFRDPQGHCQDSKPNQDR PHYBLDRAFT_175042 MNKPKELCIGTWPWIEEKLRTGTRLWIRTSTLESCLIILVFLEK HFKNESLVVNPGNHHDCRIKRTTCLIKEKSTRGSNKPYELCTLDLSLYQKQSYLLILD SLLIESNFFLPKLAVVYFRRRNYFIDLGLGYDCGNYLSTFFINYLDIIRNL PHYBLDRAFT_175043 MKDKENWVNIYVYKYPHFGNRTSNRAESAHASLKHSLGTSSGKL NTVTLKVNKWYEELIAYHKHRLMVECLGESTTIVFDKTNAARLDDIRLKIGRRWRREY LKGEDHTKIKNAVSIPEDINTITTITPELAHDLLQLCEGFNNSQSKQLQIDIQLSIKK MVTQINQQ PHYBLDRAFT_128480 MTTMKSKAVDRPESSTEPAKTEQELNPKTTRYEFLGPLGALGMT TVLPVLVLFFAVGCDSTGYPSKLLLHDHKAFFAKMMTPEYLGQLYDPMAFGVYVAFVA LLAIFSLVLPGDVWPGSQLRDGTHLKYKMNGFASLHTTFFCGLYFIKDLGLKPLLFVH DHWVGLVVSSIIFSYLVSIFVYFKSFKKGALLALGGNTGNFIYDFMIGRELNPRIGEF DIKFFTELRPGMIGWAVINLSFAAKQYLDLHYITKSMVLVQVFQMWYIVDSLWNEEAV LTTMDITTDGFGFMLAFGLYTWVPFTYSLQARYLADFPTDISYYTAAGIVALNLIGYT IFRSANSQKNAFRINPVGPSVKHLKFIETKSGSRLITSGWWGMSRHINYLGDWLMALS WCLPCCFGSPIPYFYAFYFGILLLHRERRDDHKCRTKYGEDWDKYCSIVKYRIIPGVY PHYBLDRAFT_152303 MLYPVVTFALACLVLAEAAPAHHSTLASSASAASTLVPDANSHT DTLIPWSTIDSIIIEDIPIVPMQEIDPAIFVPNHAVDRVLYRHQRINKIQGTPISEPS HQRVSDYITNPEIMVPVPEYLIEKRVGTAEIKSADSQEKHKTSIKSMVAGLFDDILED EEDELLEELEKDHDHTRIFVGMDDKGNRNRVRVPVPDFFDEDEDEDRYEYEYEYEYRD QDQNADQERVKDQDKDFGRSFVRVNDGSSAKINQSKTSGNGHRVEHTVYVSNKGGSKA GQDTVLSMNDRGQVIRMTIPHGDTVRDIVKTSDMPLIDVMDDINGNVLLF PHYBLDRAFT_189260 MCGIFAVHNYQGDLEAYRQRALYLSKKVRHRGPDWSGCFCANNS IFCHERLAIVGVDSGAQPLISEDQNIVLTVNGEIYNHKQLRHELKDKHTFMTHSDCEV IMHMYKEKDTELVHHLDGMYAFVLLDVKRNRVIAARDPIGITTLYYGWNSKMPGTTYF ASELKSLNEDCDKILAFPPGHIYDSETGKTTRYFNPTWWPEGRMTTNPVDYSVLRESL EKAVRKRLMAEVPYGVLLSGGLDSSLIAAIAARETEKIAAGGVSAENGDDSYDESGPA LWPRLHSFSVGLPGSPDLVAAREVAKYLNTIHHEFTFTIQEALDAVSDVIYHLETYDV TTIRASTPMYLLSRKVKAMGVKMVLSGEGADEIFGGYLYFHQAPDAASFQAETVTRVK NLHTSDCLRANKSTLAWGLEARVPFLDKAFLETAMNIRPEDKMPNRAEGRAEKYILRK AFDTSDDPSAKPYLPHNILWRQKEQFSDGVGYGWIDALKETSLTKVSDEEFAGASARW SKDTPTTKEAYMYRVLFEQWFPQEACTASVVRWIPRADWGCPEDPSGRAQKAHEAAYA DKK PHYBLDRAFT_189261 MTFSMSTHACLEDLAELEKLRLLACRPANIAAIENLIAKSRQLL EDTKDDFEPVSTLPVQTASTPIVQPVEKSLELSISPRVPSAPIREPIGRAKAKTQTIF ITSGYGWTQSDTYVTVYLEISDAHQITLDQCVLDVQPKSVQLDIREHKGANYNFRIAR LHGQIDPSQCKIKIKATKIVLFLRKQDFGKEWTDLRLKNTRDMYNQLQRAEEKGNYTH KNLTAPINEHVLGDGPTVYGEAIQNMMKTMFSGSEPFAGKPSFKNNLNPFEAPGDNCT NPQACKHK PHYBLDRAFT_137244 MSINDLSVLTLNCWGLYFIAKQRKTRLRAIADALSNENHDIVTL QEIWMLEDFEYLKSKVENVLPFSNYYYSGALGSGLAILSRFPIVSTSYFRYTLAGRPL KIFHGDYYVGKGCASACINHPDIGIIEVFTTHLHAGYGDVDEYEGHRVTESWELSNLL RASAAQGRQVIATGDFNSIPTSYNYALLKKHAFMTDAWLEAHSERLSQTGLDPGHRSP HECIQHLGITCDSPANTWSKHFLKQQPHSKQVGDRLDYIFYRRTSQITCTGSVVAMTD YIPGTQMCYSDHFAVQATFSINSQPTQVNSTSPSAVQLSNPSFTTFPLSIAQAVLTLL RRDQVATRKTAYRLLLLFGVALIMLLFLCVSTVAVLPDWIAPKDESQLKFLLGSLASS LVMIISAVIATICVVVGFVFGHTEERALRQFIEEIQLLVASIQEDSRSDAPFVHLSNQ ASDNPSRETFYNEFNERTRLLDDA PHYBLDRAFT_152307 MLPRSLFSASSLRPGTSHSQTNRPTTVASTSARIDDPTHDQDPV EDSTSSSESDQEDTSIVTNSISTDMVSGTPPTSCQGELQDLLLKLQRQQTKQFTYIEK AKTLNKEIQKTSRQIARVTRLMVDHAAHAQQPLIYQAEAGPSSPQPALNHSCAHLPRQ SKVTPNLHLRQSTKASSSSSSVTTHKRPLPQGSEGHRSPRRLRSNSTIPEHIMPPLSM PPPVPYESVEWEPAASDKCDLYLRRSQASDGSSLAKKPRSILFGQPGPNESDAMENLM LMTSLDGDLQFWDSSTRRNIKTLEKDRFFQSWIEDICWVTPSTLATCPAPRRDKLIIP DDNETVSLVHIKSVTDTSVDGRVQKLSEFPHEKGFSVISPIDLSHANTSAYERATFIT GSYDKSLFIWDMRREGENDDFELEATHNVPIKHTSHPQTLCFSKYHNRLFSGGADEKF ITFDAQAQRTISELKFPSRVNNIIQSPISPDLLIISLSTRNDQFSLYDQRCSEPDPVV LRFGHQETVNVSRYVSPDWNSNGHMIVCGSQTEPKIHFWDLRYNGAARGPCFSFETMG KSKILKSMFLPKRNTVLSVSSTHIITWTDYTVQPDSIIKTF PHYBLDRAFT_67382 MSLSEHCALKINSLVFSFSLTSDVDSRILLTATHGRKRQFLGLD LSKSFERRGLLYITGRIKGSIFYELRDRIVCQLEAMIVFGSFKDWLVKVLTKSIHDTQ CLFDQKQINISGDIEG PHYBLDRAFT_175051 MLVTDLPFEIISLIIGYLSTKDRNQCSIVCQAWKLPSTEALWKQ LNIRSKKQIRGLSSIQQSLPVQTLCLHPFLSINPQQLFWIQNHFPKLRSVCISPEALH PDCLDTTLADWTLWRSLTKLELCTDNLRVPKETILYTLSALSSLTSLSWIQSTDGTSS PPFGWKDLEALHTNLPRLVTLYLKARMDQLSAEQIQLISRSQSFSPARCLTCMTWEVN TLEPHWLIYWAYKYPNICSLQWLTIDHDTKFDSGTEIITLPETLPSSFQKLGNLTLEG VFYTHRPQHALFLLLHRSNVHLKSIQCSIYINQRGSQKIPSILNDIMQSSSSLQSLKL NIHGDVDDPNLLPSLFKTCPRLLVLSIRGMRMHLSLDRILDKCVSLESLYINVSRLHV EATAPAHSIQAIVLTAGFLNSSELTFLSKRCTELKTLGLVDLILRTDEATSHGQALSL DLSSLHLRTLCVKRVMFYKSNVGTDRPKLQRLALVLPQTSILNNDERPQRIPHCERMF FTDNTFCETTLKTRIIKTCFLPKPIAGCVTAKVRYDRKDMDL PHYBLDRAFT_95750 AEALYDFPGTNPRELPLRQGEIVQVLKYSTVDNDWWRGSLHGRT GVFPRTYVKPV PHYBLDRAFT_175053 MGYCSRCGEITPSDKCSKCGGRSVASIAANLGVEPILLADRWKS QYATSILGFGDQEASNETAVGITAPSVLAEPPYKLSKSCVTCHKIFQGESLSTESGSS YCKDCTPTEQAVVDRGACSGCYRPILATETHVEHSNRFWHKECFSCHTCSRFLGETPM VDLQGHPCCENCLMAQAGSVKRSITKPSPPKSSTRTQATYSTLAERLPRTSRQSLPSM STLNQNSPLRPSTSIRTNSPALSSSPFGLNPRTSLYNRSKPFDSISSNSSSASSSNSL SSTLSSASSWSPSPSSSKSAKPRVDHPSLFKRPTEPIINDTSCLASPEPINYPPSPPN WTKSTRKENSALAEYRRAKAAMKNEQANISQDNILPSPSPSPPPRTTKIPPTKSSPKP IPSFSSSKLPTSYGGNNPNNNTPQPENCSGCRNPLSGTRVKLSTSAGDVWYHYDCLTC EGCKEPFSSSEYISDGKHFFHPKCRPSPVQSPEYFCHGCNKTITNKCLKNGTRFFHPD CFSCYECNVYLPFDQPFYEILGEAHCETCSRMDNLSIQANPPQDSSNSNHIAGGNSAT VTATTTGTGSGGGNSLVSQESTPRYPRNTLKLGGAKVCPHCRNSIGIMDEAPGPRATR WHKRCLQCQGCHKQMDSGANVVEGRSGEWVVWCRGCNAV PHYBLDRAFT_137246 MANQQELIDDVIYCARYGELEELKETKPSPELLVKGDESGNTAL HMASANNHVQIVAWILDQLLLTGTPLAPLVNVRNKEGNTPLHWAALNGHTEIVELLVK AGADCKTKNEAGKTPIYEAQQHNHEKVAEFFLLTMMEEEPEEPMDEDEECVETGVPEQ GA PHYBLDRAFT_183822 MSRGGRGGFGGGRGGPGGANSGAMQLVSFDMLKDLSSGGLFNVN TALFPEMDVPIPRKPNSSETSQWKFKEDFLKQVHESAYHLVPPPPKPDIERYSDKYKK ATVRRKTLREIDTDFDFFPEELQSVIDSSKIKKKPKVQKVDETFNLDEIMNAEGEGKD GEDEEGKEEGKDGEAGSDIEFDEEGEEEELVDDNDYAQNYFDNGEDEDFDDDDGDGGA GDYY PHYBLDRAFT_183823 MVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSP DFVLWGMSRLSQKELDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVM VNLMPCRVGRNEGC PHYBLDRAFT_183824 MVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSP DFVLWGMSRLSQKELDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVM AMLSRRKPEYDHPDDDEESHLCVDQIHTYGVHPGHVTRNSPFQSSRSSIREDNGSSRG NSDLDEPDRLAKRKKKRLQQRHQSRPRLVTQISTIMLGNSKRHCEISPDLVLPSLDPD AQEVVESAPPQLTPDQWFGRRSAVNLSTVRSKYRSGRKHAREEKEEVFSVRSTVKNMF NNSHYISAAKRILFSNSSIVLFVNLDLLVDILFCLAYLVEMKQESDVDLDPPWMYKWR SYDLWIFCLCLTVWNTGSFLMRVFMNVHPLSVFLSFRFFIEMMTTIPFLASNFVEHGQ YLYVPYFLRSWVLLLRVKSWMKIKLNLQMTDKPVDALKAKLIQLIITIAVLLYNGMSA FQYCEVTFGTVNYTILESLYVVMVTLSTVGYGDITPSTEGSRIVMMLLIVISLAVLPS LLADSLSTLRKRQDGGGSVSKGTLPFILIVGTFTPEQANDILDGFLNREHIDTHLNVV FLDINRPTEELKLMERNSMWGHRVQFLHGSVLNEKTLQRAQARYAKAIITMSDNNTPE PAKEDERNTVRLWSLYCYTTIYNVPIYTYNLYPSTAIYQKVAKEIICLREFKQYLLAM NCRCRGASTLLTNLLHQREPRNKYDEPWQAQYDDGSCNEIYVAPPEDCLVGLTFGDAA WILFKEFQVILFAIKLATEDQDDHIMLNPGEKYTIRAQDLCVYMAESAHEINDIRKMT TVYAWKAVKAMRNRRSANKPPAFKSLLNPNKTLNKKLKHSSSTETMVSHHSRVSSTSH PVQQNFCISRLPSSKHALLTGNRALVSRLGTTNTISDEQLVEDNSLPLCYLLEEPATL DDLVIKSADGMDGHILVCLHRRVINIFKFIYNLRSPYMRPNELQDIILLCATLPNKKT FDLIKQFPRVFFMVGNCRHPDDLLRAGVKRAKQVVVMSEKENLDQYEKNSDSPAVMTS HIMDLLLQEPAEGSYRIVNLVERSNIRFMHLLEGKDVAEEIDVFYTPAYAAGDVVVDS LLSNVLLSQTYYKPDIVSIIKTLCGMPGSPHVNNSTFSSDTTMDGHYVTSVKMPACFV DKPFAFLFETFVLDYDLLPLGLLRAPNEEMGNELPFVYTNPVPSLILKETDWIYFITS SEYSL PHYBLDRAFT_67390 MIITLLVLLLNAAVQVINCAPTGQENNRTIRNYYITAEEIVWNY APMGWDNLRDQPLENTPAKLYTVRTPTRIGAVYHKAIYRQYTSSAFKVPIAHDPGLGT LGPIIRAQAGDQVRILFYNKASKPHSLHPHTSKKTDSLPGKSVEPGLMYQYIWNIPSN VNFPVNQSSVAWTYASKSNTVGDLNAGLLGPIVIYKPGTLVKPSPGSTFERPQGIDQE IFTIMMTTDEGLSTYFSESVEEAGISLDTLETLRTDPLFEESNRMYHINSYVFNNNAD LRVFYGKPVRWYVIAFELEDEDVHTAHWHGSTLLYHGHRVDVVDLMSVSFEVLDMIPD NEGQWLFHCHVGQHFEAGMTSFYEVEKEEYTGDEGWGK PHYBLDRAFT_152316 MPHVIQVVILDGGKRRTISGVLSTNPLKALKEVPQEELEKLDVE LNDYDAAFQEHITFRLRTARTEPPLVVPVQTCTQSTGMSIKKLLDTFTVNHRDTQEFE KTVRLIPRANFKPKTLRYLLKIGFVKLHQID PHYBLDRAFT_152317 MEVVYSDDCLLHSPPKELTRGEWKTYTESPSRLVSIKQFIDKNT EFKVVSPDDYTLAPILAVHKREYVDFLSTIYKDWVDAGLPKDTVVSEIFGHCSMVGHL EPEEWKKKALETPSGRIGLYSFDLSVSFDKDTWLSTYTSAQIVLSAAHRLLQQSQTAK TSSIYALCRPPGHHAAHDVAGGYCFINNVAVAAKFLQDYEVREMEALARLSKVKKEQT LPKPAVLNKNNKRRILIVDVDYHHGNGTQAIFYDDPSVFYISLHGSPDYPYFTGSGTE TGSGDGAGYNLNIPLDPITTTDAIYLDHLEKALNKPEIVEFKADIVICSLGLDTWHED PVGGIKGLVDPLTYTKIGKLLKTSASCANIPVLFVQEGGYTIERLGELAGKVLLGYLS TENTT PHYBLDRAFT_152318 MINYNHPTTFQAPTIGEQSDILDTSFWNINGHHPLGPNGNTFDY LKQDFHQGHHHIQTQMHPTSPTDQMFNLVGSIPSIPSSLSASPTTSVHANVSGFASLD QSQNDILEGYSDVSGSEGSSEPDNRASKKRKNSDDSMPTEVRKKFLERNRQAASKCRQ KKKAWMVELENAYVEREKENRELLAQISKLRNHTLYLRDQLLAHSNCECSVVKNYLQR TAQQILDRATEESVMGQAHTQGMSWHP PHYBLDRAFT_95353 RPPHSYASLIAQAILTSRNQKLSLRDIYDWIQAKYPHLYEANET GWQNTIRHNLSLNRCFRKVPRLAQDPLIRGKGSKGGFWAVD PHYBLDRAFT_67395 MIEWLICVLSIHFINVHVENMPEITSFTTVRNAQIKYTVLTFFT TCLPYSMEHPYRKYLYSVKVASKRRKTFLPTGSLAKFVPSRSESNFLRAIASNIEI PHYBLDRAFT_67396 MSSPVYVSCKSTQSLDYNDKLKTTKEFGMVQHWKKSNSIKKPFC NENYFPRIDLNLPQYHLGPDLKTHPSASPIKTNWIQVTQEKTVIKPTNSYDPLRIVTA QESRSKAIQAAKICGDLSFTIADDIEELLLEDHVDVIRADTYDSKRDNVLAVAKLNDK NIVMLPIGDSQRELHIFSTETTQVTLSDPDNESKNTYEFPSGAIKSILEMNFPSCIRQ IEISNAFNIKQDKKRLIIGVRTDISVALVLIETSKIDEDKIKGEVLHEYFPMHQEESR KCTPSSIALSPYDCYTYSFVGENGYFASFNIKDESAELPTYHTIEYDQNLKETKKLSQ RWRRCGYGCDPEQFIIASPRSIMLLDRQGDMRKLYKTSSKSTRFYAFGVDKTPYSFFC VAVTQEDVVLLDVRYTGKVMGQWKHYMDDGPPTHVEIITVNGRTHIFAWDFLLHRVFT ISFVYNASITQFPGVYPLANKLSLNTSQPIHFSKQEFKHFNGKDVIHMAGAGTILHSI PCSSLGSRPFCFFFRLMKDGRLKYQLMLKSTWGHPPPIVTGVPYLINKDRLTKYISYL VKKAKAAERTKFTKSLNLVSMARCILEDSLSTKLKPLEYERKIEPDKIQDILEDNKNN QHPSTLAELIERQGSVQPNKQQFFELYDLLQSQPEAEICWIPSSKDMGPVPLAGGDSL KDVLDMANKHNIPPIISGDDSALHATRVSTREMLNTKLAETISGNRIVNVPVDTDVSN TGPRLRFLKIGEPLTSVTNSARLLASEWTPGLPKTSHVTIRNQYRQNKPTGVAPKDMF PIPMRIDKRKRSVAFNEIHTDSKLEDIPTIEVITSAPKNKRPISMSSTQPVSTITPKT NGPTTAPTAPTISSQPVPGAFSERTAAPPKKKKKKVQGFK PHYBLDRAFT_189269 MSSIKASHNESVASIPKKRKPTETSFTVDINIYASTIRFVQQHW LMEIPKGLLAHPKYCITDTLLNDLGSQNKPPNLSEEEYKAWEILEILMNTHSKNLANR FNRWFSSDTAQIQHLLAKYEDKHSGDPWAAVLILLSCRKVTQASKIAQELGDHDLASL LVESEKLNVRQNAQGKVKQLQLRGTFKELTGCHQKIWCALGGQLGYCAVTETVIVEDM DWMLVLGLHVWNGTCASQTLYHAIQLYNEALAYLPGIHNILSRKKTALPPSNCLWVGV LRLWASNMPNMAATKERKKRRSTDWNTLSVDEWPLHFVWLLTLLRSDWFSSDEIYQCT RRWCNALCEVGLAEESVFSALFLKDEASREALIKTILNSRELKNEGYILNELYIPRSW LYQAKATRAHLAKDYATEADYYFEANQFSKAKYTIINHLIPAHMFNNENGLVSRYLSK LDLYGDKDNEGDILLNSYKLIESLPKKIDTAVDDPEATKLLKDDIHFTIKSLKEVQAS CAHEDNLVFFIHRICGNLLKLAAGFNDHVFLEELITATPLTPEDCQLNIKEFSRCFLT SFINQACI PHYBLDRAFT_175067 MKCKHEDDSSENSAKEKGLKKAYVIFWKSSPASPLNLIIIKEKS PWPSSIEKTDALKEITSSVNMLWLRYQPIDSESYTLYAQPSMYFKWPHFLQTKVEKKA LITEEVPETATSKAYFYCKLK PHYBLDRAFT_160584 MKYASVIGDSIIRFYADYVGIKDRNELTTHLKRVQALLNEVCLL TSCIYVYTTTSLEQPNLQVYRRIQICLLSYVRPVLLPWVN PHYBLDRAFT_189272 MMSIDGYSLLASSVAAIVARLCTHPIDTIKTRLQVAPPSNNISK NAWLKEVILQQSAQTPLRPASILSLYRGLPVTLLFSVPALSVYLSCYESTKTWLHINT TSMPRDAMINHVISGAVAELAAGTLFTPMEVLKNRLQTEQRKQKGTSASALAQIVWNK EGIRGFFRGYGMGLAVFMPHTVIYFVTYEKLKGQAAAMLKKDSDLGQFPFSVYLVCSG VASAAGIIVSTPLDVIKTRWQVSAAEEGTLFRKGPVAIACHLWLNEGGWRGFFRSLGA RIAWGLPTTMISMSVFESLKDLRGRHLKNSV PHYBLDRAFT_189273 MHYSLLYLILFLSLVLCVRAGDDEDDYDDDYEDEQVLTVWKTKT KIVDSHTPVYDNGGREDDKVDTVDENDKDSKSGHDIDTEIDKEEDNSTESVSLNEEEV DDVPVASVIAIDEEQEDQLIKNFAAAISEVPASAPAPASASASASASNAINVKQASVI SSLTSAQSASRSASSSYSSSSTVSSASGLKISISIAVASMILVVALIF PHYBLDRAFT_175071 MATYIFATLIRYTFMLMHRNVLGNKRLNTLQHQHLSNPLPQREK KEIADLPQTKTFYCHKKCDENQLFPTDYLTRLHASTIFKADGPFLLNDDGDNDSNSLS ENVHKNIQAFKRAMQRRTLCIRPQTYTTMKQMALDNIITSNYLLHVALSASTLLCPLF SAHDQAQIEFDNTSWIFKQQDDIDNESLRHDNILYYQHQEEVVEIGYGEVKKLSASQA LFNKDKIRALEIMRRQLHLCLCRAKKAYESVTFNVLVQKVINKFKWKITIVEASGTST SNIFTP PHYBLDRAFT_67404 MILRLVRTMSTKTTVDNFSIHGNAVSEVCDIPLSVVNRPIPSQL DRAKVEEMKTILQTDTKKDDLTPIDIHHVEYKGQDYYFAFGGCHRWAAHKELQKETIK GKLISTPPSVINTYLGSSSPFRET PHYBLDRAFT_178416 MLGNYEYGFLCMPYIPFFFKAKRRLPPFFGINAPLPILLSIVLG FQHALAMVSGVVTPSIILGGGGFLNLDMEYRQYMISSALIVSAICTTVQITSFTYRGV RIGTGLLSVVGTSFTSVPLAYSIFGNMYKTGFCPSMIDENGTTINLPCPQGLGAFIGT AMLCSLLPMGLSLLPPKALKRMFPPIVTGTTVFLIGLSLIASGFKDWAGGSGSCMDRP ATGMYSVCPNLGAPNAKPWGDPAYLGLGLVVYVSIVLIEYFGSPFLKNIQMVAGLIIG IIVAAATGYIDHSTIDSAPVATFLWVKRFPLSIYAPGIIPLLIVYLVAVVEAVGDITA SCEASRAPVEGPEFEGRIKGGILCDGVNSLLAGCCTSLPLSTFAQNNGIIALTRCASR TAGYWCAFFMLLMGIFAKFSAVFLALPSATIGGLTTFLFSTVAVAGIRILAYLEWTRR ERFIVSAAMALGLGVNLVPDWFSYVLTYEGSNQALRGFLDSVEVIVSTGFCIAAIITS FLNAVLPSETGVQEMFENDIEDNSIDEPTKEPHIIV PHYBLDRAFT_152329 MPSRTEFRNKGRHINTTLSNYFRSTLLISITIVAMRLYLVSLAL IVAVQYTSAAYTLNKAYIKSNDIPFAANPASLLVPNFGEKLYDDLRNIVQKRSFGDFF KSLVYINEPFQFGSAPIPVPAKAAAAAAAELISESKAHRGIIAQAVDYKNLPLGPVAK GLIDSQPGAISRIVAPKIKELQASRSYNDLKKRGMFDFDDLLDDLPVLEIAAENRVSG EASIAMSSSAPEIVMMSQESIMVESYPEEQMYLDEIFPEDNELFDGELWAERVILDEE Q PHYBLDRAFT_152330 MLLDTFSTFANVYPKQTPLLKQVYFDLVLEKTWKQVKIEKVDSL SLCAIVAHEPGTPLDQRHIILPIHQETQLSVARISIRLATIFVSITEAGLSNTLQGTP PTQITLAIVSPDSTTVYYHVEKGLVGPKDKI PHYBLDRAFT_175076 MSDTLDMSTASLVSQQSELDIDDSVIHSNHSSFQQSYSPPSQPT SPLSVLPVSQYTINTARLEQLAAMDGSVSLESLPPAPVAAPLPIPTAPSSAWPTWRTV LSAIVDFIFRDNNLPLLINLIYHLAAARVLIGSPTKTSKRYLVTFRTIVEPSKTLATD AFRMIGVMHLAFGLLAGLALKERKVASERSALLVLALVSTGQAWAHGRAYAAQSRQYT FKALQEIGLLDAVIVMVSSVAWTKTVRRTGRVF PHYBLDRAFT_67409 MTIILIEFLIFKPLKLVKHLNRSSLFGVIVSYRYPNLSSDFIPS MLFFCTCHAIHGFFSSRQKCVPICHNCIDDLSRTMRLRETIQANNASTFISEEHEIIP GHYNVFDLGRKFLLYCTDKDSTVTRPRRYLYTISPLKDFSFHFLIRSESEFILSFFYA DITSQTGYNHLFCKLYTYSNIKQFQTNKSLTENLHLNLVSDFVLVMRNWSVFHNRYHE FNQECRNRTFTLQTQISCHLDRQILYVKNTCLYVSSYLLESLDRVPG PHYBLDRAFT_183831 MLTVQFDSKNTFVEPHSNVAFADLPVKSSTKKNQEEILLEPFTY LCNNPGKDVRAKMIEAFDYWINVPKESLNVITRVIEMLHSASLLIDDVEDGSILRRGV PAAHHLYGIPQTINCANYVYFLALSELNKLNNPKMVIIYTEELVNLHRGQGMELFWRD TLTCPSEEEFIEMVDNKTGGLLRLAVKLMQEASNSERDYTGLVGKIGIHFQVRDDYMN LQSKTYADNKGFCEDLTEGKFSFPIIHSIHADPNNRQLINILKQKSSSIELKQFALQL LEKTDTFNYCRKFLTNIEKEAADEIAELGGNPKLEKIMSLLSIKEQ PHYBLDRAFT_183832 MFTHLRACKTTVALSTKVLPNRWTTATLTVTRSWYSTSVERKSL FDKILIANRGEIACRVMRTAKSLGIKTVAVYSDADVHAQHVKMADEAYWIGPPASAES YLSIDKIIEVAKKSGSQAIHPGYGFLSENADFSDRVKAEKLAFIGPSGDAMRSMGSKS ESKYIMERAGVPVVPGYHGDNQDVAFLKEQASLIGYPVLIKAIKGGGGKGMRIVRTPD EFEQMLSSSKQESIKSFGDDKVLIERYLERPRHVEVQVFADQHNNVVHLFERDCSVQR RHQKVIEEAPAPGLSEEIRADLGAKAVAAARAVGYENAGTVEFIMDNIDKKFYFMEMN TRLQVEHPVTEMVTNTDLVQWQLEVASGNTLPMSQSQLSLTGHAFEARVYAENPTNNF LPDTGPLFSVRTPPTSGDVRLETGFIEGDQISVYYDPMISKLVVRGDNRDDALRRFRL ALEQYQVVGLNTNIDFLRKVASHPAFIKGEVETGFIQEFEQDLLGVPVPPNPVTLALA AGVIRHQEATAIKPSAKDPFSPWSNLHNGFRLNTKDSSKTVFIVDNVEYDVLVTPNST NTLDLEVRQSSETLKIYSDVVISRDVDGQWVSNIDNKSVKSNVVVHNDKVAVFNEYGR TDVRLAVPAYVTGGEDANGAAGSVKTPMPCKISQVLVQAGQKIEKDSVLVVLEAMKME HVIRAPAAGVVDQVLYSVGDLVEENKSLVTFCE PHYBLDRAFT_175080 MNIPVPQNQAEMPKSQDIHLDMSFSVDKARYRKPLPLPYSVQIS NNNYLDNTRPSTYSTSNNSRANVMSSPISVNMAGSSISLQGSTIIFPLQTHNSTKEHQ QWESYFDPQYSFDIQSKSKQMSRSTSRFYVHTTSDNIDQIPEQTRLSSSGFYQCGVAP CSRIFSAAPTPTLPSIGHLEFEEPEYRPMTSEEKKQVDRMELLNLIRQQGFKDENDIV RHPVKGFIKAEKWPKHLRDRAGKWKDEVLVSTEDLSENVAQGPSRRWSLPCRPSFLYF ILGFFCPLVWAFGAVHTPTAHAGQTSVDKRADMMWKYRCRNAFILLCVILVVGLILGI ILWPDNN PHYBLDRAFT_175081 MNNQIQQSPEISINENRSVNTSRSYASKQGEFKTWCEGRFTDDA SYLVSGEKLLMFLSEAVVDRQSRRGRKAVGLDRKIKTSTMELYVAAITDLYQKQVRQG INSHSHPRIPAIKQLLRNMRREDQRKRRENLTEKSHSPTLSSQSFADTPQPDQNQVVQ DDEPIQETNEMLRKVVPELKEEIRSLKNTLSLTISKMDSLLSHFSAITSGAATLNLQM SWPNQTGFNSTNSSVAPAQAQTPLFAFNQQTQSHLEPRDTQKTVSLGAHRIQSRHQSR PESDLELGSESEADIYTYWPTQIPLSGYPGEDPHVYRMNRDISTVTDLWREWSEGLEN GPSVACLEDKCGTLWRKTTSESKFFGKRKIIIDSIIDYSEAHGISGSNAAEIAEERRR IKDKSLDWLSRNRESIF PHYBLDRAFT_104212 PFPCLHMLCTKIFKRNHDLTRHSRVHTKERPHHCPECKKSFSRS DTLNTH PHYBLDRAFT_67415 MNLVKYEGPVRAPDTLLRGQAKKTYPWESAKREPMEQSWDIKTL LYLLFFEQFCFQRYTFYISECFQGKSFIGSVQELDIFGKIDLHNYYTQSFFSFYFLET LPCMVDILTYICEYNLPWVSIFWSLLDYLKFEHRVANFIPYL PHYBLDRAFT_183833 MSIQQNFPTINYRHISPIVAASAVAASVCYFLFSKNTSHKGLNN IPSPKRNYPIFGHMFSLGKVPAEQIDEWHKELGPIIQLQVGSQVWVVVSNPHLAHELF TLRGSVTSARPSHFYFMDIYSRGGKGVVFVSATKSWKMARATAMGLLSFASVKRLSGV FQKEADIMVDYMLKSTKKDGSVDSLKNLQLVAFNVIMSTCFGKRYNSIEDPEVVSMFA FINQHNIYARIEDDPGSFFPYLSWILNLSNKKRKMDQFVRANRDKLFYELIKEARNGT SDCFVKSLYETDAKHSLNDLDIMIMMSDIVIAGSETTAVAMSWLIAVTLNYPEIQTKI QAEIDAFTSEYGRLPEFSEAHKLPYLCSTQKESLRYRSITTFGLPHEASEDIDFKGYH IPKGAMLIVSMKTLHMNSDKYKDPEKFIPERFMDKYTTISSAANGPILERDQYNFGWG RRICPGIHLAEMEMFEVFIRLFSSCDISPALDTNGNPIKVDLEGFRDYGLVDAPLPYG FRITERAADLLFQVT PHYBLDRAFT_152337 MPHSPNNPNDPENELDILEGVFENVLNGTLSMLFKGLVPNVQQN ELPNEAMIGEVNGGEDFKKLARKSRMNKENGGSETRNDENETYSKDDNKSRELATRDL HPQPEIPSLVGLLQHMLEGHREDRNATIFQGQQQDNIPELNPPSLFQLFFPNVHGSDE RDSRQVDFSSIYSRSNDRDELGATRSSDMTEPEPSSNYSYSYSSTRVIHLPDGSEEVQ TTTRQNGHTVITKRITHPDGTVDEVREEKKSLKEKIASIPQEGKSLWKSLFG PHYBLDRAFT_78792 MLDKYDPSTCNNQFCNTNGIRMHYVDENPSSKEVLLLIHGWPDL WLGWREQIGFLVNLGYRVVVPTLRGFGETESPADPSLYGFKTACKDIVGLLDHLEIPT VTTIGHDWGGFVVWRFSQFYPERVKAIASFCTPYGPRPPVRLTLEQVVQNLPNFKYQL YLCTPEAEQEINAYPREFFTALFRTVNEQSGRKLLDLKTGKLVEGRPAVKKSDLVPQK VLDHYVEMVKKHGSRGGLNWYKQVLRNWDESEGLDPIITKPSLMVLAERDVALPPSMA ANMKNYVPNLETHLVKDAGHWILWEKPEECNRILAHWLKSLNIVPSKI PHYBLDRAFT_178419 MLRSLAIPARAIASRSVMVRNVVGFEDFFGKKPGGNNKRPTEDP KKPNKGTGGSGGSKPPDFQMNTPTIMLTAAATYMLWKATAPPENSRELTWQSFRSQLM DKGMVDRLDVLNRSRVRVHLRPDAGSLGVSPNTVFYFSIGSVDSFEHNLEEAQNQLGI PSDERIPVAYRDEVSLLNTLLHFAPTLLIIGVLFYMTKRGPGGAQGGIFGVGKSKAKM FNQETDVKISFKDVAGADEAKEEIMEFVKFLKNPAMYERLGATIPKGAILSGPPGTGK TLLAKATAGEAGVPFFSVSGSEFVEMFVGVGPSRVRDLFATAKKNAPCIIFVDEIDAI GKARGKGGQMGGNDERESTLNQLLVEMDGFDSNEHVVVLAGTNRPDVLDPALMRPGRF DRHISIDRPDISGRAQIFRVHLKPIKTSEDVEKLSKKLAALTPGFAGADIHNVCNEAA LIAARYHKDEVTTSDFEQAIERVIAGLEKKSRVLSPEEKKTVAYHEAGHAVAGWYLQY ADPLLKVSIIPRGTAALGYAQYLPKDQYLFSTKQLADRMCMTLGGRVSEQIFFDTITT GASDDLQKVTKIAYAQVTAYGMNSKVGPLSFHDPSQEQQFQKPYSEQTGALIDEEARK IVSAAYERTVELLSGKKDEVEKVANLLLEKEVLTREDMENLLGKRPFKEVNSVYDEYV RPKRIEAPPPFPEEKGENDVN PHYBLDRAFT_156664 MPAMLRYMTTAATQTASNPDEPTFLKSVELYYDRAAKLSGVPGG TLSHLRAVDALLRVTFPIELEDGKYEVIEAYRAQHSRHRLPVKGGIRYSEEVDLQEVE ALASLMTYKCAVVDVPFGGAKGGIKIDPKKYTVEQLERITRRYTMELCQKKFIGPGID VPAPDVGTGPREMSWIMDTYRQFNVDDVNAAGCVTGKPLSQGGVRGRTEATGLGVYYG LREFLSYPQVQKRTGLSGKVKDVSVIVQGFGNVGYYAAKFFENNGAKIVGIGERDCSI YDPNGLNVEELQKHYKAHSSFRNFSATSKILEEPTEILEQECDILIPAALERQIGLRN ADKIKAKIIGEGANGPVTPAAHEYLEKRGIVVVPDLLLNAGGVTVSYFEWLKNLSHVR FGRMNKKFDESSRRKLLDLVETNAGRELTELERKTIVHGAEEADLIYSGLEDTMIQAC QETKQTAELKNVDYRTAAYINAIQKIAVVYKDSGMLFMK PHYBLDRAFT_189282 MFCPSILGGEWRSTLSIPAYILLLITPGAGLAYIATIPTTTLST YKKQILSIPLLVVDILTTVLFRTDFILIDFMMPACTFIIFCRFLELIWTGPLIYGREA YTTKGSLHTEFWSCIRYFPKPAKKHDVSLKKNQIEYVKDKKFYNILPMLMYYIVGWDI LATWLTTFTRDDIHNINRDKSLVSFIVYYITLVILNNAGNVIGCIGQLIYVLYYEGGT YSSGQWRDLMNNPMFSTSISDYWTHRWQQIMRPTFLALVYRPVSYYCQKLLSKYTRNT AIYSQGLSVLAVFTLSGAMHEYIAFCNLGWPTHSKIFLGEQQLLFMLYAPLIIFEKAL RSISYRCLPLSFIKSFPVQCIQRLWAMVISYIIFSRILETFTYSGFRFRLPFTILGPQ IIEFLRQTPALHGLCGSLLTTLST PHYBLDRAFT_175092 MSESNATNGSIGYSSYQQKYPSTAGFPANDLASIILFFSIIALL FVSFVFYFWFTQWHKIPPQQRHEEELEHQKEVDLLVENLKQQAKTTFKIPFITLIIVL WKSSLYSSQFSPDAHTLTLSEQQQQAPMSHEYRKVFFHRFTHLFSIMFMTAMAIALAI LIGTVSTPVASNTSSVLQSCLFVFVVCINLFFITRQRFYYKDRKQLFGEDDAHINAVY KTQFKDWNPKMLSNWIQIAILTIEFFQLLTFPLRDLITVNSFDEGHGGEQTKFTHLVS LVMNAGGFMPDMRTPTWYTYSVWTAFAATIVSFIVAVVFHCVNLWRPYKLPTRWVRWC IPVATTFVSSAACQSLNIPTHEYSENLRCHSSQISQQLYLWMSLIGYVLAYLLMTVFL TSYERIPQQNEIAFKSVSVAFIKNMGLLLAIVFLLVESTTNKNRMRAIMSITILLTMI CYNIKSKPCYVDKINFFRTASFSCILWASVLVAILSDTNAAQSLGPLAVLCIIIGGWA IIIFLFLLIYIIYYKQPAEYTEDDRIDSGIMGVCEEAPIVNTNWTAKGKQRISERELE DTLNQYYTGAATRIPVKNTLEALREQNAPLSFKETTTRHHQEPVEEEGWVDWATKLWR R PHYBLDRAFT_189283 MRINDNRGQRERLAREVLKNQLNVGSTNNSQWKATESPVVAALT PEQMYSNFEEWIKMCTDNKINATNTWNFALIDYFHEMTFIRDGDSINFQKASCTLDGC VKIYTSRVDSVATETGKLLSGLTDSARNTPDEGDDIQREEGGEKRTRRRTNRSESTLL KDFSSIALKKFDLDFSVDPLFKKTSADFDEGGARGLLLNHLGIDRNCKIIFDASDASA DQDPEKTRVKTVEEVEPAKTIDEDTVMGEADVEKPATIVVNTDESDAPDDMVDISRLR ARLPSMDTLNELQICPTLQGYGFFSETNDKIPNLDDYNINELPRNAALDMPLEPIEDV NANPANDDDMGDMLDIDYDYDIMEDGGEGLDMDPFEMGNDEQPAQDESIEKDNDKQTD KDGAPIDFLNQNFGMGMLDSEDKDLFSYFDTSLAKNWAGPEHWKLRRPVIQIKSAQET GSAEGQPKKKPKETFQIDFFSEDDIDEDTLFATDKKAKLTISGTKESVDMRHLLPDDM HFSSKQLLKFFLKPMFTVNARQRKRIIDQEDLQESTQLGTDNVAEPDVQYWADQDVPD IDFDNGDVPSGIPEADQTQMTTFDDAAFYQDTFYDTVADIDESELYGDQLITNHRLKK AKPLYVNYARTAKRVDVKKLKDNIWKALTKPSKDISVSAPEIPDTLQEVRRFTDVVQD LKKMYTAKTMKDISVPFCFICLLHLANEKNLTISGSKRGKGTQNQDSDNDDDDDGFVL GEGDWMKDEALLSEVTVFQNL PHYBLDRAFT_183840 MVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSP DFVLWGMSRLSQKELDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVM VNLMPCRVGRNEGC PHYBLDRAFT_183841 MVPSYHVWSTECVQSMLMERCTENQLSILHPSQLTARTLDIGSP DFVLWGMSRLSQKELDMRDEPEAKLRCLSKCYAVHLGVSDTCPEQFGIKAFHRYFAVM VNLMPCRVGRNEGC PHYBLDRAFT_175096 MPSLSLRGNLLLIVFLDLAFYDIYFHSKTCSNLQSKWFDIKVKI QTQCESTDYFCFTTKRFYGEFGHNYNSMQKISRRYKSIIDNELKGVKNITNIRKEYSQ WIKSKGNTRYWLHKIEKETLSTFHIEGAE PHYBLDRAFT_67428 MMRIIFTNDHIEHVLDEQIQRSTDLSLEFTRGELMRLNLVLKQL ANNSQSIYKVPTDLMLLSSSLTIDKLPRNPIADSADISESKLWDTYFDSFLPANFSDN ERSTLLRWLNKHAKVNLIQLETSITLIDQLNFGANPGFGEVKNSKPKCDKATLCGDFL RLAHLTKGSIDNPHLEASISFRIHGFSITFYMTRLDYPIIYTMTELGKTKFPQSLNDI STFWCLQIMRLTSSDNQCFLSSLQAEPFSLAC PHYBLDRAFT_22465 GFITTAHYTSNDTYVQVTGFFDRTKYDLLETDGGGQYDAHGNHK PVGAMCKGYPHFVNLVEPSDNRFCIRCCENEDDCNTGRSEYGCLRVVPGDY PHYBLDRAFT_175099 MLHLRHKSWVKCNLPRGLLYGLFSLLIMKAFMCLKKCKEWAAET TVLLSIVNKVFSFRLLLFRHVLENDDNGPASSNLTLCENWLIFYRILSKLLYTSLPSR ILASIGRSDDEYVD PHYBLDRAFT_67430 MIQSQRVSYPTYENQYSPKRQIPNQHHPRSQSPPPKRRSNRAKP LSNDDIPLAFLAYKSNRPMSLTLSPAPRPTTITTSTSTGFNSPPRNVPYRPTLSPPLR PADRPRLTPSGHTYNERPSRRPVSFAYNPNHTPTNSFSTPRQAPQPPPPPSSSSPPQP SQPKRSLTAPNTPLVPSPSQSTNKKRTSLEKAKSWLSSRRQAIKLPF PHYBLDRAFT_95550 LVPCSTAWKRMSSHPRFEAFNLDDLCDQLKRKAKCSENGPVFEE EEIDIVI PHYBLDRAFT_104260 RRKEQNRAAQRAFRERKEKYVKELEDKIRQIQAAHEVHVAQLQK ENEELRAILGDIK PHYBLDRAFT_160592 MANRTVPPDIETCPHAISSQHPHSSSHSSLHSNPHHHKERAFDI RRLFGRATKDSTELSKTSSVSSLPTERSFSLHSNSLLVLDDIPPSQSNIDIVRYSWER VSEIRLPTDHPAVSPSHAFGLAFYEALFELNGDLKPLFANVFQQARALTGMISYLAWA PTVTGACPPPPTCPMTGKVANPDRVYTIREINARKRAREASLTSSRQPSLDGATLVDA GEEDETTPRSTTNPTTTPPASASVLADEDEDDDPEGLIQQMHELGARHFFYKVNPQYF TLVGPAFVSALKVRLGDEYRHEIGEAWIRTNSYAAYHMSAGLESQLAWEQGHRKQVGN KPTRQKSGCSIQ PHYBLDRAFT_189288 MGNILGSKISSSKEPHDTWSFGFGGHNVPKHLRPSRQVRKNLKT TKATSNSKQEEPNNNNNNNNQTGEDDGERVERLVRDADVLSENDGDEGDAFVQNYTVD PMFSTGPQGAFRWFKGRRFAFSNKRGVSSALPSDPEELDRTRVLNYVLRWVFGGTVLA PVEEQLQKGCRVLNVGSGPGMWLGHPIMDMALDYTNSKFTAVDVCDLMPQTDLTNHFD QESSSISPNPSISQIPSPNSLPVHSNININNSSSSNSSSSNVSPSSAYSSSSISSSTF SSPQETQSCPRLPARAPNFCPTVVSSTGTEPTKKKILENLDFYIVKLPEDSLPFEDNT FDFVQQRMASSNYSLLEWKKIMTEMARVTKPGGYVQLLETDYLLHSLGPKGVLWLDEL TTAFRERRKGEPRIACYINELLLAVGFQDIKTTMVSVPVGAWGLDIGLLWQQNVDAFI QASMPLMVNVLQMSPSEFKRRWRETCDEVNDSKTFTNIHCGWAQKPVDKPAITDWSLC ELYR PHYBLDRAFT_22495 MPTPIETWYTDIPPITRIYITAACFTSLAVQLGFVHPLHLWLNY DRILYDFQWWRLVTNFLYFGPISIDYFFHMFFLARYSRMMEEGFFRNKPADYVWLLVF SAGALILLSFIIPHAYIPFLGSPLAFTMVYIWARRNPYVRLNFLGLIVFRAPHLPWVL LCFSLLFGGQLPVGDILGIAVGHIYYFFEDIWPRDSASGGKRWLETPRIM PHYBLDRAFT_175105 MKTPTLKIFLAAINYDGETFGKVLPWIIPKVQHQADVCIYRFNS PVNDKSIVIQLLLSGDLISVISQKFQFSLLHDTYSNEEGIFRDEELEENHNVILPKLH ILVLDFSVEFSTIVNKRDYNNAFLTTCQAADWDNREKKRLLIIMDTYDLQPIALIKDD VEYNALTREKILHVFKNDRMKVYPLVPKQSVSHQYRITAFATIHHELQLYLTEKRYGY AFNQDWSIHKRMRRTLDAHRESFRTKNGGSMCTSIPPEDTKYKNVYPTTITDADGTKL VIGTKKFNASITSSLRLHAPFNSEVGPSAMSFNLSDSSKAKVTTIFVKGSAWKEAAEI ARNNNLYQLRTRFHQQSTYFLCRASNETVGNLAARPYTIYTLAEWDNGNDNADYRTAF KLFQTITINVICGNPRLEKYTISSLCNEWKLCNELKVEGTAVYHIFQLVLTQFSDDTT FIIIIGNKSLNHKLQKLANLLAPAITKANRSVKQAVLQAYAQHIFEEHTAFVNNIIHG FRPAILIGNSANSIFIYKLNTREIVSFSLYSFNKEEKLVSGNAIFAVVSTLLCDRYRT SGKIKTALWRWNDCTICVTTIDLRKRMICENTD PHYBLDRAFT_189289 MSDIDTETQLETSSNSIIKCLIQDLFSYSPLRRKRILEYYFFPD AILTSPVMSTEGVLNIQYVYTVWQALNRQEPTITNIVFDGQTAVIHLIQNLSPTIFPS FIRLQVPAITTLHFRETEQDSGLLKIYKQEDSWTLEGIIQSVPLISFWYNHVLRVIMG KLLTATGDLLDAALQHAQKMSLRGREIQRLGRDLAVENMEKLDEYRANLHDNYLEGIR GWREGYLDDDDTDHCRPLIKESEDTVDGTLHEDR PHYBLDRAFT_98815 FNNSARPNLLTPPLTEPFEYGKQKIRGVNIGGWLVTEPFITPDL FDQFAASDNIVDEWSLCKKLGPEEAERQLKEHYETFITEDDFRKIAEMGLNHVRIPTG HWAVQVTPDEPYVPHLSWTYLLKGVQWARKYGLRVMVELHTAPGSQNGWNHSGHKGKI GWLNGPEGEANAERTMKIIQNMTDFFSAPEWANVAPIFGVLNEPAIYRLPSKKRVKEW YQTSYDMIRNSAPAGGPLLTYHEGFLGMPEWNGFFTEHNKRVILETHNYLIFDQGLVS MNRESQAAFPCGSWRSDLGQAMKDVGPTMVGEFSVATNDCGKYLNGVGLGTRMEGTFE EDGVKKPPVCPTCNCQAMEDWTTWDDGYKRFLKSFAERQMDAFETSYGWFFWTYKTQN HANPHWDYLLGWEQGWIPKDANHRESSCTDT PHYBLDRAFT_75000 MSLPNHSGSSAFKSFSYIVGTGIKRAAKLSTRNPIEMIVVVLIL SSFSYFYLFNLARTSDIFSGTVTRLYPTSVYAPTKDHSFSVVDRTADSTIANNAVKVH LHQIVVSDPKHGVLSRQTLASVLRFQQMAENEIYVPDSTAVNRFAFNKDLCYKTTLPS SYSSHSSDSNSNSNLNSKTSPCFAHSPADIWQDEATLLADKNIRSTIEANLDTAKNVF GDLQLNATYASSVTLSYAFNTTGDYREHLADMWKHKVATLPPADLVSLSNIGQQENVF AWLFIVTRNVIFRVKELIDLADNIDIIVILVGYIMMIATFISLYVNMRAMGSRYTLAT AVVFNGFFSFMLALLTVRALGVDVYPVVLAEAIPFLAVTIGFERPFKLTKRVFQFSKE TPLTKQEIRTTIMRAVDTVALPIARDCFMEIIVLVLGAKSGISGLEEFCLLSAILLAY DFIIMFTWYTAVLALKLELLRIREINGISADDIKKGTKKSTGYIRRTVIKAFSDDHAA GANTANQKADGPIIGRVKLLMIVGFVVMHIFKFCSAFQSVGPQVNITEPSIAVVLDQL LEQHKASSQASLPLFVQVFPAMPFHVATVNKSFVPDAITRPLEALFDTYAVYIQHPVI SKWLTIALFVSLFLNTYLFNVAKQPKQIVEQVNQDKKITNAIESTQQQHIEVTEKQKP TIQSPGPVVSSAVVMSPNHKRSHNHHHSHSHSHNHHSNHHQSDIVRPIDECVALVRTP EMLNDEEVISLVENGKMASYALEKVLGDLQRAVGIRRALISRASITKTLEASALPLEN YHYDKVMGACCENVIGYMPIPVGVAGPMNIDGDLIHIPMATTEGCLVASTARGCKAIN AGGGASTIVIADGMTRGPCVEFPTILRAAACKLWIENEGNDIVTNAFNSTSRFARLRK LKIALAGKLVFIRFSTTTGDAMGMNMISKGCEKALSIITEHFPDMQIISLSGNYCTDK KPAAINWIEGRGKSVVTEAVIPGAIVEKVLKTTVAALVELNISKNLIGSAMAGSVGGF NAHAANILTAIYLATGQDPAQNVESSNCITLMKAVNDNKDLHISCTMPSIEVGTIGGG TILPPQQSMLDMLGVRGPHPTEPGKNAQRLARIICAAVMAGELSLCAALAAGHLVKAH MAHNRGTQAPTITSGPAPSTGTEPGTCIKS PHYBLDRAFT_152360 MSGQRAPVTVPCKYKTGKVLGNGTYATVKEAMHIDTNKFYAVKI INKKLMEGREHMIRNEINILRRISENHNNILSLVDYFETLNNLYIVTDLASGGELFDR ICQKGSYFECDAANIVQTVCDAAAYLHDNGIVHRDLKPENLLFRTTDEDADLLIADFG LSRIMDTEKFHMLTTTCGTPGYMASTRDLQKDWSRETSQKKVTDRDFYTSDMWAIGVI TYFLLCGCTPFERDNNIDEMNAIMHADYTFDEQWWSGISEEAKDFIRRCLTIDPAKRM TAHEALQHPWLASTHISKTEKVAQDLLPSVRGNFNARRTFKKAIDIVRLSYHIGHKHS ASTGSASNSDDEEGNGGVVKPVNENPNQVLKQD PHYBLDRAFT_40046 MAYVSAALKSRVRRPQLLQKVMRAEDTLGFFKSGQYMGWSGFTG VGYPKLIPLALADHVEKNNLQGKMKFNLFVGASTGAETEDRWAELDMIDRRYPHQVGK HIKQGINEGRIRFADKHLSMFPQDLVYGFYTKDRPTNKLDIVIVEATAITEEGWIVPG ASVGATPELLQMADKIIVEVNTRIPSFEGLHDISLCSLPPHRSPYLIMATEDKIGTRA IPVDSDRIIAVVESDHPDNTGPNHPPDQMSVDIASHLIEFLSHEVKMGRLPKNLLPLQ SGIGNIANAVIGGLAEGPFEDVRVYTEVLQDTFLDFFDSGKLKYASATSIRFSPDGFH RFYDHWGQYKDKFILRSQQVSNSPEIIRRLGCIAMNTPVEFDIYGHGNSTMVCGSAML NGLGGSADFLRNSKYSIMHTPSTRPSKIDPTGISCVVPFASHVDQTEHDLDVYVTEQG LADLRGLSPRERAQTIINKCVHPDYRAQLQDYLDLATKKCLANGMGHEPHMLDKVFKM HINLMENGTMKLDSW PHYBLDRAFT_175111 MDVVNQLSSLLKLAFDHLILMPITVHFRQFFLFPICQNVCPYLG YLLAQAVVLPHQGNAYGIKPDACGFWASQLTRDMKTQEELNSNMLGRGRGRGRGCGRE LVLVLVLGLGVVVLYTKRFVSFSLLKGPQSYWKYIGYRPVETSLSGQSNESINPRTCM VLEWGRLTGHGSIMRKDALVI PHYBLDRAFT_175112 MHILLELLKQSLKDIRDAFKEKHDAYNTDGQLGCGYGSYNTFTD RFILIMNTIDICSVITWLNTIERVWGITTCFGQPTKSSKQRLKQVIGSMDGKLISIEK PTTENSGDIYADRRENTIMSLMAVCDYKKRFIHIATGTHGNYIKWELFLYSAYLLLPQ LLTPFIGACGRRSESQETVPLTTEEKLCNTVQSRTQ PHYBLDRAFT_160595 MYAEATQLIPGANMHTERRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_189293 MMISCNICFSTIIFYDLVLMFLVLLTRVLVVVFQFLKAFFLYYI WLLECIYCLTSVGVTIMTSSHKSALGLPTKLAPYRSDTVYPELQVIVIKDEVYKNKLI YCCAGVSPNEVLTSKLKKFLRGRIEDLRLGTKIRENLCRFCLLRKILYQSLTSPNEVL TSKVNVFMSYNYAYNNPLMYPILW PHYBLDRAFT_67445 MSSFPVAWILILITPYTPQSSSQILAELLRHIFQLAGPFKEVQT TRQLEDVFAEEKYRNSFMAIFLIWTAYLEKYRLKQSSIYHNSKHERVRGCYVIIDAGI RCIIYCMYEDSTLEVTNWYLYPSSWHRFRLNSVKFCIILNLKAQPQNVIAVEYEIFQT SRRTNNIADFTFYTLVKAADSSMTTSFYGTYSQNFLTELGPDYVLVMESFSAPHIRYH EPINGVYYIQTLSFVPPAITWNLYSNPQPSPMSLHTNSNCGIPWSLKVLSVVCGIEIA DVMNFRHTLNQSRYDGIIPEIFTRDI PHYBLDRAFT_189294 MALSVAETLNSIEPLGLCPSAKVEPTEDPSKEKYRLLKRRLKEM SEKNEDLVSELSRANKRLRLLSKEKNILLDRICKLSDPYPARLGRRSNEDYTYHCQDE SASTASEGEPEQAETPVPSEAAGMLQLHSLEISFNKPTSISPPLEHITPFQHSDGTLM KGGTTVPSSRPKRMRRGPVEPKMRRVQPLEKNEVTGEYKLPARVGILTVHSLGRVVPL PSYHNDRYIWPPGFKVSRTYLSMVNPDSNTVYTCSVEENGDQGPKFRVVAEDCEEPII ANSATGVWTAIVKKANEIRSRDHSNSASGPDYYGFTHATIAKMIQDLPGADQCLNYVW QKFGVMHQRTAAGVAAAAQKKLTNLEIMGSANKKPPPTYVGNIVKHDMSPIPTFVSKE ETEEVQIKVSPHDMKISETEFS PHYBLDRAFT_9718 MQIFVKTLTGKTITLEVESSDSIDNVKQKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGG PHYBLDRAFT_98745 RFQIHLDGTHTHHLTQSSAHKWMSSINDVVDGFLTRMTTSASRF HLWAKDRPLPEEILKEERTLIDNILGKDSSKGVSLVKTWGRCQTIIGKGNYGTVRLIA SQDPCIPSTRLYAVKEFRKRRSESIQSYVRRLTPEYVTALHLHHPHVVQVFDLLPLND KSLLYCQVMEYCDGSDLFHQISQIPSGLVAEEANCYFRQLISGVAYLHTMGVTHRDIK PENLLFTSKGCLKITDFGSSFCFRPKENARWVEYSRGLVGSEPYMAPEIFVEKEYDPR PIDIWSCAIVYMVMRTGSYIWYVAKKEDDECYSRYLRFQQRENAKARERLQRRAKLGR WDVLESLEDGPKGLIYHMLNTDPSKRMTSQQVLKDSWLESIECCQ PHYBLDRAFT_152369 MDFGNFDLDNSGGTFKPNFGLENNICNNNQTIDNSGDFFSFIDA STDNVNHCQATQSGNSTHQQNEIGYNIPQLTPDGREDAYTSSSVLTGYNPEYAMSPLQ IATQSSSAPLLPVYHTPLGRTTLNGLEDYGDEEEFFTPLVSPAMLPTYNSHTQMRVQE NTVFSPLTSPALHPSSQHAFAMNSDGHFHRPSSESLLQQKLAQIEQQQQQLRSAHQQQ TQGQGSNLAAYPSPRASFTHESPSIRPIPAKTQSLRRKIALSSPQINATNTIQSPHLH PVSHNQQEHIPYSASAYSPRLNPQPSKEASFIAPATPSLLMRLGGGSNLSSGITSNTP TSAVDNMPSLPAPLLEDSVKKATKRRRISEATSFSCSPRQTATDSSTLSPMGLRPQLV TASPRALKPLISPSLQPNGKRMSLIDEEAAVALLATKSNYMHLKEGKAKSLGIEFSTN IQSGIENRRTAHKAAEQKRRDTLKQSFDSLREEIAEAMVEEEKVAEEEARYQKEKEVK QMSKVVLLQHSYEYILRLKSDSRRKDNKMTRLQKEVQALRAKLGLPEKTVEEEEEEER EKIEEEERREARRKRLESLTSEDQGQTDER PHYBLDRAFT_175119 METRRKSWNEDSDTNNKLNVCHLIPKFTYLSLLFILIEHTITIV DYMCKIQIFPSQLNVYLSLLLILIGRTKCKSCDLVTSYVLSDLKVRTIQLIRRSSL PHYBLDRAFT_152370 MTEHSQHNPLSRAYSTDSCPPGSLCTRHVGHSVSHLCFQGIACP LIPLFLYEKEATLVGVSSDLLGKFLPHYLPSDIGEWIRVSRTCHDWTALTDLLTSTYG LNLEIEKAQCCQALQSTKQGTLLIRIFQVKFATLANDIPASCRLSERTVLAIFMDNIK PQLRQLMEPTLTKYDSWESAYATAVKHEDRCGEYAPPPAFTPLSSHAPQPMDCLAAIY SSRPHHNGPLDGVPRLWGAD PHYBLDRAFT_119153 ESMREEIFQLLHCVPTAGHLGLHRTLQWFQRLFWFEGFRTWVTQ KVLRCLVCQESKSPRHTLGHTEAILQDPTLAPFDIIAIDAFGPLPLSAQDHKYILVVQ CLFS PHYBLDRAFT_175121 MPQSSILYAKCVYCDFLLSNSCLLLLGIAHPTTAVGVVAPDWKG LELSVNSNPLLTNLEHVTCTLIWQHNYFLKGNNNKNKFGVDRLKVQKSAKESVHIILS LLLSENLLLRVFLDLSLYCTSIKNGSLLNLCGYRRKLAN PHYBLDRAFT_152373 MIFGKCKVTISIIFNDMIRKLARKFGHALVFDKNQFWEKNLVCF IQAILRADGTFIRTARPLQFQKRAYSEHYVKLETGRRHDAYIDKAYANSLYMMCLFRQ YSPDLRHKDVNTAMSSVRIVMKNEFAHIGNLFAYIEYPQSQCILLCPVGSYYLVATFL KNLHICFNRGNRTSKRFGVVSPTSAEYISGLLENI PHYBLDRAFT_152374 MNLEQNNPSASSQSTEKSRKKGKKYGHNFSARDLALVEELFYGH SFDKKLEKNASFGRKLRKKENGEKNTSSNNDLYPELERQLATLENDLKEEDEDKKKVK NKEVAEKEKGDELLKDHATESFELLCQNASSSPKKRRIEEDGEGINGSTSPKKAQKYI DDSNTYYFLKDFKDEIKNVMDTDADYEMVKTV PHYBLDRAFT_152375 MVLLCLIKETEFYAKHLNGDSGRKASLWSNLHTKFCINPNVICF ASTSVGHQFSLKYRDLKYVKERFQTVKKEFRKVVADIQRTGSGGPPPPERFQFFDAMK EITLLDPSFFPPMIISSLSILVGANAAPVISVRQEDRITYSNTYLSGPNFVSEIMDAI EHDVSSVTADLELLPISEDSFEPSSQLSYQFSF PHYBLDRAFT_152376 MPAPSSSSPGTKNRRMTQCEFQSAFLRNSKRQTAIFDEAFTSLS SQLEEVKDAFICSVECQYIHAVSFWEARRLDRASRNNNTREDILARKQISRDDLLSRD IIAREEREAKGKFADKITQYLSASSTSHNNASD PHYBLDRAFT_67455 MLCSEITVFCIRWRFMFKHLFLMQPESMAKVITTCCILHNMCIT FGNSKEYLKELLRTDEANLQRNTTIMDWFIRADNTDGASFEAHDADNDDEDFEDLYAE IDNSHTETETASEERARRDQVKDTL PHYBLDRAFT_67456 MGKEQLKYNKNLGKIKLASHSFTCHLCSQECSTLYNLQQHVQAQ DITTSSDSLTENVPNLNITSIPDSEREFNVVEDSQEALFQADILAMIEEEQEEAMGEN ISSLRERIFNMASLTINSNMESDLPTLAFEDCQSLLDFSAASGEWNRSMPPFFPFQNL QTLVLQAFVDGDNSMISVSMLTKIMYTINLLFELKKRADEDKAEFKLPKVGTLLNYQH NKFNKISLFPTTVKKVTIKATSNLGSGITGQVKTADCHFNFPSDHLRFLLANPQKALY LSALPDYTENQCLSVQQDEKWKRDPFFQHPFVQTNGKDFWIGDVGRVIWFYLKDSIAL FDGYLVEQGSDSEGYPLHEIQSFFVPYEVKCLDSAWLPPIFFSHPKNWFSSGETSLNP MHNGLLFKSHSLKKPIFNSANQFVRFQKAIVAPLALFSDNTSGNLTKTHGIYDSVLVN FPVMPYHMRNRRKNNFFVTAVSQQAGFKLTQLIPVLAVDLKSLENSIEMYSLTYNEAV TVCAPLLFITTDNAHHAKLVGLKHATSNFPCRRCYHRSLTRFSFDDFDSDHLVCHCQR KTKEHYRIAATDSTRKEKAIPSVLPNIDDLYLRMEKSMDKTSILTDLGYSHTSAKDLL CLQSFDPALDIPVEILHTVALGVCKYLVNHLFKEVLKGNTALQVKLSNLLEQEKGSRD FTRTFRNKLRHSGSYLGKEFKILMQVLPAILNAEFANDIEVSMIAKPFTELDILSSLL FVQEVNSDFDQYLSNADNTAHHLVKSLYEYDVYANTKFSLTLKTHLLLHLKEDIKRFG CALYFETEKGEQFNNFIRTHLVYSNHRADNRDLIFKFGKQDMLRHIASGSLWIDRTTD TQVKSGSGISAFLQDQGIKFSNNYFGKYQEFVDSNHIKTKIVTGVSVVFSYKDNVSRL FVGKVVESNSALCIQHYQLFSPNLNLATVGYQPSEHYWNLEAVKIECMLHLTSDVHLS RINLLKFGSYHFFCKNYFRFFALNE PHYBLDRAFT_175127 MMLTQNVRTIDDFQYACYLGSPVHLVEQSEIRNNLNGGTNHWWT YRHPYLHDRTGPNSFQTHYQVNRKIFNVIVNVLRRDFEYQASEERSEVSHPVWKQIAV VLWHLSNTHFGYRIALELLIVSHRSYSRFINRFVNDMIQSFLKTTIRWPATLEESQKI MDRFAVPSVQSEYQHLNECISAVNGKLIVIQKPSLFGNSWLDHHSNTSMALMAVCDHK KRFTDIRVGLARSQNNTFIFKTSNLYQNIIHSPRELFCDLRSYIVGNYAFPILKHCFI PYCRVNDMMVKEKKFNNITPREILV PHYBLDRAFT_175128 MSRNLNNNPADNTFGEEPFVKSLPRNINNIRTIILQHSQRIMNN QRPLTPKRAWLNLEDNSLCRTHNIHDVYKKLDIMNGVLNAVLKNTSSEKAEATASNAV DSNWAHFVNSFGENVDSILYADYMSDLESDEEREKEKQDLSSEKSFFFGDSAQAGEAK RYSTKNYSSEWYGDRFVDELDVDYEAAHDKRNNTHPFEHKFKEIIDKQLSRTKANNLL SWSKKQ PHYBLDRAFT_152381 MNNLPQSKRLKSENVILVSMMPGPKEVSTDSMNHYLKLLADELL EMYLPLGEIHKDNAFGTHFCFTAIDPMHNLYLGTAKQMIQIWCKRNYINEKNQFTMQE LANGIVVSCEYAHITKKIVNGFSFMKADEWKSWCIIYSPFVLKHVLPAKNLENWILFV DACRLLTKPSITDKEIDEATTLYRKSAMTSNMHLHLHLSKCVHDFGLIYAFWLFSFER YNGLLKNMETNQKGGSESIMMKKFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQ LATLQSSSTASIFSLSDFVKYSLNSCHSALGCESLPPSVFPIKLDQRITMCKEHYECL LEFYRHAYDNHDLFGHCSNCGSNQIFVNNQIEKIKQISLLGQEYSSGSYSRAYYLENN SENKAAFHGCILYLFQYLIIINETVITHTFAFVK PHYBLDRAFT_175131 MFNNQKKDSYIIYKYPDYTKLDSCKKKQKRQNVQQHYEKHIIPV VKDDVMCKYYKNKGEEEDLFSITAVVNTHLQHIVPEAFVVDDNEIEEGNTCFDFEKEE NFDETSDILIPLSFDNMPLYICFVTISVVIFHLIFLMKSSESILIEFCNTLLSLCNMS GALSLRINSLKHKAEFNISTDGMTVYIACS PHYBLDRAFT_152384 MPHTPINILAKSTLDASAAITQHTLKKMIKMLSGSNVIACEEYH DTYEDFASICKLKLQQEKLMVTKAQDKISKGRYFFKRQNKIKKLVTQKKRLNFLLALD ESGFLEELQMSKSSFYKLYDLVKNHKLYQQLSGFDSINVRLQISIVLDQLGSNGNSLS SGHLARCSGIGKQIESSIENITTRFFKDFQTLLDFLADAYLSWQKHYCESQSSFSVTD LLTVFFGSLHDMCTLSECELGKFPEQFFSDDKYMLADARYKATNYIVPIKKKLRNSEL SLADQKFNTKILSMHLES PHYBLDRAFT_152385 MSTPSAIEFVSHQEYDNQSHSWMKPDVLSAERQCRQSEEVSWWL QKQKKVKVSKTRVINDCCQYFDKQGVKRTSSQIKSKLTYLIVKQYSIAFKAWEESTMR ISKEEMSQLYLNDICPNFTQMRKVLGENMSKGEDGENNGGDKASETSKSCKDQEEQGT DVSNEISSQREAVVQSKSESSTSKHSDSSAYSGKRQPKFFGKIVKNTAKRSVKSIEDI GHGIKEFNDARISLLESK PHYBLDRAFT_175134 MSTNNIQSNKNIYTLATISEALECTSVPGVITLRLDTTIKVRTS EWRDCLLEIGESCAVKWIIHNSNKQPTDITAEEAKDSDIKMCFSQEYSCHHAGTYESK AAMRKNKCSALFHVRGFFKTPEWCEITLTKDHTEHTPGDVHEDMCTLPLAKKYLNELS Q PHYBLDRAFT_175135 MNFSIFGANTSYSPSLNVFACGFMLLIQQNKTKNAASFCLDIMS GISEKIDEILYTLLIRDEEIGRGWPVAYMITNDRVFMKRSRNKRLDKLVFVLVHDVEY YLSQEYDRVMSNNRAMSAFTREQRICEMEAEEDDDEREYTTDDEAKLLYVDVFEYEAL TMLTDSDGIMNIAFTVGNYSICNSTNADYYVKNAYLQIWIQPIKTR PHYBLDRAFT_175136 MALYLKVTYILLLSSTGDSSSPSLRKHKDRHPEILKPIKSNISS GCSLLKVSNQDVSLVRCKTTPQWAAVTLPAESTQLLGCPWGEGRGMVLPPMTGCKWFT SGGLPQTGCSVAASPPSNLASNLVSSRAYAVSSITSQRFNCAMLSNDIFRTVKKM PHYBLDRAFT_119132 MCASGCFHFPDVEPGNSIVENEVCPHCNGPRYQHERGTISPVQT YQVVPLSEKLRFKLAHPEEWAKIAYGMEVLAGGRSNVCKDILDGDGIHRLLAGGIVGQ GDMVVSMFVDQFNPFKDAAMSASIIHIINMNINPAKRYKKGNMMQLAIIPEPKHPKNI ASFLEPILANLRALQTSGVKCWDRDQ PHYBLDRAFT_175138 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNNEPGLEAST WASKASVSLPVTAPKMSAVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSRLLDIIFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVC LYLPPNMPTHDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSRGLALCSWIEER SLSVVNADLAPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLL SLSFTYDLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHIFTQNSTSLLSTLQDIVQNP PLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRHNQCYKKW RLVIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRR RQPQHTFQHDDGPAVAAATMCDYLVTVYSGHILPATRPPAPMTTCNSVPFASDDSPFT SPIVEEFVQFMPNRKAPGPDHIRAEMLKPVKTHVSPILTLLFTVCWQWSYVPTISTLL KQDIKVLESIQDKCLCMIVGGHATSSTIVLKHICNLPSMKFRADVLIVKFCIRSHFLP AQCLLSLLHRHHTIYSSLVSLGKTNLLSHLPPTLKLQSPSAVKNHFESIREAGFTTFL QSNTQVLIQACRPVLGVDPILFLPASHVERGRLIRWRMGWLPGKPKECPCGSDHTSRC HLLDCPLVPVALFEQLPQPDHDQIHRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDI ICNPDGDYSHETEHGALWI PHYBLDRAFT_175139 MPPPLCKSWNLSRLNEPDVHALYAHIFTQNSTSLLSTLQDIVQN PPLTRPNIDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADHRNQCYKK WRLAIGIDKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKR RRQPQHTFQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPFASDDSPF TSPIVEEFMQFMPNCKAPGPDHIRAEMLKPFAGNGHMFQSIATHRRSGTLATMATLNS VGACRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQDIKVLESIQDKCLRMIVGGHA TSSTIVLKHICNLPSMKFRADALMAKFCIRSRFSPAQCLLSLLHRHHTVYSSLVSLGK THLLSNLPPTLKLRSPSAVKNHFESIREAGFATFLQSNTQVLIQACRPVLGVDPILFL PASRVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLLDCPLVPMALFEQLPQPDQDQ IHRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICNPDGDYSHETEHGALWI PHYBLDRAFT_175140 MATLTAVGACRSGFSLLLSSRLFKTFIRPKFEYGLAITCLLQKD VLLLEKIQDKCLRMIVGGHATSSTAVLKHICNLPSMAFRVDILKTKFCLRAHTLPSGC LLSLLHSHHLQASTLSTLHTNPLFASIPPDLNCSSRIKLSKHFESFRQEKFAHFHLTN TKILIQACRPLLEVDPVLFLSATHIERGRLVRWRMGWLPDAISNSASQSHLSCSLNFQ HHPQMKTTSLTLLYQHYQSRLHIQALYIGKLFLQYYGILTCYAILMATTHMKPIMCIH PSTSLRKTIHPSRKTYLPLPKVVQSLSLVSQLSAKKISAQSDNITPSAFSIPMANLQI GNIQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAENARLTTELEHARTTIANLQKQ LGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEASTWASKASVSLPVTAPKMSTVP SARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVRSSLRTLGVDSSRLLDINFPA RGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDNVADPKYASLSTHELANTAAM LHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPTRTTLTNATGGSLFKRQRSSS VTMSE PHYBLDRAFT_175141 MATLNSVGACRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQD IKVLESIQDKCLRMIVGGHATSSTIVLKHICNLPSMKFRADALMAKFCIRSRFLPAQC LLSLLHRHHTVYSSLVSLGKTHLLSNLPPTLKLRSPSAVKNHFESIREAGFATFLQSN TQVLIQACRPVLGVDPILFLPASRVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLL DCPLVPMALFEQLPQPDQDQIHRIDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICN PDGDYSHETEHVYPSINIFTQNDPSITKNVPPIAKSSAVLVLGIPAVSKKISAQSDNI TPSAFSIPMANLQIGNMQGLPTELTSFLTTLQAKIMNVQNRTDQLERLAAENARLTTE LDHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEASTWATKAS VSLSVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVRSSLRTL GVDSSRLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDNVADPKY ASLSTHELANTAAMLHHDRCLQALQFLRPHIAIPVGHFFCEEGWISEDEIPTRTTLTN ATGGSLFKPGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVCLYLPPN MPTHDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNCRGLALCSWIEERSLSVVN ADLAPCIPTYISFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLLSLSFTY DLQHSTNMPPPLRKTWNLSRLNEPDVHALYAHTFNQNSTSLLSTLQDIVQNPPLTRPN IDAITDEFNLLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADRRNQCYKKWRLAIGI DKVVWWTKHKHAQAEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRRRQPQHT FQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPFASDDSPFTSPIVEE FMQFMPNRKAPGPDHIRAEMLKPIKTHFAGNGHMFQSIATHRRSGTLATMATLNSVGA CRSGFSLLLSSRLYKTFVRPKFEYGLAISTLLKQDIKVLESIQDKCLRMIVGGHATSS TIVLKHICNLPSMKFRADALMAKFCIRSRFLPAQCLLSLLHRHHTVYSSLVSLGKTHL LSNLPPTLKLRSPSAVKNHFESIREAGFATFLQSNTQVLIQACRPVLGVDPILFLPAS RVERSRLIRWRMGWLPGKPKECPCGSDHTSRRHLLDCPLVPMALFEQLPQPDQDQIHR IDFAITSLPLSSQEPRPAYWIPLLTILWHIDVICNPDGDYSHETEHGALWI PHYBLDRAFT_160603 MYAEATQLIPGANMHTERRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_152398 MGHEVGFLSEILFLYLLQKDVMSHSESDMKDMNVETLNHFVEIV DFVAVQTCSDKSMLKSRARMPRLQLGEKNDVVSRHLISSLSPWAIKQ PHYBLDRAFT_74034 MTSSHKLALGLPTKLALYRSDTVYPAIIATTLSQYEYVLICDKS NIRVSGSPHQVVYNDRRISSCKNDMTSSAFVNNQIKVIKSIEILGQVYKGCNDNGRDF YIQALFKENRMNAYYGYVVHRILLPVAIVDYKTTRNVNKKMAIPLPQKIYA PHYBLDRAFT_152400 MKKIMLVIVFTTLRVEASNVDLSEWLRSVTRNHMGSARVGSNPA VDEAFEILTFLHFVVFHARILVSQSG PHYBLDRAFT_152401 MNSRIDVLATSSTETITAIDSLSRAPLVSSANIIANVAQSAFNA PSGFSKKAYNDVYAHIRNLMWDLKLKTRNQVDILANESKPRWNTNVFTTVG PHYBLDRAFT_73563 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCAYNFEDSE GEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEPGAKISHGKTVDALLKSKLSVKGHEYDVCSSGCRLYGINDDQESCVDCGKPRYKTD PDQSQTPAASMKLIYTNKYLLQLAILPGPKKPTHLDSFLIPIINELQDLEAHGLVVKH NRVELCRSKVYLLLASGDIPAVADMAHIGSHTSLFGCRFCETKGKCPTNRRHGMYFDD ISARLRPLEDFKVGNPSKNIYQLSIYTQLSTFSGSSFFALDELHLIARGIGKLVYDLI IVTLTKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSQKYIPTSFQGSFDNV FAKIDGTRAVNWLDFLLFLVPTLVVPYLPNRAVKTALLSLVKGCALALQWTLTSELLD EMESYFKHWHSFLYQQVQNNTLSRSVFRPVQHYLVHIPYIIKQQGPLRCYSTRSMERV IGVFSKLIKSKSKGSRNASFLVERFAIHNYISTAISICDEINLIRPKPYGRESYMDLP NDPSGAQLWEPFHQFVNLNDDSVEGVGSPSVKEALLKYYQRTTGLTGHEFGDSVVVVA ARLWMDSTVYSSCMYRRKKNETSRGNHYVMFTCPYRNNCNYSSTFSM PHYBLDRAFT_64999 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYPAV SKEWKNIPEKNREYYMMHLERLAKNGELHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQSPPPTASVEPARKRSRRSVNAYFTEQVSILYKEIDHSVKAAKEKQEVVLEL KAIEQKKECNRGKEGRLIFF PHYBLDRAFT_152405 MALPNKELVVQLLENLKHKCTRKGFREADFRARLHKNFTSQVSK ARKTKEEIKATNTRLRRAGRARDNHTHRLLAYTDNKEAIDLQMKRDCDFTMQMAAMSD GESADEDFENCTKSIVKIVRPGWKSDKFNTFIKLVDEYVIEAIGSSASQMKERVFTSV SNTAVPDDITPKFPQWALRDGY PHYBLDRAFT_175147 MFSSTQIHTLDCHCIKCHNSHQKSSYVAKRTETRHNKRARVEVI PTSCSDSVEAMDGQANSPFLDATSMFDNDRDNNDFDDNVEDEVNEIEIEDFNSEDPFA APDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQDFQLPTSLAGLQ KMTGFSAITKGIKKFVMCQDCHTVYQDIVSAPPRCVSSKLGARSACHCNLTKFISSGA LVAKREYVYQSIKNTLSVFFCCPSFEAKILRGTIINPMHNLFLGTSKRLMDRWIDEKT IGSEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSWVLIYSPVLLHGILP PLQFKNWMYFVDACRYYIKPNITFDEITTAYSLLEKFCNACNVDYTATILTCNMHLHL HLHECVCDFGPVYSYWLFGFEQYNGILKNFKTNGKDGFEATYMKNFVQNAYKGDYVNA VLKSSSQIPFIHTLSKLVTTSIPATTVTTLSSRPFTLQAFVQDYTDPYNPPKGNESLP PSTFPLKYKKPSVMDDSDHLHLLEYYQVAYNLPDLASYQDTSYNRPALDNQIIKLKSI DILGQHYRGTNNSTISHGSLVQAKLREDDSVEFCLPTFSPDSYYSIISVHRILLEVAT ATIATSRNVSKMLVIPLPKKLYA PHYBLDRAFT_175148 MYTKKHAEIKNCFKYPHVTMNIHTRCKEPGTEASTWATTAAAAH NSVVVPTALSVRKTPRPPYVRRVAASARMFAIPTGPKGYQYVYISRSRHLTHREVCNS LKTLGVDTGRILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDVFDPLNPKNIA DPKYKSLSDSELEEVAAELHSDCCLKALKYLRPHVAVSVGHFFCDQGWISKKDIPVHF VSGPGTGIHDFQSPSRCTSVAMSE PHYBLDRAFT_152408 MQTVAATMILPSNYTKLKTKIRKGFSHMKADEWKSWVLVYSPML LKPVLPSNMLNGWMHYVKACHILVKPSISFIEIDPAHRYLQEFCQSCEDTYEPKVLTC NMHLHLHLHDTIRDFGPVYGYWLFGFERYNGLLKNNKTNRKDGFEITYMTKFTSDAYK ADYV PHYBLDRAFT_175150 MAPIRKPTVRKECRCSICKSKTLGFDRVSVKTFKRRQEKDNHDI THVQMPHEDTCDTISSAVSEPVNQEEDSFEFEQEDVEMNSELRNLNNTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYEEEYEDESDVEMDNDEDSSLESISELNLIHRFIVI SVALFVLLYIVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSCVKKY VACSECHAIYDNEAAPLCCTSPNFVAHGVCWSELHRLRYFDIVCCTIIDPMHNLFLGT AKRMLERWVADGLIDDKKLVAMQKAVEKVVLLPDYTSLGTKIAEGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKEHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMINFGPVYGYWLFSFERYNSVLKNIKTNQRNRFELTFMRQ FIEELWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTYLSHSFSIS EYLEASQNLSMIIRGNEPLPPSALPLKTRPLSFMSKHEYDCLVGYYQAAYKNPQISGC KDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEI QYLFVHNFRPTVSSLTYRNPHSSQHVFAFVK PHYBLDRAFT_152410 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIAPSFSS ATIQDQRYAEIVEMFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHMAFA DFATAYANNQTRMASLGPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDDPA LVAEKETVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHSHRTFHESPEQKAKKNSK GRANSRTLQMSIRCKSTYMDNWVAIDAAMGYKTGNPVEKAYLKLFQKDAMSDGESDIE IVDNLPRRYLHVARPTWRSEEFNRLLTMVDDIDCTHHVLNAGVGTKPRMNRYPATLLP CSVPATLSQSLPRWAINDE PHYBLDRAFT_175152 MSDIDYPQLLDYYKIAYVMPNLISYYNARLSQYFVNDRITKLKS IDLLGQTYIGNNSSGKRGSLVQAFFRSSNGRTSSLYTGQIQYLFIHSFTLPPHPNHRA STLHQDQHVFAYIRWYNSTNDNEHRDEGIAICLPEFSADNYHSILPVHRIHLEVATAV DVTDMNEERMLVIPMPKKYYA PHYBLDRAFT_65006 MSNQNESYPTRRTPAEREMTNSLAILRCDMTTVMKDVADIKAKT SNTPVSAVLQSQPMTLVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYRLLREHLWDP KFKSKHLAEIQANNGKPRWNTAVNFNELPNTELTENLIAYLERNFVGAGLRKSDVCDF VYTNFTSRKHAANKSQAKKKSDNARNRRSSRKKEHLKRCKTAYQSNKTAIDDEIKRDC SGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPDWRNDEYNHFITLVDNKVVADLGLNS HQLLSRAFGKTVEGPVPDAIASQFPQWTLRNGP PHYBLDRAFT_175154 MSTLLPSSIMQGMSPNLASFLGNMQAQFMSLQQHINELESLAAT IARLTAQLVNAEKLIADLRSQLASQGNCQITTNASTSSAPTTPNTGKITINTHREIQA YYCEYSAFYSGVLPISTGTKADLVNNQGLRRMCIVELSLGE PHYBLDRAFT_175155 MPTYDVLHVLSSIPLTHDTILCGDFNARLGSVTGDYASNSHGLA LCSWIEERSLSVVNADLAPCIPTYISFCNNYEISSIIDLFITNMPLINPSLHIATDLS LGSDHHLLSLSFTYDLQHSTNMLPPLRKTWNLLRLNEPDVHALYAHTFNQNSTSLLST LQDIVQNPPLTRPNIDAITDEFNSLIYDSLNSSIGHRPSRPNHWKSFWNVALQTAADR RNQCYKKWRLAIGIDKVVWWTKHKHAQAEFQSQVQQAKRQSWHVFCQSMERDFSKATS KIKQLKRCRQPQHTFQHDDGPAVAAATMCDYLATVYSGHILPATRPPAPMTTCNSVPF ASDDSPFTSPIVEEFMQFMPNRKAPGPDHIRAEMLKPFAGNGHMFQSIATHRRSGTLA TMATLNSVGACRSGFSLLLSSRLYKTFVHPKFEYGLAISTLLKQDIKVLESIQDKCLR MIVGGHVTSSTIVLKHICNLPSMKFRADALMAKFCIRSRFLPAQCLLSLLHHHHTVYS SLVSLGKTHLLSNLPPTLKLRSPSAVKNHFESIREAGFAIFLQSNTQVLIQACRPVLG VDPILFLPASCVERGCLIRWRMGWLPGKPKECPCGSDHTSRHHLLDCPLVPMALFEQL PQPDQDQIHRIDFAITSLPLSSHELRPAYWIPLMTIFWHIDVICNPDGNYSHETKHGA LWT PHYBLDRAFT_175156 MANLQIGNMQGLPTELTSFLTTLQAQIMNVQNRTDQLERLAAEN ARLTTELDHARTTIANLQKQLGSQSAPEKNFSEISLSNPAGAVGAPDKNKEPGLEAST WATKASVSLPVTAPKMSTVPSARRIAASVRMFALPSGPSGYEYVYIPRSRRLKHKEVR SSLRTLGVDSSQLLDINFPARGVIGILVHVQYADTFKAKLTTASVEILDAFDPLDPDN VADPKYASLSTHELANTAAMLHHDRYLQALQFLRPHVAIPVGHFFCEEGWISEDEIPT RTTLTNATGGSLFKRQRSSSVTMSE PHYBLDRAFT_189298 MFLFPSDASSSQWPSGLVKDISPKIFSTAKHDHQHDEQSSPKHA MDQKLLSHAAVIDMYYLYLQINPFDLGIQRNQNSVALLVIFYCLVFVLDNSNKIFHLK YNKPTEQEVARDIE PHYBLDRAFT_175158 MKADLHLSDYKNINNNTMTIQRRQLALRPVEITNEQLMKILSTL KTNMTAMGTNMAADMLIVQEQIGTVEDTLLNMNSRIGVLATSSTETITAIDSLSRAPL ISPTNTMANVSQSAFNAPSEFSKKASNNVYAHIRNLMWDPKLKTRNQADILANESKPR WNTNVFFYKSPNKELVVRLLENLKRKFTHEGFREADLRTRLHKNFTSRVSKARKTEEE IKATNTRSRRAGRARDNHTRRLLAYTDNKEVIDLQMKRYCDFTMQMAAMFDGESADED FENRTKSIFNTLIKLVEEYVIEAMGSSASQMKERVFTSVSNTAVPDDITPKFSQWALR DGY PHYBLDRAFT_102272 NLPHDKRFKPENIILVGLMPGPKELKTDEINGYLEPLIDDLKQL YVGMRIPTHEFPNGVCVHAALLMVACDIPVARKTSGFTAHNSTCACYRCSWQFTGLGS SNQVDFRGFDYSRWNICSGAESRLHAEEWKDASTLSERHQLEIENGAQCLQLQRLGYF DLVRGTIIDPIHNLFLG PHYBLDRAFT_152420 MNILNTGTTIYRNKFRTRLYLQLGPLRCYSTQSMERVIGVFSKL IKSKCKGGRNASFLVEQFILHNYVNTTISIQDKIDLIQPKSYGRESYMNLPNDSSGAQ LWEPFHRFAHLNNDLVEGLEVLLGSLCFLDFVEVMKEYDATAHDSSVPIVKQQSQNSS TGCQTQSTYAVISVNDIHHQVGLIQYPPNANQFYIVSTTIHIKLSNVDLSEWLRSVTR NHMGSARDADYTEFSFENDDRSDFLLLTKELETTA PHYBLDRAFT_175161 MTKQKVLDQFGYVYSELEDSLDQERHWIGLSFTIINHYTVVNAQ EDITAHKKVLQLIIFRFYGFIKIMSNSETSIVFDLGCSSNAIIQPKLVKPTGNRELR PHYBLDRAFT_175162 MSSNTQQTKKTKKTTTKKSVQQTAGTAASTRQREIFPSLTVSSE LDGTVLSTLSTMSTQLNESHSLLEKVYHNMGATNGQNNNSNHSPISQALTTEEYIKYR LPMVLRLIYSQTRAVLATMLLTVNEGAFSISNCPIADVVQSYTYQQAEVKSVSSAVME EKTRRYISYMLQRAKALPEKIARQNRISRRQSRKRNILADYKAIYLADKTNLESKFGE TVVDLLDYDMLFDIESDEGKNKIRYTPRNRHPLVDEYFTVLKKQRLANKGPDVIGNSV YPIILRNIKLLNEKKACVAAWIHTRQQ PHYBLDRAFT_70819 MLSICTCENFFFDSFSQQTLKKCPVIMPVITKTRSTSNYRCNQC PKEAKIDQMNNFLEPLVDELVELYDSITMKTPEFPNGTSICTALMFVACNIPAARKTA GFTGFASTNACHMCKHHFTVVAGTTVLEKQHGTRFSKLHRLHYFDPIRYTIVDPMHNL FLGTAKHMISVWKDLRYLPTAVLIHMQRLANGILVPPGYAVLSTKIESGFSYMKADKW QSWCLIYSLVVLKDALPEDDYRNWTLFVKACQKLTGPSVTYSEIDSAHQLLGKFEKEC ETLYGESSITPNIHLHMHLRESMLNFGPVYAFWLYSFDEALPPTTLPIKLQPLTMMKD DHYQWLFEFYVKAYRSTSVSFCVVGRIPIGENVFVNNRVQKVKKISLLGQEYCSGEKK KRGSFVRILFLERTNDNVSEFPGQIEYLFTHTIKIGGVKRVSTFAFIKWFPAYHSSSH QPLADQGLQL PHYBLDRAFT_175164 MIYLMIMASRTNINQNAHTNGSTSRPLINAVNTGCIESSNPMIA PRPENMSIPVSEFNDVVSLLTTLNDKMTDVSSDVSELKVQCQVGAQSTGMQAVLDSDM DPQDIISSSRHSKISNIIRGRLKDINLKTDDLELIGENDDKPTWDVNVGLSDEFNKNL ASDLMLYIRRQPVAAIVSPKELCGIICLLSCHNYSTCGISECIYCLTSVGVTIMTSSH KSALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKSNIRVSGSPQYLLNMFLDVI NPTTKCLDHHNSYYNQLAASKLTEEDRQTNTTSNRRGNRKTALNKRRKRTYTKHKDAV TEKFNRDYNGVFYRDAMSGDETETNTSVVASRPDWRSDELNTVFDFLDELARDDLGKR ATQLKSRSHVLVHKTIPRGLVTKMPTWSKRV PHYBLDRAFT_175165 MQLAELCKMHRPLSVIMMLIINTEVVEEETDVEMADVSEKLIDY ENNYSIVSAETTVQSVSFLREDEIFQFKESDVKTTSLASDNDDPDSSDESEDESKVEV ASVEDFEDMVASEILAFVVASLKIHEMSQTSQFMAIFGVIFHAFYLVQADRTAMLKFF RHLLVAFDKDTDLPLTIDALKTMTGYNFMTKSIVKYTVCNKCFAIYLPGNCQPNCTFK KYTTTPLTYCRNPLFSDTKADRAVPLMVFPYNSLKNALAQHFAKPGEKMISIANPDEV RCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNMDKTINEQIVLTAEVNTGEADMDVD QIEEHIEYDNYSDGAPSPEQYVNTHLPLLVEESLFETEEYTSEYESEYESSDEFEQEE QNREQEQESTENLPENIWHRVIAVFTVIFISSFIVDEGAVILITFINTTLKHYGENFR LPTSILELVVRRIIENGARWSELHQLVYFEPVRATIIDPMHNLFLGTAKRMMDIWIAN NLLDDKNFVEMQEEANRIVLPVGYTTLKIKIGKKFPFMKADEWKSWCLIYSPVLLKTR LRDDLLGNWIHFVDACRELTKPSITKNGIKKAHESLEEFCVGCEDFYKPDVFTQNMYL HLHLKETIEDFGPIYGFWLFSFERYNGVLKGFETNQKSGFENTYMKRFLESSYNGDFC QAHLRNVTSPLLLSLFLKLSGRKIYNPALSPHPLIPSFFHLPTFLQSAEKPSKQTFGN EPLPLSALPLCLKPPTTMRKSEYDCLLDIYKIEYDNDSLCSAKTTIRNCWFVNDRIQK ISSINLLGQVYTGGEGLVVRGSHIQAKFIEKSGDSEERYAGRIKYLFLHDFTPNLTHT NLSPCHNPQHVFAFVEWYKIPCYQPRIKQGIELYEPEFLKYDYDNILPVHHILSPIAI GSHVSGSGAAKVVVIPLPRKLYT PHYBLDRAFT_175166 MWFTKKLSPKGFIIFEGNLQTYSNDKSLYACGFISRFQQSKIKA AATFYMDATYSITQRSNDILYTIVIRDEELDRGFPCAYMLTNDHSLSPIVQWWKHLKD NKLVANPWQFTIDCSNAKTNALMAIFP PHYBLDRAFT_152428 MRSGPMTAAQRQQRNIEMSTEAVPAYMRANMIVSPSKAMSLNAT LDDTDDILEDELWFINSFTKDGITYQVEVNNNVILSCMCYSWARYMKPCKHIHLLRIH MSGFAFLSVAPANNVLPITISGEQFVNYNTMSIDGTTESTGRGYSIEAFEYVKNCSLT TGHNEQDLYQLMQYATEEEAEVIRAAYAAPIKAFQEIKAKYEAHFRTLNTQRH PHYBLDRAFT_6076 MEKFGKRHCIKMNRIHGEAGSTDIESLQIDKAAIKEKIEGYSAR DIYNFDETALFYAAPPRTTISHQKFSGWKDNKKRLTVGLLHNADGTDKWSNVLMIGHA RRPNCFNKNNKKQEASDHGFSMYHYNSNAWMTRSIFYVFLCCFDHAMKAQKRKVLLIL DNLSGHIVDYIPTNVELLFLPPNTTSYLQPLNGGIIRAFKAYFKCKQY PHYBLDRAFT_152430 MSNPQLAEWAKETFGLQKAPDASIISKILKRGDQSLIMQADQIE EAVIL PHYBLDRAFT_70823 MSLFWFYISAFFLRKCKDNMWLNSEIQILVVRSNIVIKLNLYNV YFGAMVYFYAFTPTVRVSFPIWVGLPFTITKSKGQTHWGSRSILNAQEDITAHKEVLQ LTIFIFYRFVGHYFDESEKSG PHYBLDRAFT_152431 MNNFTSNSPSENNFVHAAIARNIMPNNNTGLTLVMYTPTMRPAG SHVDDNVADPVLAMLASLNAQMKSLTDQIASMATSITRSNDITTHLQETVANIVSGQT VVQNTASRYNVTSDIEAVTGLSSLMEDDYVPGKRYPAISIRFDLRIMVKTSNTFLIEI DQWLEWVLISYFTDGYNHGLALALTAYLQIQPQSAGILTRELACMKKNCIKKTLAWFV NFCNVHQHPPEHAKFCNVEKLLVIGRADRQVNINSLNNVNVIFIDFDLASKGQNHNAV VIVHYHGWERLPHSVCRVSCLNDIYLTKE PHYBLDRAFT_175172 MTPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIAPRPENM SIPVSEFNDVVSLLATLNDKMTAVSSDVSELKVQCQVGAQSTGMQAVLDSDMDSQDII SSSRHPKISSIIRGRLRDINLKTDDLELIGENDDKPTWDVNVGLSDEFNKNLASDLML YIRRQPVAAMVPPKELCGIIVNSYYNRLAASKLTEEDRQTNTTSNRRGIRKTALNKRR KRTYTKHKDAVTEKFNRDYNGIFYRDAMSGDETETDTSVVASRPDWRSDELNTVFDFL DELARDDLGKRATQLKSRSHVLVHKTIPCGLVTKMPTWSKRV PHYBLDRAFT_102041 QNKAENVILVGLMPGPKEASTDEINNYLRPLVDELMLLYKGITI DTYNCSGALVRAALLMVACDIPAARKTCGFTSHNSTCACYKCNCQFACVDGTTAVNYF GFKFSEWVGRTKEENRRHANLWKNAKTLTERKRLEIENGVHWSELHRLVYFEPVRATI IDPMHNLFLGTAK PHYBLDRAFT_152434 MISIANPDEVRCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAEVNTGEADMDVDQIEEHIEYDNYSDGAPSPEQYVNTHLPLLVEESL FETEEYTSEYESEYESSDEFEQEEQNREQEQESTENLPENIWHRVIVVFTVIFISSFI VDEGAVILITFINTILEHYGEDF PHYBLDRAFT_152435 MTFPRKSSQISALSDFTENQWLNLNQDEKWKENPLLQHPMITSN GMNYWVGDVVEVQGSPNRYLLEKFFTKDGSVLANAFQVYGGHDPWLKHPDDTHFLRFG NSMNFTVSTLKYTIEVDRIMSTVQKDSDLFLGHGFSVSYCPAKIVTYALTGVQSDLWL NKSRVEEFKRRLPDSGLMKVVVCPLNLYSDDTSGNSTKQYNKYDSYLMYFAVLPLETK NKQENALFICISNHTLNAIEMLPPIVDDLIRLEKGVEMYSENYGEVVLVVAPLLLFMG DNPRQSQLAMHKGTSAKKFCQKCLIPSPRIKQSSIPTLCCILQLTTMELYLNGCKLSY IKNGSEEFLRLKAFDPTKDMPIKILHIIPLSLTKYLITFLWKHKMLTTILSGIMSKLF SDKPLASLFIKALHALGCLSSLVYMCGVDWCFNYYIAQIKHAVTDVTDLLFQLDVQIL QKGFSKQDFTFKPKVHLLYHITDNIVCFGSVLQYETENNVTTRFGKQFICRHLCNGGL YVIERSAGNGTRSVRSSIGDFVKLAPVNFPGFNLYFFGSCVNSDNSRLSTPTFTAKTS PHYBLDRAFT_152436 MNVYLNEDSSRQTSYRPNDFADIFTGLTHPFKLKMVLDVREEAG VALDFPTPNAVINYHKQKKNQIPVFSTASFDVVNQDNERHVL PHYBLDRAFT_152437 MSNNQVECSCSVCSPNSRYSVMVSFQTHRRHFADDVQRYFQRQF LLSAEALDMVNNDANDMEIDAETNISEDLEFVHDLPGSNNKDLSNSYEFNNESENIEE QFFSHEMPADLTHAFITSFAAYFISKYVVNSGGTIFIKFINEVLAHFGQSFRLLLSLK HLNSLMGLSTLTAGIHRFVACGECHKIFSESASKSIPQCCDFRKLSGNTCGNNLFLEA PNSLDRPRKVYMYNSIITALSIFFLHPGFERSINLWRFRQQVSGTLFNMYDGAKWNSL KDNTGQ PHYBLDRAFT_152438 MLTLNINWFQPFDGVTYSCGAIYLSVNNLLREKRYKKENVVFVG LMSGQSEAKTSQINHYLCPLVTELNQLYSGVAIPTNECPSGTLVHAAILLVACDISAA RNTCGFTLHASTNACHICNCQFSHHLDGKGMAHSSFIFSDWIFNTDKKNKSNAERWRQ AGSNAERARLEKENGVCWSELH PHYBLDRAFT_152439 MHNLFLGTAKRMMEKWREEGLITSKHLAEMQQDADSMIIPLGIT PLHNKIGKGFLFMKADEWKSWCLVYSPVLLADHLLSEDLSDWMEFVHTCKYLARPNIT VKDLSHVHDFLESFGQKCQVRFGKDFISPNMYLHLHLKETVLNFDPVYGYWLFSFERY NGVLKNYATNNKDGFEGTFMRRYLEDMHKINLFLSLIYELVDSFPTLVTVTAATTTTI VPVAPYLPTTSSGFTLKTFLDSAEVNIDNVKGNKPLPPSTFPLGLGEFVQMQEDEYAY LLKYYGTAYCDASLRGY PHYBLDRAFT_152440 MDQIKLELSKSIIPEKLAKECKCLIQYNYLLPCYHTLAKFDTIP ISCIPRRWRKNYLEGENHLTIQNATPVPPNINNIKPITSEFNYALELICEHFANAQSE QEQINIYQLIEKTLKKIDAQKFKNLKGPTVVEAIKGQPKNTKRKMIALEHCINTKKEK ITKKIKTEKEQKKQKISSAKEQKAIKNIINLGSPCDSTLLTNLTIAPKHISTIFSPEA DGNCGYRAIAMEVYQDQEEWSKVKDKMLETFLKHQNNYYHGRMEHGNMPASNNPLICS LQDKRSPLPQQHWFGTIDHPQLVADTFSRAVAVYWNTPIETGDCLFVPFATLPEKVEP IIIILDVNHFLLAKRKNTRNFCWPKINPFHKRIIQKHGLEDYSLMY PHYBLDRAFT_152443 MNNFTSNSPSENNFVHAAIARNIMPNNNTGLTLVMYTPTMRPAG SHVDDNVADPVLAMLASLNAQMKSLTDQIASMATSITKSNDITTRLQETVANIVSGQT VVQNTASRYNVTSDIEAVTGLSSLMEDDYVPGKRYPAISIRFDLRIMVKTSNTFLIEI DQWPEWVLISYFTDGYNHGLALALTAYLQIQPQSAGILTSELACMRQLCSCPSKAAMS DGKTDDETTRSVGSKRLKAHYSSWRSDEFQTLLESLDDYAVAKEQQRSLKLIPCTRVM RDADVPNDLGQ PHYBLDRAFT_175180 MDWEIDIKQSISVMTFEVNNMIWHLELVYIFLHLLFQRFLNMEN HFTTKRNTFLTPMTTDNQTFVRTICKTERVLGFLQGLRRHYTKKHPNIMGEYEKLLKR RPAMFDDPSSSASNATTNLNSNNGPAPMEFVIENSQDIYGHEISDKDEYSNDHILFDL LDDYDETTALQKLYDFIE PHYBLDRAFT_175181 MFNTIFNLSNVQNALVNSSTEGIKMLSLDVVVKNKCRKLRAREL MYGEIKKCHQAGFYISDRNVRLAQKDTKLCYCEATIFIKQYINNPEVVLICMTNDHTN HVPGDASEIRTLPLPSEVIKIIEDQLKGGSTCRNTRISVLKQIEEWGVGIRKPNYEDI YNRMRKMKNLLYKFHPDENKSLDIWMHEKLPSQNYCIFTGNLSAYSNNAQNFAFEFQS PSQMMLMRISQSFCLNATHNISARNIEILYSLVPRHPDTGKGIAEVNAITAALPQTII HFCEFHVLHAWQHNLDSKVKFDASYTSEQLGKYKYELKADLKNILIESDENEFLRKIQ EFRLCVQSQQQFLAYFECKWIGTEELLRRWGRPYVANDHQRYLTNNYIES PHYBLDRAFT_175182 MCPIENELARHSFSANIIQDDMLPFMIINPLNEIGNSMEDSNGK WTVKSFTVQRENNHLQLQRSLASEHEVAVVNEKVENETNTVVVSGRNNSVWLQRIMAQ NTTLYHQREDLEQLIDVPGIDEAELQAISGLLREAINGIDTLRNANSSRFRNLNTQR PHYBLDRAFT_175183 MILSVVLFFLICKLLLLAIFYCIHLLFYSIIDEYYFYKLAIPQQ LPSHATVISMRQYYHFTDNILVCKEIFCLCQRNHSLVLYYKFLFLYPFVLGTSNKIFY LKYNKPAEQEVAENIE PHYBLDRAFT_175185 MILSVVLFFLICKLLLLAIFYCIHLLFYSIIDEYYFYKLAIPQQ LPSHATVISMRQYYHFTDNILVCKEIFCLCQRNHSLVLYYKFLFLYPFVLGTSNKIFY LKYNKPAEQEVAENIE PHYBLDRAFT_175186 MNPVERHQDIFDKKTLSQFEIEERVQDLLPSELVNDYKKNRRVP HIPEEQRIKKTPAREQKWTELATKLAATLTGKNKQEQEETMAPQTATLTAEAIAAAVA KAMKAITVQQEARAERIKQPDCFHGERSATVVDGWLRAVERYTRYYNFSATKACEFAV NLLQYNWMGPYIVVDKNFDKNIYKLTTMEGVPYTSWVHADRLKIAKSDDFDRTWYHPT AARNNMRRDLAIDSSSTLPFSMIESTRVDRGRSTVSRGGDVGHYFDESEKSG PHYBLDRAFT_70836 MIATMLSQYEYVLICDKSNIRVSGSPQLLLVLMLVGIRILRLKF EISQTVLNKFLGITFFRANGYFEISCISNKILNLKLRVQVNLISSRTNINVSLNILRK FLSNRLLAADMKSNKTINSVHDISCIILNSADCSPLLADKSAIMFSYLLLESTTLSDL GAIKSDFFVHLQLETWFLIAIAHSYIED PHYBLDRAFT_175189 MARFATKDGKSKETYAGHIKYIFVHDFSPQPTATNFFHYLNSQH YKAPYHQLKTEQGMVLCEPTFVKYNSKNILPVHCILLSVAIGDHVSDDGTAKVIVISL PRKLYA PHYBLDRAFT_70838 MTKTKNTANRRGNQKAEIRKLYIFISELYKRCRTTYNKHMSAVD EKFNRDNSSVFFRDATSDDESETDLNELFDHLDELAVPDLGKRANQLKTRRFVPVKKL IPCGLVVKMSTWARC PHYBLDRAFT_102019 NLPREERYKVENMVLVGLIPGPKEASTNEMNYYLRPLVDELMDL YKGISIDIHSCPGVLVCAALLIVTYNILAARKTCGFTFHNSTNVCHRCNRHFYRFKGT TIVDYSRFKFSEWVGQTKAENLEHATIWKNTKSAAAQKTLELANGVCWSELHRLFYFE LVQSTIIVSMHNLFLGTAK PHYBLDRAFT_152453 MTWQNLKESLGTRVFKFLGTQDFKFFGFHVIYDAPFWEYDYTRN ISGGEVAYRYSKCSGNPSGYTITDKRTAKRHIQNDEDKIIDQIVNSRDLHAFWKTQTN TVHTAIEVDQTEDYDKYDNLSTGISESIWNVETFLHLLEEESIFELEENYQCSSDIEV SDESDNDKEQEEQEEQKQEQEQKQYKENLPKYPWHRLIAVFHVMFISRFVVDEGAVIL ISFFNNVFEHYGEEFRLPTSLPTLKNLTGFSDLTSGMS PHYBLDRAFT_152454 MTEAEINQFNCTSDNKDETEAEDNEYNGKLQDDQVFDPYFGNDV LDHDSFYNSITNSMSSFDDEVLDAENDSDTAETDTNTDPANIVEQIMKDPIAECHSFP DLQTMVLCALVDGNNDMVSQRMMKKILFAMNTISKIQADTTE PHYBLDRAFT_175193 MPTVHLRFLIANPSKAGKITSLPDWTPDQSISLQQGVKWKEHEL YQQPMLTINNAIVWAGFLMKIYDAADNKQFLVKDFHTANCSTFARGYLATIDPNNVYY LDSATKDVKVEDLDTIDSAALGAIYVSHEPTSASGLNDYHYDLLYKDHCFKCLVLDSA HVANSGLYYKVRIAPIIFFTDDTSGNWSKQFNPYESWSMKLAGLSYEERSLIANKLFL LAIPKKNDVSGMSLLPTLIRDLKKLENDIVVYSAEDNAYILLVAPLLWIEADTPCHSE LCDILGSATLYLCHKCYILIQRTVPLKEMEYYLKRHNKRTREHYVLANSTKNRTTIMP DVLNTGKPVNARALSFIDHSTGCLLDLKSFDLSKDTPIEILHAILLGIAKYLINGLVK ITLKKHADKLEKLFKSLKECEQTTGLSRRFTQLLRHCESFLGRDYKVLLQTLPAIFLR DFADDEVIKPIMPCFVELGRLCSLIFVRQVESHFEEYLARVDYAVNDLIKELHTFDIW VATKEKELTQKDNYTPFCNKPKVHFLNHLTDNIRRFGPALNYETEKGKQFNKHIREHL IHTNRLNTSRDVCFKFAKQIIMQHIFDGGSWTNDNGQQEYSGSEIAECLKHNNVETFW HLFLGGS PHYBLDRAFT_70843 MSNINNTNDYVTVSETSSKKYNAALTEFNSIFLVGREFSSTVAV REATKAYGAKHNIALTTYSSLSTHIRMIYKHYVVLEASVNQKTPVPGCKRERVKDTQK SGCPCFIYALEKKDGKVLVHSCETNHNHPIVEDHRAYALYRKLSSEAMILVTKHLEDN NNDIENIKQHFGKSKNGKEMFSFTTTLQDLDFYVRYTVGNSEENKISMVFFVHKYCKI GLKLLPNRRLLFFIIDDKYIVTP PHYBLDRAFT_70844 MSPNSILDSYQCNQCKECHTNLKKAKSCRAHCFKNRHRRHNDIQ TSQTTPVPGQVSVVLNTVSNDIIDRERADAIEDQIMDRLNSEDNDDLIMNIFSNDDND ESMYDAELSNYMDIIENETSPLVFDFSQPASTLDKDDAKNLEFLKIIKDFVKHFNSIL EMSTCITYRACTPHLGKKLLKRFSGVEETVHDICQRGCMLFTSPSQTECSNCGQSQYK TRRRETEGGDLVAAATMIQLPLARQLALALANENTRADMHYRHNHESSSDGSKTDVFD GQVYHQAKHLFSGKDDIAISLPVDGFTPHNVPGSVTILYATILNLNPMVWYERSRMLQ IAMIPELLVLESEGMVVKTPNKTIRAKIHVLMVTGDIPALEKLACHSGHMSKDDCCIC HVVGQCPKHEQYFRTLLSTNIRMLESFQNFSQASASSRKGLNGQSPLVTLKIFSRPLF FALDEMHGLCHGISKQVWGLVSGTYGTDHCFALSSGVQKEIGTAMYKTRNTISTSFHS NWRVVQGCRLGRLSSVCRPYAGGRAYWRCNCLERVTWLGSINLKYGTCTWNCYLQHLL QHYPLIIDAYGPPYAYSARSVEWAIGEYSRAIKSNSAINVNTGNIMFGLAQIRQAEAG ATIMITEARTAQHLQYEDSTAGWPLTDEGERVGAGSDIEFWGPLRNRTIQDSFEGISC LSKLLEDFYKSKGEECSMIEAAIQTSHKTSVNSCVIDSALDQNCVREAHNIRLQIQVD ENHNINSTYSLVYKDFFGKVFVFFEHKLNNKRWPLALVEIAAVRLVNDIPVVNNGQMK PKVVHLADVKELVGLVLATTQKFICIKNLGEFYGQPGGTAWKDV PHYBLDRAFT_175195 MNNTDKNSISLLHAMYNEILSLKAGQEKAKLERKAQIEELKLKM KTSIKDLNLEITALQSQLENRNISNQHTLPSVSAIFSANTIRKPVSIFHEITLKHIFK MISEDLGIEVTSNKKATLNMCTKLICDDMAAHPSVIALGPNPSWESITVALKKEMCAR HANIMKDSGIDFTRCLGKLGINCKSCTSLEGPP PHYBLDRAFT_70846 MTPSGPICASCKQLGHSRGSNFSCPLNPRHKTLLIPQKRTSDNL SAQEEYQAETGALRPRVEAVQSCVVLTIAEIIALSCAAQYPAENLTAAFEAFQVSDVE RVLDLTTTTATAIATVIPRCSSCNGIGHQRINYHSQHGPAYYPLCNGTRLICNDFGRY VIKATIVTGSSAGKVVLIPRIKLNPNGSTMSIEFKRCQFPVHLAFAMTINKFQGQILD KVGLYLPHHVFGRGQLYVTLSQVRTPNSVKIMVDMGSISTEATSNVYKQCCL PHYBLDRAFT_189300 MFSKPNAFEEIVISATDENLTAENWELILSVCDKVAGTSAESAR DCIAALQKRLLSRNANVQLYALTLAEALIKNTDITVHREISSRAFTNTLTKIVHDKTV HERVRKRTLEFIQFCSFEFRADSSLGLMNSVYQSLRAEGIQFPSPQKPKKEFTQSELD KQKEEEEFQLALALSLSETENRKAFKRSTTIVKEDAPKPVETKESGPKISRVRALYDF QPTEQGELGFQKGDIIRVLESVYRDWWKGELRGNTGIFPVNYVEKIVEPSSADIRKEA QTEEEVIGELRNVERLLEILANIDPRKDAFSENEELQSLYNSTLAIRPKLVHLIEKYS LKKDELVTLNEKFLQARTMYDRMLGSSIARYSAPGMNNGYPPAQPYGYPDQQPANNMP SDSYFGYQGYPPYSQQQQQQQQQQQQTPKQPQQQLPYGYPQQAASPTARQPQQQQQSP YDRQGYSGDQNAQAPYPSPHPASSAYPSTGYSHGVNNGENQTPPYATVSPGGQYGGYP QDPSSVGPTGGFIPSSNQPHYGYPHAADRSYSTTNPAYAQNYQ PHYBLDRAFT_152463 MSQRSKQNSTPLGPITDFEGNSKVYTTEELSNRQIQEKHILRAL DLHTMPLFCIFYFTDHLDRANIGNAMLGGIHKDLNIAPSQLSIVISAFYITYILFEVP SNIMLTRTSPVLWLSLIMAVWGTVTLTMAFSSNFEGLLTCRLLLGAAESGYIPGILYQ MSCVYKPRELSLRIGCLFCMASLSGIVSGPLAYATSFLDGKRGLHGWQYLFIIEGVPT ILLSVISYICLFDTIDSVSWLTDEQKEIQHLRLAEGKSDADNRQEPITFKTLWLVLTD WKMWMFSMVYILSAINITSIGVFIPTIIDGFGFPVLTSQLLTAPPALVSTFMVILGGA LADRCKARAPLVMAGFVLIGIGYLLLLTLHNTWALYGSLFIIPAGIGLQAPAVVGWSS INFPDITTRAVAVAAVVMIGNGGSIVASFLYPLVDAPHYHFGNSFNLVVSVLGCLFSG LTGYLLYRENCRRDRVTSIANDSGLSLEEEKATALQFRFFY PHYBLDRAFT_70850 MELHKSPKDTNAHFTNTNQDEDPSTVDNKRLRITRACDFCRRKK VKCYSSPGGQCSNCLTYGVSCEFNDGSKKRGPPKGYIDALEKRLRKIESALGKSAGYP PIPSSQATLPLLADPSKIYSSPSPIATPYQQYVLPPPQPQQQPQLHNQNQNHSVHSNS PRSPSVLFSPANPKRPQGMSSDRVQYLGELSSLQFFSNKMQLEGLCHDIWSGQKIRKF GKQVVLVKDTGGITDIQDTDIIPDSFRQPSDVHYWIYSVTGADQHTCDRLLKM PHYBLDRAFT_70851 MLGAAARFVECESHSQRSKVYRPPDTAWDVPLGWSDQFFDKAQA LISASAAHPTLSKVQAVILIHNHSGNMDSKSSACWLMGGLAIRLAQGLGLNRNCEEWD ISESEKQTRKRLWWALYVTDRFHSASLGRPISIRDEDNDVGYPDATASWREVLDLPKE LDGKEITRFPSATYQPASIEGRVEFYQLFVQLVKLSEILGRILQGLYTPKAQKVSHDH GSDAIVSMLDHELTEWRFAYPKALESAHFDDFNEKDGYLAPVSASILLCYFSLLILLH RPFIERISPGKTKARPSYSSFRIGTSAATRGMRIASQMKVRDFLMFPYSFGLYPVLQC CLIHMYNTKNPDIRISSPAMSDLAKGLALVERLQEMSSTARRLHGLLSIVMSNKDIEV GKPAAVDHSDDRKNSIQRQTEVEPGSLSLSSSLSSSSAVAASPSPQLPTSSPSALSSS RPATMRVSDVGRGILRQTQHQHQQHQHQQHQRQRQPSNSSWISSVHNMNPPPKSLSHP LPPQSMPYTNNNNSSNSNYMYSQPGLSETMISPFDTHSATSTPSPTSTGEAFTLKQFG FNIPGEQNMADLDSYQQNMSIFSGIDFLPSFSMYPPFGNEPRSLEINNVTGQNNVSLS SSTTAATTVQSTLNQHSSSSPTALSSESLPSSSSSSSLIISRPTTDQLDITTTSHNTS NTTTTTTTTTNNNNSDTGSGTGSGNNNSNNSMVSGIHGNQDLSNNVFRNNPNNPFWGI PSSMDWTEWNEWNQRTQGSATWQPLN PHYBLDRAFT_152465 MGDLLPVRYSPRGHRTAPELLYFIHKVTSQARISCHIAVVALIY IERCKEALPRHAVGNQDTAHRIIVASLLIASKFLHGTRWATCQQQPPKENSNSQDEDE DEEVDLRLCADEDKPCWLTNCRMAGICGMYSLEQVNQLERSFLNLIQHRCWVDAGEVQ AYLIRHRQDLLL PHYBLDRAFT_70853 MSRQDPTLDIVKERVKQYNLTDEEKLAIDTSRKQLSTRTSFGGF TGAAAAFYLSRRRQFSPLRSIFLVGGGFFLGSQLGFIAGITAGMNTINKLPNPDRLKN LVIDVQNEVMASRGYTRDSPTSRPRPMTPEERQNHTRVPGPGLEEPQNELNREFGGSV GGPRGDVFWDEEKKNDKNYDKNNSNNIDSFDEVQGLRKESTVSAWDKVRAEHAPTDNS WAKLRKESQQEEGYQSQSQRQRQQSEYDNFRNDDLSKGELFREGLEAGKSAKTNAWGD RM PHYBLDRAFT_79945 MINIIQELDIEAISRSQAIVKLLQLDILPHERKFANGLTELVKK LPRVPIEEDVNESELITRFVDPFLCGLFDDPEEGVFIRWTNDITVEARKNETLWTRRP DLTVTSLKGVKWSTSHGYGEVKPVCHEATNFLLSNDLIRVAIFCKNAFDAQNLEGILG LQIIGRSITFYLLVLPSDGLYVMYELGTLQLPNNLCDLCKLLMDIPLGLLVLDVFHRL CIRSVNPFQPSRHRPTVLSLISMASFQLHRIASGLAI PHYBLDRAFT_175204 MAWSKKGTPAVITVPTTKANTTSILGAISATGLINRLREHLNIH GISTPRRSLGFRRKNNEKYTFVKCGNGHADQHQHTKSQSTAQQHQNNGQNREPSPSRQ RQESSHDQEQSSLQQHLNDVHCVISLPKWRLLYFRALGLPTKLAPYRSDTVYPAMIAT MLSQYEYVLICDKSNIRVSGSPQRSSTRAEDRYLEIELLDPKDLSFPPVDHDDHFVGQ QFDVTNAMYEFQCSILRQEWKLSL PHYBLDRAFT_175205 MTRGDTTSTLKMGMQFFYEDRQGHVFDERSSEDVYMEQCNKCCY TVYSDDDKSRFFHLFFSKCLNASAAARQLDLHVRAAQKWIKRYYEDPESIFEKKRKSG RRRILGEDHKQFLLNYIDENPSALVIEVTESLTQNFAGLNASHSTIYSFMTTERNHLL SRYSSSL PHYBLDRAFT_160608 MTNPNESVPEGRKKKQGLRSNNGSGIMTPTSPQYPPYGYMETQM YENPAGFDPNDPAAAYAYVYPLSPQFSVQYYSDFSNGYQSYPGSPALHPQSPSAHPQS PPFSPTYAYQPTLTLSPPTHAYAVPHQHFPPLHISSPLLTGVGSPGSPQYISLPGPFG VDRSIKSMAEQLESNQFHPQNVYVRGLAPTTTDESFLELCAAYGEITSSKAILDQKTG ECKGYGFTMYANDDDCTKAIEGLNKAGLQASFARVGQESFSSKLRSLQDETSTNIYIS NLPLDTTEQKLEELFQPLQTISNRILRDPQSGMSRGVGFARMADRHAASAIIERFNGQ NVVGSSAPLQVRFADSAAQKKLKSQTSRKRMLRPRDFHTMAGFPVRPMMPITPETMLG IAPSVPNQQFYQDSSLQYAQYARPHVTSQPTQPTTSEQTLVDTVEKQLSIKENLLTNP TD PHYBLDRAFT_189303 MTSSFSPHQPIDKHDYTHHLPSLSSSSSPSPQSQHEQLNTADWA HAQDKDYTMEDSFLYLTECLAQDQEETPFTLNTNIKPELQIRVHGVPSTGAKSRVETQ IKLCVQLVTNQDAKVQNWSYLRLHDNMLARSKQKKSQQKSRMDGNLSTLLSDESNILT LEAKVICASNEAKQVRMCHGCVKRERKRAERSKDGKTPDIGFATPNEDEHLEAERDRI LLFNCGPMVSFSSGDAILPTRITCYCRHHNEKIGFRVRFTMRNDRGTLVATGDSPPIL ITDDHKSLKQRGRKRSRQDTPDSPIIPSRRTSVTDSPSPEIPNIALPTPVKDLPRWLQ CIPTPTTSLLDFIEQSPGPHQDDIANPFPEEWPFNQRRRTFSTQLSTPPIPLSLPLSP HPYQSQSQHQYHQHEPTSPVVVPHIDRIVPNRGPTCGGTEITILGSGFCRDLTCVFGE HAAKTVYWNTNTLVCVLPPVTTPGPVVVTFKEHPLVLGGQDITLFTYYDAALLGYEEL VAALLPATTNIDLPDKNGCTAFHLACTTPSLAVCKLLIEAGADPLAPCGIASVRENTS NVLKMLASIDISNSFIPKHANPFQSHYFNKSIARRGASISTSTSSTPSSPSLSLSDLP LLASLLSIVQS PHYBLDRAFT_152471 MPTKSYTKENLTCHVLVENNSLEYIVKSPVPLFGVVDLLLGLSA AIVSWTSPSLVHTHPRWVAAIALIWIWSKYKTIHHESLLVMRDIGIQVKTVYWGGSVV SKFISRRDIEDVVINEGINFWQIKSYIAILVKDQEKMNLLPSLRPVLLDVYQGTRSVL FPAQQSLFLKK PHYBLDRAFT_137295 MKRIASHAGSWYSNIPEKLNQELSSNLQLAEKYLTKPIPGARAL IGPHAGYAYSGPTAAYAYACINPDPIKRVFLLGPSHHTYLEGCALSKFKSYETPLGDL SVDTQVSKELFDTGVFGWMPPSVDVNEHSLELHLPFIAKIFENKLDDITLVPVLVGSL QVEEEKAYGKIFAKYLNDPTSLFIISSDFCHWGKRFGYTYCPPTPESTPIHAAISELD HQAMALIESLNLDDFAAYLAKTKNTICGRHPIGILMGAVAHLNETLSPNIKFVKYAQS NPCKTLADSSVSYASAYLYF PHYBLDRAFT_79948 MSDTENSSLLPRPVKLTSGHYRTAKLYGIVSLGFFLFAYIIQSI RTTLPTVLVDKDAIATDGFAGMHAYDTYLSQFTEPHSMNSHEFNRLNHWLGSLALEFQ TEGRARGLSVDVVVNDTMFLVANSYFVAKDEHWFVASRNILVRIQGKSNTDDAILINA HYDSVPTSAGVTDNGISVAVTLELLRYFMDHPPQHSLIFLFNAFEEGGLLGAKSFVKH PWYPSVKAFVNLEGAGAGGRAIIFRSSSLYALRSLASSGVHFVHASPLGNDMFKTKLL KSDTDYTIFTQNGKPGVDIAFYYPRSHYHTTRDDLAHITPEAVQYMGEVALHTVRGMD AADGLTQKIKRTKEEDEEDRQMVYFDILGRFLFVYTFSTYQILNFIGLLGFPAAVLGW AVYTKRSSAAHLSLYTKTLSQSILATLVGLLGVMVCFGLAVCILMAVNPLVTYGNIYF VALYILVACVLGLVLSQALLTRISPPLRVALNNPNIGLLGLTSLWWLFTALSIYLGTL RLSFMFFFIFILASSAVSFGLYHFLPRRNSWRLPSVLLSQILVPSIILVESWYLTMDS MRHATVDGTPEVAVYVLVGLPIILLVMHFLPWIHVAENKNSSAIVLGVFFVFLFTICS ALQPFNAGWSPNKLVFNQFYNTTQATSSVQIVSAAGLQNTLTRTLDPNELISLECKPH LTYQTKCTYTTTNDSAATAAAVGTSSKHLPEFGSDPAEFDWTAETVCTDESCTIEGVF TAKNSMHCRIMFEDHKNQPTNITQAWVNNHYVKDTEIRTLVSYTGVFGAPVAWGATYP ANQTSLPVTLGCFYDEWTEGQIPAFSSLRDRLPQSAVLLIRGQGLVLVEFARL PHYBLDRAFT_119220 NWSTSFHGLSTQPFSSEISNLLMQPINESDIEVKPDGMIYLPEI KYRRILNIAFGPGGWGLAPRGEHSISPKNVSREYALVCHGRFVSQARGEQDYFDVSGL PTASEGCKSNALMRCCKDLGIASELWDPSYIRKFKKKHCVEVWAEHQVTKRKKKLWRK KDETIGQLFELNVFFPILYFSVITNINTRNQIKFE PHYBLDRAFT_175213 MYRPSFLDPGRKTVFTAAVGLDPNEHQLRHCTTKEYYHLTGSTL YARKLQQEKDTAGITAIESATPSAKTGRNIQFLRYADYMLPNMDTLFTFCDYNTAKYR FNLYQGKQRAPDMMVNILLSGSARYNRRRHFRKKNRKQERQNKKDKSKVPFVVFGNGM FGKDRVKLKGLRCSVAGDLLVLPIDEHPASKICKICKSRTLIDHPNVKGKFIVQKFIL IRPEKETGIV PHYBLDRAFT_70864 MNYKTECIKTDSESSSKLIKKTDNVTPVIIVRKSIQHNSNTHVV VRVLDQYKETNSHGRVKNPGRTLDLKERVFREIFYDIKRDPLQPMSAIRKAAANPTST IKFRMFLHSKGIYSRRLIKKPKITEANMKKKNAWN PHYBLDRAFT_70865 MTNCPPIHHINRLESATFSVRKDTRDIVFRAKIFGNYYITLCSQ QLQNNDIPHCIFTQHVWYSVCQMGNAKRVTSTINIPSDKLTVWNRLSSSYRAIAYIYK KLGEELRNVEPKCALSLLH PHYBLDRAFT_152477 MKNLLKNIVETALLISLVGGYVPVLAIQNGTSVSSSQAFPFYVM LGNPHICGGMIISFDPPIILTAAHCVADSPHPSTFTDRPNPYFVGYGHKDRKHQKIGS ISDWKVHPSYQPKPTDEPDMHYDTALVWLSEPLKPSTNVDRIALWSPTMNLDNKQATL MGYGYIGNNRPESPELKKLHLEITQFNANTADMIEARSTDDNLIACHGDSGGPLVVYM PASKDNSDPVLMPFVLGPLARIFGVHDPDKDHPTCPIPLNTANTVNTAATTSSSSRSK NVTITESFANVSNMLDWISNSTGITQSKLIDPFDVPRRWVFPICRRKGCPQREFPNSP KEPGEEEEEEEVEDAWEIGIANKVDPSNDSQNVAWMGLVKQDFRNTKGVSNGSPRLGF GGDGQLFWKTGLLCLSLMYSYSYCFY PHYBLDRAFT_183863 MSSSRQIVLSIDPLSEEADYTVQWVAENFLRKTDNIYIFMVLVV EDDNDVSDELEAVVFDDGTLSDIQKETAAQNSLAMANIVKRLNAKGFNNVSYRIYKAM ASKACDTLVQYIDSQKTDCLVMGSRSLSGWKRFFMGSFSDYVQSQAKCPVLIVK PHYBLDRAFT_152479 MSVTTVDYSSRFKALVKPARFWRSNKTTPVIEKTIDSDTTKDVS LPAILLAAGPAPAPAPVPEESQEKPKLIFRTPSFLLSKSSENLPTPRSSFETIALRET AKDEIYELSTVNDSGLYLPPSPCEETKRDHWVGIDQEAMIFRLPSPAHLTTQPGQKHC FFTPSTTIAEQYTSFDLA PHYBLDRAFT_70869 MEYQDTTDAQLQAEFEQRNANLMNGVSGVLGSLNSAQKTFRETA QHNAQELKDRTMDTGFVDKSMETGYEDRSIGSTRSTIGDAFKDTAASAESAASTASST MGSKGFSFGIGHGHGHGHGIADNNMNNTIDGPSVTNSSNTTGFHTADTLNSGAIHAAR RDINAMHGNTATEFETVAAKIDDTFAGNALTEGGPDTHIL PHYBLDRAFT_137300 MTHHGHLLSINYRALVTQYLEEDVPSFDYGGFVVGEDEQEALLY CKAEGVLAGVPFFDEVFRQLDCKVEWHNQEGEWIKPEGGKQVIARVTGKARNILLGER TALNIICRCSGIALRARKVHELQKEKGFTGVIAATRKTTPGFRLVEKYGVLVGGLDTH RMDLSSMVMLKDNHIWSSGSITKAVKQARSVCGFALKIEVECQSEEEADEAIAAGADI VMLDNFSGAGLQSAAKSIKQRWAEKGVKHFLIESSGGITYETCASYFCKDIDVLSMST ITQGVPHVDFSLKITKRH PHYBLDRAFT_189309 MTTPEIHSVIQVLQKEVEEAQNENLALQGQVEESKDREREMTRD NEYLRKKVAGIQHDNATYGHTQARLETQLYAQEQEMDRLKKEVQMLTRSRKESDKKLA QELQNFENDRMRWQQREADLYNQVRSLSANGGEPRTPRTPRRRSVTATTMSTTMSPFT GYTLNDIGEHEQAEEAMDLTNLTVPKLAPIDSSYGREAKIAQRTIKAQDKLILDLKNE VEKQKGLGQDQSAEAQRQSLRIEHLEHEIINVKQVNRSLMEDNESYQILLHEKTISGE FMMNPIMQVEDGLDQHLKPSSSTSSTGFNLAAELNMAGITQLSESEMAMNKLNEENKT LTDTNRALQLYMNKILMKIINNKQLEDVLSIDQPKPRTSNENLKETSNNQKSMTQVTA GPGPVPTRTLSTSLAGKATGSTTANNRQQRRRTISYWGSKAAPVIPTSPLPTPSGSLD KPTTTTANTRRHSSNAAKEPERPNGGWAKALRRMSVIGWSGNANAKPEENSIEPTPGS SSSSDENESSSSDSGNKLLEPEALAPSLSRSGSSTTSSSLRRSNELATLEEE PHYBLDRAFT_175221 MGQIMFMTRRVRMRINYQNMKIGEMEKVVLTSKTERDTISVSLY TVSEQNRTVIRVKQLFLFYDSTYEDNTGNTAVEHVPRYVCPCVCDILLSKRRHGFHGH ATLTLIG PHYBLDRAFT_175222 MSFDSFQIIFVDPSKPGQPRQPFRSAVIAAEIVDTNDVTASDYK VTDIREVGEKENEDANDSDSDEDQEENGEGESSSKIVKSRIWCRDSTRLLLKVILDTD TDGKIRAAKRNKQRIGRIWIDLFEAFKNEPGFDNLPQKFKSSVNIFKCNNKFKLLKKS FEQSLDRDSTQAGGDVGHSRGTWFDELRKITLNDPSFNAPALDSSELDSVKPTITRKP PTQKITKEHIDSSHPLEIIYERFVPVEEPETICPSTANRPIFPHRVQPQYNDDMNSAL ELMATSHTSISEDMRLSALSLMDSFVGTSEEKAQKKRRVDKVIEIWERHTATYEKDVK TRARKESRKEREAEAKNVDRERRARRDEELLLKQRRMNDMLARIIQRNDKGSNSQNTD NGET PHYBLDRAFT_152484 MRWNKTEWNEFITTEEGFEKENSVLLLSVECGGVGVGAGFKKEN VTVVVGFNFDSAVELVLCGGVGVGAGFKKENVTEIVGFNFDSAVELVLCGGVGVGTGF KKENVTVVVGFNFDSAVELVLCGGVGVGTGFKKENVTVVVGFNFDSAVELVLCGGVGV GAGFKKENVTEIVGFNFDSAVELVLCGGVGVGTGFKKENVTVVVGFNFDSAVELVLCG GVSVGTGFKKENVTEIVASIKVSDVDYSSEKILRLKKNLYEENDNQAKMIYLSVFNVF TLEQTFNADSVVKEGILIYIGVLSIIHELIVIRRCGDQDTYYLWVVNHSNFNDEYAED NNFGTQIIVLRRFINTPFGYRVAKVTLGFTHGLWNMFTNRLVGTLSNKISSKIICICN GDSITEDPEEEAETRAFLESDGNSGSKLLVHLLLTREFRKPEVALLV PHYBLDRAFT_175225 MEMEMEMEVRVWWVVLGVGVGVGVGVGVGVVVGVVGVVVGVVGV VVGVVVLTVVYFLYGREVGGPCGWSVWVVRVGGPCDKVLTFMAHTNDERLTSQDFLLG GVRLV PHYBLDRAFT_152486 MRWNKTEWNEFITTEEGFEKENSVLLLSVECGGVGVGAGFKKEN VTVVVGFNFDSAVELVLCGGVGVGAGFKKENVTVVVGFNFDSAVELVLCGGVGVGAGF KKENVTEIVGFNFDSAVELVLCGGVGVGTGFKKENVTVVVGFNFDSAVELVLCGGVGV GTGFKKENVTVVVGFNFGSAVELVLCGGVGVGTGFKKENVTVVVGFNFDSAVELVLCG GVGVGTGFKKENVTVVVGFNF PHYBLDRAFT_175227 MEMEMEMEVRVWWVVLGVGVGVGVGVGVGVVVGVVGVVVGVVGV VVGVVVLTVVYFLYGREVGGPCGWSVWVVRVGGPCDKVLTFMAHTNDERLTSQDFLLG GVRLV PHYBLDRAFT_152488 MRWNKTEWNEFITTEEGFEKENSVLLLSVECGGVGVGAGFKKEN VTVVVGFNFDSAVELVLCGGVGVGAGFKKENVTEIVGFNFDSAVELVLCGGVGVGTGF KKENVTVVVGFNFDSAVELVLCGGVGVGTGFKKENVTVVVGFNFGSAVELVLCGGVGV GTGFKKENVTVVVGFNFDSAVELVLCGGVGVGTGFKKENVTVVVGFNF PHYBLDRAFT_152489 MFACSCKNNCNIIVYNWPVGAVRFFLQYKNSHASLHFLVVVEVI KEHDAAANDSSVPIFKQRSQNNRPGRQT PHYBLDRAFT_152490 MPSNATRKSGRKGKQNARGTLSRVAAGRIEQREITPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMRAIVLNLINGRMWARNFRSDDPELVAENESRRRWNTDERID HPDNVETCFRAVNAAPEQASSKRRNNRINSRRIEPGNPDEMAYLHLLEKSVMSDGESE DEDVTPIIRVRVLQVARPSWRSAELNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKT IAVTPVPGHLTAILPVWAIQNQ PHYBLDRAFT_175230 MAPTRKSNKTKGCKCSVCKTRFGGSDTVSVQTFNFHKRRDDAGM NIFRNRLIIKRSVETTVSYVPEVINNDEQNSVAIDNDYDMDYDFDEMDTSIEVDMETQ EPIRVLPLSESDAVFGYENEEFNSDLDSDGCEDDSSEDDMLDSEDNFPEFNSELSFIH RFIVQVLALFVSLYVVDEGAILLIAIMNKILELFRDPFRLPVSIPGLKSMAGFNTFTD GIKKYVSCSECHSIYENDESTPRFCIFDKFGNNSMCVENGVRWSELHRLQYFDVVRCT IIDPMHNLFLGTAKRMMEKWVADGLIDNKKLVAKQKIVENMTLPPDYTMLRSKISKGF PFMKADEWKSWCLVYSPVVLQGVLPKQKFENWMFFVNACRFLTKPNVSEDDVHSAHIA LEKFGKGYERLYSKDLLSPNMHLHLHLRDTIKDFGPVYGYWLFSFERYNSVLKNINTN RRSCFEMTYMKTFIEDTRKGDFVRNFLKTSGPFNFSGIFDKLVTGYSPADSTTSTALY NWFSLPDFLDAAENPNLSIRGNEPLPPSALPLQKKAYEMMPRQEYDCLVGYYQAVYND PTISSCKDVIQDTAFVNDWIETLKSVNLLGQTFKGSRGTNGRGSCIQAMFIEGRNGAK YAYVGEIQYLFVHSFSPLVSTPHHRTPQSSQHTFAYVKWYKASKETSRKIAGVEIWDV AFSLPDFQSILPVHRILLPVAIVDHTTLRNISKKLIVPLPRKLYF PHYBLDRAFT_119241 MSTPKRSYPFYYGGMASCIATAIVHPFDLAKVRLQSAKGANKAG MLTTLANIARNEGFVGLYSGISASLLRQATYSTVRFGAYDKLKYQFTSNNEKLSIWKM LLCSSAAGAMGGACGNPGDIINVRMQNDGSLAPNMRRNYKHALDGVVRMTREEGLGSL FRGIGPNMNRAILMTSSQCVSYDLFKDYVLRYTPMTDGLSTHFLSSLLTALVATTICS PVDVVKTRVMAAHSGETGLSAMGITSQMLRVEGLSSFFRGWTPAFVRLGPQTIITFVV MEQFKKWSDMIQDREIAASGAVQL PHYBLDRAFT_128545 MASAANILQRQFKELTRHPVPGFVVDLKDDNIYTWDVAIIGSPK TIYEGGYFKATMSFPEDYPFNPPTFRFNNAFYHPNVYPDGRLCISILHPPGDDPVSGE KAEERWNPTQSVESVLMSIISLLADPNCSSPANVDAGVAYRKEREAFDSIVKCQVEAS KKDIPSGFKMPKTEKDFMIAAPVEIEEDVNFWYESGDESDFGADEFDEDDDDDED PHYBLDRAFT_70878 MTNATAKETAVKETTTILDLKKLALGDRSYLSFPKTTYPELEPF EHKDVGHRADPTKASLYKNAEKIFDVTPNIGTEIHGLQLSKLTNQQKDDLALLIAERG VVFFRNQDINYQQGKELGKHYGPLHIHLTEGHVPNEPEVFPIFYDKSVEAQKRSELFR DASAGWHSDVSYELQPPGFTFLKIDTLPAVGGDTYWSSNYAAYDKLSPALQKFLEGLE VVHSGKEQGLNAKARGYTQRRQDIEHTHPLIRTHPVTGWKGLFVQPVFARRIVGLSKR ESDTILNLLYSHIYGGHDFQVRFKWTEDTIAVWDNRVTAHCAVFDYINIDRRHGWRIT TQAERPYFDPKSKSRSEELSKKQTANK PHYBLDRAFT_152496 MVPFALGTEVAEVEPIKHRVYDGLLFPFEKLEALEESVLCPLST EIFSLPPEEHPTESSREAIPSQLLIVSKEFNPPDPNIAASEVSKEVLTDHKVPVPPKN RRYRSGNTPAQISVTHNIFRHVNSPLPPIYNRDSINGNSILPPLKLTHIGITNLLVRI IQSGQQSFDKIRFYSRTIYFTSLGTKICETITKNIGGAYNHHIHGPYLSHYWVKHFKD TNQDNPSQSQAILLEINPSFSTFHPIDKIARENGGTADLPFHFVTEGPQVQQLYKELN VEEAPVLIIFHKANS PHYBLDRAFT_152497 MPKPSGIDLKIIEALNHIIVQPQLPCPLINAVDRLPSYFPPNMA QWVLTNPELDTLDNTIRLLTEIFGIPIAQQRNLPSRQFKTDFQPIAQNHPKRTYIPVE VLHSSYLGAMTPRLLERIIMKTHASPNLSKTDSYYNVPFGAFSLHEHFSINGSGSISN GNLRLSVQSLILRPKSLSNLWLES PHYBLDRAFT_70881 MVSHCYSITSTPHLNSWYSYGSTLPVTAVFYIASPRTEVNYCAS STVQDTWYVLLKVKINNTWVSSSSMSSIESTPFLSKISRSKLNCTITSAGATCSTATD TNLLATRTDLVNTLDPKTDYIPNIVCSTATATDTNTDTSVSTRGSTIVTICFVIAIAM PKISGPDNPIGVVSTPYNLVAEKFDFVTACVVCLSESGLI PHYBLDRAFT_119261 LLYSDAIISFSPTFDQHLKNFCKISFYLCTLVPKLMLIKCSFNR NWIYFLGYVLSPVGLHTDTEKIGTCFNFHIPLSADVRLLLGPTDYYLYSFGILFLPSL LPC PHYBLDRAFT_137306 MNSHGSALTVTAAPKSLGLDMPVMPSVMQAEPLDLLKKKGIFNE EVMVPRILPDTSGLQTVEFKVFHWPVENWKDAELKSYSPSFIAGGFTWRILLYPKGNG QHDSLSLYLKVEESSANVPDWHVCAQFGLVISNPDDPTQFYSNQAQHRFCGEEVDWGF TRFYEIKGLTRTHQGKGPFLINNRSHISVYLRVMKDETGVLWHNLIHYDSRKETGFVG IKNQGATCYMNSILQSLYCTNSFRKAVYQISTETEDPTKSVALALQRCFYNLQSSNDP VGTTELTRSFGWDTLDAFMQHDVQEFNRVLQDNLEMKMKGTPAGGTVRGLFVGKMKSY IKCLQVDYESSRVEDFYDIQLNVKGCKDLRESFKEYIAVETMQGDNKYMAEGHGLQDA QKGVFFESFPPVLHLQLKRFEYDMKRDSMVKINDHHEFPESINLDAYCSKAGGDEAGP FDYTLYGVLVHSGDLDGGHYFALIKPEKNGKWFRFDDDRVTPVTLKEVYQDNFGDEPL RSSNDPSTLDGKMRNGSAQSLKRFTNAYMLVYIRTSKVDEILAPVVDADIPVHLNRQF REGREVEKNGKNREEVHDHVVGFIAKLKRSLGLNHKQSGTGGAGGAGGVGGIDIAKNN SITHTSNKKSHWGKR PHYBLDRAFT_70884 MTMSPSANRIHYLDHLYKDLNPDSPSLAPPENNPPQDDIDGQQQ QRQHPDNTQSTTIATPLTTTAITDSLCIKPFYFPFGKPISTVQRDLNDKKIFAVVRHL YGIRTFLREEQFVPVTKACGLPRYLNMALFRRMNVQEEPEDGVSFEQFVRGWIMLSYD RYSDESIIFNILKRPGFTWLNPEDFIPVLEDIVYNHPGLQSLADNPMFQERYIETVIC RLYYDGRCPGGKMNLNQFRQSKFTMMIKALGPNIDLNNTHDCFSYKHFYVIYCKFWAL DTDHNLIISQHDLMKYNQSTLSIWIVHRIMTCGRIAAFDQAYKSNVEVTPVLTYLDYI WFLLSEIDKSTTMAIEYWFRCMDVDGNGVLTKYELAQFWEDQDARQRYYGVGPEDRIQ FKDVMCQMNDLIQPKTPNQFRLSDLKRNGYMAERFFDTFLNFDRFQIHESPIAPPNEI EKEDEEDEDEEEEEEERFVLGLPVSESTSDLESDISLPNTPTLTDKDIGAIDWSIFAK QAGPNTNYLTWLNTNTKGNDEKERDVESPWI PHYBLDRAFT_119257 MSASLQYSAQTNERSINDYEILEDLGQGAYGLVKLAAKIGDPEQ RKVVIKYVIKSRILVDCWTRDRKLGLVPIEIHVMHTLRRIPHENCSDMLDYFEDDDNY YIVMGLHGAGMDLFDYIELKNGMTEEEIRDIFRQVASAVHHLHSHKIVHRDIKDENVI LDQHGGVRLIDFGSAAYLREGRRYETFVGTLDYAAPEILRGQTYEGPPQDIWALGILL YTLMYRENPFYDIDEIMAQELRVPFTFSQGSLDLIKKMLNRDVEKRLTISEVLAHPWL SS PHYBLDRAFT_128550 MSRLDSAAVVEELQSYRLTNERKSERVAALGARLIREKQLSKLG EQVWPIYEQIAVAAFDVGDLELANHCIAQLRTRFNEKSLRFKRLTGMKLEAEGKLGQA QAVYDSILEQDETNMLASKRQIALFKERRKDQEAMEALTKYLDTYYDDHEAWLELCHL YLSKHAYEQAAFCCEELLLLQPGNHIFHLKYAEIMFTLHNYPLALKQYCKVLELCKDH VRALYGLDLCASKLLESKDTEYAQDLHALAKERLLDVYSAKGTNDTSKVVQTYLSMV PHYBLDRAFT_70887 MKTVIIKLGGAALTNKRAICELTNESQLGVLFDQLQVVYESLQK SGDRLVLIHGAGSFGHPQAKRHHIKQGWLSHASANQEREQKLGFATLRQNVLQLHIAI LAGLQNRGIPVVSLSPFDHITTENGSENSPERCFRSAAHRANDLMALDLVPLLHGDAV LDRVLGCTILSGDVVMYHLAQLLPQVVRCVFVTDVAGVYDSDPKVVSAQPARLIPFID SSQTTKGIKKEIERGQGKASVKTLVSEGNQHSDVTGGMNSKVKWAAKTVMHADQARRE LQVVICKAGSYEAEHAMSLQPVLNNGEPYPELAMTVFSTTQMQSY PHYBLDRAFT_79956 MSIKSFSIEVAPAAPGESATRRSILSPSELMVTPALGVETLHDI LQYSATHYRDRDGFGYRVLEDTIVEEKDVTKTVNGVEKTETKIWTYLQLSKYSYFTYG EALEIAKALGSGLSKIGMQKQDKLQISASTSVEWMMMAHAAFSQTITIVTAYDTLGAE GLQHAMNEAESATCFINGDQFPIIEQILPSCPTVKNIIYRGKVKQEDIKRIQKSGQIQ YALSYEELLSLGQQNQLPPVKPKSEDICCIMYTSGSTGNPKGVVLSHKNVVAAVAGCC RMLQHLVEEGDRVLAYLPLAHVLEFLVESLCIFFGVTLGYGSIRTLTEVSVRNCRGDI QEFAPTILTGVPQVWETIRKSILTKVVQKGPRIEKIFHGALDLKDYMSGYGLPTGFLD KVVFNNVKKQLGGKLRYGLSGGAPLSAETQRFLSMSACPLLGGYGMTESCSMCAVMSP DQFAYGEVGAPVPCVEVKFVDVPEAGYLSTNLPRPQGEIWIRGPSITSGYFKQENTTR ETLTPDGWLMTGDIGEWTDRGTLCIIDRKKNLVKMSNGEYIALEKLESVYKTSVIVEN MCVCADSLYAKPIALVVPAEGALRALALKSGGIQIESWDELCENKDVRKLVHEVLLQQ GKKGGLKGAELLFDIWVCKELWTPESGYLTPAQKLKRKEILTYYSKQLKEINTRQII PHYBLDRAFT_119271 TIDPRNIEHILKNNFENYVKGPAFDEATQDLLGHGIFNSNGERW KYQRKTASHIFNVKNFKDQFTDVFLNGFKIMSEKIFDPAVKENHSVDFHDAMYRFTLD SFILLGFGVHLKTLSTKEKVPFATSFDECQRNAIRRLTNPAWRIGETVRHCLAPWKKT IPQHVETINSFASDVIKNRRDQVAKGEEHGDLLSRFMKCRNEKGNLLDDTELRDTVLN FVIAGRDTTAQSLSWTFYNLMQNPAIEEKLLEEIREHITDSMEDDPPAMYEAIKHMTY AHAVFHETLRLHPSVPNNQKYALNDDIWPDGTHIQKGDYVVWSPWAQGRCVSVWGEDA KIFKPERWITPEGDLRRESQGQWPAFHGGPRVCLGQNLATLQALIVIVFLLKRYKLSL VPGQEITYLVSLTLPMKNGMSVMVEKRQPAQ PHYBLDRAFT_70891 MVVLIAHLSVAVSLIFNDGDGSETWERVAAVLLNTELSPNIRIR AAILTLTKSTYSIFAAVVNLLIQDPLNERHYPVKWHTAKNLCQLKLYTTVSNYSILIQ RMHMYKCVLV PHYBLDRAFT_79957 MVNAAIPLRPLHLRILFPYILTLSKTDPRRINAPPDITGSKEID LELYTYIALLVRDFINPWYRLVTNDEDLSTEIVNILTHVIQELQKRCRDVVDWSELIL ISAPNLLTLHYRDYRQVKERLYTGHAGGAHSIEDLFHGMQPHFALQSNAAHEAEYLRV LTDHILERVLKPSDYGSDGVRHLVREIIAHMVLASIVESLSDPYTIHLIICKLLVGFD PLVDALEATGMFSDPYEDQQKHSGPPSPVLTHLQSALKEAQMTDLAKGTKESTVPVSV PDRIAETDDLARQLQRLQEKRRLQGDESVEAELEEEGIEGTPQKRRHFSFGYITLQVV LAPFRAFWVYIMAALTHSQERYHQLTQHKQRTRRIRLVEPVMQFLRVAFLVEHRVVLE WMWQMVSMFIWPLLRMFGVGVLVDKFLEQTILHFLSEDHLVFYLQLGRDLLWSNGIFI QRAEPTTPLEEEQMRIRAERLLLVSLPASVRMTLFDTNDLKKLENHMHEVLEPLQNKY INKHLMYLLVDLVASRLIPELLEKNHTSNL PHYBLDRAFT_160615 MSQSLPDLTTTAILKDSMSARLRKAMVFPYIFNDYTFNLHNYST NAPNSFLTLFHSILHYSSLDAFSVFLEYMRDLRLSGKLGTDVQLLYICALIGPALHRI EKLENTDADFLVELMHMVEQVTARMDMKDGWSTQALEQVFDFLHHIRTRFVKSAELTS QIRDIIKAMPPPTSQRLLRLVM PHYBLDRAFT_183873 MVTYGGDEVNALVLDVGSTSTRAGYAGEDTPKAVFPTSYGYLEE PDTDYVATKSGVDGDVTMGEQTEENDVRVKKRYFIGDSMINTWRPNMEIKNPMHEGLV NDWDAMEQIWNTAFRTMLRIDPTEHPLLCTEPAWNTPEKREKMVELAFEKFEFPAFYL AKDAVMTAFSVGRATALVLDSGGSMTSAVPVYDGYVLKKGILRQPIGGDLLTKQILDQ LKNDLNVQVTPQYKIASKRPVESGQPPQVELRDRPNTTESYNDYQTSRVILEYKESVC QVSEMAYDEGIIASRPQKPFEFPDGYNNSFGMERYKLPEILYQPRDFINVPPQAETNP QAEIPSVGLSKQQIDSSLGMHQMVMNSIFSCDVDLRPLLFNNVVVTGGNTLFPGFNER LNYELPIMAPSSKIKIHAAGNQTERKCSSWLGGSILASLGTFHQLWISKKEYEEVGPS IVEAKCQ PHYBLDRAFT_183874 MLQRLFRSKAASRDNDDIYTQSLLESEPWSPIPLKEGHIRILFC QDAGDSNKTVLYDSDLPAILAQDARTPTEMARSWNGNQLQALTGRVNLEMDSLIGRRQ EFKPHSLRATPSLSMHDQGSSHQQRQANRRLDLIGDMIFGTAPLAYKGMNTKVHYKRD KDPQIVLSKLFTLHPRDSEGGRRTSFSSINSDRSTASSRSGFYGDETKRSVSAMSMQS VSMEDTTSEQSSDDESRYSASIYPPILGLRTHSRRSFCSKRSRRFSQTTLENGTFRPM PLPSTRLSVPVRHASRSVKYALAIVITLEDKNKTLYDFVFSHFALIENRMHQLQTEAF RVLCSHFRTHIPPTSQVPLRKTKTNPSHLSPNIFQNEHIMIEAVHQFKNAFYELYGTP RIQEPLWLNMSTFPQRKSDYSKSLIKELVHLITEFDNRAHNYLVSTMITAVLAYHLSW VNTVAPPENEVNIGCHHGNYDPLWAQLSDMYGYVGTPSRITRTIVIGQKASTVRRILY ILSYLIRCNEVYENFESLLDPETVDLFGCERGGDESFALKLEDKIVRQLIGSSTDLES IAIPKTQQRPDLQTYPTESPESITTNSNSTSLSTTPNMYTSQWPSSTDVFNGTKNTEK SWAKQQPQQQQQQQQQADGSQNKVSSNTDTDTGTGTDTQKPITEEKTKYFDKPVISPS GVSSIFHMEPDITQVKDNGIPTDPADRLYAKSYGRSLMASYCEGYKSDFVLMGMPNTL SDTQLEQDMKMTLQQFSLSDSVSESACLVIDTSLLRCRVLHQQLSELDEHHINGTAEV ISNGWQNVRLSNLVFDLLAEVKQKNDAGLSPDELVELFEDGLQLIYFRSTLLQERVYE CIETGALDHLEDPNALAADIGVHGNDIPLLVNVCSTYGSKVWDVLGPFVSQGAH PHYBLDRAFT_152513 MQYEQARIEEEYGQRLLQLSEISLSEFEESYSTFSESLESIPTA TEAAARAHIDLAQHIKQLLETPLAGFIRNQKEQWKMARSQNL PHYBLDRAFT_152514 MQHAQSKYMDECTKLSRANSLMDYNARSEIQQSLHIISSLEQSY KQSLEDLESVSKQWVDDWRNTCETFQKLEENRIDYLRRTIWSYANMMSTVYVVDDQSC ERIRTSLEVIDISGDTNAFVNKKGTSTTIPNIPQFVPFNNDHNDPLFNLNLNHLNHSR NNSQSVNSEGKGSNSRNTHEINIPVADEELRSVNDQLRMLPLTSEIADTKFVHQMSDR ESSIIIPSTNSRLSFKDTSNILGREISQTRTADSSSDMLQNTLIPVLPALDKSPTDGL FRESWDRPAFSRKESNGRIVGQNTNQNQNHNHNQNRNHNNSNVNKYDTKQVHDLEHIP RSPRHAVSIVDLPQRTSSLPKDANMIFSSYATQLAPAESVDNLPDWHAYNDYLSNTPV STLAQNQLERKSRSGDFSKLVVNTSLDSINANNSTTNNPNYTISSSNNNNNNNNNSLY ASSTYRQRSALLTPNQTADHGDHSDQNQNQNQSRDRDRDRDPELWKHSRSPSLQSPGQ HIYRYNNSSNNNNNNNNINNHATLESPTDYGYQQPSPLMTPSVSPRRDKEKDKDKDKD RGKNPNRLSVFTFFKKSHHSSSNKKSEKSLSSPDYHNQHNTSNSIHRSPIRDNSCDSQ KSKSRRFSMVFSNSQKKKQALSISSTIQPMSPQSMTTTTIGTEAVTITPTAAAGGRCL DNLSGVVLDPRNPSSLMQLSNPIDDEGTTGEEYAQALWNFEAKIDTEISFAKNDILAI LYKKPDGWWVAEVLPKQNNPQQQGPGPRIRGLVPGNYFRPL PHYBLDRAFT_175253 MSFHRSNLQNGGNFPAQDNNNNNDTRPTQRQRALTTLKQRCSFG STGNSGGSNKKKYSSITDNYNGKSIEGARPSATFLSKTRSEDFYHSSNLSSPSPLSSS SHLNSYSYSPSQSQSQSLSRPLYSRANTTSRAARPISTGYLLGDDSDSETSTETMFSR RRMKPETKPAFNNLKLCVLRAIGLKNNNLS PHYBLDRAFT_70900 MDPGRKNLENLKKSPNLVSEEPSIITPTNQTAIGNLSWKDFKCL LAKRKAEEERVYEANERRKSTHQDIDSTINTDANWDSRTGYQDAAHAPHQNSSEYP PHYBLDRAFT_70901 MYQFMWNRRTNSRFQPTANDRQKAVERAQLQFPIRMKETVFNLK QDKIFASDWINDISVLAGTKDNKLLLLDQIHNNVFEIPLIEIDKLQYQPIHSSYLSNP RKLHKYNSYTYDYNYSDYSSNHYNNDDNYGNINIKRLVATNPEPVYEKKGLHDDLILC DPLSPTSQQISRFSSVLLSSSSTPAYSAYSASASASASASSSAGADTDAVSPSSGCQG IRCVATSPNGCYVAVALADPAVVMVYQLPTMRGVAIAEGHRDAVFSVVWIDEDRFMTG SRDGSLRVWSVNDAMDCGTNGVGHTIKWLRQSWTSSSRTTTSTSKSKTKSKVRDLKLI KCNGTQTATSLTSKGTVQLWDINTLQRKNVIKLPFTQELVCLSVQPNNSSLIAVGSQA HITFADPRQERCAQSFNSQDEFWGVRSLAFGSEHILTCGGGRGRLSFIDTRMMEFLPL SKSNNNRNTNNKNINHYNNYNPSNTSNDDNDDNSDNGGDDTTRTQTRAGTRTRTRASA ATASVYHNSSSEGWLDRDSQMYKTHFTGIQIPPAIYTTAYNSDGTKLFTAGGPIQSGL RGCYAAVWF PHYBLDRAFT_97316 TTNTTTARTKRRAKKGAVEDPEDTTNNNSINDHSDTPPTIVAIS QQSRFHRETRLDSVTKEIDLMQVNISVNQLELLVDAHLKIKPGVRYGLVGQNGVGKTV LMKCMADNILVGLPQNLHILHIAQLEVHSEANTARQQLVKMEQEYAELENQKDSKTYI TPQMTHEIVTSVFEKSELIDINERSAKAQRILKGIGFTEDQINASVKDFSGGWRMKIA LAKSLFIEPDILLLDEPTNHLDLPAILWLQDYLMNDTNDLAMVIVSHDREFLNTVCEE TIIFKDKKLRYHPSNYEDWEQNTEEQRIRKQAIKTIMASIQQNLQRAKATGDDKRHGM VNSRKKVSYYAGFHTDARVQIAVEQGVKTAKIKVPDPQPLRYHGSVFTLKSASYRYPK VKKNTFSNFSIDIEPGSRVAFLGANGSGKSTLLNVLTGNLQPTSGELYRHPMLRVGYF SQHLVDQLDLESTPVEEMKRRFPDLSEQDCRAHFGTVGVSGKIVLRKIKHLSGGQRNR VAFSLILQDAPHVLILDEITNHLDMGTVEMLVEALAGYTGALVLVSHDVWFLKQLMEN VPKEDSDDEENDEPDKSAFYTVKDGQVKPWDKGMDAYVSSV PHYBLDRAFT_175257 MRDKVQGETHIGVRTSERVNMSMNMNMNASMSMSGSMGQFIQSM CRHTTYCILYAQAMSECVLADFTAVVWNWPTLEAHFFFFLWEPESDLDRITNLVPNFP RTARGFYVFFLVITNSITFV PHYBLDRAFT_70905 MMSLCDQHQLRMFRKENRIFRDRVFLQSNTSIFLFEDGHGNVVD ENGGPEPMKYIVDQDGVVGETIATHTEYLKSATSSETSPTCPMLMEKPKDEDVHMKDP NIKRDYIEKCVSASATAKQLGIHIRTAQRRVKQYNMRPDSIFDVSKQVGRKCVLNDEH KKIVAKFIDANLSTAVVEATEHLLNRFKGLKVLCNTVHKFMKSECNLSLKKSDFPSIK RNSPAKIEERHDWDCKWENTVYKDPKKSYEQLKPLLPSYVNIVC PHYBLDRAFT_156679 MNPTATKRFVSRSEWEQRLSKVKVNKQDLNQLVMNYLVIEGYKD AAEQFSAESGLSPAVDLESIQERMEIRHAIQSGDVDTAIDLVNDLNPEILDTNPQLFF RLQQQRLIELIRKGDFGAALEFAAEEMAPRGEEHPEFLEELERTMALFAFQDINTSPV KDLLHSGHRQKTASELNTAILDSQSREKEPKLPNLLKMLVWSQVQLDERLLYPRIEDF LKADLVTPEEELVKSTDH PHYBLDRAFT_119210 MTRFNGCIDLHNGQVKQIIGGSLKDDAPEGLCTNFVSSESPKFY AELYKKHNVTRCHVIKLGPNNDDAAKEALQTWPGGLQVGGGITSENAVYWLDLGASKV IVTSYLFPGGHFDKARLEEISKRVGKDRLVVDVSCRKKNNKWIVAMNKWQTMTDVEVN KETLDMLSEYCSEFLVHAADVEGLCNGIDEELVKKLGEWVKIPTTYAGGGRTIDDLAL VDSLSNGKVDLTFGSALDIFGGSGVTFTSCVEWNEMHQ PHYBLDRAFT_57902 MSALRFYSFGPHKIPESQVFYRSKFCLGLVNLKPITSGHVLVIT KRVVPRMRDLTEEEAEDMMISAQKVGRVVEKFFKGTSLTMTIQDGPQAGQTVPHVHMH VIPRIKGDWANNDDIYDALDSKKGVDNDERKPRTTEEMKQEADQLSIFFDQYKEE PHYBLDRAFT_152523 MYTDSQRLKGKQRHRPDTDNEEEYSQQPSQRLKYDDSTVNWNEE EFQRKVKDTVRLALACEFKKTAIKRDDINKKVLQDHKFEFKRLHRAANDKLRYLFGFE MVDLPVKEKILAGSRRDGKEVPGSGSKGYMLLNALPEKYNVPELFKYKDEEYENVGVL YCILALIFVNEQTLSKGELEEHLNRLHVTDETQTFGDRDKLLDNFVKQGYLLRKKNNL AANDPNADPDAGIEYYWGPKAKVEIPEENIVNFITSVYNPENTNINKLTEYVYKSAGF SVP PHYBLDRAFT_183875 MASELPYEVVCLIASHVEKDYQLIGALVCKRWTEPFLNAYWYSF TIHKGIADRICDKAYRYNIYLNNVHRVYELKCFDQLIFDMEYRSKLPQIYLGIRRFVY LEQYEPHSIAEKIDWGTWNFLSHLEIQFLSFKPFVLKDLITSLSESPFLYHLTLIQRL KMKNCASMNDISWVDIESIHLNLRRLEVLHIDFEHIRISNGDMNKIRCVSPAQTVTTL ICNSNSVDTHWVFYFALKYPYLHTLELKDYKVNTETHAAYDEATEEENIQLLSTLDQF FPHLKNISQRTRSGPRLHFSTFFKSLRNFGTQVERAKVSVSLHCSKPIGILNSYFNFS SDTLSVLWVDLVYYMYKEPVTTLLPSYPYLAELHIAATCTIEIDVMLDKCPSLRLLDI ESAYVYLSNQPDNSHNTHPLQRLELKNIETSTQVFKHISFRCRKFLFMKLIHVKFKAS EFTENSEILLDMRYTQLDFLVIRSTKTTHSLIKHYVIKQDNNSDANQLNLTTHEQSML LNWYYICLTEKEEVDEAEVADKTTRSFAWELGKREVEYAQRFFDDALSPGDDNRQFMD NGAMFDRYSPKECWKLDLHSGVFVIQFKSVKNYRLDKEELSRSNCKNTRDYSDCLDT PHYBLDRAFT_175265 MASELPYEVVSLIASHVDQDSRLTCAIVCKQWTEPFLNAHWYYL TIYRRVADRICDSSNLENKYLSNAHRVYELAFIDQSLVDVEYRSKLLQIYSGIKRLKY FEPHRRHHVVEIIDWSSWTFLSHLEIHFQYPSYILLKDVFKNLSGLPCLLHLTLVHRY SPADFDIKNTVSWLDIESLHLNVPRLEGLNIDFTHIKIPNNDMNKIRYILPACTVTKA IFDNDTVDASWVFYFALKYPNLHHLEFKNNGTVYKSCEAYNEKYYEKDSRLLSTLHQF FPCLTNISLDPLNRNPWPFSIFYDTLRHFDVKVKRAKVRVIYCDQTWTRSLHSCIGFS SESLRILWADLGSYLYKSLITSLLPFYPCLVELHFTFSGSIEIDIILDKFPSLRWLDI NLSLTHLSEQPNYAPHHLQRLELHHLHASKSIFKYLSSRCKQLLFMKLEHVGFKMSEV TKNREICIEMPYTQLETLIIWGVKTYHGFIKHYVIKQENNIDISQSNVSNQEQHTLSN WYHITKETDGVKSLAWELGKHEAEYAQKFYEDVTKFNDDNSQDMDHEYIVYHYTIKKD WKLHLNCGLLSLRFKSVKAYHLDKEVLTKYHCFFPLVYSPSFWESNGRSG PHYBLDRAFT_175266 MGFIERIKAHVEMWKIEKYTKRRPVDTPDFEQKDRDFYDQYYKD GVYLHHHQRDANDPTQHFPSSPGGKGLARKTTLLRSKSERLVRSSEKYNILKTNTKTN NLKEYTFGTITANNWSFNLLMRL PHYBLDRAFT_152527 MSEGYSHDEPTSVVYGSESNSYPLPIKQEYEHNNGSNYGPVYPA TGRSLAADTPSSSANTPTADVVTPVGSPTGQKRHSLDGLTPRAYLDATVVPVLLEGMK QLATERPADPLTWLGNYLTTRASTDRNQQTRNTTT PHYBLDRAFT_156681 MGIILPLLTVLTLITAGILASAHETLVVSVSLSILAGWMTWKTL PQLKQAFVNARLCGIDLLKREKPVIPETMGLPTAIIYLITMFVFMPFPFMAWFGGKTG PQDTNMSGIFPHHKLGEILSAILAIQSMVLLGFADDVLDVRWRYKVWFPALAGAPLLI FYYTNCGVTDVVLPLQLRPYFGTNIHLGPLYYVYMAMLIIFCTHSINILAGINGIEAG QSLVIAVSIIINDSLYLFNNQDPASLEAHLFSLYFMLPFAGVTIALLLHNWFPAKVFV GDTYCYFAGMTLAVVGILGHFSKTLLLFFIPQIFNFIYSCPQLFKVIECPRHRMPRFN PETGLLECSTVILDAKKPTSKLGRFVIKIFYSLGLIKAFKCSSNEEDNGRVLECNNLT IINLILAYCGPMSERDTAVSLLCIQFFASVMAFFIRYKLVEYVY PHYBLDRAFT_152529 MLPAKVDPSSMPILSPFSLYYNLQITNLVGVNHLVTHMVFEIPP KMLNEVEIWALSWYVVQSLREMAIFPPSRIRAENQKSLKPPVQE PHYBLDRAFT_137317 MSGYNILWALLGVVLVSAIVYILTPKGNNQTVIRTIIIMSLVCC YLMWAITYLAQLHPLINPIRVGLRPSGKHT PHYBLDRAFT_128562 MQTSTGTTETENKWKFSQCFGDKGDSEDITEADIISAVEFDHTG DYLATGDKGGRVVLFERNESKKGCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCR RTNAAHFLLSTNDKTIKLWKVYERSLKVVHETNMAVDNGGFQPSRHLSLPKLSHQDTI VAAIPRKIYANAHAYHINSMSVNSDSETYISADDLRINLWNMDISEQSFNIVDIKPAN MEELTEVITAAEFHPLHCNLLMYSSSKGTVKLADMRESALCDQNAKVFESCEDPNNRS FFSEIISSISDVKFSPDGRYILSRDYLTLKLWDVNMEKKPVKTINIHDNLRSSLCDLY ENDCIFDKFETVFSGDGKSVMTGSYSNNFHIYDRDTLSETSLQADKGAFRAKRLGSAK NKMTMGGPRNGGMRGKRDESDSIDFNKKILHASWHPQENTIAVAATNNLFIFTE PHYBLDRAFT_119255 MHTIRTFHSSFTSQIIKPFLLADIGEGITECEVIQWFVEPGSKV EEFDKICEVQSDKASVEITSRFSGKIVKLHYQLNDIAKVGQPLVDIDTAGQDSSPEAE REVLDAPTSAPPAPKNEVNKKIAQTQPKSKRTGPKLATPAVRRIAKENGINLEAVNGS GDNGRILEKDVLKKLRLINLFDSSDPSPQTTPVSFIPAPVTLGERTEALSAIQKAMFK AMTRSLSIPQLGYKDDIELNATTEYRTALNKHISSNPSQYPFAKMTYLPIFIKCLSIA LAEFPIMNASLTGDQNDVNSVRIVLRPSHNIGVAMDTPQGLVVPNIKDVQSKTIFDIA AEVHRLTELGKKNALSLNDLKGGTITLSNIGALGGTYANPVVVSSELAIVALGRTQTL PRFDRDGNVVAKQILPVSWSADHRLIDGATIARFGNKWKSLIENPALLASELR PHYBLDRAFT_128566 MEEVKGPTSLHKEEGVVDSSKVVYYDEVTKEEIYRTTSTTNHIE DEEDSPIEEVRNVVPNTDDPSLPVYTFRMWLLGIAFSCILSFVNQFFWFRNSPLMVSP LVVQLLSFPIGRFMEKVIPSHPFFNPGPFNMKEHVLITAMANCCYSTAYAVDIVVIQK LFYNEDLGWGGGLLIIWTTQFIGYGMAGVLRPYLVYPAAMIWPTNLANISLFRSFHVL DTNWTGPTRFRWFLYTFAAMFVYYWLPGYFFQILTAFSWVCWINPKNKALAQVTGASG GLGIFALAFDWATISSYLTSPLVVPWWAIANISVGFVIVAWIIAPAMYYGNVWDAQRF PILTSTLFNKDGEVWNNKLVLTSDNLLDEAAYAEYGPLRMTTFFAFTYGVGFAGVTSV LTHTLLHHGREIVEQFRQSRTGEEDIHRKLMRVYPEVPSWWYYIIFLISFGVSFAVIY AWPIHLPWWGLILAMAISIIFVLPIGIIQAITNQQPGLNVITEFIIGYALPGHPIANV TFKTYGYISMYQCLTFVSDLKLGHYTKVPPRAMFFVQTVGTFLAGLINLATARWLMET TENICTPEGYPFTCPNARTFYSASIIWGVIGPGRMFGVGSQYSATLYFFLIGFLLPIP FWFLSKRFPERKWLSYIHIPLILNSTGMMPPAMPINFSMWCATGFIFMYWLRKYRHEW WSKYNYVTSAAFDSGTAIAGLVIFGVVTGSGYTPDWWGNGGYGENGTFDNCPLASANG SSVCAIC PHYBLDRAFT_200781 MFPIQLSLVGNQRLILSTRGRSGFIKTLPWLRHAREFASNSKDF SISKTRNIGIIAHIDAGKTTTSERMLYYAGVTKKIGDIDHGDTVMDYLAAERERGITI NSAAITFGWEGHRINLIDTPGHVDFTMEVERAVRVLDGAVTVLDGVAGVEAQTETVWK QADKHSIPRIAFVNKLDRTGAAFGRTVKEMWKKLRARPLVLQLPIYAEGGHGIRGVAD LVTMETVLWDPTKIDGSAFVREPLVESTDPKLWEEAVRGRTALVEALAEMDDAIVEVF FEEADGEHLAVPAIALKAALRRVTLENKAVPVLCGAAFKNVGVQPVLDAVIDYLPSPL DRPPALATMRDAKTALIPLSEVGKLCALAFKVTHDPRRGPLVYVKVYSGKLPVRATLY NTTTNHKERANKLLQMYAREAEEIPVIQAGNIGVIVGLKDTRTGDTLVLANDTQAIKS NLQLNTIEIPSPAFFAAIEPDAVSEEQAIEEALRNLTREDPSVRVWTDEESGQMLISG MGELHLDIIKDRLINDFKCKATMGKMRISYRETCQAPGVASTVYDKEVLGKKSRAGCS VTLEPTEDPSNQITIQLQEDLADSLPHLTQEDIDQAIQTGYQSGLSRGAVLGFPLAQV HVKIDKLETFGAETTLGAISASVSNSIQEALRHADPCLLEPMMEVHAEVNEHHIGSVV SDLSGTRRGHVIGLYATDDKTAVQDTEVYSPPDSLHQDVDAYKAKQVIKAHVPLSSML GYSNSLRSLTGGNGQFSMRVIGYGAMSKDRERSVVQEMRG PHYBLDRAFT_101092 LKARQGVFNGKRVEYFKGKSAINALLKENFGKLVTKGPPLTERE QAHAVMNDLGRHGFILHVDRGDSISGKGSPKVLQPNAVQEIKEDSYYMWIWEGSQLKL YVGAACLVATILAAVLFPLWPDSLRMGVWYLSVGVLGLLGVFFGIAAVRLVLYVISII ILPRGFWLFPNLFADCGVIESFAPFYGWDEPK PHYBLDRAFT_152537 MDINQILSLLKTIPPAQPPVVEQPQIPTAIDLALIDQDVIDQVR NEYWAKQKASVKQEEEQDNSLDSLLVSLASATSITPDVLGAVARMVKETDILDIVKEM KDRQDQKEQEMFEHRQSIEERQKKERNGLFARELIGACKPEELETLEEKIKCELEQVD RHVLRSMDRERARQQIILEKRKVPFFRVTDKPSEMKMQQKMLSILLDMINE PHYBLDRAFT_152539 MPRKEKSSLNLMVVGAPGSGKTSFLNTLSSHLNTYGHGITKPSQ TQSDHLFRTTQLQWQDNKNLISLTLVDTPGFTETVLGYQAHYTKKYLEHQFDRVWMEE VKLKRNTKVQDTRIHVCLYFIDASITDFSPMEILLLREIAAHVSVVPVLAKSDLLSPE ELAEKKQFIRNLLFDTYQIPVYGSSHIDTVEEDIMDWCVPDLTTRKSTWRPLKSYVEW LDQQHNENDEMEEAGQLKDYLEQMPFSVTFPKSLECSISSEEPSPGIDYSETMDLIQL LLANRDSFRHKTNAYFYEKYRTQKIFDERTDQLMAVHSCD PHYBLDRAFT_70925 MKGYKYSLHCRSVVIVFIMVASELPFEITRRIADFLQPRDKIQC CFVCKAWLPAIQESLLETIVINDYGKFAKSVGLSGSTSNFLQRYGHSSRKLHIGQYAQ IFGEELLALQRCLPHIQYFKWSTANIDEYTFIDHSGWKLWEFLTDLEVKVWVYVPHTA PSLFYSLFSKIRPLRRLEFKYMFNTGLYKHTVGEFDALNNSLPELTRMALGAQLLSIT LHELSMIKNVKPLQCLKSLEVNLINSTYEWLYYIAIKFPNISILKTIIFASNTASEQS LEVAKMFARIPYPFQQLEEINVRVELGSEQIFIDFVKQLYLFKAPIKTLCLSLGGSVQ MSAFSRDVFESRNIFSSTLEKVTIKASSLTLIFWAYTDNLEHFPHLVDLNIQVEDSTS EIDILLDRCPSLKTFRFDSGTLRRRLFDRVTSEFSTNHGLRELTLTSTHIVTDTLNYI SHRCKKLKSMFLENVYVAKPKSEDTKTNCIDMSSTSFIHLSIGSVMFVDPSTKNIINI FALSSTMNPIGNTWVYCVPDVECRTRREPKGWIIGGEEAKYVEDFFRNFSEIVEKEYT EEQLYKDEYARMVPSWKDNLNNGYAMFKYGSLINLNIIS PHYBLDRAFT_152541 MSSQIHGSLVLPAISPLLSDEQITPGSNGYIDADHRIKSELNWS QLVHAEIIAVTTAMRKNARWSGMTVSGLNMGDLGQRMGLRTPRFTKENHPQNEENPLM LGFKRLKEAIEKFDDVRDMDTLTLVTPFLDVVRSGNTTGSIAGTALGSIEKFLHYGII GLQSPNIGTAMNALAKAATRCKFEASDAASDELVLLRMLQLLQMILTSECGQVLSDEA VCEMMETGLKMLRRSAEHVMINIIVAMFERLKVLEDEWQFVDLQSETNDDEKEVSSNA PHMKTPRPTLSPVSKSEDDEKVKEESGTESSDTEQILSEKTEENESSTETPQDTPTTT ATATVEVSEKAKDEDENLEAQKSRAYGLPSIRELLRVLISLLNPHEHTHTDSMRMMAL SILNVAFEVGGRSISRFETLRSLVMDDFCKYLFQLAKTNSIQLLASTLRVISTVFDTM RPHLKLQHELFLFFLIERLSPPPGAGTRTIVADVDDDGNVGFIPVSREHSLETRGPGH VEIRSASPNMFLGRTNDYPKPAKGYQPDAMAISGEVRELLLECLVQCSRTSTFLIDIW YNYDCDISCGDLFEELIQFLSKNSFPDLQNYSLTSFHVNCFDTLLSFIKQMVKRSEDE AYADVEEDNDLVPVDELLRRKARKKIILNGAALFNESPKKGIQYLTENGIIEADKEND TKESLAYFLRSTQQLNKKSLGEYLGKPENFELLQVFMRQFDFKGKRMDEALRIVLETF RLPGESQQIMRVADTFADVFFEQKPSEIADSLAAQILAYSIIMLNTDQHNPQVRGRMT LQDYMRNVRGVNAGQDFSKEYLKDIYEAIRQDEIVMPEEHEGRLGFNYAWKQLLHRAN SAAPFTSCDTPAYDKAMFEQTWKPIVASISYAFSTAQDDTTLEKAIEGFYHCSLLASK FGLHNVVDSIISNLAMMSGLLTKANSDSSLPDPIVEVAGQKYVISRLAVRLGHNYRNQ LAAVTMFTIINKHSNSLRSSWTKVLQIIRNLFVNSMLPDSMIHFEDFLAGSISIPLKP KSPVPSKQNNRRDGSLLSTLSSYLLSPYSNDESYRADPTEEEIECAMSAVICVSAFNL EKILADISVLETEPLICFMKAIGTVGYDSKSIESTTTTIPYDPTTILFLQFMVTIADR NINRIDILWPYATGYAFGILNHAERQSVLVVERTVVGLLRLCIQNAASDNTQDEILKC LNLLRDFPPTVMQAVAEQMMAGIYNLSSANSENLNNSDFLNTILEIKQKVASAM PHYBLDRAFT_152542 MAYDMPATAFSPSFAICSLWWEILWERVSTAEFVFNRTSSFLPS PNCPLCLSAVDYLQHFVFSRPCKFPVWTLIAIASITVHTIWRARWAVVFDNSPFFPNV VAAKVVTAIHRSHDLNST PHYBLDRAFT_152543 MQKCDQCNLFSANPENLKTHNHDYHIATVSEKDFSCSICLKNNK TTRPFITHLKKHGLNVSLMKKRKVVKLDSADKHFHPAGEEVVEEETTSKKVFAQLPPS SLLLAEQPTTKLSRLTHRDVILTTTDSHTADKATQEDKIMLAKLGRWVPIVYNHDSMQ YGMLTSSSIASILLSKNQTTGTWCSPANDNNHSSKEVTEIDASHLIDI PHYBLDRAFT_1890 EGASVPTTSTAATSSYSCDPNTCKAPTCMCASQSPPGGLSPKDV PQMVVVTFDDSIQDSLYQTAKKMLSTTKPFGLILIQCYRYVSMEYTDFSLVQQWYASG NEIADHTYSHVGSPSAKEISSCKSMLNTYGGIPKGKIQGFRAPFLNYTKETLSNIAEQ SFLYDSSASGVTDDAYWPYTLDNGMANDCWTGICASGQVKLPGVWEVPMYAVLDNKSI PQLMDVYLAGEPQDVTQWSLDAFNKKYEGNRQPFGIYVHPTHLTTYPGLPDPKPMLDG VLSFLQTIAAKPDVWFVTNQQLLQWMKNPVKASEFGQQDYMKCQQPVIAKEICNGLDD DHNNQIDDNLLNNCNFGTSNFKTCFNCPNGAPSLADPTPASAAQSGSAGYRYPVPDNC DSTWWDPIGNACLCTTTDCQYKEVVVTKPTASNSSVNPLGAKETSTQTISAASPSS PHYBLDRAFT_119253 SMTSFIPTAILSGVFAALSSVFAKLFSDERTAVFRDVIMDSGYL PSLPFAMTSEMSLITMRVLCFCLIFGCNSLMWTLFTKSLNTAPSSVQVTIVNGAVNFS ASAILGFLIFEEPLALRWWVGAGFILAGTIILSQSQKKLENKKSSKKTE PHYBLDRAFT_183886 MTFDSSLLNREFLVPALEYLPLKCYLSGSPSQCSPLIILFHGTG GDHFQFDSLLPTLVQHKYCVLTIDLPYHGLSQPVAFSNPESPVTFESILENLDEVMKA YRCANPSSQNPIDLIIGGVSMGGMLAQICCDQRKTAWEEIGFSFRLLVPIGCSSTSLL WPRMEWMDYLGVMADDSPEKKSTIDNMRVAIVMSAIKPENQNEASRAMELVHDQTLFL YLRACGRAMPPIPSSHSDGFQFKDKPVCIPHLLMRGDNDLLTEIYMAGWITWIQEHNI DSSLKIVSNAGHLANLDNGVEMAEEIIKAAKKY PHYBLDRAFT_152548 MTPSSLSPYYAAQSPSGHNNRVHPNYPISSLRRACERTRETYHK VAGSSVFDYQATPSVPESFKQGFHEESAVNSTSSPSSTQ PHYBLDRAFT_70932 MHLQGLRYSVCALLLAFIPKCYAPPIQQDSIESTNTMAIISILR TVMLGYMTHVVTVRSISGVTELPTLYRRILAFIYPSSGIGLALSSIYKAIYGERVLGV AQYSYILRKYEKENNSKSTKGDNNVQTSTTPTLLQSPDISESRSTTENIKDKIDKGYN VDSETLLSNVIQLRDRLMKDLKASGINVEKDNNGPYLVAFLHAIGPERAKKTKHCILN GSLTYGSNSNDISKSTRSCRKTEDITVVGPGASCRYQHSVEKVWLHFSSTDVIDQLEM AYHIDDTSYVETFVTIGQLLFTTIECMNIDGEKWVKVIIIIYTAMSILQTVSLVVLHK QISAFSVKYYPNPFEHKPTDYKVEIDSLDESYIESNNGEKLPRENKGTPLTVEERKEF DRLTNNNDLDDFEVGLYSMVLGTISSLLLGIWAGYNKHTLTEWLVLAWVLSPIFLTVL TIPVMGLGNQYDDIGDLWLELILGIFSVGAIGCLMAATIIGYLPK PHYBLDRAFT_152550 MPHKAFAIWWILMRHKIPCRQQLFEQNIEEISDPNYALLPKSLT FSYLLQPSPPHSITVTNSAIDFYMIVECTLSAIWCAHFRFVLDDLEFVPNRVTALATL HIRKLEAELVLTLL PHYBLDRAFT_175286 MNPRLLFLLLLGILVSIAYAQDEPEESLGEDQDYDSTEDDSSLI PPVSEEEAQPNDNSSSDGIDFVDPALSPETSPSDPGLSTVIPLNSIVSEATCSALSQW YKELGGTNWKTKTGWESTNMTSCCSWYNVHCSKFGQVDKINLSRNNLLGQLPDNLNNF PELIHLNQYLIRIFKFELFSDMSHNEITGSIPSSINSAIKLQTIKFDYNKLSGDLPPV LGSLPSLTNVHLKNNSFTGTVPAAWGSIPTLKGLFLSNNKLKGGFPVAIQTMPSLEVL YLDNNQFEGQIPPTIGSSLPHLTTLNLKGNRLLGSIPESMGSITSLTSLVLSHQMLNG SIPESLYGLSNLVSLDLSRNRLTGNLSEKISGLVKLQKLTMSHNGLSGPIPPQLGSLQ KLQLLTLNYNMFNGQFPPAEAPKPLGYCYMVPNQFQVCSDTNSITDVNSLAFQCAVDC ASTRRANSTQTSKASGQLDIYGLVTLIAIALGLISVTLL PHYBLDRAFT_175287 MKFTGTLFVSATLLAIVNAQKASIIAITSPLTGTQYKAGTEAII SWVNPTVDTITQILLAKGPSTALQPLMTVAQNVKASDGKYVWKIPAELADGDDYAFEF GTSPDMAFTGQFSIHGGTGTSVPVSSPATPAAANPPSIGANSVPANANSAAVPASASG ATAGNSTAQTTHSGATSSFHTVSGSVVAAIGVSAFMVSQLL PHYBLDRAFT_70936 MTISNDLQLKEETRTGPLQCILSRMLVGLVDECGNRLMVEEELA FFRLAFGDLNVLTNQHNQIYFKHPAFIGKSVLNLAVSNFLSAEYQWIDQEKMEQMYTL IFSNDKLYEMIEIFFEFDKYRSMLLLAYFMNIMSTHSVVEQWITSLLKLFCPVIFKLL PRALYNRLFGLENEENDVSIFKKPETTEKFLNYVVRGKGDIRAINIETVLPAPLSGWS VEIKYKLSPKAEWYIHSRHSPSKRKARELAIIDIVSYYYKNPEMIHSHHLPSLNSRES PENVLLIPYEDYYDIELSLKSLMILKPVVKKEDILEGAGYKRRIMFLDADDDDNDLMV ALLGDLLAKDIAEKLSVEESLSKNDSHNPNKEPSISQNLYPRSTDYIDPCYLTKPPDS SIRDIKHDISTFSVYKQEDLDSVKAAIAQRPRLKRALNDNTRQRQPKDYIERIKYILG ADITITLESFGPQHSLLFKATCLFRLSKFEIVTKAVLSKKSEAEMQAYRNLIDLLKEQ K PHYBLDRAFT_70937 MLSKFFFMVLTINILKDLLSFTFRRRKKEKYSKRMGQKYHLFEG VIPYHYKSKEEIRSWAVIRYCYDLPFEIIATIVKFLFYKDKINCIDAFSSWRTALEES LWNNINISCQNSLDGICNIESAKHSVYKRNGRHVRALTLNGNICIADDQLLLIQQLLP NLDRLYCSNSSISEDGFGTQADWRLWESLTQLHVDLSDWEDIDPIREFMRVFPFLPNL KILNLDQDCGVISPSITLEDIDKIHDYMPHLESIRLEVQPPVLTADDLVRIIDVTPAP SVRSVHFNVRNSTYGWLCYFAFKYPSLQVLEELTFEHNDTSDELASQSKLMLRMDSAV LKRLNTVKINVEENSRQLEPIIWKLFRFFDIPVRYIDYFAYSYINTAVTIRDVIKESF NSYSKTLETLYIKECTSYSRNWIITESMDHYPCLVDMYIDVRRSSVALDVLLDHCVSL KRLKVDSGLLSVGPDSFASAPTSTLTASNIHSLRMLIIGKLSISASILEYISFRCRRL EHMCLSKSTVSGDISENTGSLVIDMSYTHLQTLQLHQVLFTTSLNANIDSRTINLICI SENLFEKSPYCDRQIDNESAQNGLLEIYKKTSWFYTVYNTFCRDEWSSEVWNLARNES EMAIKYFSSFKSSRIFSKNMTVPYTDVGYFTKKNWSDSLPNGYVMLQCGSLERHTITG KWFSNEHTWQKMFDSLH PHYBLDRAFT_73791 MGVYSLFLFSSSLQPSRSSIKCWAIQVEHQGSDRPSMVIGIQVK HQDSDRPCMVIAIQVKHHGSDRPSMVIAIRVKHQGPDRPSMVIVFSHPDEASRTRSHV HGYSSSLQPSGQTLRF PHYBLDRAFT_74154 MGIYSLDLIYLQSPAIQVKHQDSDLPAMGIDLIYLQSPAIQVKH QDSDLPAMGIVLVSGHPGQASRFKSRSTDSGRGPRFQYLFHPGQASKPTSKSTVSVSI PSRVSFKVQVKVSGFRSRSTVSGISKLDEQLLTCFCIFLVSIPSRSSFKVQVKVHGFR SSFKAQVKVNGFQIKVHGFSCIHSIQVKLQGPGQGPRFS PHYBLDRAFT_160621 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKDR PHYBLDRAFT_160622 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQKPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_183888 MLSRLTPPTTTFKSFFGHTNTNTKISKWNCYMIKDKFNIKNKLE VAARYGYPFREYSLGGDEDDEDSPRFKSDGGSYND PHYBLDRAFT_175292 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTGLRIMLCIYKERLLWQDFVLDNGCLV PHYBLDRAFT_160624 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYPLYTLGIIHGDYNGPKNKTQYS PHYBLDRAFT_71180 MYFGVLSLPTLNSCFNNDYRVFPYCVTIKVLLLDFLKPPHIVYL FLREDKPFQFEKDEESNDENEADIVGVIVCKDTMDSSLYLKMLACLKSFNLWFYSVLS FKCFTWFKQGGSTTLKLFQYFLVTYEKNTDPHVTVDVSKIMSGFDLMTKTVFKYTICI KRDVIYLPGYHQSNCSSEKHIPPHLSMTVILCMPVQQLRSQSLSWYFFTAY PHYBLDRAFT_71179 MLGEADETFVNHVHSLMLTLNVYWFKLFEGRTYTGGALYLLIRN FPKEDQMRPENIILVDVMPDCYGGIAAKTTKFPNGTTVYTAIMYVASEIPAARNTAGF PEHGIKINYFECDNDFQSCIAYMIVDPTHNIFLVIANRMASV PHYBLDRAFT_160625 MAIQILCQGLPEYFSFCGVESISLGENVLVNICIQKMKNISLLG QEFFSEQKKYRSSIVRVMFF PHYBLDRAFT_152560 MTATPLNIDEGTFSISNHPIASMVQSYIHMQPEVEYALSSVVEE KARRHLLYKIHRAKSMSEKLAGRSGMTRRFWIPPWMLCIVNAKYECRRCTGMMHAILF LINPLTHKFRPYPTNGLILTEWHVANLFKKPNVATFDSTNLDDK PHYBLDRAFT_152561 MFSTPQPYNKKCYCAGCSQNELVYNFVARRTAQRHNKRGRLDAI RCESESSAQRNSMEVDVEPISTHQSRTTEEECGQTNLLAWEGTPVSDDENVSVTNKGI YNNKNNGDENKEDDNEDVVKVEVEEFVNEGWYCAMEERKI PHYBLDRAFT_152562 MPENPVRRTIATFVVMFASRYVVNKSAVVLIEFINKLLTIYEQD FQLPLSLPGLQRMTGFSAMTKGVKKFVVCQDCHKVYEENVSVPSHCDFVKLCVRSSCS CQLMKSSSLGALIAKRVAKKVRHWNHELKMMNTMCDIYDGAVWKELKDRGGVKFAQDS RPKEPKSEEKNYYLKPLVHELERLFVGMKIPTFECPSGANVCAALLMVACNIPAALKT SGFTSHNSTNLQNCVESKLRSEEWESASTPSERHQLESWNERCPMHNIFLGTLKRMLG WWIEEKKMSKANLITMQKTAETMVIPGKYTALTKKIGKGFLYMKTDERKSWVLVYSPV LLKGVLLPNMFKN PHYBLDRAFT_152563 MREFVEDIHKKVYVNAILRGPGQVSFCQFIFKLMSIPMSAPMSI SVPTICQHTFRLQSFINYYKNPDLVIKGNEPLPPSVFPLLTAASTPMSNIHYIHLLGY YKTAHRNHALVHYEEASSSPYFVYNLINRLKSVNILGQTYEGHNESGYNESGHHGSFI QANFYRSAGEHILAYTGQIQYLFTHSFTPPPTNKNNRQFLFMHYDQHVFAFIKLLIPA DNHSRSLEDVDICYPTFSHDSHQSISTVHRILLQVATSEHTARQNSSSLKAPWEESPS VHSGLLKQRLCQKSSLTSGARRSTSQDHGVFNIGGTTPRMVALSTDQRRPFQAGLLAK IKGALRSSRPYSLTFHQTHPQTSHKTIGGLIP PHYBLDRAFT_152564 MVSVKFKELVSRTTIQAKELFIALVTSIDAVVCSEVASLSRYGD ITYHHVSRQELIDELDQDDSAFVDHLSGKQTLPPDIDIAVALKGKDKTRMRSHERKEL KCAFFRVKAPQLKPPLTCTADTLDFAFTLLHFLLVFPQTLLCLSAATEFPVRAFQVPE LSRDSNPLFPVGLFRIPLTPRTTGQLLVKECHHQIFTASICSSRQCTYFAAQAG PHYBLDRAFT_152565 MDDNTPDSSILSLLHVHIPLSSLHHSHACFITTSVYYPIFFTHH TYRRSSLSLSYQSDSICLLSWATVPSVPTKVHRLFLIVVHCPIIVCTITEVGRVGFGY GQATEVASDLVLVVGLRSPLSLPKFIDYFNRSSRKMNETKNILNFFTENDTYDPITFF KWMRYRYQKDKPDAHSTYLILIAQAKDSVEWKENAVLMSNQWYSHQADGTIADFWRKL PRKASVKRLTQIQNEQAMSNVKRLYKRDKTMSEILDKGLVEEVRSEVASTSSSSKKEK EDASDVPTAGDSTTSDEETLYTPSVLVNTSSSQIASTTTPKLGAAVEALVDEDTNDLL SEYSDNNDIDPLGNFISTPSTPSKRHQNKQVDRKFFQSYITIKNVSTSEPKKCMREIR ACIQHATAPQ PHYBLDRAFT_152567 MFLFLFFRFFLGIFCGGRNVFDCECIIRPTNPEVEFSDIYVLYF TPPPISIVQNELYQELDDEDLQKNNLDKIYAATEDYDLDQLYEQEHVLSYQKKRKSES KLLMARGKASFTRRFQTLGDKWILSSGTVVEEVLYEAGMECAVYNAVHSFMIDMNDPW IKDKFLASDWAEISRDPPAYPPIPEAGLETALQTRPIDSESQLVHQCLVDCPSPFAIA QQLPEHWWQERAWGIVGRLALDVECCFILPGDVAGIDSDARRNRARYTGNIQPISRKR MGVRGDLFWRSFEEPQKDWAVVETLKKWSTFSTKYILESSIKLPRQLHDILVHRTKEI LTHKQSRIPHFVPGLMMGGPVIQQLQIGWGLGGTNVTRLFRGETTRIEATIDLLTLSL DAIYNVLYFRMAVIDFMTMYRSLIKINATEASKARREALSGQQKRGRAYSGKRDTFQD ILHSSP PHYBLDRAFT_152569 MTKSSSVKVIYKSDGDEFFVVAVPGMTSKWRKDKTIPLIDVVQS FEVLMTPNGTSSGEAIRPSKGVLESAFNTNNVDIVVRTIVENGVEKNM PHYBLDRAFT_189330 MATKNRTKDVPPELLIQIFANLLHPLDLLQCALTCKAWSYRALE ILWIKPPIAHPYSWDLFCQTTKPGNITLFPYLSFIRRINLSTLSVAILDDQLSQLSKC DRLERITLSGCSNLTDLGLLKLLDHGACENLVSLDLSDITTISDLTILKVAEQCPRLQ GLNLNMHKDTQDRFTRITDASIVKIAKSCRGLRRIKLSNFAHVSDTSAIALAHHCPGL TEIDLMSCSITNTAVHEIFLNCRELKEFRINQCSALSPAAFTQSALSSIPPPRIQTQY FEQLRLLDLTGVVMLTDEAIQKIVCAAPKIRNLVLNKCYSISDAGLLAICELGRYLHY LHLGHCSRITDFSVVQLAKNCTRLRYLDLASCSQITDRSVTELATLPKLKRIGLVKCT NITDQSIYALTSHIRIATTLERVHLSYCSNLSVRAVMQLVTFCHRLTHLSLTHVPAFL DTELQKFCREPPRDFTGPQIRDFCVFSGKGVRELRHFFHSLSHEEREVRYPFPSPINT AYRRGEDTSATRLSERTPQ PHYBLDRAFT_80008 MPKDDATTPARPQRPNQSMTSTSRDISFSYDAFTSNPVVSVARE RAVGMAQSAREWLSPTMPDWRAPLSQLESLTTVMFNPLAAHPVYRSESMAKAMQLMHQ QQLQQQRSSGSSSSSSASSSSSSISTKKQIAWPSSAVLLQAEIPESSAPLSLFQGFSA AYPSFTNGPAKKQRHKRKKQSGTPKSSAQKAATKASRSMAQLIAEREKRARESDRLEM QKASTTNEVLQLNLQIEELINKRKLLEAKWAKLESKDRHLRASIEEINDAMAAIEDEG RDDGSVRSRRGRSIKEEEESEEEMESGTCFKTFEGHDERILSLDFNHPQGIMVSSSMD GTVRVWDLHRSRCLGTLEGHTSLVRCLQLDNTRLITGSDDGTIKHWDLSAVAAPSPSS VSSFSVYSSEPSSPTLSAYTTTQDDIIPLPSECYLGAFEGHSAEVTALYADEDTAVSG SNDKTMKQWDLETRQCVLTLDVMWASKSAEGTNSWSSFDRWSLDSLQQSLFEPASDFV GALQFWNFALASGTLDGKIRMWDLRTGQTHRTLPGHNGPVTSLQFDEVHLVSGSTDKT IRIWDLRTGSVFDTLSYSGPVTSLQFDSGKIVSAGSTPSIDVYNRTSFQHNSLTGHTG AVNSVRFRKNVLASGGKDKVVKLWTL PHYBLDRAFT_175302 MRWLINFIWLTWLSVSFAVQVPLVKRQAVASSSCALRENTWGIC SPSSTDIWYNGTFYEITWKYNNAMYSNFMYLDLYLLYYNNTQYTTIKTWPDLTNTQGA LVQQVDKTWFPTQLPDNSPNRSWTMTMYMLGAGVDLETELSKIDTSETLYPLPTAFTL VQNAYNTTNVSTATFPSTSDSPAAPSTTTLANAPDQSVSDSDSSLEPWAIGVISTACV LVVAVILGIIWAARKMRKNKKRDNNNSGNEEKRAMFNASQQPQQQQDQQNHHSNSQSS PIETSDTVLVAPTTLQRQFDTGGSTDNRDIASINSATPMMQQGSFSMRSSPVTLTPVG PRNQLEIELEKATISHALQQQQQQQQQHKDLAHQSSSILSSTDALLIADTFRQFMRKP EWNEHTEDDLELQEKAQDAEEEKKRLGNQLLLRHLENEGTSVHTVEKRPALKNDNQDN TS PHYBLDRAFT_175303 MIDPFEKLQCPFGHVVYTPQLSEEQTPQPNTGSLLLMNLAKSDS TNWIPDRFIPCQQKDRLPPGNVVTLKLATLNYCKTESVKDKGLIKKYKSHSLFTNPLN SGSKEQEDLKPKDI PHYBLDRAFT_152573 MSRKANMMKQGLQTVFINPFIDNSLLVKELVAGLTNNELEQVVN SVIITDFDCCSEWRHNNPTLAMLNVDLTTSEEEDEE PHYBLDRAFT_71169 MYNRYDFSVRSYQALRKDRANEISEEDRKQMIIPPKSSNGPWTV LSFVGQTSGYEVKVSQGGLPCKHMYLLGLHTGLKTNYEDDDSDMSEDYEDYSNSDDED DDYDDPEDVPDIVDEYMDASKALEESHEELLELGQYITSREANKLLKAYNKALETLMD LKEKYAVELSMKDN PHYBLDRAFT_175305 MFILLPLALLANDCVDLLSCICAFFQFFSSGLSLTLRWLHPILD NQVNKPFVTPWIRYLLNPNQTFWDLRLLLVFPRLRVSFLRAKTSGLSTILSAIYSLHR DFSLLTPSHPTCLSLKLLDVVIYKDPFTQDTSGWKIRMVADVFVSDYNLYDVRPRLPS ERTNLTYLIEKLLHDLAKDLAELALLFWRSNLLPREHSDLLPPTRPLVIDCTPFVSAL QNGHKRWSDLFSRRFWNLCRDPSSLPSLRCPLRLTRWKLLWSLPIHYTARNIWHRAIQ NTLSCQVTLHHRASSIFPTSTCHMCTANNDTLTHFIYQCPHKWSVWEISWERYFGQTP LPGDVHRALFCFDLPAHSLPYVHVQSSQVVSSIILTI PHYBLDRAFT_71167 MREYRLKYQQFTEVEVVGAKVEVHNSRIEYMRQYRRNQRLRAAE DNERVIRRHRLDDEVETNSWGSIWRKYSLTKSEERLVSSRHVFQSSWTHKGLSRKYRS KGGIVNVPVDVDTAVPSLSCNLNGTNTIYLSLSRRMCYVKDYIRSNTSPAKVWREIIF LQSTPLYQEYNITLSNDWIEDITKSNTEIARTDGAEGQDNSAEEIVEGELEDHGNQRT VLLSDHEATRPSSGNGRIPLSALTVRGSDFLSFPKIFCGQRLSIPENVFCSSLTKSIS RRVRRAARAVNYIL PHYBLDRAFT_71165 MNRTRIHCPFSAEETPPENMRKIYKQESTRIKTYLEENYEEIGS STMRFTDFLTAINIVSVDEYILAILPTFERLTSQRTDGSIQLISSDQVGIQLMLEFQV SPSMYDTLFFCHSTVSLNDAVASYTADSKLFLDGEGGSASAALIDNIFPTMSVTSSGR CILLTSFSIYIKINGHSRKYTLEYMSHNAHVYYKDY PHYBLDRAFT_156687 MSTALFTPIKVGASLLKHRVVLAPLTRLRADANHVPVPLMTEYY QQRASEGGLLVAEGTFIAEDAGVYPSTPGIYNDTQIEAWKNVTKAVHNKGGSIYLQLW HVGRATASIWIPGNKVPVSASAIAINGKNTAGMVYEAPRALEVEEISQLTQTYADAAK NAISAGFDGVEIHGANGYLIDQFLNTSSNVRTDQYGGSIENRTRFALEVVESVSKAVG EERTAIRLSPWSGFQDMKDDTPYETWGYLVNQLQERHPNLAYLHFIEPRDDFIDGGNP TADRTKVVENDSIEPFRKAWKGPFITASGYTTTPTRAFETAEKLENTLVSFGRSFIAN PDLPLRLKNDWPLNKYDRSTFYTSGSVGYTDYPFYNPGTADDNKN PHYBLDRAFT_189332 MSLPHLDVYLESYQLALIDDSNPKKGRCIVALEPLSRGSIITTS QPLATVTLQANRNEFCNYCFKKPQAMSPKVMPLQRCTQCRAAYFCNVSCFKNAWLGYH QFVCKASSTSASNKAASSEDPDTLDAEMLERVALNVGRYNQKEKNDRDAFGEEGERQE ETVDVTMEAFLSLMGHVEDQPKNVLNRYKRIADGVLKKPYIASLGLSQQQLVEFLCWF QCNNFSIQDNQMFTIGEGTYPVASLFNHSCRPNAVAMFDGALLNIRAIVDIPSGEEVT IAYIDAAHSRTVRQKTLREKYFFNCDCVRCTDNTFFGQIDTMLGEEETDWDRAQRVLD PSNTKDNLRQEIAKQVEGDSWDLLEMCRIYDRKSNCVPKPSKPLTLSTYAHCFVQFFS PYLWSSHNPRLLITSPSLHNYRAQVDRLEDPQPPAARPPVRDTADEILSTSISLALSY PTYPGALIPYRLSTLAAATSYFYDMMADGHWENSVKIGMYIAIQYCFIYPQYHPIMAQ HLLMLAKASWNSIVQLELLHHGRALDKLYDNGFRRWIALSKETIQSTFGKQSDQWREV LELEWIFMREQKLK PHYBLDRAFT_175308 MSLNYPFPRLMEEYCFVWLSGGTLILLSVLMPVLQFHSVVRSSI QGIQSDIDETCQDINNAVFHLRDLPNTLSWHVLKSAATSVDNLQRVLITLLSVIQSCV VWLIGVYKSTYRCLLGLAINTILSVATTLTEPIQKVATTVLDGIAQGVHAITDPLAAL TGTKPDPPANQFQMSNWTKTMDDIRSKVYNWTEGPDIIDTLVGAPFEAIKSHININNN SFSDWILTHPPLQTSNINHNPNFITNKDNTNSSSSISNSALTIYQYQQQEQECDPTKL KHIVQDLDDQISSIIWTAVGLIVLAMALCTVWVAIYRYLCHNYLEDRRSLKITAGLDH PSVSHSTTNTTSTTTNGPGALHLLAQEHRYPGVSIIINHIKHKSNFSQGRLDALEWWI TLLSNPFTLYCLLLGTCGLLTTYALLWTLQSLMAKAIREFDAQAVEWARDTTADVLQS LSRSNTADLVELNGWISQTEAMINDQAFGFIRSVSLSLNTTLSGVVGHLTTFVQTVLG GTILEEPAKGVLGCLVLVKLENVEQGLTWIVDNVHINLTRINPNILDLVPVSDVVAQV ASLVPTSDNPKFGSMLASLDLSIEAQLNKHLQFYWVLIYVWLMCLAIGFGLFSFRYWV EKPVSKPINYIQAYNESYNDSLRDDYTIKHRY PHYBLDRAFT_189333 MEISEIVHQESLPTDERPFECTFADCNKTFSRRSDLVRHSRIHT NERPFQCRETGCHKSFIQRSALTVHIRTHSGERPHECEFPECVKSFSDSSSLARHRRT HTGKRPYRCPSEGCSKSFVRKTMLTKHMKCDHSTNGKRPHVQWRPFNEERRRVQQIQH QQVQETQQEEEEEVEVESYATKHNQPNDQSDQNCIMACACSGSYSHFGHSWTAFETLN PRTPPLSTGRASPSFSSDDQSMPSSPSSPLSWTQNPWSPIVHSTQQPSLPPPSALLSQ RPYSHRPTLDLPVFPHDTILPRLDCLQFQSQSDELTDLPSQNSFFP PHYBLDRAFT_71160 MSKTVQSQDSDDALFQEPGLTNDIIRSIMTPGYTAKGVIKLMFY AFYALFATLAAMVVITGGNGHVIALLLLSLCLFFTIRWFVAEMEQIKKNEVVNKEEEP TPKKARKNKKRS PHYBLDRAFT_175311 MLHRSLETIQAQIQPESSGLKETMQQLFTDLRDITSGRAPLSIN LSVSGGPGFQTPIFTMNSEANTGGSGTSPPPRYRMSRGVKTIPDLWREWHFGLNGAKS VVELERLQPGWHSQDDTFFARRHRVIIAIKKHARESGLSEEMAMHLAEERRVLGRKTL DFLGKNQNSIFIS PHYBLDRAFT_152585 MINKLRTWVSENRSRLIDDSSSTNLDLSYITLRIIAMGFPSEGF ESLFRNALCDVKRFLDSRHSGHYKVFNLRSEKLYDNEKFNAPVANYPFDDHQSPPFDL LLKFCKEASEWLEQDPDNVVVVHCKAGKGRTGTMIAALLIYLEDAKDADQAIEIYAAK RTLDGKGITMPSQLRYIHYFDQMLKTPLFSDVRELSIVSLTINTIPRPYRYNVYTTAA KRYTTKCTIDRTFDRIIINTLGILSFSGDFKVVFYRQGLFGFKETPICHFWLHTAFVP TNNMIVHLKKQSIDKASKDTMCHEFDADFSIDIEFKQK PHYBLDRAFT_71157 MQLCLADPSADPPCPSNRSCDSVETRTTDLTMPSISPEQPPIEP INNYPQRELAIKRHYDQGTRPDLVAEMEKWYSMTDRYGFLQDTPITDRQRQKEVERAT KWAAMAKHRVLHKEDVHYFPVTKKFIQRVYKGIPDCWRRDAWYFLCTDQLQTAAHDDK LRITYAELLLKHESDHDHQIDLDIPRTTGDHIMFKQRYGTGQKSLFNVLRAFSLYDTE VGYCQGMTNIAATILMYFEEEKAFIVLVHMFLRDNLHDLYIPGFPILMESFFIQEKLL QQYLPKLFNHLNEIGLTSDIYSTRWYITLFTGGVVRYHTLLRIWDIYFLNGFDILYVV ALVLLKTFQERLLKGDLELCMELLGSVMTVDDDDQFIATVRRLHDKIQYRGLLETIRN QYKSNSINNNNNI PHYBLDRAFT_152587 MTNSENTAENKQTILNAYVGFDTITQQIEKKSLKRGFQFNVMVV GQTGLGKSTLVNTIFASHLIESKGRLNADEPPRQTTEIEAVSHLIEENGVRLRLNIVD TPGYGDQVNNENCWEPIIKYIKDQHSAYLRKELTAQRERYIQDSRIHCCLFFITPSGH SLKPIDIVVLKKLSEVVNIVPVIAKSDSLTLEEREAFRQRIKAELAFHNINLYPYESH EDEEQEQALNESIRELIPFAIVGSERNVVIDGKAVRGRKTRWGVINVEDETHCEFVHL RNFLTRTHLQDLIETTAHIHYEAFRTKQLLAIKGSAQPSSPTPMSSPATPSTNAGVSP AAGSAR PHYBLDRAFT_175315 MYRMFQFFVLSLKIDIFTQFMVSVFYLIQFAANKGQTWEMIIQI VVTVLMLPMFYFARTAGSTESKLRMSIFIAFETIVLMHYALILQQAIEADNGWYTWIS LVCLGSALDIVTVALGIVCMTNFNKGLKPHVQRGQKNKMMYDVELNKDFSKTPWQIDD D PHYBLDRAFT_175316 MVSMEKIITRVTETRWSKLYISTASLQCIIIIVLQSVICYQNST QTSFLPESNHTKTKEMTIAVAAFDRLSRIKWENVSFIGFQLWFVGMAFDATVYQNTAE ILALAILNVLCAVLGALEVVDGYKWMRLLAETSFSTIPLSIARDIEIALSIVIMLFAC AMCYLSFAMSRQFGWNIYKKIGADIQIQRKYLT PHYBLDRAFT_175318 MNEKGKDSDLWGIDYCNHSPKTGATNKFFVNLFPIELFLNFSYS NIRRIWSIFLRTVAYHAAEMFVRTYSEELLNVPPPTGLNEELSLTEDVSDAQTMFDSA VKADDAYGVLSDETIGTYKKTAIFEPQS PHYBLDRAFT_128586 MADAATVTIRTRKFLTNRLLQRKQMVVDVIHPGLANVSKDELRE KLGKLYKAEKERVSVFGFKTHFGGGKTTGFGLVYDTVEALMKFEPKYRLARIGKAEPG KGGRKQRKEKKNRAKKVRGTKKAKAANAGKK PHYBLDRAFT_71150 MSISHPTLSPLLTLEAVLCDTRGGDFRAFAAYLQHTYCLENLSF WLAVECYTTHVSRSSMEKIPTAATTTATTATVSNDDSVAENTESAFDTLPTSLTGTLM EAECHAIVSMYITSDAPHEINIPCEIRLKLLDQLKQNNYHPSIFTPASNYILELMRTN SFIPWLLEPHLPDSLYSKSKSKAQIFTHTKHNPHPHPHQLQQQHQRSLSFPILTLGGR ISRLKPNSRRSMSLIDDCVLDQPLLTTQPKSMLKRIKLSLFRTDLQPILDNQSSLSAE EENSRWPSWKKLQR PHYBLDRAFT_11269 TGTASTGKALFMLLKAFVGTGVIFLPGSFVSGGLVFSIILLIFI AAICLVAFQILIIAQQKTGGSYGDVAEVLYGPWLRYIVFFFLCLSQMGFVASYLIFIS QNLGFVANSLSHCTSTVDSKYYIWVAIAFIVPITWVRKMERLSWAAIIADVFILFGLI CVIYFCADQIARNGSGPNILTINSKDFGLMIGTAVFSFEGIGMVVPIIESMREPKKFS MVLNIGIAIVTCVFVLIGTLGYVAYGEHTQANVTSNLPAGPLSITVQFLYACAIVLTS PFMLYPAINIIEYCVFGDGHSRSKSLKLKWEKNFVRSLVAIVCAAVSFGVGSEGLNKF VSLVGSLACMPLCFIIPGMFHYKVTDDYRKKIGDVILAVWGFGILIYTLYVNINSWI PHYBLDRAFT_71148 MSISHPTLSPLLTLEAVLCDTRGGEFRAFAAYLQHTYCLENLSF WLAVECYTTHVSRSSMEKITTASTSTSTSTAVTDSDDDSVAQNTESSLDTLPTSLTGT LMEAQCHAIVSMYITSDAPHEINIPCEIRLKLLDQLDQNNYHPSIFTPASNYILELMR TNSFIPWLLEPNSPDSLQSKSKSQSKSQSQYSYSYSYSHSEISTHTKPNSHPNSNSNP KPHPHQLQQQHQRSLSFPMLTLGGRISRLKPNSRRSMSLMDGCVLDQPLLTTQPKSML KRIKLSLFRTDLQPILDNQASRLTGEDNSRWPSWKKLQLHVQDRSFLSCHVGRTYKTR VGSRTGQAKHKCFITVLDIGDLYSYNASDSYVYCSFAHE PHYBLDRAFT_90638 ELNVLDLSRTDVLGLLLAIFQRLGILETLATCHSSLLDFFVDVY AAYVDAPYHSFYHAADVVIMLYYLLTDLGALVHLSALDTTSLLLATLCHDVGHPGYNN TYQVGFKTDLAAQYKNISVLESYSIDITRRLLTKHDLLKHSKQVSMVERIERLILSTD MVYHEQLQQQTSNSNSLEITMMDRDDRQGLCRVLLHAADISNTVRPWPIAKQWSDLIV QEFFRQGDAERRAGLPVSPGMDRDLSSQADISLGFGDFVVKPYFEAIAGLLPAATVLV DTLKSNRRQWDNL PHYBLDRAFT_128589 MFIINWFYDVLSSLGLLNKNAKILFLGLDNAGKTTLLHMLKNDR LATLQPTLHPTSEELAIGNVKFTTHDLGGHVQARRLWRDYFPDASGIVFLVDAADHSR FQESKAELDALLAIEQLSKIPFLVLGNKIDAPGAVSDEILRQELGLYQTTGKGKVPLK DIRPIELFMCSVVMRQGYGDGFRWLSQYV PHYBLDRAFT_119306 MSVPNRFSVNGELLKPLLVPRVAGSVGNQQVREYIVQHFRALDN WTIELDSFVDQTPFGQKPFVNIVVTKNTARVARRKLVMAAHYDSKYFEQFEFIGATDS AVPCAILMDIATTLDKQLSQSYETDDTTLQIIFFDGEEAFVSWTAEDSVYGARHLAQN WENSVEMTGSSGNNRWIKGNTRLGAIDMLILLDLLGTPNPIFADFYRSSSWAFKQLVV LEERLGNLGLFESHSKDNQELKPMFNPDSVFTFTSRPPLDDHLPFIHRGVSILHIIPT VFPSVWHNPMDNADCVDPATVEKFAILFRSFVAEYLGLLD PHYBLDRAFT_152597 MAGLRMARSSGYSCCHSKKEQDKEPKCSEATVTSLGFWSDPLVW ERTKLNTFRCLIGCTVGDFSAMWYMQLNHPEMTPVLCTGLAMAAGLSSSLALETVLLR RSLGVPVQAAFKTAMGMSFASMCAMELAENAVDWHLTGGQVAFDDPHFWMAALASMAA GYIVPLPFNYWRLKALGKACH PHYBLDRAFT_183897 MSQLKTFTLPPSILTWPLDEYEEDCMTSASSKQSCDYHPTEPRW PLPYIQQYASIPSAILETTNNYIDTKNTISLSIPLPTRPTRPTRPTRPTPSKLVLILI LILILIQEIGIQIQIKTKKKKR PHYBLDRAFT_175327 MCQKINELFTIEYPVTKMSSLDESLLIFFLVFLFLFLAQDYTKS GKGCMNMSVFDLHANSLDTPIFAKQRNEIPTVSKKDKEGSLHPWLIYNASHVALQDIW LR PHYBLDRAFT_152600 MEDIQPSIPEQRTETQATPATAAPANTTTTVTATPTATATPTHQ HQNLFQAAEQQQQQQQQQQQQQQTGTVDFSQLRNTPHFQQLRQLVQTNPALLQTLLQQ LGQTNPELLRLINADPQGFLQVLLEGEGEEDLPPGAQMIQVTQEEKESIDRLEALGFS RADAIEAFFACDKNEELAANYLFDHNADDF PHYBLDRAFT_152601 MDKKAASNVDIKNKKLGKFTAPTPQNTPINPAPMTSTFRPTVTT ISENETINTASASTRFAGLTGRTTVQIESLPSSVRRRVNGLKYFQSKHTELEEKFQEE VLALEKKYLELYRPLYNQRAKVVSGQYEPTEEEVALGEKIDEEESVSGAAATNANTKS NTTSTNNNNSAAAAAAKQKKKDDDEDDNEILKGIPEFWLTTLKNHPQTGETIAEADEK ALKHLVDVRMSYMDKPGFQLEFEFTPNEFFSNKSLTKTYFYQDHAYGGDFVYDHADGC DIQWKEGKDLTVTVETKKQRHKGTNKTRVVKRTVPADSFFTFFNPPAFPEDAEELDEE EAEGLDAKLEADYELGEEFKDKIIPHAVDYFTGKALEYEEFEGDDDFEDDFYDEDEEE EEEDDDDEYDDEHPQPAKGDNTPECKQS PHYBLDRAFT_175332 MSVSSPPYQQQNNTHQTSSYEYLSELVSKRSATIKYLCRAHEGN THWFNTILLTQQDLGAMYPNHKMMRRTSNFYTLGVSLGTILDITHPLDYLKALTQLLS EFDFYTNDHSKQKMANDDNGAEGTEYTHLIVPHVVCRRMPYELDYFETFFTLCDIMTE AYEKLLVNTDNSVCSQSYFELVLKCDAKFKKIVSLVTKELDALARNAIKEELKLIDPL SHSNKIPPIDFEGTEV PHYBLDRAFT_175333 MAVKGKSTDYEQWFLFCHTAIFLSEDINTVKFAELILLWQSYLH SSCVYKEKAYVRTYLFPIVTSLKSSTIINTIILNSSNVKNDLVDPSLEGIQTLPLNTA VAVKASEW PHYBLDRAFT_152606 MSIALNRLARGSSRFIRSSQLLKCVQHSSTLTSPIRVPMPYFDA DRFIAGLGKEGFSAQQAQAVIDALEDVVTESTINVTGSLVSRAEQEDAREFAKLKSEI QITEKRDVEEAKLANERLKSELDKLRKSLQEDIVRSQAGVRLDLNLEKGRIRDELVGH HEKLSATDEKIEGEVLELRRHMKEIKLQILQYMIGTITGAGTLILGYVHFLH PHYBLDRAFT_71135 MFSFRKRLSERIDQNKWTKRFLLLVGIQLLFTIPNLIATAVMYN PYNYEYLNTPEGGDDKWYDTIAKRARIQYESIWFIIFEIWRFWLAVDGVLHGNSMTIS VTFFSTAFAIVLGAMQIVECTKVIEYTRLSVVPQIIMTSLLCAVAVPTLYATYKMYRH SDWIKFHKLGPDVSLHVMYHWVQCFVLVIKGDMFFQAMALCLYFAVLAFSYERWYPFI IVLAPIVTIAFLYLGRRGTTIFLTIKCQTQFGKGLRDYVQMRGTKNKSFNSKQDTETR LDTEYILSGWNDVENNQEHNNTVISNNVNNNNIFESLRTGNTR PHYBLDRAFT_152608 MGRSLVLELPINVPNNMPHIGTVKTWLHILQAICTILSVSVVAP IIAIEKKYYGSSQTGPNYTLFAIIISTVTPIFLIYFPWMYDRKAKFKKLGKFCLKPRT SIVFSSFYSTIWMFAGIGMSVHATNANNCSIDTDLEETYGDTYNRDWTSQCNCAKAAA AFAWTICLLWFSSLICSGIILWNEKQWVRKNFQEHKSNKQNALQTHESKHEETLSVDD YGSKLENGSPPFQHAQLTGSNQVSPYATPVNQTPNTYQNYHHQQVDPAITPYTSPVYQ QQQQQQQQSVRFNSPMPLLGNSAEAPMTHAYYQSPEYVNPQPHSSTPMTMPQPTQYNQ QSF PHYBLDRAFT_71133 MEITENRDNTKRQCHLQVYRNQWLKYLKNDSARTNYHVSLNLVT STSTMEKGDFRGDSKGYFTYIETSLLLHATWKSIQGSGFYTFVHREYKKEKLQIQSEL KKAFQGFVKKFCHEQQAHLLLIMKRCRMDNISRRLRQALIYTFNIAYREELQYFRICI DHKKMQYSAQCFKFRVCQFIERARENRHHPAIEHTIFLELTDYNQLISVQFTLTYNQS KHRMAKYIAHPAMRFACIDLFCDLELRIEMSDTLE PHYBLDRAFT_175339 MATIVKTTQTIQSLHSNPPKLDAPPDIITNRTIAQHVPSRKSVF ATVQTILLLFDGRDKALKTIQYTFKVLIHHNVLHSKLWPTMTSKLSLTRRVLRLGTAL GPIRILTASLVPPTQNLLLLNTITNNLADDVVCFYKLSLINPVLGKQAQKTAHYCWFI SIIADLKSACSALWRLQPISHDQDRSYKNHQDDVWMASVSIVKLVADGVFCACDIWQP SFSGTAQAWSGLISSVLAGYKLWHKSSTT PHYBLDRAFT_160636 MAEVFIGLRVSLALHSGVLIDGTVAHIEPSTHQMTLHDVSLMFA GQPALKTPIYGVIGSDIKDLQVISRHEAAPPSTQPPVVTKSTNPHQSRSVETSAPPPK PEVHIEQATEARKKNNEPSQSVSNTPRRTKKSNCRPEKDNGWAEEDVEGFRKKEFDFQ ANLNMFDKEKVFAEIRESDDTASDTLLVTLNRLPQREKVQQKINLLNTEMVLDPAPSA SSYKDSDTDENTSNSQSSDLGEDVNEINASLQKGPPSIVTVNGKIICPKIPRNKLWLI EKTAERGVGPVSSEQLVENGGRSACLLALQAMGNKYVQSSKRVPVAVILVGNNSTGAS GLAAARHLLNRGYKVFVNVFISGELHSLLDKQLDMLSAMGAHIMQATALPTQCARADI IVDALMGTSDTAYNTSTYPYPSMSLAIDWANAHSAPIMSLGFPSIINEKPGYPANSII PKWTLCLGAPITECVSPTVTGELYMADVGIPHACWKQIDVKIGNIPWGAEFLIPLEYK YV PHYBLDRAFT_128600 MASHDEQLVMLTKKLIEIRTILMSIDQNDTLKLPSIVVIGSQSS GKSSVLEAIVGHEFLPKGADMVTRRPIELTLIHTPGVKEEYGEFPQLGLGKIRDFKEI QKTLVDLNRSVPDFMCVSDEPIELRVYSPHVPDLTLIDLPGYIQTTSNNQPESLKTKI EELCFSYIREPNIILAVCAANVDLANSPALRASRKADPLGLRTIGVVTKLDLVAPEVG TAILRNADYPLHLGYIGVVCKAPKHTEGNMTGALIRNEEAFFRHHLMYNQRDIHVGTS TLRFKLMSVLEQSMGKSLYSIVDAVQRELEEARYQFKVQYNDRRVTAESYVAETMDAL KHNFKDFASHFGKPQVRHEVRSMLEQRILDICAEQYWSDPKIAELPKATTDDIYWLYK IDLASAALTKSGIGRSTTQLVVDILMKNMERLANSEPFTLHPTTRTQIMNFTSEVLRS RFLTTSDQVENTIKPYKYEVEVTDSEWADGVKRSIALLEREIEMCDKMAANIRSTIGK KKLKSAINYVLENERRQDQEKRLSETSGLSIVEEESDPDAPAIRPLYNPRLVEKAKEA LFLRDRAMILKYRLAALKSRQCKSVDNKEYCPEAFLNVIAEKLTYTAVMFIQVELLNE FFFQFPREVDDRLVYQMNRKQILEFARENPPIRKHLELQERKMKLEEVMEKLNYLMRR QADRQAKPKQGSQVP PHYBLDRAFT_137361 MSKVSGSAVRETVRAIKAGCEEKKRNFTETIELQIGLKNYDPQR DKRFSGSIKLPNIPRPNLSVCVLGDAFHCDQAKAAGMEFQSVDDLKKLNKNKKQIKKL AKKYDAFVASEALIKQIPRLLGPGLHKVGKFPTPVSHADSLTDKANEIRATIKFQLKK VLCLGVAVGHVQMTEDELLANIMLSINFLVSLLKKNWQNVKSLYLKSSMGKPQRLF PHYBLDRAFT_189344 MYAPNCGLSLATNGTGMKIETYYSKAVSYAGMASFLAILQIFSL IHQMEFTPTPSSVTNVSYWTIVMQAIMDGYLCLLHLTAGIVVDAVFIPFAATAFFNFV LVSVFGMRYLLVIWRIQRPEVVRTTRPRPQPAPRVEEEIEGETPPAVPANATPVREER SSPTYDVGTLYCKLYISLLVGLFLFYHTATSSAFVQNIVIAIVGLAFYSFWIPQSIRS ISRGCRRPLSPKYVVGMSISRLAIPLYFYGCPENVLAHKTTPWIWALVVYMAFQVLIL FLQDLLGPRFFVPERFLPQTYNYHPILATEDEETLQGEEEAGGSSGTHSRDCAICMLP IESAPSTSTGLGVLGRAQYMVTPCHHMFHTDCLEKWMRIKLECPVCRAYLPAC PHYBLDRAFT_33968 MRLTNLWKPVLLLASLVGLTYAAVPASEVKLESYTYSNNVLSGK IYVKNIAYAKTVTVIYSDNSDSWSYSTEAAYTEPISGTNYEYWQFSTTVGSSGIHQFY IKYVVNGVSYYDNNGGQGKNYVVATSTSSPSSTSTGPDPTTPTGPVIVPTGTVAVPGG NSTISTWAKTQKATSFKMVLSNINPAGTVKGFIAASLSTSGPDYFYSWTRDSALVANM VSFMYSTEYAGDATVLGLLKDYVTFQVNEQSASTVCNCLGEPKFNKDGSSYTGSWGRP QNDGPAERASTLIAFAKTYAAQTSDSTYVSGTLKTAIFKDLDYIVTVWSNNCYDLWEE VNGLHFYTLMVMRHGLVKGAEFATANGDSTRATTYTNTANTIRAKIDTFWSSSKNYVS VTQNQSGGANKPSGLDVSVLIAANAAGLGDGFYTSGSDKILASAVAIENSFASIYSVN SNLPSWLGNAIGRYPEDTYNGNGNSQGNPWFIGIATYAELYYRAIIEWTIGSGISVNS VNLPFFQKFDSSITSGTTYAPGSAGFTTLTSKIALAADAYLSTVKYHGSTNGSFSEQF DRTSGTMTGARDLTWSHAAVITAIEAKSGLLV PHYBLDRAFT_2544 YRGLEQYRLVSKLGDGAFSNVFKAIHLRTNQTFAIKAVRKFELT QAQKASVLKEAQIMRSMDHPSIVKLYDFVETKEHYFLILENCQGGELFHRIVRLTYFS EDLTRHCIQQVAEAIRYLHEEKGIVHRQAYTYTIFLSIYIKPENLLFEPIPFLDRPVD IGLSQAGEYDEQKEDEGRFVPGLGGGGIGRVKLADFGLSKVIWDQETMTPCGTVGYTA PEIVRDERYSKSVDMWALGCVLYTMLCGFPPFYDEDTKILTEKVARGQYTFLSPWWDS ISADAKDLVSHLLCMDPTRRYTIGQFMQHTWM PHYBLDRAFT_175347 MFGCFGKSECVFCSVSTENGFSIIYEDDTLIAFHDRSPGARFHA LVIPRDHVSTVKVLDTSHVPLLERMIGLGHQLLKDRGYAQNADQIRLGFHVPPFNSIN HIHLHVLGLPFGNRFREWKYTPGLWFAEANTVLERLRQGLSPV PHYBLDRAFT_175348 MENITAHVNKKMDRYLDNIKLVNMPSKGFELRILKMYSRWLKEY AYRQTILGSWKPMSEQDNSGETENQESKELQEISTSFYNGKRGDLKVHLRICLKHDGK LFDVCNIEISRNGDMERKTLTDTAKVMLEGKCILDQVVKIGILKGDQAKDVEVLNAQL CGLKGVLIGVRLEESERGYVAESRSSTLCIPTRIAHLPSFSKTMVPAVLIIKEQAEKN ANIMLDALDKDNSQFGSQSPNRENGFLKPTWLGPKPSSPKVVPPLPKVFLA PHYBLDRAFT_71122 MSRHKMRCIGKPYGQPGQPGQPETSYAKDYKELLPDLDAILFDI LLYIDLLTRIMSLSFFYLHIPRVRQHQLEPDRLISCRQKGQDLWSGRIKMSALTKKCH PPKLRVHAVQAVLPCCPTRCGHNLYNSKRLGLPK PHYBLDRAFT_152619 MQVDVMKISQSFCLDATHWISSRKTEVLYSLVTCHLQTGKGVFA VYMIANNNSVGPINRWLVHLRDMSSFSPLNISIDCSIPKVNTVKARYSDNFLENLALP HVAIHYCDFHVLRAWQGNMGSKVSLSGEYTSGQLNAYKKQLKDCLKDMLVESIYVTNN YAETWQNQLKKVYFKRTRVRRLDRLVSILTNNVEFYYEQEVERIHLNNGRMGPVENEL SRHSLAASHITDDILPSMLLNPFGEIDSVSRTTSYNTVPAKTSRGIKYRASTFIWSIA KRRQEQKDDVVDVVGEEVEERGEVEKSRKTADVFDRICAHATAIYREFETFQISKTIP GLDQTKAEKIKIAFLDALRLSREYKEENPSYFKDLITQK PHYBLDRAFT_152620 MARGAQKEQARQKAQKEAAKRAGGVSQLGARAAGLQIKCPKCFS GMANYKLLVQHMEAKHPKDPIPDESTFAK PHYBLDRAFT_152622 MSQVEYWRQVCTNAFNGDPRAEQIVMSVEKLNQTTTDTIIITPA KQQPGQPPRGLTVDTLENLGFKLTPSSTPPTYQLPTILLKAMAQTKREAREQWHIDRQ IALSRHLDESLQHITVPHVTGTQRLALLQEFSRTHDTNLGSVPFLRAFIGFLEYQLKH PKNLAEWQLSEYALTQNGEDCMMDYIRILRVFGMQLVYRDQMPEQAVAITIEDPFITW RMNDQLSNRDIQDMLKLLPKHTGAKDQYQVSGLPRLSFIEKPQSLLGWIKYMIFTCFS FLPFH PHYBLDRAFT_183908 MSTFEKVVVIDGKGHLLGRLASIVAKQALNGQKVVIVRCEELNV SGEFFRNKLKYHAYLNKRCVVNPRRGPFHFRAPSRILYKAMRGMVPHKTARGAAALDR IKVFEGVPPPYDRMKRMVIPDALRVLRLKPGRKFTTLGRISHEVGWKYQDVVAKLEDK RKAKSSAYYERKAALIAIQKKAVESKASSLKTVNASIAALGY PHYBLDRAFT_152624 MSLPCSPTLKSSDDTITAVDFISQQTILEQDAREALPGNFETCT FDMGYIRQNVYACKTCNGSEPAGMCYSCSMTCHNGHDILELFPKRHFRCDCGTPSKLN GHPCSLSKGTPKEKKTVNEENKYNHNFYGKYCRCDELYDPDREDDVMYQCVVCEDWFH QRCIGEIPDFAADFESYICRGCTAKHPFLIQDTPYCTVGLSKDNQKIHEWMPTKENIE VVSVEESVGQQKRKADTIIDTDPKKRKTDKFDCKMRSYESQVELFLASGWRSGLCSCN KCEENYQTNELGYLKEEEKVYEPEDDDDTGKSLLEAGMEHFQRMDRVQAVESALAYNA FAGELKQYLKKFQEAGEVVKKEDILAFFAEKRRVEEH PHYBLDRAFT_25417 MSRLFRIAIDGPAASGKSTTAKLVARRLNFGYIDSGAMYRAVTL KCLENGIDPTQKNNDAKVAKLASEASIRFPRLGSVELDGRDVSSLIRSSEIVRAIAPV AANPSVRATLAQQQRDLASGATESGLSSESQPKGVVMDGRDIGTVILPDAELKVYIIA DARIRAQRRFDELMAKQGTAPGESVDDIARDLAARDLADQTRKISPLKKAEDAVVLDT SNCTIEEQVDSIERLVHSRMGSK PHYBLDRAFT_152626 MLNPFIWLLLLSITLLVGAFLFGSIPLAAKLSETKLRYLTALGV GLLVSTALVVIIPEGIDTLYSSHTSAIIARQAALPNINTPTTTRDLINAQLSLAQDKT KREWDDAMLARDAILGQSQSKETDDTTALHSAVGLALVIGFATMFIVDQLSSMHVHAT TGNESMQASTDNGKQPTEESEDELSSSTSRLTHSPTKSGRAITPTIGLIVHAAADGIA LGASASHPQLSMVVFIAIMLHKGPSAFALTTVLLAEGVSRVQVRKHLLLFSMAAPIGA ILTYLILYMTSSTASAGIEYWTGVLLIYSGGTFLYVAMHALQEVNTVASHSNKMSREE IGTILLGMFLPVLINVKHSH PHYBLDRAFT_189348 MSVSPSLKSSSNFDSNEDLLNLITSSWDKNRKSTLQNSKWTRDY LSRLTSLSLNELIKEPTELRAEQEKTKQDAQMLAYKDYPVFIHAQECRLELDETLQGL DGHLNTFVSAIPDLQDACKVFTQQAQAIVEDRTKITRVLEHQNVLVDLLEIPQLMDTC VWNGYYSEAMDLASHVRLLLVQYPLPVIESIQQQVQASCDSMLVQLVSHLRRPIKLAA AMSVIGHLRRMEAFQSETELRVVFLRSRHEFLEQRLERVRQKDRSEDIRKRSQGAFEC LKRYIDVMREQMFEIAKQYMSVFPGQESMLLVSDYMIFLITQMRDMLKEELRFIKDTS SLASLLTQLQYCGVSLGRIGLDFRHLFVNSFEDAVLPLIQEWISEATADALKEITLAT NNLSSPSSWMSVNVASSLHWQDNAQKRHVHQPPMLLVDYPILAVFTNNILSTFNALRL LPAVGLFKPIQDHLNECFLEIGNALKQYSDQAVLRIPEENVFLQSFCAAYVRWFRGIF QQMDITETIPAHTILYYEKQLGT PHYBLDRAFT_128620 MSRFFRSASDSESDSESSDNESFISDDLSDNEVDSEGEEEKQQD DQPKKSRFLKGTGDDSDDDSDNEFGGKRQVKSQKDKRLEEMQGSVKAIENGQKNSDWT RITNEFDKLAVSVTRATTGFDSIEVPRFYIKVLSDLDDFMQETLQKEKSAKKKMSAGN NKAVNAMRQKLKKINKQYEKSIAEYKKDPEAFMVEEEEEEIPEPIVKKKVTPSEKVSS VETEAVDDGFTSVGKGGKAVVEVTNETLLTKLRETLENRGKKNTDREEQVAVLEVLLQ KSKSPFQKISVLLALISSRFDINLSMVEYMQLKLWKSVEKELNLLLEVLEANPAFVVC ENAEDLDNEDKDITPAAGETIKIRGSIVSYAERLDDEFTRSLQHIDPHTTEYIDRLRD EPSLYAILVRTQSYFEEYQMKDNISRVLIRRLEHIYYKPEQVIRSIESATKELLPKYI SSKITSSEDPTQLIHQICSYLYKQSASVLRTRAMLCHIYHFALHKDFHTARDMLLMSH LQESIHQADINTQILFNRTTVQIGLCAFRDGLIKEAHAALQEIQGSGRVKELLAQGIQ APRYGQQATPETDQLERQRQLPFHMHINLELLECVFLTCSMLLEIPAQAQAGPNNKKF ISRPFRRLLDYNERQAFSGPPENTRDHIMSAAKALASGEWEKARDFILAIKVWDLMPE TQDIKDMLVRKIQEEGLRTYLFTYASYYSTLGLSQLSVMFGLPVSNVSAIVSKMIWSE ELSASLDQVSQCVVLHQVELSRLQVLSLQFSEKAANLVDQNERLAGVGRDNSKNHN PHYBLDRAFT_175359 MRVSYEWRMWLGCLQSSHGYLIIVIIYFNGNSLLSINKDRVFRQ NPIVFGNLDTVPASSDQLYSSFSYYRQGFYYQNQLSLLKIMYRLTRGRSVFTIYSFFQ STYDYLLHTRLLQYSAH PHYBLDRAFT_189350 MSNSGEGDDVAEKRQRVSRACDLCRRKKIKCDGLAPICSNCQAF NLACSYKDTTKKRGPPKGYIEAIENRLHKLEGLLSEVIQEDDPRSKALLAELNSPLET TTGEQIKSRPVRRKQHSKQYSTNSQDSNQRTPDTSNEHNTRQLQSSPKSTVLSQPYLV SSPTLSSTGLADSPSSPDSINDGTGQLSMDESGQVRYLGKSSGYYLLQKSRTYQNGAF HFSGWGHKSTTSHKTPPLLDPLELPPKDLSKHLIDIYFKYFYPCMPLFHKKLLVPAIS PEEQVSPLLLNAIYALASRMSPDERVRSDPASADTAGEIYFERAKCLLDDYYDIPKIS TVQALLLLASHQQGVMKPARSWLYSGMAFRMAQDLGLHRNCEHWNISREERERRKRVF WCCFITDRLTSAIYGRSSNFEERDIDVPFPQEDDDEPVTLENSESSRPPVRILDILTQ LIKICDILGHVLKNIYYAKARHHGLPQHIDHILLTLNRQLTNWFSSLPPSLQYKPPNT QIGETGPDPPLPVCQMHMVYYTTVILLHRPFIPGPTQTVSPMSLPSYKICISAANTIL DIVNIMLVEKHLPYVSNFTVYYVFTAGIIFINLASSSDAESAFDAKVNINKIMRALDE IELTWNNAARSSNIIGELAGLRDINLECGEDGPQPAKTLSLPPPSIAVPNSPEISMYD HRRRQSRSFEDNNNNKIERNGFTENQWPNQLPNSQMPSQKMPEHLLASHGLNYMPFDS EYKYPFMVSPTTSSGAKGNVPTRSISLHDSNQYSTHANNSFMDAQTTDPFAAPGTVLG PTQRQFDPLGTAFWGVPSSLDTEEWNNYLGAQSVPNQNYNEHNNNNSGPSTNQQLVVL QDQPLISSHEFSPTQSISLPQQDNGNHQRQHQHQHQDSSKNQTHNDRGADMFSGMSMS MMPDSPSRSVLLGYMGNNRIGPSGPNNDNTLLKQPYSSRMNEPEPAELMYW PHYBLDRAFT_25425 FSQKEVYDVVSNVKDYHLFVPFCNASHVYSSTPLGTTGTYIMKA ELGVGFKLFEEKYMSQVTCKEPIMVQAIAADSSLFKELVTTWKFTPYTYPSGNLQATQ PPACYVDFDITFEFASPIHAQASSVFFDQISKMMLGAFVGRCENVYRRRASSH PHYBLDRAFT_160645 MPHMTPFTNPPQSDDPGYQPFPQVAGNDHYRPEQHYHGQFNDPY STLPGPSFPVAMPAPHIPSNDSSPHRSPYMAADRPVFPNHNNPQPQSYNSLNTYMDGS EHVSAVARPPPDWKYSSSPQPQPQQPYQQYQPYQQYQ PHYBLDRAFT_41755 MYKEIPVLESLLTIRHKLSALKKDRDSYPKPDLIVDLYHDTEQQ VELITAIRANQVWETSTRNRLNDVLDDVMSLLSLFFLSMGRNRESPAVYAQLVTVERY LDQLSQMGIYTDSILLEIRSRLADIESIVFADSSSSNVSPCFLDLLKKKLTRCKTLLE NLLSTIHEVSPALKPIHDELVSLRHDLAAVASRPSGFKAQDIRPFQIKLRQIDNARSE LVMPDDRIPKGQALIVGLLEQLYEETHDLIASTDNISESLLPIADRLKEIKGQLERLA LTHRWTLRETDLYTFQLQLQEIEKLRCKGKFRDAQSNAPDGQVLINFLLRGCYRLMSK MLSENVPVAEALMPVHNQLSTLRRCLVEVRKWGKPDSPRELYPYQMKLNSIDKLRQDG VFYDEEGNIPEGQAICIALLNECYDILHELLSSIDD PHYBLDRAFT_178444 MSAELAVVYAALILQDDQIEITSDKLQTLVKAAGIEVEPVWFSL YAKALAGQDLKALLLNVGAPGAGGAAAATGASGAAASTEAAVEEAVEEKEESDDDMGF GLFD PHYBLDRAFT_25385 PAFSEKDVTVVFVLGGPGAGKGTQCENLTKDYGFVHLSAGDLLR EEQKRPGSKYGELINHYIREGLIVPMEVTIALLQQAMTEAIAANKGTRFLIDGFPRKM DQAIKFEEVVVPSKMVLYFECPEEVMLKRLMKRGESSGRVDDNIESIQKRFRVFKDTS FPVIEAFEKQNKVQTVTCDQSVEDVYKNVKRIFDTLFSEDSQ PHYBLDRAFT_71105 MSPALLKNRDKARQMQRTSKPASILERTFEPKEERLLIANSVDY AETFNEQHPSWGYSKFILLNSVKNYLEDDRLHICVKIREKIRWKIKYSSCHPEQVPFY VNNPSYTLMIPDITVHVSSEDTDSSNINEKTNTITIPAHKEILAASSVYLKTLIIVLE PFNRDKLFIRGVDPGLFVRVLRHCYIADAEIVDIKDAIKLADIAYRFQLNNLMIDALY YLRMRVNVDNIWDIWYISAFVRHNCRSVLESSSWVHADKKLVLETLKIDKLPEAVDEV IFFQAVLAWRTTAITKFCHEFIDSSNEYSGQLKKDFTEYILNDSKEELEYSEKIEEKD SETNEYSNIEKTFGTTNTERLRDIEISFSEMLHCIRFSRMELVFISDIVENIDSVMNV EGIEELLSNAYRCLAFKGKKYVPEESRQLHIN PHYBLDRAFT_152635 MLSETKVQYSHNLQSKGLKLEDINEHGGYANIDKIMAGSAFSSR TSFEVSSKIIRGEMSQYYLFPPRDGFSSEFIRQIENKSINEKPKPPGYEVGLLRKRAI PEVAPRPVQQSNEKVKVETHVKRSSNSIKRLSDSMLFNSMSISIPWCISLSNIRSILT NLTMPNPDKCVQNIHIIMDKHTGKTLDHAYIEVLSDKQTIVEAIKTYRRPPVKGRRLS LSESSQDDLMSDLYPGWKDSLGISSFKANGDDTNIQNQPGLIEREDYEALLAVCRDFK LHFSRKCAERPFEHFISLLIKYPWDQPQRITTLQRDHLYEYYKQATGILKEHLFRHQA IFDPTLLQRMVRGAIQSPGLTVPQKKGILKASLCVCPDDLSHLLVQKSPETTATEDSA PPFENSFFSIQI PHYBLDRAFT_152636 MSAEGLAGRHLTSNSTPITRTWLRATPKLEVLGHKTKASGGLVK TMPKNSKDPSVATMDPRFYEVFFQYFEETECPSLKDFCARNHEFVVVNTTSSDIKGLW NKRFKSAAKIFSTEKPFTNDQPDWNQYIQEKLKRVLKQAVFDEAESTRASSKSSHVSS SNGSSKTKSSPLNESQIEAFKKSFDSMDPQKKWKISEGVVVEDKMYKFGLSCTYEHPV HSFILDVDDPCWISVFSAKELNIIKASGKASMPPLSDGLKEFFQKFSELSTLIEPSTG DSPNLLPSSYQESFLKRLWSIATDHGFYDPLTHFDEDWAQRSIIEYISAYRWNVIQRL TARGSEMDFVVRIWSQLDKCFDNIAVETFRNRGCIATSVRMNEKRRVTGRDPLVPKSF STKPDLVLYKEDVEYGCSEVGKNDDGGVGEKEIVETALKCPKTMKDMLLRAASKVGNA TNKTRQLQIVGFHQTSLRMHLSVLDCPEGYICRLRRSTEYTVPLQPSLIPSQLIPILK LTCKAKEIVRRTIEVLEAPAYVEDEPDFSCNNATSDVIVLPCALCKSVKRKRESSPA PHYBLDRAFT_71102 MTTDETKKPALDQPPQSLSLSQPYTSPVLWNTKEFYFYYLCFAT VIPYMFYSAYRLGREDNPNYEKYSDLLSEGWLFGRKLDNSDAQYAQFRAHLPVLLCLM AIYLQLSHTIGRSTTKSPTRRINFSLISSLVVVTVFHGTSILKILAIVSTNYMIAKLT GGTKWNPILTWTFNLLILFMNEWYQGYSFAALGPAFAWMDKYDGVQSRWQILFNFSTL RLISFNMDYYWQFQKSRLDYEKKDHLIHPPTDKERTTIPCYESEYNYKNFLAYVLYTP LYLCGPIITFNDFISQIREPSQKITRKSVGLYAIRLACVIFVMELTLHTLYVVAISKA KAWEGDTPLEMSMIGYFNLIIIWMKLLIPWRFFRLWAMADGIWTGENMVRCVSNNFSA QRFWKSWHRTYNRWTVRYIYIPLGGAKYMMFNMWAVFTFVALWHDIELKLLAWGWLIC IFLAPEIIASAMFSYKKFGQKPYYRFVCGIGAVANIMMMMIANLVGFCVGLDGMKEML QKIFGTVPGLIYLVSASACIFICVQVMFEQRESERRRGDIKWTM PHYBLDRAFT_160647 MDLLIQREHDKAELLRKPKRINPSTVQVEGMLAKLPEQGIHNIN FQNPNIRIAPPLPRVPMGDFNHSTNNLSSSQQYIQGRSIGPVVNHHQHQHQHHNQQHN SEHQKGQGHRMKNTDARRRAEMLRNEVSSIPPRPLSSMSTPSNQQPMMGQYMPMVVNP MQMPLQVQNPMGMVSSRSLGNSSRPLSMMGPTSGAWGSTMF PHYBLDRAFT_11270 IIEFSFVVVDASNMQVLYKEQHYVKPERTPLTTFCTDVTGITWD MLESAGSLKDAISSLDQYITTEIEGKGLSFCFVTHGGWILRIQIPREARDKNIELPGY LAFCRMFDLKQEIQRWQVHHPEVNLRTTSLKDLCETFQLTDEVTDGDTIGLNACLTTV KVIRYLTNFRHPDVFVHPIDTNADLQQFKKEESRVIHLAGLPFEVTQGELEAWFSSNG LRPTTMWMIQPADHAKPSISGFVLFYAHDDAMRALALNGRCLGDRPIEVCPSSERVIE AAGNMLVPFPLQSKSRSLRPGDWNCTNCNFHNFASRRNCFKCNAESPNPASASTPTFR PNNSGGSGGNGGGGMNHMSSAPGTPMGVNPAYGSGRPHQPIAFRPGDWYCPNSSCGFQ NFASRASCFRCHTP PHYBLDRAFT_189357 MGSMSTPNGYESVTREPLQKRLTVNIGKYCRQHDISDNVKSEIP MIFMAHKQEKVSPSDDNLDTKQHQHVANIIMISRFFMTTAIKTISIIKDASAKTITHD KSIMQLLGLDLPLPEVRLAKTVIQADDNKEETTWRSYFSLSVGERGIKAGTSMDALQF AEIQMRRNVKDETGALEDEPRLVRA PHYBLDRAFT_189358 MAQIKKQRNYRKKKADSDDEAQVELSPSTTSPEDVSVLSDKIEE LHELRRLRRKHGGIDAEKLFKGTEKPKKKKAQTDDDPWKLKSGGLVDQDEARSGRKDD EEGPERKLRLDTFTTQTNTLDVDKHMMEYIEAEMRKIRGDGDEDEEEKKNGMTDIYEE LYHLPERLKVEQKRVEEGNVQLSTQMLTAIPEVDLGIDARLKNIEDTERAKRKLMDEQ EGDRAKPPSKREPRVPANFEKQIRHVQSKPRMDRRQMATDEAVAERFKKRMRK PHYBLDRAFT_71097 MSLEGIKDNLTNLIYKGEDFQLVQIKYKSYSHAQIVGFICTFQK EDCLVTEAPNKRVEILREKRYSDTAIRKGKLYIKKCKENDVDHQKNCIFIDETGFNLH INILDVMDNVRIHDNSRVPAYIGKRGYKPFILLSLLTIP PHYBLDRAFT_152642 MSRITHTSPLRAIQHTVTSGNLYFRCASSIPIDIGFVFVVRQYG HEPLVFRQKDEPLYLSILSYLGQIELLLEKRELLGDAIYREAKDGLDLKIDRLESRIN SIWEQLELLDKPTQKDYLQQVGMSHMYPKASNHLFAEDEEAQSGSSHYEQLGLLYQMY QMAKNIQDNLCLPDHTYIAYQLALLYQCVNQQDSLFDPYKVRIELEFEGIRVATKLPG LIQNIQSDWLYSLTRDLMEFITKVFGQQIATSNLVQTMSNMETFFLNTF PHYBLDRAFT_7545 LISDIHKVLGPDQGLDSKNIDAGEIIRLMEQYSSNSKDWAKYAM FDHSRAYTRNLIDDGNGKFNLMILAWSKNQQSPIHNHSGSHCIMKILDGELQETLYDW PNRPSIDGGVPLNVSRDTMYHANQVTYVHDSIGLHRIKNPSQQQGAVSLHLYTPPYQL CKTFEEKTGKARSSGVCTFYSVKGTPV PHYBLDRAFT_183919 MSSLLRRNIPRNIAALRNASRPLMATIPTSTTHRPSTVAGRIPS SAYSSRMSSIVRSIWIPAGRDSLPEDDPRRAEPFEDETDVAIVGGGPSGMAAAIRIKQ LANEAGKDVRVMLFEKAGEVGAHILSGAVLEPTALNELIPDWKEKGAPLNTPVTKDSM RFLTSTLSIPLPHPPQMNNKGNYIVSLNNFVKWLGEQAEEVGVEVYPGFAASEVLYAE DGSVSGVALNDVGLDKNFEPKDTYERGMCVKAKVTLFAEGCHGSLTKTLFKKFDLRKD CQPQKYGIGIKEVWEVEPEKHRPGSVTHTIGWPVDYKTYGGSFIYHLEPEKHLVAIGL VVGLDYSNPYLSPYKEFQRFKHHPSIKGLLEGGKCISYGARAINEGGYQSIPKLAFPG GALIGCTAGFLNLPKIKGTHTAMKSGMLAAEAAYETLFASEEDVPEGPVVLSNYEEKI KNSWVYEELYAVRNLQPSFHTPLGLYGGVAYSGLDTMFLKGRVPWTFKHKKADWECMI PAAKAEPIDYPKPDGVISFDLLTSVSRSGTNHAENQPVHLRLRNKNIPVERNLAVFDG PENRFCPAGVYEYVDDETKAGEKRLQINSQNCIHCKTCDIKDPSQNIDWTVPEGGGGP QYVWT PHYBLDRAFT_183920 MSILVSRVLSHADKLPIVGSPRNSISSWLPISRDSISSTSSNFS QAPPNNRRRSVEQHAQYEQSRISPPNSPRIYASPPPPYENVVSPAQQQQLQNKPSTFN KLSGYLKRASFSAGYSAFYDSKPAVPLEVATIDKKQVEHALTLINVATEMDNTGNHEM AIDLYLMGLDKMISSLPIESNPAVKAALEQKLIEFAEAKNLNLSPPVPTNHHQHQHHH RNPARHSRTEEYDDNEEDEDRKQDTGAQFSSLIVNAAILGAVALKKSPLPDAISCVVN TAISGAQKVDENYQISKRTWDLAGRGVAKAIQIDRDYELHQMVSDAVCTSLTAAVKAG LAYVEAPGYYDTRRS PHYBLDRAFT_25402 MRTLAGWPLVGSTPEIVMGLSRIHDYFISHNKHNELSTATTSAI GIPRHVHTSNPINIEHILKTNFENYIKGPEFHNALADVFGGGIFNANGEEWKFQRKTA SNIFNIKNFRDHFTDIFVEELDFMVENIWDKAAESHEVIDFQEIMYKFTLDSFIRIGF GAKINSLGNKSQVPFAVAFDSAQRLVMPRFVNLSWPITEAIQRTLFPWIPTVQTHLKV IDKFAHKVIEERREQLSRGEEHGDILSRFMTARDLSDKKLGNTELRDVVLNFIIAGRD TTAQALSWTFYMLMCHPRVEKKLLDEINTMVPIEIMHDPVELYKTVKNMNYAHAVFYE VLRLYPSVPLNQKYALNDDIWPDGTHIRKGDYILWAPYGQGRNEALWGPDAKMFKPER WILDDGRLNREPSSKWTAFHGGPRACLGQNLATLEALVAIIFLVRRYKFSLSHAQDIT YQVSLTLPMKYGMKVSVQRR PHYBLDRAFT_152648 MDRKSRTSRSATLEEANEEFNLWKEICTSLVKLEDIQKDADVAL TNINKCHLSLNFDEGKFDIFLLLLIWLMIISIAFTVAQRLKEYYHSGINLTTTEIKTI KDIIEKITVLIGLRDASEYVNVFDPKRRKRKVEPDELKVSNPASKSSLPTAGPTKKTK MSTNGIFPPGTSVAARQMQQKGKAEEWILAVVIAYIPDKGRYHVEDVDQEENGAKPRY MLAPRHIIPVPEGSEVHDLAELNTGKDVLALYPGTTCFYRASVIAPPSKNKEVGRQGN YKVQFEDDNDEFKYVMPEHVLEFPKTK PHYBLDRAFT_79980 MTSDIAERTALARREAESLKAKIKQRQEAMVDTSLQQLAEKQIK PIGRTVLKVRRTLTGHLAKIYAMHWSADNRHLVSASQDGKLLVWDAYTSNKVYVVPLQ SSWVMTCAYSPSGNFVASGGLDNVCSIYNLKTREGPTRPVRELSVHTGYLSCCRFIDD SQILTSSGDSTCALWDIHACQQIASFTDHSSDVMHLSISSNPAIFVSAACDATAKIWD IRSRRCVQTFTGHESDINAVQFFPNGNAIGTGSDDATCRMFDLRADHELAIYTHPDIL CGITSVAFSRSGRLLFAGYDNYACHIWDTLRCERVGILSGHESRLSCLGISNDGMAAC TGSWDQTLKIWS PHYBLDRAFT_152651 MASRFIPRSSFPYDRVINWFPGHMAKGLRMISEKLNSVDLIVEV RDARIPLSSVNPNFEKVVGRKPRLIVYNKHDLAHPDTKDIITKAFARNAPHTPVIFTS CLKDMNIRAILNQAALLADPIPQVTLMIVGMPNVGKSSLINSLRRVGVGKGKAAQTGA QPGVTRTLVGTVKVLEDPTVYLIDTPGVMVPHIADPVRSLKVALTGGIRDHLSDEQIM ADYLLYRLNEKEAHGYSTWFRLPDEQPTNSVDVLLESVAKRIGAVVKGGEYEHTMAAK FFLKHYRTGKLGQFTLDDISPEGLETFFERVKMYGSEGKTADDGVSRRQEKKMAKLAA RKEAQRIFKLEGGSRRNPDSFA PHYBLDRAFT_71085 MTGVFKEQPKKHIQQSFQKAFDNLSLSASTIIKRRTSDGDQTRQ TAEAAQRLSTKPLPQLSHSLTATKPHPSLPEPSKFGYCPEILSGTDHYSRSQKYNPSY NTLPFKPDSLSFKKTRPGSTTSLQSEYSYSSDTHPWRLETFVNGEFKENSKDDECRNS AIASEKRDIYGFKLPTQWVKQQYLDQFDTYYQPILDSQEQRWKIYLSEQKSEWPPLDS KLKRYTRKGIPNHLRGKAWFHYSGAKTKMENNPMLFDSLLKTAISMGEHNEYAEIIHR DLHRTFPDNHRFQCAVSSTDGSAVMMPESNPTLMALERVLLAYSIYSPQVGYCQSLNY IVGFFLLFLETASEEEAFWMLVVTVHDYFPENMYDVTMEGANIDQTVLMLMISERLPA VWNKIANGKSFWECEQADCLPPVTLVTGHWFLTLFINILPVEGHIVMFKVALAIFKLN EHNIGDLEDPVDVFRILQNMPRRLIDCHRLMEYVFNPSSISADLCPKDIQSKRSLFRE QRKQRRVSATLLINKKRINGERTKSTML PHYBLDRAFT_71084 MDNFYALCDYLSLSTLKNHWQIVLLSAVICTIIYEISRLISPVL FPKTFQFFKGYNGPNWHVHVVSTVHCLIVVTGSFFIMADDTLKSDRVFGYVPWAADIY SVSCGYFLWDTFTALYFYKDQGITMVVHGIASFSVFIFSFYPFVNYYGAIFLMYELST IFLNFNWFMDKLGWTGSKIQLVNGIVLLTTFFCARIIFGFYMSFQFLMDIYAVRDRVP LRLWIVYGVADVVTMGLNVYWFGLMVKMLRKRFSGAFTKHA PHYBLDRAFT_160650 MSSSSTSTTTISTTNTMHTTTTHLGSPPSHWSSTLSDGVGSLSA SKNYSPPYRPFAPAESPQPVSIVFDSNVHFVSLSSLESGLSTKSPTKPAIVSPSLKDN NITVDPQKWLYEYGAERERDRQWRQHYVLKMVFGGNLKVELDQQPKQILDCGCGVGLW TLEMAQAYPGAKVIGLDVVLPITGGVSLADFEENQNGVCVAGLPNLKYIYADLLSPLP FPDNTFDLINQRDVATVVPAKYWPDLVKEFQRVLKPGGKLQLVEYDILFKSPGPVLAL VNEWYKIAASMIGVDPHYVQSIEPLLQKTGFEDVEETVYSIPIGEWPDDPTKKQQGYL YKEQIKALFKSMRKWWLSEIRVTSEEYERVCLGALDEFEEHENVAEWRIFTARKPLKE PHYBLDRAFT_175388 MSCPCQAIHYRGCGLSYSSQLKHIPFDNLETWKRIEYYRCTNII GIGISISTGIDIDIGIGIDIGSYIYLEPGTIIQKRKQLATDNGIGNSPCTFMFLSSIF NYPRFLLFSAS PHYBLDRAFT_119313 MFCSHTSNRANNMKEHVRTHDPLRPKNYSCPVCDKKFARKHDMK RHTKSHSRSNVRQPRRKAAAV PHYBLDRAFT_71080 MSDPQFEKDSYNIPLDLTMFHSLEERAHSLSDDFNGTVARLKDQ MNQMSTWTADAGSVYTSSVKQLSKEIEVCTGKTVGLITQCDELDKDFAQLVDLSRQM PHYBLDRAFT_119360 VQWSPFFENKLAVAASSNFGLVGNGRLYLLAVGGGPEGIMAERV YDTQDGLFDLAWSEVNENQLVTSSGDGSIKMWDVTLADFPIQNWQEHQREVFSVEWNL ITKDVFSSGSWDHTVKIWNPQAPRSLQTYTEHTHCVYSTAWSPYNPSMLASASGDQTV KIWDTKSPRSIQTIHAHNNEILSVDWNKYQDNTFVTGSVDCTIKVWDMRRPDRELICL PGHEYAVRRVKCSPHRPNVVASAAYDMSVRFWDTAAPVGNNLMGVHDPHTEFVLGVDF NLYVEGQVASCAWDESVHVFVPPALLRR PHYBLDRAFT_189365 MGRVIRAQRKGAGSIFKAHTVGRKGAAKLRVFDFAERHGYIRGI IKEIVHDPGRGAPLAKVAFRDPYKYKLRTETFIATEGMYTGQFIYCGKKATLNVGNVL PLASVPEGTIVCNVEEKVGDRGALARTSGNYVTVIGHGDDGKTRIRLPSGSKKIVPST SRAAIGIVAGGGRIDKPLLKAGRAYHKYRVKRNSWPKTRGVAMNPVDHPHGGGNHQHI GHASTVARDSSAGQKVGLIAARRTGLLRGTKKIKD PHYBLDRAFT_103830 LNEGERHIYTKLTETLSPHKLRVADVSGGCGSMYAIDIASKAFE GTSMVKQHRMVNEILKQEIKGMHGLQVK PHYBLDRAFT_128641 MNIKSMARGEFPSQEQLENLKYYKYAAVDKSFITKYILVHYWNW AITLFPMWIAPNLITLIGLFFMIFNVILVAIYVPSLSASEDAPNWIYFSFAAGLWLYS TFDNVDGKQARRTGTSSPLGELFDHGCDALNTTYISLLHAAAIGSGHTPLTVVLFAVT MAGFYLSTAEEYYTGVLYLGYVNGPTEGIILTCIAFIISGFYGAGIWQLPLEDISLVS WITPLLNPGTTGAQVFVWMTTILFFLTHCPVVLYTMYSACKEKSLNWIHVFYTSLGPI VLFCLAEYAWLTSPDSIIFKGQHLILFNLMAGTLFGLMASNIIFSHLTKSPFPSLAGS VAPMVIMSLLVNAPSFINMRLISADWEYYMVWTLFVSAVSYYAVWASLVINGFCRYLG IRCLVIRRNKPVSRTMPSEEIAEDDSLLEAQEEGEVVSPKDDNMAYSTFH PHYBLDRAFT_102763 ALLSHIAREIYRRLQTGTLVKNGIVYHDVFSGKEATDCMAVILN HKNRNLALLVGRALDSQGFINEVNYEHRLRDSPGALYRFRSHHGLPVEMIDEEQRIKT KDDVLMDTKRVNGIFTVLTECYSPTCTRG PHYBLDRAFT_119310 WITPLLTSDIIPAERRERFVQQVFWNLPDIEQVNSLLCESLLNR QRKNPVIHRIGDLMLHHVSFFAPFVGYGAHQVIGKFSFELEKKRNPRFVQFVEKTERL PASRRLELNGYLTKPTARLGRYNLFFREIMKCTDKTNEDVVQLPKVMEIIRGYLSQMN KEIGETENRFNLQQMEARLSYKSATDIAVRLVIHI PHYBLDRAFT_33934 MAALPTIVVLISGSGSNLQALIDATQSGALKAKIINVISNRKAA YGLERAAQAGIPTQTFSLKQFRDAGKTRVDFDIHVATAIQALRPDLVVLAGWMHILSP EFLSHFPGENVINLHPALPGQFDGAHAIERAFEAFQQGKITHTGVMVHKVIADVDRGQ VILQREIPILKTDKLEDLEARIHSVEHEIIVEGAQAFLNALQK PHYBLDRAFT_119410 KPPRRKKDPNAPKGPGNVFFLYCRLERDKIKDEFPQENLGEVTK ILGQKWKGLTKEEKQVGWVLPFFLFFF PHYBLDRAFT_183927 MPLHPTVLWAQRNDLLYLTVELSDIKTPQINIQPTKFSFKGKGE KEQNEYEAEIEFFGEIDVEKSKQHLTPRNLLLVLYKKEEGFWPRLQKGSKLNFVKVDF TRWKDEDDDEEEEPAMPAGMEGMGGMGGGMEGMGGMGGMDFSSLLAQAGAGGQMGGMD NLPEDDEDSSDEEEAPKK PHYBLDRAFT_57908 MTRLSKGKKGLKKKVVDPFTRKDWYDIKAPSMFEVRQAGKTLVN RTQGLRNANDSLDGRVLELSLGDLAKDETRSFRKIQLKVDEIQGKNLLTNFYGMDMTT DKLRSMVKKWQTLIEAFVDVKTTDGYLVRIFTVAFTARRRNQVKKTTYAQSAQIRQIR KKMFEIMSEEAVVCDLKELVAKVTSSASASAQDSIAVRIEKACHGIYPLQNTYTRKVK ILKAPKFDVSALLALHGGASAGDSDVGAKISKEFVEPPVLTSV PHYBLDRAFT_128652 MTFSTTNKTDALSTTPKCLWTPSNVSQTEMDKFRKHVNSKYNLK LADYTELWKWSVTKIDAFWSTVWEYTGIISSQPATQVIDLSVRMDAIPEWFKGARLNF AENLLWCRDPKKIAIIAAGEGHPPEPMSYEKLYENVLQCAEAMKSFGVKTGDRVAAYI PNCPEAIIAMLAATSLGAIWSSTSPDFGTTGVLDRFSQIKPKILFSVNAVYYNGKTLD HTVKLNTVVKDLPSVETVVVIPFIKDFVGQPVQKSQSWDQFLSKVPKSALPKKISFAQ LPFNHPAFILFSSGTTGLPKCIVHSGPGLLLQLKKEHVLHGNMHADDVFFYYTTTGWM MWNWLVGALGIGATIVLWDGSPFKPAPISLWKLVDQLKITHFGTSAKYIQSLQEAKIS PKTECKLDSLKVVYSTGSPLKPESFDYVYEHIKKDIMLGSITGGTDISSLFAGHNSAL PVYRGEIQCICLGMKIEAWADTNKPVYAQSADLVCTEPFPCMPVYMYQDPERTKYRNA YFDVYDNVWYHGDFVWINPKTGGVVMLGRSDGTLNPAGVRFGSAEIYNVADHFANHGI EDTLCVGQKIKDQDDERVVLFLKMKTGVVLTETLVKDIKTKIRFELSPRHVPAFILPI EDIPYTINGKKVEVAVKKILSGQTITPTGTLVNPKSLELYYNIPALKQ PHYBLDRAFT_175401 MSSNSFPYSAATSDVKVRMVEAQVSTSCEAEVNRPENTKKAMLL SKRSTKIGATKLSRAFSSKLATPYMGINSICFLKIESVDTFIETDIEPADVLLQRAMP LMVRKLADMQSDISRYMSAMTTGYGAPSQQINDLVSGRISLRFFQEDGGERAGVPPMN MAPFPPQVHSSGSSSQQPATPASIFKQSLLKWCKLPEFQGSMLFESEKIGYFDQHSSN CNIINLEEVDHNVSHQVT PHYBLDRAFT_71067 MSKSVHSKNEILRSNTGLTINPSKAYPRSETRDKLTGLTIFQGG LFRQSQAIKNRFPFRAKGTPRLDEHILIVFCMLLVSSVQVKHQGSDQSPRLSVSIPSR SSLKVHVKVHGFKIKVHGFKIKVHGFQIKPRPIDPGLLSSRFKPAIGFLISIKD PHYBLDRAFT_160659 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGR PHYBLDRAFT_160660 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLWQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_175404 MKDKENWVNMYVYKYPHFGNRTSNRAESAHASLKHSLGTSSDKL MTVTLKVKKWYQELVDDRKCRLMTECLGESTEVVFDKVNGVRLNDIRQKISRFAMDKI KLELSKSIIPEKLTKECKCLLHYNYLLPCYYTLATFNTIPISLIPRRWRKDYLEGEDH LTINNAEPVPANIAKITTISPQFDYDLELVHEGFHSTHSKQEQIDIHNLVKNILEKTT KQKLEDLNGPTIVEDIKGRPKNTKREMIALQHCIEAEKEKDTKKLK PHYBLDRAFT_175405 MIELSLRPYLLTMALWKGFIFGQVKFLIGLRFAKRKWLMSKMMI IGSTFSGVVVNGTKRQSPVSLGVFQYTATALLNASATSCGWSIVPNQFCCGRGDLLSL RLIMSGFVDAGMLPFSILPWPFLLVLIYLHCYCSVSTVAICFGRKDFGYMFRSNTKIG GILQGFLLLS PHYBLDRAFT_175406 MPDKMINVLSFNTTQMETENITFPLQDKNELLERKIIKKLIELH KVQKLKWWYLALYILKAISLLKIFRKSESKAKCVTIFVCRACYNFKQWKFVNILLGKI LSLRTRRRDKISRTIFSSLTSEMISVTIPLFLGGQIRVFRKSFKKY PHYBLDRAFT_152673 MTVAFERSAKLLLCEVVVGTGLKKEKNRVAMTVAFERSAKLLLS ALPFRIASLLVHFELQYNMNDDPQQE PHYBLDRAFT_152674 MTVAFERSAKLLLCEVVVGTGLKKEKNRVAMTVAFERSAKLLLS ALPFRIASLLVHFELQYNMNDDPQQE PHYBLDRAFT_175407 MDVTTREPEVPKTRVAHMQQMHFKDPLIKINQHLLQNNIFLSQS TPPQPQSQPLSLSLSLPISLPQPQEQEQEQEQEQEQEPEQEQEQALKQEQEQEQNQEQ DPNHSHSHQDLSYTDLVSEKFGPINHSQLEQYYDNSQLIMKRSTALQSNNSINTAAVY RQHTNIINNHNNHNHSNSIQQHHQQINNNNNLHHHHNHHMHHINHSQEDPNTQEPTND FLFYPSAAIHLDQRYRPFAGGSPANIGYGPMPHNHHNHHNHQQHHQHHHHHHHQQQQQ QQHTFGDSVPVAEDGAVVDATSFAISPSLKTNSKKYPHERTRRQSKPASPQEAIENYD DMFAAQANLQAIMDKRRRRRESHNAVERRRRDNINDRIQELGTLLPDLEEDGINRLNK GTILRKSVDQIKQLQRDVNQCQQRVHELETLLKQVSRQRTPSARLQLH PHYBLDRAFT_42477 MVPTGAPAETLIVFDHRLLQEYLEQLLPLVLDAEVSDLDNTIFS YPDTIEKFKRFSNDPQSPVLFIMKEKEGGKDEDDASPAVYSYSASHEITYLPTHVGSL AIIKRLPTLDPSRPLQNQLQLINLPGAPHAGEPGQTSAYEFLHSYIHLAVSPYFNAYV NARHGTTTDTSKSKNEDNKMGVPMAKKKMAELELSLLHLQQNVEIPEIALSIHPVVQK AVDKCREQNRRVTVESVDPALFSDSSFLNKLQGDVNGWIKEIQKVTKMSRDPASGTAI QEINFWLSMERALEKIDEQLKSDQITLTLDILKHAKRFHATVSFIADTGLKEGMELVH KYNQLMKDFPLNELLSATDVDKIRESLGLIFGHLNKKLKLSPYPIRRALPLVEAISRD LNDQLLKVLGSRRLMYMEYEDFERTTSGAEAVFHTWDDLIKEFTNVARDVTRKRSEKF LPIKINPAHAKLQERVTFVRAFRKQHEQLHQTIVRVMTSPRAKKSTVESVSISDINAV EEVKLAYESVKNIDVLDVSPEGTEIWVQAETVYNERVSRVENQIIARLRDRLGTAKSA NEMFRVFSKFNALFVRPKIRGAIQEYQTQLIDSVKEDINKLHDKFKMQYRNSEAYHMA QLRDLPPISGAIIWARQIDRQLSLYMKRVEDVLGKGWELYAEGQKLQVESSSFRKKLD TRLTYENWLQEITKRDLSVSGRIFEIARNRVQKNALQLGVNFDGQIITLFKEVRNLLW LNYQVPHTISNVAKDAKRVYPFAVSLMETVRTFSQTVHKVNRHPDVATLVAGYRNEVH VMITKGINLRWDYFVNTYDAHHRPLSYLTGTPLDARENRHVMFVREFANVVSVFQDKV DALIGYYDDISKSTEEMKTCPYQAERFEDALGRIQKLIDRLNLENYSNLDQWVAGLDK RIETVMVGRLRQAIKAWINSFLGISEELSIAAAAAAAQKSPDVKPALQTLVHEIRIRN QLMYLDPPIEQARASWWSQLHDWLAVVCHLLRIQSSRYEGGLSVKDNPSVEKTYASLL TRMGDGSLEHAYQIIEDKIRQVADYVNIWLQYQSLWDLESSHVFSILEDDLVKWQQIL LEIKKARGTFDNSETEQSFGPLVVDYEQVQSKVNQKYDQWQKDILNKFGSMLGTSMRD FHASVSQGRYELEQQSIESNSTGEAVTFITFVQDLKRKVAKWSQDVELFRQGQKTLER QRFQFPNDWVYVDQVDGEWSAFNEILSRKNNAIQEQVAGLQMKIVAEDKVVELKIRDI CAEWEKSKPVQGDIKPDIATNTLSIFEGRVTRLKEEYDMVCRAKEALDLEQTSEARLE PVLEELRDLKSVWTALARVWKSVYEIRDTPWSSVVPRKVRQHIDGLVNSTKEMPNRMR QYAAYEYVQETLRQLLRVNPLVGDLKSEALRERHWRQIFKTLRVEGRFTLAEMTIGHL WDLDLKRNEMVIREVILQAQGEMALEEFLKQVKETWANYVLDLVNYQNKCRLIRGWDD LFNKSSEHISSLTAMKLSPYYKVFEEDAASWEDKLNRVHVLFDVWIDVQRQWVYLEGI FSGSADIKHLLPVETSRFQSINSEFLTVMKKVYKSPFVLDVLNIPNIQKSLERLADLL SKIQKALGEYLERERASFPRFYFVGDEDLLEIIGNSKDILRIQKHFKKMFAGISNILL DDEQTVILGMASKEGEEVIFKNPVSIKENPKINDWLTILENEMRVSLALLLSDAVGES ETIYNAEQMDSNDYLAWVERYPAQLVVLAAQITWTHTVDKALISMSEGANDDQTPLQH SISLVERNLNVLADAVLLDLSAIKRRKCEHLVTELVHQRDVTRQLLNDKACSTKDFNW LYQMRYYFNPAVENPIHRLSIKMANASFYYGYEYLGVVDRLVQTPLTDRCYLTLTQAL EQRLGGSPFGPAGTGKTESVKALGVQLGRFVLVFCCDETFDFQAMGRIFIGLCQVGAW GCFDEFNRLEERILSAVSQQVQTIQLGLKEATINPNHEIELVDRNVKVNTDTGIFITM NPGYAGRSNLPDNLKKLFRSMAMTKPDRELIAQVMLYSQGFRTAETLASKVVPLFNLC AEQLSPQSHYDFGLRALKSVLVSAGNLKRDRLASLRQDIESGKSTDAEYVTISEPLPE QQLLISSIRETVVPKLVADDIPLLTSLLADVFPGVHYAPVDLDRLKTELKKVCEERRL VAGDAWMEKVIQLYQIQNIHHGLMMVGPSGSGKSSAWKTLLTALERCENVEGIAYTID PKAIPKDALYGTLDSTTREWTDGLFTHILRKIVDNVRGESQKRHWIIFDGDVDPEWVE NLNSVLDDNRLLTLPNGERLNLPPNVRIMFEVETLKYATLATVSRCGMVWFSEDVITM PMIFTNYLETLRNVPMDEIEEEGPSRRLAENDDLASVSPNIATQRAIAAIMVPDLSDD EGLVAKSLEFAATLEHIMDFTRMRVMSTFFSLLNKTVRNVLEYNAQHPDFPMTGDHLE GYITKRVIYSIIWSFSGDTKLDLRTVLGDFVRSTTTYELPPASNNSSIIDYDIAVSTG QWVPWESKVPVIEIETHKVSEADMIIPTVDTIRHEEVLYSWLSEHKPLILCGPPGSGK TMTLFSALRKLPDMEVVGLNFSSATTPELILKTFEQHCEYRKTPNGVILSPTMIGRWL VVFCDEINLPATDKYNTQRVISYLRQLVEYNGFWRTSDKAWVTLERIQFVGACNPPTD PGRVPLSHRFLRHAPLVMVDYAGQLSLMQIYGTFARAMLKVVPNLRGYAEPLTAAMVE LYLASQKRFTPDIQAHYIYSPRELTRWIRGIYEAIKPLETLTVEGLVRIWAHEALRLF QDRLVDEEERRWTDQMIDSIALSHFPALNREEALERPILFSNWLSKFYIPVEREQLRD FAKARLKVFYEEELDVALVLFNDVLEHVLRIDRVFRQPQGHLLLIGVSGSGKTTLSRF VAWMNGLSVFQIKVHNKYTGADFDDDLRTVLRRAGCKGEKICFIMDESNVLDSGFLER MNTLLANAEVPGLFEGDEYASLMTACKEGAQRDGLMLDSGEELYKWFTQQVMRNLHVV FTMNPPQGGLASRAATSPALFNRCVLDWFGDWPDQAFYQVGIEFTKTLDLDVPNYSSP VKFPIAYRNLPIPPSHREAVVNALVSVHQSLYDINAKLSKRQGRHNYATPRHFLDFIS HYVRLYNEKREDLEEQQRHLNVGLEKLKDTVVKVEELRKSLAIKKNQLEVKNAQANEK INQMLADEKEAEQKKAVSIKIQAGLEVQNRELQKRRTVVLHDLANAEPAVAEARKSVS SIKRQHLTEVRSMGNPPEAVKLTMESVCTMLGHKIDSWKTVQSVIRRDDFITSIVNYN TEGQMTKNMREFMRRNYLNNPAFEYDTVNRASTACGPLCKWVYAQVTYSDILDRVGPL REEVNQLEISAEESERKAAEIEKMILDLETSIARYKDEYAALVGETQLIKAEMDRVKS KVDRSVTLLSSLSSEKVRWETASQAFESQMGTIVGDVILAAAFLAYGGYFDQQYREIL MLKWTDHLMSANIQFKQDVSLTEYLSTADDRLSWQANSLPADALCIENAIMLKRFDRY PLVIDPSGQATNFLINEYKDRKITVTSFLDDAFIKNLESALRFGNPILIQDVEHLDPI LNPVLNKELRRTGGRVLIRLGNQDIDFSPSFTLFLSTRDPSVNFAPDICSRVTFVNFT VTRGSLQSQCLNKVLKAERPDVDQRRNDLTKLQGEFQLKLRHLEKSLLQALNESKGNI LDDDKVIETLETLKKEAAEITRKVDETNVVMYEVEQTTAVYTPLAHACSSIFFVMEQL NSIHHFYQFSLDFFYEIFEYVLHANPNLKGVTDPNERLTILSRDLFSAAYKRASRTLL HEDHTMFAVLLCQIRIRGAQENVDETEYDFLLSGGEVVAGSSITAVGMGLPSFVTDEM AQKTREFMTLDCFRGLSEHIKSHDIEWRQFLQNAQPETVVPMFWPSAGINHTVDAVRK MLIIKCFRPDRLIPAATIFASEIFYPDFANSGELNLQMIVNNEVDSSTPLALCSVPGY DASYRVDNLVTESNMRCTSVAMGSAEGFTLADQAISLAIKTGNWVMLKNVHLAPSWLG QLEKKLHSMKPHRSFRLFLTMETNPKVPVNLLRMSRILMFEPPPGIKANLQESLRSIP PSRLSRGPTERARLYFMLAWLHAVVQERLRYVPLGWTKVYEFNDSDQDCGLNTIDNWL ESAAGGRANISPDKIPWDAIRSLLKQSIYGGRIDNEYDQRLLDSFVNTLFSHRCYDLD FEVVKASGEDEESLVVPEGTKMEHFMDWVNKLPDREPPTWLGLPGNAERVLLTLKGNT LLSKVRKMKSTSDDDEVAFTQDTSSQSTNSQQPAWMRTLHTSISGWLSVLPENIRVLQ RDTTGIMNPLFRFFERENQIGRSLLRTIREDLLSLQKVCSGELKQTNHLRQLMDWLNK GLIPDHWKRYKVPKNISLNVWIADLKLRLAQVEGIAQEPSFDKCEVWIGGLFIPEAYV TATRQATAQRNKWSLEELVLEVDIGQRLESNANLGEYIVRGMRMEGGEWRGDEVCLTS EASTKLPKTQLRWIKNVKKDTSGLVSLPVYLNADRSDLLFTINVKANAEEKDRIPQRA VALVISF PHYBLDRAFT_119476 MDRIHRLFGSTGAGMGQPATDSPTVDNAEMVYISSLALLKMLKH GRAGVPMEVMGLMLGEFVDDYTVRVIDVFAMPQSGTGVSVEAVDPVFQTKMLDMLKQT GRPEMVVGWYHSHPGFGCWLSSVDINTQQSFEQLNPRAVAVVVDPIQSVKGKVVIDAF RLINPQTVMLGQEPRQTTSNIGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLL NLHKKNWTHGLTLENFTEHTEVNEKSVKRMLSLAESYNKSVQEELTMTPEQLKTRHVG KQDPKRHLEETVESVMGNNIVMALGTMIDSVSF PHYBLDRAFT_119443 MKYGLELQHNIFTPWRLSYIAYDLLKQELKTRQTHHGWTRRDED DFIGLLDNELTKVYDFINAKLSEIDARILYCERTINALQESASASPDMNYGLMDETLT EILFDVNDLSKFTRVNFTAIQKILKKHDKWTQLNLKQDYVQTLRSKPLDKQRFDVAII YISALHDICRNRGEKHIGNSAAGGNQNAFERATAKYWIHPDNVTEVKAIIMLHLPVLI FDKNKQWEASDSAISSIYFENSNFDLYTGRLQRDEGAEAIRYRWYGPMESQDIFIERK THHAPWLDGASVKDRFRLKENQVNNYTLGRLTADSIANELRRKGTNPEAVKDVQFIAQ GIQTSLKEKGIQPMLRAFYNRTAFQLPGDQRVRVSLDTDLTFIREDHIYTESCQRQRP NWRRTDVGIDYPFSYVPEKDILRFPFAVLETKLQTHLGQEPPAWLTSLLESHLVHEVP RFSKYLHGAAHFYHDRLPLLPWWLSELNVDIRKPRAENSGLTRSRSFKPLIDGRYRRA MIEEKERAQNLTIDVEQDQISNQSDQVTTLLSTSSEAHASKKKGWLNKINDPYSALLP MSKPTKEETSAPQASESSSEKPKKQKKMKVEPKVFFANERTFISWLQFSALLLTVALS LLNFGDHVSRAVGGALIGLATAVAAYALYRFEKRSWMITNRIEGRYDDVWGPAVLCIL LITALVVSYK PHYBLDRAFT_175411 MIGYPRKVISGARRLSPLYQYIRIKFERTFLLEVDITMRFECRL SARIPVPYSMDLTLTRVHNACYIIMVHLNAKEPEEYLNRVAFFNIVWILVASIAYKYS HTFADKMVLVLVFDSRIELSQIYKICIFSFLYSHQVFVFLLVILALLLCFLFWFAQGT NALLTLKAQL PHYBLDRAFT_178456 MSELEAKLPQKRYYRQRAHANPFSDHHLEYPAKPEDMDWSKHYP QFYPAKEGEVQKKVEFADIGCGYGGLLIALSTLFPEKLMLGMEIRTKVEDYVFERIKA LRTQEPGSYQNVSIIRMNAMKFLPNFFEKGQLSKIFFLFPDPHFKLRKHKARIISQTL LAEYAFSLRVGGILYTITDVKDLHEWMVKHLDEHPLFKRISDEECEQDPVVEHVRNAT EEGKKVARNEGDKFLACYLRIEDPYQA PHYBLDRAFT_137424 MVQVKPFGAWPSPITAESLASVSPVVDVCVDQTTGSVFWCQVMS AEQGRTQIFQRPLDVSAPPKALLPPGYNCRTRVHEYGQGALKVKNGLLIFSNDSDCQL YKIDLVNAPDSIVAITPESKSFRYADMVIDEKLRYLVCVREEHFENEEPKDVVNTLVA INLEEKDLSKAVKVIEKGSDFYSTPRISPDGTTLAYVDWVHPYMPWDFTRLHTAKISY SEGEISLSSTKTVAGETVGESIVQPEFGIDNVLYFVSDRSGFWNLYRYHNDSVELLLP EPLSQDFADAPWRFNNSFYTPFSSDPTKLLCINKKSLAVLNTSEKTLTDLPLEFTHFN QIRTYSVESQEYVVVNAASPMQPSQLISYSIVDQFLLHVLKESSAPKLDADYISVGKE ITFLTTDNKEAYCYFYEPKNPEYTGEGKPPLRVLSHGGPTAYSPNSYSRDIQYWTTRG FAIADVNYGGSSGYGREYRNRLKKQWGVVDVDDCCNAALYLAKEGFVDQEKLAIVGSS AGGFTTLASVAFRDVFKAGCCMYGISDITLLAKETHKFESRYPDQLIGEYPKDKAIYE ERSPLFSADNIKCPVIFFQGSEDKVVPPSQSEVMVEALKKKGIPVAYVLYQGETHGFR LAENIKRTKELEQWFYGQIFEFPVTGIEGVEIYNFHK PHYBLDRAFT_73125 MYIFNLSNCQPPVVLNSRIFYGNLCPDFSKSGNYLMINVKIYII GLEMSLLSYCLELLMSKLKLKENKLHASLSKTAPLYLHHSKVVLNFAVFTMVNHLAQL KQCSVTDVLSLPKSS PHYBLDRAFT_175414 MQHQTSLSGSGEQHRPFSPSPSLGPSFLVWSCNWWHKACFLIFL IVYSIFYVNCECAYQQTSASNTIGFQAYNGGNGNYTPTGENDDVYINGVNIDQPCHCQ QYYETGESEHSFPQPHPYYPEYSVEYDYAQAEICVEEITSRSGKHRHHHHHHSNHSRG SRTRSSPSKHPSTPTEKSLTNKSDYESNGSPHPSSDTVSMSQKSKHTIKKRKCCRGAR ASRICVIFTFIFFILGAIAAFFCWPRTPLVSMGSAIESGDDPVSWGTDQHPLLHSSWL VNVTFDNSQNWIPTHITGLDFYMKDSLTLRQFGWGTQGPMVFAPRKIISQQLRLTVEY SASSTTDPTFQNLYRACGPQKKGDPPSLNVVLHMAFHFWGIMWVPTVAITPPTGGFIC PLN PHYBLDRAFT_73128 MAQHYQQQQQQQQQQMEQIHHNNLPDAPPLPDHQAYPYIPSHSS SWSSTPSTLVPPPGSEKYQLPQESPLKHGLNIERLESNDNDDNTLSLEKHPKKQRRMA NRNCCARCCCCACCLPVWATWVVWIFIVAIIIVVIVIGSILATFKMPSIEFQGIAPIE SDESIISFNNDKLSINFGLIVNIENRNIFPIYLYDVNAYGYYPYPEDPSTRTSIGDGH LDYELVPSQTNYNFTYPFTIKYDPASDPNLSILGSIADKCGLTGGAKGDLSIQYDIKL RARVLLFTIPLTISSSANFPCPLNFVQPWKW PHYBLDRAFT_80483 MKGIENVFKTTLHSLEHLAISANSRIQHTQLPESVTLESVPSNQ PQWADPPQAMSNTTYYDNCNGPCSRLVYPDPETGDLVYPPYNEKGDRIIDFSGAGYNE GRTDLPSLQEVPVVIALEPVQNTLDDSGRIQNALNDIANFPELPNGFKGALQLNKGTY YLSKPIEMNASGVVLQGDPNGGTVLVATDAMSPLDTPYLIKVSGQPNAMARKRVPIAD EYVPVGHYQVRVTQSKRFKQGDTVVVGIGFNENWIEAIGMHDIPAKPGQNSNNGWRPG RFEHHRRLVRVEEDGRLLTFNEPLTTSLAKEYGGGYVEAYQNMRVQRVGIQHLECVFP ANKSRGPEEMMKTEKKKVKDYRFTAEMFDHLLIFMDHAENCWVRGVKSVWWRNFARLG TNTVAITLQQCYHTFPQPPPPNPKKPAFLAGQFAFEISGQLVLIERCHAEYNFHAYSY KGRVPGPNVVYQSDAVAKNGDVGPHMKWSSGQLYDNCNIEGQILIQDRFDAGSGHGWS GANSVVWNTIAHGGMVVQKPPLANNFVVGCSSKKAKARRPSHPWGWEESPDVKVEPPS LYLAQLRERRRMNTV PHYBLDRAFT_152687 MPNLAPPPLQPQNGNPNSSVPPLDQSAPLVAPKDSSTMPLDSPK PSNSTSDVTSQSNPNLTRPVQPKRQFMNKFATIPTDKCPQNLCRDLLLSNFDRNLTVE KAHFEPQPFTGTANGVIMAPTPQPSHSNSSHSSTPDHDKGGIDQTNHPTDLLKSRSRI PMTNTCQSPPHPQPLTATANGPNSNQSQSSTQPNIQATLSIPAYGSNQMGDNRRGSNA KGEPDRLLRSSPSLNKKKKRSMASLGGTASPAEVFHRNLVDAVSNVEDSDENEQYVYP FSDNGSLHRPHSIQSIPGNTPELQSDRPRRGGRQKGFFGDLFRATSLSNEASRLAEEA EEAAAAEEEEGSMTDHYRPRLRNHVMDHPHRPTKKDQTKPGLLGRWYDGKPTNRRSRR GHQNIPTHGPYGDGYTSDDEGMPLLRVDRYRTRQKKNCSQVVWNTCLGIFAIILLALV LVIYRAKPLEDIEVEMGRVLASDKELIFDLHVKASNWNWWTVKIGEADISMFGFSQIV PLSLNATEEDLQVQGADPAEYLGSFYHFDEPLSIPSSMFTRQRSITISQIRIKSPGAD KSGNERWSRIIRYPYGLVARGVFKYHPTPLPGTYPQSIAICDVAQVDPMTGNVSEDPD QSYCLGD PHYBLDRAFT_189378 MASALHFETSFWSQKQPHSTLPDFQSGLQILHQKLQQSKVENEE VITFFKDRISIEESYGNKLIDQSKIPSKNSGFGRDEGAGLRSCFENFKVASSQFGTHH KETAATMTDSALKPLQTFNEDYKNMVATSRQQLDSNLKQFDGLYKDAERAKSLYNRRC READQAEEQAVQQASLEAPPLQPPTSPTEQSQPPAEPAPPVPPKDIITVRLGSQVLTP AEFDTLIQQMRKEIPVKDHRVPILGTYKSTSTGEDIARWLQHNLAQCKDSPAMADIVG QQLIQPHGVLRLIAQRGNKFLPSATSYYQWRIRDPEEEAGSVGSNPTTSALGGIFEKL GGGIAVGNGGVNGGAGAGGSPVVPGEEPYKKARMDAERADEAYRSAVKRVDRMRTVIE EALFTHFAEMEKVELRRLDTLKQVFSAFASCLSASLPGDKAIVDQMRLFLESLKPEQD IQYIVQQYCTASFSPKAMLYENYYHGIAHDQIFGVPLEELGKQTENGVPQFVTYALEA ITQGANEMSLEEKRKLWSTPLGLESVHTARADINIASSRITVDLLKQYEPGLLVAVLR LFLLELPEPLLTFEFYDPAQALYNSNSKIMCGYDILTASFFFFFLIGEQDESMRIFPL SHLISSLPGSHFNTLDALLTHLDTFIRQFSKAPLEEEEIFKISQILGPIILRSRVETL TTLTSRVPRHLAHDLIKYHKDIFSEVTYRAHAESEKRRQTRLVALRTTEQTEAETKKR GLMSFIRPSEETKWGVNSVMGVFQRNGNGSGGGSGSGGSGSGGGTSTSPPVPSPSDSR SFTPPTSMHFGSVITQESPPSSPTLPPKADPPPPSSSSPPSQVMFDVADHISDKPASI KETDKKEVVEAPPPHQPKEESQPEGELDPFFADD PHYBLDRAFT_128671 MPKQVLDIKNFLEITRRKDAKSARVKKNGDKYKFKVRCSRYLYT LVVNDKQKALKLRQSLPPALSVQEI PHYBLDRAFT_137435 MVTATNLGYPYVGAKRELKKLVESFWSSKITEQELRTGYSKIQE SHWKLQQEKGIQHIPSGEYTLYDRVLDTAQQFGAIPQRYQHIKSPLEQFFAMGRGLQR AATATTEKVDVPAMEMKKWFDTNYHFIVPEFEADQKFTLQNPRAVEQFKAAKALGIQT RPVLVGPVTFLHLGKAAKGTEPFETLSLLSKVLPLYIELIKQLEAAGAEWVQIDEPVL VFDLDAKVKTALEEAYKTIKAQTSIKVLVAAYFGRVESNINAVINHVDAVHLDLVRAP EELDTVLPLLKNGQVLSLGLVDGRNIWINNLSKSIDLAEKAVKALGQDRVFIAPSCSL AHSPFSTTFEKKIQAKNPELFSWLSYAAEKCSEVSIIAKALNQGRDSVKEALAANAAA IESRRTSPQTKNPEVRERVAKVPAAAWKRPSPFSVRREAQVKKLNLPLFPTTTIGSFP QTKDIRIARQKYAKGELSQADYDVFIKAEMKKVVEFQERVGLDVLVHGEPERNDMVEH FGHLLHGYDFTENGWVVSYGSRCVKPPVIFGDVSRRQPMTIDEIVYAQSLTKLPMKGM LTGPVTMMKWSFVRDDIPANELCAQIALALRDEVVDLETAGIPCIQVDEPAIREGLPI RRADWDSYLEWSVGSFRLSTAGVRNETQIHTHMCYSDFNDIFDAIAALDADVITIENS KSDEKLLKIFETKQYTNEIGPGLYDIHSPRVPSYEEMKTRFGDMLKYLPEQLLWVNPD CGLKSRGWPEVEAALVNMVAVAKYYRSLKATKA PHYBLDRAFT_183940 MDGSFPPQRRKSLTRPGASGPPTQTVESPKRQLEQLVGWSISIK TMSNELVQGRLYTLDRITNCIALICSDPKPVPKSVSFRIIKLSNIKELLSVGPEDTSK EPWTAVSPVRHVHLDQLQARETEALREVRQQAAKIGVGVTKEGQEIFDALYKTLPCRW ASDTIVVMDEVLISPPYTIEHCKANASSAASLARVKKVLEGERRRLANPKN PHYBLDRAFT_73136 MAVNPHVFDIEMAQVPREHVKDVLRAILHSIFFHRLLINITPRE LRVLETTVSTTDSPDVEILIEERVNEFVQNINTSQPKQGKRLKKNWYQFTKSEELACW EQWSITLNLINPQTETERHSTKRSVSRNLSQCLLDVLKMANDYKEHIPSITTTEGNPF PYQMATPAKSETWNTMIKRLLVIDVPPVESQRRGSLSSPTTTSIQRSSSPTKEQNALR MALEHDHL PHYBLDRAFT_137438 MFPSQESLKKNFIVPSPSADAAAPSGVGLYARFALAGAVCCGVT HGAMTPVDVVKTRIQLEPEVYNKGMIAGFRQVVQTQGAGALLTGFGPTAAGYFLQGAF KFGGYEFWKKKAIDYIGVEKASENRTAIYLGASAIAEFFADVALCPLEATRIRLVSQP TFANGLLGGFSRILKEEGVVKGFYSGFGPILFKQVPYTMAKFVVFELAAEKIYSTLPT PKDQLPPSTITSVNLGAGIIAGTAAAIISQPADTLLSKINKQKGAEGQSVSSRLVQMA GQLGVKGLFLGLGPRIVMVATLTAGQFAIYGDIKRVLGATGGVEIAK PHYBLDRAFT_178459 MYRLSALARAARPLTAAARRVQTTGPEPNDVFLQGNSANYIEEM YEAWLKDPSAVHLSWQVYFKNMANGVSPSEAYTPPPTLVPSGSARLPVLPGAGLSSPM NASSKEVIDHMKIQLLVRAYQVRGHHIANLDPLGIQHADLTSATPPELSYNYYGFTEK DLDRKFTLGPGILPALGNGDKELTLREIVDVLKKIYCGSIGIEYIHIPDRAQCDWIRS RVENPQPYKYSVDEKRMILDRLTWSDSFERFVASKYPSEKRFGLEGGESLIPGMKAMI DRSVDLGVESIVIGMPHRGRLNVLSNVVRKPNESIFCEFSGSVEPSAEGSGDVKYHLG MNYVRPTPSGKRVALSLVANPSHLEAVDPVVLGKTRALQFYSKDTKGQHSMAVLMHGD AAFAGQGVVYETMGFHDLPAYSTGGTIHIVVNNQIGFTTDPRYGRSTPYCTDIAKSIN APVFHVNGDDVEAVTYVMQLAADWRQTFHRDVVIDLVCYRKHGHNETDQPMFTQPKMY KAISKQEPVAQIYAKQLEKEGTFSPAEIDENKKRVWDILEESYAKSKDYKPTSREWLS SSWPGFKSPKELAEEILPHYPTGVSHETLQQVGAAMTTLPHNFEAHRNLKRIIQNREN NIKEGKDIDWSTAEGLAWGSLLLEGKHVRVSGQDVERGTFSQRHAVLHDQANGSKHTL LNHISPEQGVLSISNSSLSEFGVLGFELGYSLVDPNAYVVWEAQFGDFANSAQVMIDQ FISAGEQKWLQRSGLVMSLPHGYDGQGPEHSSSRIERYLQLCDDNPYVYPSPEKLARQ HQDCNMQVVYASTPSQYFHVIRRQICREFRKPLILPFSKAMLRHPMARSNLDEMIGDT HFQLYLPEPHPETLAAPENIKKHVFCSGQVYYALLRARDQNKINDIAISRVEQLNPFP YEQIKEHADKYPNAEIVWCQEEPLNMGPWAHVSPRLTTTLAQTKHHAGKPVKYTGREP SASVATGNKKKHYQEEYDFLSEALIGSPTKPKAVEQGVPLF PHYBLDRAFT_160668 MATTQSADYDISKYTDRVSLWSNDPNAHLSGYFTLYYHVESELT PTKPDTILGDIYVRQAPNKICVLGLSPSHPFIVSSSSLNKDDLKKECNVVLATELVGT KVTYDTTIAQIIVGQITYLIKARMQGQLLELNPRLKDTPELLWEHTMDTGYIAVIMSR VDDTKQQLKGYLTEEEYNTSLAEKKSE PHYBLDRAFT_183944 MRSSLLVGLFGLIYLTAVSLAASAYGSRHLSAYIVDWNIPKSIP WTKLDHVIYSFAIPNKDGKLGDFDANQLKKVVKDAHAHKKGVSLSIGGWSGSLYFSSL VRTSASREKFANNLVQAVKTYNVNGLDLDWEYPNNPDGVSCNEKNANDTPNYLKLIQL LRKKLDAAFPNEHKTISAAVSTTVFRDGNQNPYKKLASGWKNALDYVNIMAYDLAGPW NPKTSSNSPLYSKNGEESIDSSVKQWVAAGIPKNRIVVGVPFYGYTALTTSPATAKSG IKVAYKKGTQIKGDQYDSKGADPCKGAKSSYSGDVLWKTIASKGIVRSASGWKTVWDS TSQTYFSYAAKTKQFLTFDDPRSLRAKATYVQKNKLAGVMLWSLDMDDSKNSLLNSLQ AVRS PHYBLDRAFT_73141 MESISSFTAKTSFYLCQGVQRARAELINILIGGECLVKIWSRLR VLEQASLVFFGDSSSPDKDPVILCSKMQTGNSCNEMSFTEANLRLNSFLVCQSCGML PHYBLDRAFT_137445 MSKLKPQVAQVESLSEKNGDSDISSSNIEHVSGLDYDAQRLQEF GYKQEFKREISMIVLAGFGFSTMAVLPNWLVGFGASLVAGGPSSLWWTWITVSPFVMC IGLSMAEVISAYPLAGGIYSWCYMLSNEEWGPFTSWVSGYVNLSGMLATNMTLAWSCA DFVFEIANIHSGVEISSQGAHVGLYCGMLIAGALYSYLGLKCSSYLNVFMMYWVLFGT LIVIITIPAMSPTHTSGEWVFTEFINRTGYDNDGMAFLLGLLQAGWCLIGYGAGAQIV EGTKNADKTAPRGIIICVAGSIIQGAALILPVMFSIQDVEELIDSPYPIATFFERATS RPVAIFFMVILLVTQFGALCNNTFAMGQLIWALARDRCIPQANFWYSLDSRKIPVRGL MLQLLICIVAIMPSFGSPVYWQAIMSTAVICVNVSYGLPFVCRLIWKRNTMQKGPFTL GKFSIPLNIISIVWIIFFAVILCLPQTYPVTPETMNWSCVMISAVVIFATVFWFAAGR YTYKGPMQNIDT PHYBLDRAFT_152698 MTRPSFHRNSVIFSIAAVGICYLYIDPWRTRRRMIERMWFLLSK RNLKEADLERALVQAPEPPIIADNTQFVELNGHRLRIVHIIHELGSRVPLIVFIHGLG GQVSQWQRQIEYFSQTAHVLAIDLLGCGSSEVASDWDSYATDSLVKDVTDLLLNRYNY PSTVIIAHSYGCSIATFVAASPEIQTSLKALVLISPKENVDEAQKRSQRMIRWIPDWL FEWARTKDRKKGIYSQSVERLLGNESNIELRRQQLRWNLLSRTSVYKRLLFGARFPGK KVYENINAGVLLIGGNEDKITSPKDMFAIRDHLLGLDPLNNSSERLASLEEIRVPEPY VIPDVGHTPMVVRPQLVNAVISEFLIKNCGLDTLSGAWQVLHKTKGENKWDLKNYEKW ARTANITTEPIGISLFRAMKVMRQTDTNHCPSAFLARYPEIGFIIDISNDTPPYRSSD FDHSRIKYLKLKTVSKIPPTREDVAKFIELTSSCWEERPDAQVAVHCHYGFNRTGFFI CCYMIEKLGVSVPDAIEAFAAARPPGIRHAHFVDELYLRYSLLHRT PHYBLDRAFT_73144 MSTSSMNVDPKKAPEDEFMPWVEKYRPIYLNDIVGNENVVSRLK TISRNGNLTNLILTGLPGIGKTTSILCLAYELLGPACKDAVLELNASDERGIDVVRNR IKAFAQKKVTLPPGRHKVIILDEADSMTGGAQQALRRTMEIFSNTTRFVLACNQSNKI IEPIQSRCAIMRYSKLTDEQILHRLTEVCKKENVPFTDDGLSAIIFTADGDMRQAINN LQSTYYGFKYVNSENVFKICDQPHPVVIQQILKSCSTGDIIQADDLIHSLYDTGYASL DIITTIFRVVKDYNELSENIQLDFIKEIGITHMRIIQGHQSVLQLAGLVARLCRVATT N PHYBLDRAFT_128685 MARFHEYQVVGRKLPSAKEVAPKLFRMRIFAPNTVVAKSRFWYF LKKLRKVKKAAGEIVSVNEISEKRPEQIKNFGIWLRYDSRSGTHNMYKEYREMSRCEA VETCYQDMAARHRARFRSVQIIRVAEVKNADVRRQYIKQLLTPKLAFPLPHRVQRAEK GNRSLYLAKRPSTFY PHYBLDRAFT_119493 MFCLKLVSTDGRNVHLYVCVLYVIASTEGRDAPFIPTARLTDLG GIDNCIKEIVDIIGTPFTIPEVYHHLGIQPPRGVLLHGPPGCGKTKLAHAIAGQYQVP FLNISAPSIVSGMSGESEKKIRDVFEDAKTHAPCILFIDEIDAITPKRETAQREMERR IVAQLLTCMDDLSWDKTDNKPVMIIGATNRPDSLDAALRRAGRFDREISMGVPDQNAR EKILQVLAAKTRLEGDFDFAELAKATPGYVGADLQALVTAAGNIAIRRIFDELRSTVV LPETNNENAMDIDTNLAAATATTTTTTTTTTTETTAEVVAEQDQSMSAFVKYFKQPLT PEQLETLAVNYEDFKLALKKVQPSSKREGFATVPGVSWDDIGALEDVRKALHWNVVEP MNTRDRFERVGITSPAGVLLWGPPGCGKTLLAKAVANESNTNFISVKGPELLNKYVGE SERGVRQVFARARASAPCVIFFDELDALCSRRDDQQTDASARVVNTLLTELDGVENRS QVYVIAATNRPDMIDPAMLRPGRLDKLLYVGLPEPKERCSILTQLTRNTPLGPDVSLE DISRDDRCKRFSGADLAALVRESGVAALQEDREIPTEEIFVCKRHFDNALNKTTASVL PEDERKYEALKEKYGKK PHYBLDRAFT_34708 MGKLVRIEVENFKSYKGNQVIGPFHKFTSVIGPNGSGKSNLMDA ISFVLGVHAVHLRSQNLKDMIYRSSALREDDNTPSTIRSPRRAHVLAVYENDHGHEIK FMRIVNSNGQSEYRLNDRQVSYADYNKALEKENILVKAKNFLVFQGDVESVASQNPKD LTRLIEQISGSWDFKEEYETLQKEQERAIENSAHAFNKKRGVSSEIKQYQEQKTEAER FEALVDERKQLVVQYLLWKLFHVEQKIHVLEADAEKKKISAEGATEDQLKLEDQFKMA RKEQASIHMERTRRELQIKKINNDLNELRPATIGMDEKAIHLQKRLEQTKTNGERVKR DHDKQLEVVKGLEQDLENLNIESTSYEGKFITNRTKQQLFLSEFCLKQIIKLISQFAK YRYSPIEAVEEQQQLHNLQRQRKMELEDVERKRSKLEENKTRLAQLAEDSRQITEDGT ILTGDLQALTKQLTIRKQEANALVREQVELNERLQVTLNKLLEVNADRRESEKERRAN ESLAMMKQIFPGVHGKLVDLCRPTQRKYEEAVATTMGKTMESIVVDDQKTAMECIQYM REQRVGTATFLPLNGLSVPSINDRFRNYTRGARLAFDVIQYDKQYESVVQYACGNTLV CDTIAIAKNICYEKNEDVKAVTLDGTVIHRSGLMTGGQTSSQRSSRWSERDVEDLMRT RDKLMAELNELSKQKRMGSAEEQAKSDCAGLQTQLEVLQEEITAAEHRLMDVNREAQH LQTNIANSEPLLEKAKKDLETINISIEQVEDRLAVIEDRIFSGFCSSIGVRTIREYES LQFGLSEKIVERRAQFSAQRSRLETQLSFEREQLGDLAERLIKLETLFTQDTEALAQL ELAREDLNKKIREFTVDLSKFTTELKEQTQLEDEKQRNIEQLRRDLESKGQDVNGYLK EMAKFETEVEKVHAERVSIFRKCKLEEIVLPLLRGNMEDLLVDEVATQSSDSMDVDQP SQRSIRSSDWEVAIDFSPLGSEQRNNGDSRLEREFQAEIKERSIQIDQMAPNLKAIAR LEGVEQRLQDAEDAFDTARTITKQAKEKFNTVKQKRYKAFYDAFSHISEQIDRVYKDL TKSATFPLGGVAYLSLEDSDEPYLEGIKYHAMPPMKRFRDMELLSGGEKSVAALALLL AIHSYRPSPFFVLDEVDAALDNTNVAMVANYICQHASDSFQFIVISLKNTLYEKADSL VGIYRDQEANSSKTLTLKLDQYQD PHYBLDRAFT_26677 RDGSAPYDSSDRKPSHYKDIVKENENFKSYYKSQNILSDSEFET FYSALQTTLPSTFRITGSRSAAVQIREFIENVYVPEMQNVIVDGEKYQPPSPLAWYPD QLGWQVNASRAILRRSAEFKKFHKFIVAETEAGNLSRQEAVSMVPPLLMDIKPHQWVL DMCAAPGSKTAQIIEAVHANDLLNEEPTGLVVANDSDYKRSHMLVHQTKRLQSPCFMA TNHDATQFPNVHVHKEGEQALAWQFDRVLCDVPCSGDGTLRKNEKIWNDWGNGAAIAL HTVQVQIFLRGAQLLKVGGRVVYSTCSFNPLENEAVVAEVLRLADGALEIKDVSDQLP ALKRHHGLSTWKVMTKDGQYINSVDEIEDSRQRSRFPKSAFPPANADSLHLDRCLRIY PHDQDTGGFFVAVFEKVKPMTAADRAQIAKSEGKEVSWAEVEKAEAEDASLLESDAKK SKPDVPGIKEAPFDLMKPDNPDIDEIRNFYGIEADFPRDQFLLRSEEVAKNRSIYYIS KSVKAVLEAPDIERLHVVNTGVRLFVRQGSLVEGGCPFRVTSEGLPMLERIISERRRV HINHAELKTLLTQAFPTIDEFEPATQTRLAEMERGCCIVHIDLPESIIPMTLPVWRGK TSLNVLINKQDKT PHYBLDRAFT_6306 IGRFSDRANISHGVSFKSKVVSRAHAQMWTENSKVFISDMNSSS GTYLNHVRIGTPGTRSNAHEVSNGDIVQLGMDFQEGVEPMYRAVKMRVEINPPSTAPI SQYNLDAFEQLQQRLIQTIPSQSDSDNIQECCICLYAIAPLQALFVSPCSHVYHYRCI RPIIVQNYPAFACPICRGYYDLESSV PHYBLDRAFT_152705 MNFNKDKTMAQPEMVSQGAYYTPRDPGDYYDLSQVPHQEKTRKQ KLMWRLIAGGLALVIVIVVVVVAVVVTRKHKTNDTPSSQYAVSPYANLIRLGPEAGTQ SLESKTGRILVVGDVHGCVNEFNKLVDKLELTSQDQLILAGDITSKGPDSIGVLRKAK EIGALCVRGNHDDKVVRLKTFELQKGAGAMSPSKAVMPEGNVGDPLKFSNYHSVLAKN MTMDEYNYLSSCPMILSFPSLNNSVVVHAGLDPTIPDLNNQVPYLVMNMRDIDHGLPT PDNKIGTPWATLWNAAQQNQSQPTRVFYGHASSRGLQIDKYTFGVDTGCVDGGHLTAI DMKTLQLTSVSVFAAKEITYRVVVDSANLGNMVGVAIGQDIYALDKDPGVPMLYVGRG PSESHYRYVILDSPETKNMIDFEKFERPAIIHADATFNEVFGRPWNLLRLDSLPTLYE PRVPNNTPSRLFEDGTIATMHFEANEDEIAEMHANKLKKIKVSGRLTYINYDSVQQFD QVKIKMGGHSSREWSKVPYRVKIPVDTAPQGLYRRWDLKLRPGATDPTMLREKVYDDL LQAVGVTAAKGTYVRFYINDTPVGLYLLADDSASDSFIRETFHQGNPNVALGEFVQGD AGKGDYAANLGFLGETEEAYDDKVYDVKIDGPDDQSDAMASLISFMKFIRDYNPQTVP DSEKVLELWEPWIDMISYLRQAAIEWIGGNWDGIQYSGNNYALYRVPSTEQYMAIPMD FDFTFGNGLEEDQQHLLTGKWSQFTQDRIIHSYLWENIRQTPYLVRLYESILADVNQN VSNPQKMNDRIDALAYMIQHDVNWDRSLPRLTVGKTRSASRNFLDSLEQGTDDLDERI GLKEWVREKYAAINRDVGAQGSEDDTQEADTLPEKEDA PHYBLDRAFT_160672 MSGLTGLLGELHGVWNAGVQTTRGQDLWYILAAVVISTLNHPKH VCQVYSVAEKTIVETTLPEQQQIEKQKMVVRLREGLFKSFPIVGYPKVINALSELNQV IPDTVKLGLPTEPTRIEDSWEDVVEQRRRGRECFDKIYDRHSQRVIDIMQAGHPDLAQ TALHHLYGPVLSDPRSLDGKDTSLVVVACLMAQNLPSQLKGHWYGALHQGVTLDELET VQKSVAKLCGFYNVPWCQMPKKTQ PHYBLDRAFT_80493 MITVDVLVCGAGPVGLFFGYLMAIKGHSVYVFDKIAEPSENSRA LLITSRTLEMFSLVGLDAAVLKEARIVRGIQVFSGGERLGTAEASGDTTFPQQNILPQ VRLERILETKLASQGYPVHWSTTLTAYSQTDSGIEATLSTQEEQEQNEGLVTVRASYM VGADGTHSAVRRLCASDWDFKGVAVDTRLALADVTLKGRHVDQEMMNRLSSFLDGEGA MIFLPFEPVNPNPDPDHHFRVIVSMGKYEKLENGQTDQVTHGIRARATDRDRKNKDAL SLEQLQETMDRRLGNLDIHASNPAWLTFFAINERIVDDYRRKRVFLAGDAAHCHSPLG GQGMNIGLQDADNLAWKLSLVLNNYSNDPDFLLDSYSIERRAIAKSIIKSTSQGTSFV FRFIRNGLIRIAMSIPQIRNLGVQTVQQIKMSILDSPLHGLADPHLIKPGQFMKNTSA LRRRTIEDRLVWASLHNILRKTKDSRFTVLWVSTCKGWQTPSSSGLTTKFLQSLKPYS RVVRPIVVTSAWHTRDSHPIVQSLGDPELAEAEWWVEGIWDEQCVTRRVGLDKAIIRF TGSTETAVETAVAMVVLRPDLFVAQSSLVQTEKDLEKAVGYLAHAFGTIVTHKHHSLN QSH PHYBLDRAFT_80494 MCKLSSCVTLLFEDERVDWTDWIRKKIMKGFLLAILGLFLGWIQ FWIHCCVHIAAAITAVIHISSALNRKRRNTDYTSLSSESSSSSAVSQNNSRRQRSFQQ SQLSTQLLLKQQQKQKMYRTQQNQPEAKKRPSYVQRLPNEVLMVVFEMVGTGGRKADL LNCTLVSKLWHDLVTPVLWRAPIPTQPICWLSSPSTLALLSASSSSSSSSSSTSSSYS FYSSNSTLSPPSSSSSSSSSCFSNSNGLRSNKWHTSHPQKEEQKPYQTYSKTSSPDME VKDVHRVSTGFPIHLPKYGHAIRNLTLSSPALHITDCSVRHILKYCPNLVSLQLANCR HITNDSLRSLSRAQCAPHLRVLNLQNCVQVSDTGLTYLAAECSSLEIIQLGGCVRISH QGVTHLVKASSRTLRRLCLSDCGRVTGVTIHQIAKLCRTRLEWLDIARIGAVQHADLA ALVSYCPNLTRLNLARPKSMLLRQLQQHRQEQRRLQHQQYRSSQQTQTQTQNDDDYML PLLESQVNPLDELIDMLRRYNVQPAISSLFAHNQRFEQQYQQLAKLDDVSDASLELII TQLPRLAHLDLSHWTCLTDGAICVLAKHGLALTHLNLSGCKNITYRVFGYLSSLCHRN EKLTNITLGEFVPLHEPPPLAPTNPSTANTSPANTSPALAALAAAVATTQARRRVRRP ATGTTISTTTISTTSTTATTTIRGTGSSSSI PHYBLDRAFT_175440 MSNNINPIADHLLQMTNETDNQRMLKEQEEALAIYKSTQQSLCA FNDFSKARYQDVNKHFESHAKLLKEIKGDLESVFGRLQNMKQHLGNKYPAETLVVLQK YPAPILQDD PHYBLDRAFT_73155 MPELDIAQEGFCASCGTLDQALCLHELMRQYSVPDPDDEKCSIP WCYAETWSPAGPTATPLSLLEELRTVTCNVFSVPRTEGDAPDYLPMSMAPINCLLYAD DVALIGTPNDVQKMLTVAKTHSNLLGYKWSPSKCEDSLLLAKGYIEPTCSLSRSKKLV QQCKAQNMCLRTCIRRPNATMGVVHIAALAALPNLFTRSRALQAKFLRRAETLLSVSF VKALTTQLDLSKEKTTWGELRRSVLWKKAQLLKEHQPRLKDPLKEAYVLLCQKEIDMQ LASVNRLVTVARGLCKSVWDLILLLPCTRSERCRLIKWQIAWLPPTPSVECQCGAIKG NRNHILLCPTTITFVQKLWSLIDPAPPPEVYFIDYALNCLPRSFKSPGTWCDWWPCLL ALLRAVDPTTSSYKLPEEKAHGQILIDLAAKFRATKPTRPHRILPPTQEPVPGDPFPH LLSEISTVPRQPPPSVPARNCA PHYBLDRAFT_175442 MSEKLEIVAESSFSLTRSPSLKEPFGFCCRNRIRFVVVPPELLF AALGLLQHTAWALMRSKSLPTRRCQWSPRQQGTIWKCLQQFVGSLIEVYLNPLQIVFG IFCRPLQFPVIEAFKVDGQSFLLGAVSSVEVAANNDEVVDSKMPSIGFGNIIGMAKLC IWVQGHWRAGALSGRKVSVTKRISISFVSQASITFTNLGRSPLVLNKPIFSLFMGCGD WRFGRFYAVHVVGWGYEGGGAGDFSALQVVRDCSLRDLYPSLFGREMADDTVTKVLGF FCSNLDGLFLQLGICDHVCCGWVKVPQWDNLDFSKQCNEFFTELSIYEEGDMTTNILQ RSMTLFAGFPSIPLHMAYPCAMYFIIGTALIELYNKLV PHYBLDRAFT_152713 MNNTDNTVIQLLQGIQAALIPLKSGQEALLGRQEALEKRQDAMQ LQMTSFYNEFKDREFPDRTIVTSTSTLTGIIPRPISKINDITLKHIYKMITDNLRIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLPQEDKNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGRKKREYSGMVFLLCYNRDEAMTLAEDQQERAAFKATI ADLTRNLEKLATDYQSVMEKLNSLLAKHDASTTRATFAPTSKDLLMASKHAPKTLMNN DSSNNCTRCASCNPVTDNSSKNNRSSNITTGSTATPTQTSYATQTKKGIDAKQAKQKV QVRRVQGQQLLQKPTNPSEYEFVYLLAKRYVKYQEMRKIFSSFKIPTSHILDIQFPAR GTVALDKC PHYBLDRAFT_160676 MQMSYPSALIPEKRSRSLFPRCGLHARYVILIEQSRIWLKCSTI FSWARQEILNSWINCMNARFFLSSLLGTGRGWLKSKTSEGVVPSISSMTFSHSSPKSY YTYPKAKLGTIADVNWL PHYBLDRAFT_175446 MSTITKLSHHKCSICHKRYTNKKLVAKCEVQCLEKVYKEMNNTQ SLQVASVSEQSNLILNFASSSTLEESTNMSIDEDITPSIINKIDELMYDIEHEDSMEN DSAIMDVTENAIDDTPPQLVYDFSAPVPVSGYDDAKNLKLMKIIKEFDISQKAHISLA KHFNEILSRSSEISYRACTPYLGTKLLSQFLGVDEETYHVCHNGCMLYNNDQQMECLH CDEVRYKTGERSQDTGENPIPASTMIQLPLGRQLAVALANDCTRKEMFKDGCHICHVV GQSPGHGQYFRMTSAITMCTLESFKHFDKVASSSKKGLTGQSPFSLLDSFSGPFFFAL DEMHSICHGIGKQVWGLMCGKYGKDHPLSLSLAAQKEIGTAMVLTRRSIPTSFHSAWI NIATRSGYFRAVDWADFSLFVIPTLVAERVHDQAACKALLDLVQTCNLIMSWELSAEK KTLIKTNLVAWNAYLEALLAQGFYNQSAPPAALSHNDRSIWFPRAYTTVTSTTLLQYD NPSAGWPIDREGSNVGTDSDIEFWGPLRNRTIVNSFGGISCLPELLQKFYESKGEECN MIEAAIKTSRKAFVNGCVIDSALDHNCVRETHNVRLQVQVDENRNIGAKLLSSLQGFL WEGCRFL PHYBLDRAFT_152717 MLSCKHDNIIKSLLLAIQLQLSVLHASQKQIKMDINSLGNEIMI KGSPKQNLSLFINTSSEFIFKSVINIRNVTLEHVYQMMSQHLGIKVTASKKATLRTCT KLVCNELATLPSVQAFGPRPNAIKIGHPLQESVISGKIIKGGNCK PHYBLDRAFT_175448 MILTCGKAVNDTPFADSIKIQLIYLRPGLMYMRLHFNCCLVALM YMVQDHWQWLSFERLPIMEGKESYRQDVLIVQIHTNRLVIDVSYYVSTVWSREPHRGR AKWDIFSKSRINLGAKGNDLCHFGNIHAKVLLVKFTVGYHRGQHLLHQRKLILLTLFQ VCKYMETIPTIRLGVKHQQNGNLVDLSRQSKVMHIFVLVF PHYBLDRAFT_152719 MIFRDKRNVFDENLELFKSLSSRKDFQSKEQLEDNNDDSTKIIE KRTLEKYFEDEQMPCKKKWAGYLTSQLKHFDYVITQHVESSHNNLKRKIPALQSLNFS FKQICSYLLQFKGDYQDLELNEATITDAKIYHEPCLRGLIHHVSRIGLITICAELLED VLPGELCNCRVKVVFGLSCRHDLPRDRMLLLSDIPERWILSSSLGECLKQLECDVSLQ KIDVEKPAPWVKCITKLEQLFHQCEGNQQVQNLMAMVDELVDNAGEIIDHPNVVFPLA SEVKAPGRSKHIKRKTTFPKDFVRHKHRYLLVQKNKNDIRSILKEGLKEVMKEFLEKK PLKKIIKEIKKEIQFAKKQEPLEEAEKYSSKLKSPKHLQDDY PHYBLDRAFT_175450 MVSKIYSDFAQMYLLFLDDNESDNELVKYLDSCRYLHKRKNNIS KLVTKDKKIDFLDSMNEDDFLKEVRMSKISFKKLCNILTIVLEQLRSNGKDVSYSQIV RRSGGRKNLKSVVGNNKTEYFFLFTLGNSRIYISDLVTSYEFVTYAI PHYBLDRAFT_73165 MSDEEISHHTNSMDVDLDFDQDMSIDIKSPSEASSNYFCVCNVV KHRNSSNHSESNESDLAAINALMSHYKMDTLIKSKYTVRPVTYDVCQKDCIHFDTIEA GQYADEKEYERENMIQLAIIPGPKHPKNIVSFLEPIVKDLHMLQTSGLKVQTISGQSL CQFEGNRYGINGPNIFRNLNTLTSSTFFRLDKIHLISHKNVQIRHSSKLHWQLEITEE NNWLNFLLFVMPTVVIHKCFLAITRRTVLDLVLVCSIAQQWEVTEEEIHAMESSFWLY ESGLLLTAGMFEPITLLYSITWKNTDML PHYBLDRAFT_152724 MIDSHNVLHNYDQALETTVSKLWAQINGLQGPQPAPPQESNNFL STLGNRAEQQGTDKAVWDIQISGTDACNRGQIFEAAVVKSAIEFHFTGDNKKAAFNMY GIAARFACIVIKIVFE PHYBLDRAFT_26665 KTKATIFAERIGVKNFSASQGWMEKFGKRHCIKMNRIHGEAGST DIESLQIDKAAIKEKIEGYSACDIYNFDETALFYAAPPRTTISHQKFSGWKDNKKRLT VGLLCNADGMDKWSNVLMIGHARRPNCFNKNNKKQEASDHGFSMYHYNSNAWMTRSIF HVFLRCFDHAMKAQKRKVLLILDNFSGHIVDYTSTNVELLFLPPNTTSHLQPLDGGII RAFKAYFKRKQYAKAYQYIGMIQNGNQDKIGPIDKIFEIDQLWAMKWIREAWESVSAK TIENCWNATIFHFIEDKDSEGSSKIIYWS PHYBLDRAFT_73168 MCGMQKPLSVIKATNKAIILADLEYSHTGTDDLLCLQSFDLALD TPVEILHTVTLRVYKYLVNHLFKEVLKGNNASQVKLSDLLKQAKGFRNFTRTFRKKLR HSESYLDKKFKILVQVLPPILNTEVSMIAKPFMELCILSSLLFIQEVDSDFDQYLNNV DNTARCLVVFFSLTLKTHLLLHLKEDIKRFDCAFHFETEKVTYNAVDALTGILPLSLE SRTYSDILPVEAYGLTNLQVLK PHYBLDRAFT_73706 SQSASEKNFSEISLSNPAGAVGAPDKNKEPGLEASTWASKASVS LPVTAPKISAVPSACRIAASVCMFALPSGPSGYEYIYIPRSRRLKYKEVCSSLCTLGV DSSRLLDINFPARGVIGVLVHVQYADAFKAKLITASVEILDAFDPLDPDNVADPKYAP LSTHELANTAAVLYHDKCLQALQFLRPHVAIPVGHFFCEEGWISEDEIPTRTTLTNAT GGSLFKQTWLLFPSRLPTSWSQIHLYGSPVAGTYRGSMDVSVLISPHCPYAVTQIPMP SKYALAVKISSLRIVCSYLPPTMPTHDVLHVLSSIPLTHDIILCGDFNA PHYBLDRAFT_175456 MKETHSSSHSDAPSSQQSSGLARVNEHPSYERAIPQELPSHATV IAMIISWSAKKFFAFVKEIIIPCFTVNVLFLCPFVLGTSNKIFHPKYNKLAKQEVAED IE PHYBLDRAFT_152729 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARTPLAAPVRAKLTVAAPVVISNHEPTREES NAVYAHIHNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAERLLSNLERR FGSSSMRRSDLRKRLHTNFTSRTRRERMSDDEIAETNALTRRAARADDNECRHVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKHVIHIVQPGWRSDECNRFIA LVDTYAVQAMGSSANQRIRRITTSVSNSAVPDNISPNFPRWALRDGL PHYBLDRAFT_175458 MFPSIQMHNTDCHCTRCNNNDQGVSRVSRHTAQHHNKRARFEAE KRSMEVDTEIIPTYQSDSVEAMDGQANSPILDAVSTFDNDVFVGNDYNGDESDTTDDN DSDDNGEEDTAEIYVEEFNNEDPFTASGMPENPVHRFIATFTVLFASRYVASSDLCHV QTAIASMKKTCPYLPIVFSQMLVHALLVVLQRLGYFDLVRGTIIDPMHNLFLGTPKRM MDRWVDKKTIGAKEFAAMEKIAETMVLPRDYTKLTSKIGKGFPYMKADDWKSWVLVYS PVLLHGVLPFEMYNNWMNFVRACRYLIKPSITFDEVNSAHDYLEMFCKKATELYTPTI LTCNMHLHLHLRETIRDFGPVYGYWLFGFERYNGLLKHIKTNGKDSFEATYMRSFVQN AFKGDYANAVLKSSSHVPFFNILSKLSPKFTPTTTVITLSSRPFRLQSFLLASSNPHL PPKGNEPLPPSTFPLQLKKSSLMDETDYAHLLQHYKTSYDLPDLVSYQYATLTNSFVD NEITKLKFIDLLGQQYRGKNGSASCGSLVHVMFVGSDGRNTLAYAGQIQYLFTHSFIH PSNSNLHLTRMVHDHRHVFAYIKWFNTSSDRSREDDGLEFCLPTFSPDSRHCIVPVHR IFLEIATARITTSRNVSKMLVIALPKKLYA PHYBLDRAFT_152732 MSSNTQQTKKTKKMTTKKSVQQTAGTAASTRQWEILPSLTVSAE LDGTKVYHNMGPTNGQNNNSNHSPIGQTLATGEYIKYRLPTVSRLIRSQTRAVLATMT LTVNEGAFSTSNCPIADVVQSYTHQQAEVKSVSSAVVEGKTRRHISYMLQRAKALAEK IAQQNRITRCWSRKRNILADYKAIHLADKANLESKFGETVVDLPDYDMLSDIESDEEK NKTRYTPRNRHTLVDEYFTFLKKQRLANKRPDVIGNSVYPIILRNTKLSNEKKVRVAA WIHTRQQ PHYBLDRAFT_73961 MFQQAATNPWYNVTGGEALPPTTLPIKLQPLTMMKDDHYQWLFE FYVKAYWSTSVSFCVVGRIPMGEDVFVNNQIQEVKKISLLGQEYCSGEKKKCESFVGV LFLERTNDNVSEFPGQINYLFTHTIKIGEVKRVSTFAFIKWFPAYHSSSHQPLADQDL QLWDKGFIEEDALCIVPVHHLHSCFALTTHKLQSGTQKHLVIPLPRKVVT PHYBLDRAFT_119444 MNNLPREERMKPENIILVGVMPGPKEAKINQMNNFLEPLVDELV ELYGGITMKTPEFPNGTSIRAALMCVACDIPAARKTAGFTGFASTNACHICKHHFTVV AGTSKINYSGFDHENWVSQTKEENATEAEMWFCAESDAERAVLEKQHGTRFSELHCLH YFDPVRCTIVDPMHNLFLGTAK PHYBLDRAFT_71061 MLNVSPYTISRMLKYYKETGWYERVKNPGRPKKLNARDKREILH EISKDPMQPMSYIRKAIANLISANTLRYFLRSNGIYSFLHKNNTGLHTTFISPTMKCE GGSFMVGGCFFSKGVGALKIINGQANGKKHVEVLEKAYLPSLSAFQQQTGWDDLVLQE DNAKAHTSNVVVN PHYBLDRAFT_71060 MKIIMSVRKYNKNNVKSKLICSVKYTYWRDGRVGLRRHVKAVIS SEAWVRIPLSSLPFYIYLFFAFYTVFTELESLRKSVGHYFESLYFLVDDKVLAEIEAY LPENKTKNTTTRK PHYBLDRAFT_175461 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAFSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKQVINTGGKFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGHTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQRDISDSLLSSPDMSETGDVESPIMADVLSPPPTASVEPACKRSRRSVNAYF TEQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKESQSSTFLVHLIQMKLPYFSSAI SCTSAFIQQCIDINQTLQYANQDLLTMQQYMTEDDGQTLFDAYQCSLQVFQSIKNKYE VHLYRSHTQE PHYBLDRAFT_175464 MAPICKPTVRKECQCSISHEDTCNTLSSTVSEPVNQEGDSFEFE QEDVEMNSELRNLNDTNTILDIRTRNQPFSETDCVFGPEDNVQYTSDTYKEEEEYEDE SDVEIDNDEGAVILIAIINKILQFLFDPFRLPMSVAGLKHSAGFEALTSGVKKYVACS ECHAIYDNEAAPLCCTSPNFGTAKRMLERWVADGLIDNKKLVAMQKAVEKVVLPPDYT SLGTKIAKGFPYMKADEWKSWYLVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVS EEDIEKGHKCVEEFCKGCETLYDLDLLSPNMHLHLHLCQTMIDFGPVYGYWLFSFERY NSVLKNIKTNRRNGFESTFMRQFIKESWKRDFVCRLLKSMHALACFEIFDKFTTNNNN TNTNTNTYVSHSFSISEYLEASQNLSMTIRGNEPLPPSALPLKTRPLSFMPKHEYDCL VGYYQAAYKNPQISSCKDVIDDSSFVNDWIEMVKSVDLLGQSYKGCNGTNGCKSYIQA YFTERTGSEHAYVGEIQYLFVHSFRSTVSSLTYRNPHSSQHVFAFVKWFKSTSDKTRE LEGVELLQNEFYKQDFQIILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_70994 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVAPREIAPSFSS ATIQDQQYAEIVEMFNKVNNGINDVKDDIAAVNSNMSTFKNRIGVVVDTSGKTHTVFA DFATAYANDQTRMASLGPSLMPSYVPQTSLSDAKVSVIISLWDWKFESDDLALVAENK SKKKWNLNEKINHRNNVAVINYLKSYISAQTRLAGTHPWVISDKIKNRYKHSHRTFHE SPEQKAKKNSKGRANSRTLQSTYMDNWVAIDAAMGYKTGNPVEMAYLKLFQKDAMSDG ELDIEIVDNLPQRCLHVAHPTWRSEEFNRLLTMVDDIDRTHHVSNAGVGTKPRMNRYL ATLLPCSVPATLSQSLPCWAINDE PHYBLDRAFT_70993 MCESYSLVVLKEPYISIWIIISSEVTWTTHRNLIPQWLIGTVQF YFQHVDFYGFLHFLAFMKVMKEHDAAGHDLSVPIVKQWSQSTHTLGHQTQPTYAVISV NDICHQVSLI PHYBLDRAFT_152738 MENILFCKAGIHHCLGGEVVTRGPRDRRASNFEAASNDVAGSQL WSNPTRKTLVAVASKTGMNYHTLVCFLADLWGQNVVSMVEETNWVVCTSKMWKDQVVY RVQSSFNSTHVLANDLVVLKHLWGYGFVCKFFSYSVLGETRLFAIVDHLCGIQHNNEG MFLVWESTTIGDKKVVDVKSIKGMAGLIHDVNDETIRHVVEVSQSHYQ PHYBLDRAFT_175467 MNINDLITQTYENSVNKDRVESMNYPEDVFNLTEFDQQGIQVWN NHVSRRFSALAYDDNLYSNEAYDLRELVSEGTTNRPESSMDVDVEFGQDVPTDIETPL ESDRGYFHVCNTVDHVDFPSQYESSESNESELDEAPRVSHYEPMSGTAAASLELFSMF VENNVSRNVSDKCVKMMNKYMTECGLSCKLTTILAAINSLMSYYKMDTLPRQEYTVSP VTHDMTIEAGQHTDENEWCPHCNSRRFQCERGTLIPVQTFQVVPLSEQLRFKLGNAQE CTKMEYSKNRLSYNVSTMRSNILDHNAVRCLVQSSIVSQNDILVTMFVDQFNPFNDSK MSATVVHLINLNIDPKKSVKVHLVMSTRNNPALSDLMNLAHHNSFFGCQACLSKDVSK LHTMCFTGNELPAPMRTIENLCQFEGNIYDVNSPNVFRDLNTLASPAFFGLDEMHLIG HGIGHQLYKVLGDKFAVSSWTENNKAHLVAVVIKNFVLVSTRNAVQDLVDACIIAQQW EVTEAEIRNMEEAIGH PHYBLDRAFT_70990 MLTIYLTTQARLKGLEATVTQLQAWADSSQETGNNIPLENICHL LAEEQEIGQVPNDQTLQDIGRPATAIQRAMAIYLTECDEAAACVMYRSASGIICSLLR ADFKLFHDRGESVPLWSDLTKLQKKDLIKNFELHASFRNIYPNRFLKLLLNNI PHYBLDRAFT_152742 MTGICQIAPNSSDEYKNLLAKMKEMEKSMVNVRGELTTMHKAIC AGFGQGNGPQTSASVSLDNPSVAASSIVRIPAGIASEISCENKDKVFKLIRGYMRKDK FTSNNPALVSANKAKPRWETDVFFNRSPNKEIVANLLGYLLPKFVGQGIKTSEFCTMV HTNFRSTTRKDREDPMVRAATNARGRRAARETEHFNCRVMAYVLNKDVIDALMKRNCS GLMIRSAMSEGESEDEFPGRPCKRIVKVTRPFWRSDEFNNLIFNIDEIVKENLGNDIR QLLYRNLASLSEKPVPDCCIQHNCRFK PHYBLDRAFT_175470 MSSTIKQNFEECYCTKCIKNYKGYTLVSKRTAQCHGKKAALKDA IRSELAFILNTGAQRHVMNIDAKSILVQESGSVEVLACQSDLPVLDISPMSVDYEVDV DFNDIDFEYESNENAKDTVDIDVEEVDTECLYENMFSNSSMPENPVHRFIATFTVLFA SRYVVNKGAVVLIEFINKLLKIYKQDFQLPTSLPGLQHMTGFCELSKAIRRFVACEDC HAIYKENQSVPPCCVFVKTGACAACNCELTKKSLSGALVPKRSFHYQSIKNAFKILFN RPGFEEKILRGTIIDPMHNLFLGTAKRMMDQWIERGILGDRDFTAMQKIADKIIVPKG YTALKSKIGKKFAFMKADEWKSWVLIYSPVMLKSVLSSLHFNNWVDFVHACRHLPSAS STIFQPPFILQAFVDSSETTRISILGNEPLPPTSFPLSVSKPSSTGDLDYPHLLEYYK LAYLTPDLVHYQNAAASPFFVDNPIIKLKSINILGQVYYGNNGTTGRGSYVQSLFLGR DGSKETTFTCQIKYIFIHSFTSPPMLPYYEADSTHHDQHVFAFVNWLPLLGDKSQEKD GVDICGSTPLPSNYHSILPVHRISLEVAIANYTTGLVQKKLKN PHYBLDRAFT_175471 MKDKENWVNMYVYKHAHFGNRISNSAESTHASLKHSLGTSLGKL KTVTLKVKKWYDKLVADRKHWLMVESLGEGTKIVFNKVNAARLNDIRLKVYHLTIQNA TPVLPNTNNIKPITPEFNYVLELICKYFANAQSKQEQINIYQLIEKTLKQIDAQKLKN LKGPTVVEAIKGRSKNTKRKMIALEHCINTEKEKIIKKIKTEKEQKNRWKLWVQGDSN GSVPGPGGVIKKHGNMSASNNPLIRSLQDKHSPLPQQYWFGTINHPQLVADTFSRAVT FFNLLAFACLISVQFVVYQLSIPPLSYVMTSTYISEVAKQYLIAQGALYLRNHIIFTC SSGSSIDYIFEKDRGYSYRCSGLNSIGATCCKKEIKSKRLDSFFAQRHLSYDIVIQGI YYWLNRIPRMTMGEVLMPIVNLLLLKLMKANLERGNITEDIE PHYBLDRAFT_70984 MATLNAVGACQSGFSLLLSSRLYRIFIRPKFEYGLTILPLKRTD TIQLEKILDKCLHIIVGGHHTSSTTVLKHICHLPSMSFRADVLITKFCIRAHYLPSGC LLFLLHRHHSQSSSLVTLRHNTLLQSISIDLNVALLTILWHFDKLCNPDGDYTHETHF DTLWAGLS PHYBLDRAFT_175473 MGVSLLVSPSCPYTVTQISIPNNYALAVKIGTFRLICLYLPSSM PTHEALDILSAIPLTDDTIICGDFNARLGSVTGDYASNLHGVALEQWLEKQSLTVLNG VLSPCTPTYISFHNEVEISSIIDLFITNINFANPSLHIATELSLGSDHQLLSLSFTYD LQHSPPAPPPMHQTWNLSCLYEEDVRSLYVTTFVTKSASILITLQDLVQNLPTILAPL VLAPLAPHIGNRFGPLHCKLQPAIEMAVTSNGVELVALTRSIAGAGTNMHIRSFVSKF KLQSICLGMLFATQ PHYBLDRAFT_70982 MSQLLSANCMQSLPAELVTFLTSMQSQFSALNERTVHLESLAAK NVQLHAQLSNVAASQRLFSDKTDPDGFEYAYISRSRHITHSEVRRSLCTLGVDTGRLL DINFPGCGVIGILVHVSLVNNFDPLDPKNAADPKFVNLSLSGLETQTLVLQNACCIQA LKFLRPHLVLLVAHFLV PHYBLDRAFT_152749 MQLADNNCDMFGDDLEMVAIGREKVKTGVSGARFPKKPGRTTLV PRDTSLGRLTVDVNAHKSGTARHGSPPDQTTKGMHRPVSLTRN PHYBLDRAFT_70981 MSIFNIANDYKNCIVSDYIDSIDFLTLSSEDESTNWLNKVARKH INWIYHQSYNHNKNSVFIGLSLKESLKQVQKCVRTTKSIKIGCPADIYKHAMTDDLLS TSVKFDSTSEVRSTKNTLFQILFFAVIQSVSMLKVNNQGLCCMCIIELSLGE PHYBLDRAFT_152750 MTNSLAILRHDMTTVMKDVTDIKAKTLNTPVSAVLQSQPMALVH AVAPVSMEMNIAGSPTMASDAKSGNKTKAYVFNNDKPRWNMAVNFNQSPNTELTENLV AYLERNFVGAGLRKSDVHDFVYTNFTSRKHAANKSQAKKKSDNACNRRSSREKEHLKR CKTAHQSNKTAIDDKMKRDCSGLIIEEAMSVSESDNGTSPHVSYSGLRLHRPY PHYBLDRAFT_152751 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSLGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQSTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLRRQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLRQRHVKSCERRQSVLKANRA HFVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFV DELDADYKAAHDKKNNTCPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_72746 MNLEHAVSWANALNNTERTRLEKENGTRWSELHRLSYFDPVRFT VIDPMHNLYLGTAKRMIQIWCECNYINEKNQLTMQELANGIVVPCGYARITKKIADGF SFMKADEWKSWCVIYSPFVLKHVLPAKNLENWILFVDACRLLTKPSINDKEIDEAHSK LQLFCTRFQTLYGKSAMTPNMHLHHHLGECVHDFGPIYAFWLFSFERYNGLLKNIETN QKGSFESTMMKRFLERIYIGSFIQSFVNHLPQFAIDFLHRISNSQDQLAALHPSSTAS TFSLSDFVEYSLNPRHSALGCELLSPSVFPIKLDQRITMCKGHYECLLEFYRHAYGSH DIFGHYSNCESNQIFVNNQIEKMKRISLLGQEYSSGSYFRAYYLENNSEDKAAFPGRI LYLFQHLITINETVITHTFAFVEWYSSYSLGSYQPMLNEGIELWNEPSSVLNYECIIP VHHLYSPIAIAKYRFTITSEFKRLVIPLPQKIEA PHYBLDRAFT_160680 MLTLNINWFQPFDRRTHSSGAIYLSINNLPQSERLKSENVILVG MMPGPKEASTDSMNHYLKPLVDKLLEIYIGVEMTDS PHYBLDRAFT_73953 MISFKLVSELTSVNKIGSYKQLSRNITDALSLIKHIDSNVEIRV WSQKTLEVILENQKLTRYIIGLAHIIQTIKESNTKLCIISCSFNIKVIAIVFLLLSCN ISQVVSRIPKRH PHYBLDRAFT_175480 MRFSGKHILTLPLLHFYIPPFFFDTRVLTSSDASSSQWPSGLVK AILPKILSAIKHSHENDEQPSLKHAMHQELPSHVTVIDMSKKIGTNAMFNILSVFLFN SFVSSIFNIRYQIYESMLQAESNKEAFVIGYI PHYBLDRAFT_70977 MTGIHQIAPNSSDEYKNLLAKMKEMEKSMVDVRGELTTMHKAIC AGFGQGNGSQTSVSVSLDNPSVAASSIVIIPADIASEISCENKDKVFKLIRGYMRRDK FTSNDPALVSANEAKPRWEMDVFFNISLNKEIVANLLGYLLPKFVGQGIKTSKFRTMV HTNFQSTTRKDREDPMVRAATNARGRRAARETEHFNRRVMAYVLNKDVIDALMKRNCS GLMIRSAMSEGESEDEFPGRPCKHIVKVTRPFWRSDEFNNLIFNIDEIVKENLGNNIH QLLDRNLVSLSEKPVPNDIALCFPPWTLRDGPQ PHYBLDRAFT_175482 MSSTIKQNFEECYCTKCIKNYNGYTLVSKRTAQRHGKKAALKDA IRSELESGSVEVLACQSDLPTLDISPMSVDYEVDVDFNDMDFEYESNENAKDTVDIDV EEVDTECLYENMFSNTSMPENPVHRFIATFTVLFASRYVVNKGAVVLIEFINKLLKIY KQDFQLPTSLPGLQHMTGFCELSKAIRRFVACEDCHAIYKENQSVPPCCVFVKTGACA ACNCELTKKSLSGALVPKRSFHYQSIKNAFKILFNLRGTIIDLMHNLFLGTAKRMMDQ WIERGVFGDRDFTAMQKIADKMIVPRGYTALKSKIGKKFAFMKADERKSWVLIYSPVV LKSVLSSLHFNNWVDFVHACHHLVKPSITFDDINTAHRHLEKFCEKCNKIYIATILTC NMHLHLHIQETILDFGPVYSYWLFAFERYNCLLKNISTNGKNGFKATFMRCFVEDIYK SNEPLPPTSFPLSVSKPSSTGDLDYPHLLEYYKLTYLTPDLVHYQNAAASPFFVDNQI IKLKSINILGQVYYGNNGTTGRGSYVQSLFLGRDRSKETTFTCQIKYIFIHSFTSPPM LPYYEADFTHHDQHVFVFVNWLPLLGDKSREKDGVDICGSTPLPSNYHSILSVHRISL EVAIANYTTGLVQKKTGNCLTKKALC PHYBLDRAFT_70975 MTKEHKNHVPDHKSEIRTIPLPAEAIKIIEQQLRSGSSCRNTRI STLHQIESWVWALESQIMKRGTLCSIYEKIQMKALLYIFVSNKKDSLAVWINVKLSVN NYCIFTATLSPLNDNKDLFAFGFQSPLQVGIMRNLCKKFLDHVLSCNSPLCNQKGFPV AYMITNDKSAVPIIQWLSHLLHNSRFRPLHITINCYISEHCKSNPESRMG PHYBLDRAFT_152758 MSLNSTRNSTHGGREKAEPSVHHVATDRVQQADIAPRPQAVNQV ADPELENRIIDLLVVIQSRAGSVYDNGNASTIAILDIINAQQACLAQQLSAVSHESAS GSVLVPSTGQNPSNEMNAIVLGFINERMWKRNFVSNNPPMAAGVWSGLVVEKIKNNYK YIYWTMNMTPAQATAKNYKVCSNSRRIEIHLRRLGVYNDNWPIIDCKIGYKQGNPDEK VYECLIEKDVMSDGELDSEVISPGYKQRTLQVAPPSWRSDEILNKLLSIIDKIMQTND ELQVTTMSKLQMIRRLTSIKNTPVSRNMSAIISEWAIQISLQLQQEGESDVQAICSGN QVQKMQDPKFPHLQVVYLLLAKPCITLHTQKYAGYFGGFRDVELKRVD PHYBLDRAFT_175486 MTSIHQSKKTHLCFCSVCKNKSGGCNKVSIQTFKLHKRKEDAEL NDYYNSKRSVITTSIETIPETIQETISDVIIDDEQYFEAVDDFSDMDYDFNLENSTET DMSAPIITQVLPISEADNVFGNQEDKDSNDIDSDEDDDDNVENNIEDKAYFSESNSEL SFIHCFIVKILVLFVSLYVVDEGAIILIAIMNKILELFRDPFHLSVSIPGLKSMAGFN TFTNGIKKYIACSECHGIYKNNGSTPPCCTFRKFGTNNLCNSTLFKSGRQSTIPKRTY VYHSVVKSITSLLSRPEFKRQINS PHYBLDRAFT_70971 MAPIRKPTVRKECQCSISHEDTCNTISSTVSEPVNQEEDSFEFE QNLNDLNAILDIQTINQPFSETNCVFGPEDNVQYTSDTYEEEEYEDESDVGIDNDEDS LLELISELNLIHQFIIISVAIFVSLYIIDEGAVILIAIINKILQFLFDPFRLPVSVAG LKHLSGFEALTSGVKKYVACSKCHAIYDNEAAPLCCTSPNFDKTSLCGNSLFKSGPRS KVSKKTYIVDVDDIPFVDTEQSLILMLNIDWFQPFDGVTYSCDAIYLAINNLPRTLLM AACDIPAARKVCGFTSNTTTNACHKCKCQFLRLAGTSSIDHSGFDFSKWLLRTKNDNR KDAEIWRNATKPTERQRLEVAHGVCWSELHRLQYFDIVCCTIIDPMHNLFLGTAKRML ERWVADGLIDDKKLVVMQKTVEKSPVVLRDVLPLPEFKNWIEFINSCRYFTKPSVSKE DVEKGHKCTAKKCPNSICNRFLGFLR PHYBLDRAFT_70970 MTKRISTAFYQPNLHMNAVLNSTIAGVVAPIDIPTPEVAVVTVS EVQVDVTPMNHVLTLLAANNVLMQSLQENAKGVTDAITHLKNGLDFSNKTNEFSKNSV LQLMTANAKIKKAMTSQNSVMPSAVLVDSSSFMDDDLDLGAKHHLLISQLINSYIKKP NFVSTDPLKVAENNNKSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSCESSG PQKSRLWLEIKLEEDLLDRRIITYQTYTEAIHDSMNRYDCGNILFINVMSDDESDGDN KVRAYRPSWRTDELQTFIITIDELTVIHLKKNSESLKKHIPYEKEELHTSELRLLDQG ARLLRLDSRFYALGEYCSCCHLRTPPHSP PHYBLDRAFT_152763 MEINNTISYKCSCSFEDSEGEAHIYNSSRIGSNTFTKAELMNHD EIMLEPGAKISHGKTVDALLKSKSSVKGHEYDVCPNSCQLYVINDDQESCVDCGEPRY KTDTEQNQTPAASMKFMSVGDMFSQILADPATRELLHYRANWKSVAGQLTNIFDVDNY KQLVKQGLFSNLNDITIELYTNVSVNQKKSKSLYNIIYAVVFNLDPSIRYTNEYLLQL AILSGPKKPTHLDSFLMSIISEI PHYBLDRAFT_175490 MSDINTTLINSVKKIGINIAKYTTSFLVGFERVAKSVKKSQFTE YPDQLGKQVQQDANDFSLSSNNISESNGGKPPIIVNVLSSLAEMSVEPAHQRRQRL PHYBLDRAFT_70967 MVPRIAKKPKYARVAFFASKVHDQTTFSITKNIKTCWLCRKNFS QEWKLRCHLQKFHASEDFTNNSPIENLEDVPDCKINESPVPENEDSLCEDKDTNSTVK SRMDTELDNNASKIDKSASKMDNSDNSSNIDMEKVSHSLDDAGPANFLYAHIWDSMIS SVTNLDSLIDENVDWLDSLLGACSTWNFNILTTYPFPDLQSMVLFALVNGDNDMFSCR ILKRIVLTIRLILKLQEEANTNRSSFKLPRLDALLNYQSRKKSKLPVLTSTPVTIDLP KNKSVLAYVNMPSDHLKLLATNSVKAKFMFAMPDYFWSGDVVEFAGGSANAHFLVRAF YMIDISAVYPQDYNVLLPENNHFAHIETKRISMRVKKLLWVDASSIHIDFCFSVFPEK IDPVLPVHRSLLLVSHFLKRHISQGPDNPNNKNRFYKCAALFFEERSSIENIYFLSAI PKKDGASGMSLLPVFVKDLKMLENGLVMFSAEDNKHVLVVAPLLWIEADTPCHSELCG LRAPTCLYPCWKCYVVLQRTAEKLKDEIHYTGSHASKIKKHYQIAASTSDRSSTIPDA PSTGKNFKASELSFRYRAMDVVLDLDSFDPLTNTPIEILHNILLGVAKYLVTDLVKVV LKGHPSLLNKLMDSLKEYEKFQDLSQKFTRLLRHCSLFLGRDFKILVQILPIVLATKF LNDNEFFLIAPCFVYLGHLCSLVFVRAVKYNYNSYIAKVKNTVTSLIQKLYFYDKNYM FLHKNFDKSFWDILYGRSRDFANNNNIDNIADLSPGNNTFGVFALEESRDQPVHYIIG KVSSLRVEHYRVESSAHG PHYBLDRAFT_175492 MKEEMKAMKDKITLMDTRIGAVITGNTTAINGIDALSALPASAH VPTSVASTSAALPITKSSDTNAVFLKSRDQAEIQANAIKPKWAVDVRFDRSPNRELVK QLLYYLEKKFAGTDMRTRDLRKCIYTNFCSRRRQQRELPETRRALNTNSRRSGRETDT VEKKVQVLAEIIAYLQNPCNFVKIISILLNLECYKPLLEAVDPQLIFFSCVKLSRLF PHYBLDRAFT_102534 VCPHCNGPWYQRERGTISPVQTNQVVPLSEQLRFKLAYPEERAK ITYGMEVLAGGQSNVHKDILDGDGIHRLLAGGIVGQGDMVVSMFVDQFNPFKDAAMSA SIIHVINMNINPAERYKKGNMMQLAIIPGPKHPKNIASFVEPILADLRALQTSGVKCW DGDQ PHYBLDRAFT_70962 MSLLDIEQLNLRLVMLETAYARLEARFEARFEARFEARFEARFE GSEATTEQPVRGNSPDVNRLQPVVGGNTIPRPSPQGQSNSWVLSAGSVTAALVLHFTR GNTKEAQLLYSAIGRLARQLVQITMSRYHTNGIAIPSWGSLAPNQRNILVRNLEERAA RRNIALDRFENSWVFIFVLSQRWRTAVSSGRQDPTNLTKKVICIGGITNAVISYGVII LINYTRRHLAYDAYKAGIDLKMGRNCSGLIQKSVMSEGESDDDMSPSQPRNEIRVARP SWRSDELNKFITEVDSFVVKQLGANSRQLLKRVYGRTVESTVPIDLDPALPQWALKYG S PHYBLDRAFT_102185 NLPREKRFKPENVILVGLMPGPKEPKTKEINHYLKPIVNELLQL FMGITIPTFECPAGVNVCAALHMVACDIPAARKTSGFTAHNSTLHAPDVDFSGFDYLT WKIHSGLENRLHAEEWKSASTPSERHQLEIENGVRWSQLHHLGYFDLVCGTIIDSMHN LFLGTAK PHYBLDRAFT_152771 MTRFNAGQVSLIPRIKLNPSESNSEIEFQRFQFPVYFAFAMTIN KSQGQTLESIRLYPSATVFSHGQLYVTLSRVRKPSTIKIVLNTSANFNEIANTVFADN VVFKKVFDIK PHYBLDRAFT_70958 MFGHSRSTHKQCLVNQKNISFHISQKKPNIDKYSTESSQKIAAA LRIRSEPVQDQNLDTEILTSISVSKLTEFLLANETITEVLEAVMEKEIEETSSNKEVA GREEEDEEISTVNRGLILFHCCHCNRTDHCQITNRFCPNNNSSRAKGSRNQDRDLNNI A PHYBLDRAFT_175498 MFSIANPDEVHCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNM DKTINEQTVLTAKVNTGEADMDVDQIEEHIELPIDDNYSDGAPSPEQYVNTHLPLLVE ESLFETEEYTSEYESEYESSDEFEQEEQNREQEQGAVILITFINTILEHYGEDFRLPT SIPGLRKMTGYNDLTNGVSKYVACSNCHTLYDYSNNTHTFCNFKRVGSKTHCKNDLYK SSMKNAMIPKCTFVYNSLTTTLKKMFTRPSFEMRATIIDPMHNLFLGTAKRMMDIWIA TNLLDDKDLVEMQEEANRMVLPVGYTTLKIKIGKKFPFMKADEWKSWCLIYSPVLLKT RLRDDLLGNWIHFVDACRELTKPSITKNGIKKAHESLEEFCVGCEDFYKPDVFTQNMH LHLHLKETIEDFGPIYGFWLFSFERYNGVLKGFETNQKSGFENTYMKRFLESSYNGDF CQAHLRNVTSPLLLSLFLKLSGCKIYNPALSPHPLIPSFFHLPTFLQSTEKPSKQTFG NESLPLSALPLCLKPPTTRRKSEYDCRLDFYKIEYDDASLCSAKTTIRNCWFVNDRIQ KISSINLLGQVYTGGEGLVVRGSHIQAKFIEKSGDSEERYAGRIKYLFLHDFTPNLTH TNLFPCHNPQHVFAFVEWYKIPCHQSRIKQGIELYEPEFLKYDYDNILPVHRILSPIA IGSHVSGSGAAKVVVIPLPRKLYA PHYBLDRAFT_152776 MAPRTNINQNARTNGSTSRPLINAVNTGLSEFNDVVSLLATLND KMTAVSSDVSELKVQCQVGAQSTGMQAVLDSDMDPQNIISSSRHPKISSIIWGRLRDI NLKTDDLELIKENDDKPTWDVNVGLSDKFNKNLASDLMLYICCQPVAAMVSPKELCGI IVNSYYNRLTASKLTEEDRQTNTTSNRRGNRKTALNKRRKRMYTKHKDAITEKFNWDY NGVFYRDAMSGDETETDTSVVASRPGWRSDELNTVFDFLDELARDDLGKRATQLKSRS HVLVHETIPRGLVTKMPTWSKRI PHYBLDRAFT_175500 MIPIYQKTAQKQCKCTTCKNKDREYDFVSARTFKCYQEKDIQDN TLIQVLALEDMQEAAIWETVKTINCNKDMFEIEQQDIEVYSMFEDKTALLYFDDLFGS KIPFW PHYBLDRAFT_175501 MHVLLELRKQSLKNICDAFKKEHDEDNVNDQVLEEFNKKRQRDE EELNDMCMVFQRELATSTGRRINRLRKETIWWGITHPSLSDTSHIDDIRREVKESTFK EYYHIILSTFKTLMNILLGTEPYRRCDESELACSVWKQVAVVLWRFSNTHLGYRIAKD KFGCSHGSYNNFTDQFILAMSSIIITGFRQPTKNGKQRLKEVIDAMNGKLISIEKPTT ANSDDSYADCKENISINLTTVCDYKKTFIHIATSTPGCRSKNQETVPLTPEQKLYNAV QSRTQQKIENTFSLLVLRRKFLYKHLYVKDVESLTQAIMVCCVLHNLYNEDGAVFGLG EEDNTNPVMFEKVLNTLLARLKTGGEQQQLAVMNSL PHYBLDRAFT_152781 MRTFKFFEVTTPVTNDLPLPNQNQDTASNVTDPEARGLGKLEVN EAPESDTGKESNLSPESISTTAANDGCNNLAKNNSSLKGKIWKDLVNKFKDLNDNYFK NKIDGGKCGKKFKGLTKTYKLCADSFFNQMKEILINNPSVYPWNFGQSRTSRSTSMTT ILSNGRIVQENIFGDGRREIKVLSEVVVSNDTNTSTNTNDNHASDLHSVISDNKDEES LTTT PHYBLDRAFT_70954 MFGNEVNAFYVIDSLEDEESENEMTDDKINDSLKSILELNLLHR FIVISVALFVTLYIIDKGAVILIAIFNKILRFLFDPFCLPVSVSGLKRLAKFNALTNG IKKYINCSKCHIIYENNNTSPICCTLPVFGKHSLCGNSLFKTGFGSIVPKKTFVFHSV KKALKTFFQCPNFENNINSWNCGPKIENTLFDVYDETMWNELVDVDGILFLDTARSLM LTLNID PHYBLDRAFT_70953 MSKWYQYLNSPSHDCLLYTGCKEAGKSSVDYSGFDFSKWHLRTN NDNCKDAEIWRNATKPTERQCLEVAYGICWSKLQHLQYFDIVYCMIIDPMHNLFLDTA KRMRERWIADGLIDNKKLVVMQKTVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWCL V PHYBLDRAFT_152783 MTDKQKAATRRFKAGNSAEKIYLRQFKKEGMSDSESNTEVKDGK PEQVLHVACSTWRSDEFNRLLAIVDDIAADCDLQNADNPMYRQNALEICLVEFISQQL DSFQFSCFIRGGFKLFVKCKYMLTTLGISACLTKKINELHHFDPVIDERDFVCKGKAD GGRGSFLQIETDKLREASRHSEIKKE PHYBLDRAFT_175504 MNKTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKPVSIFREITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLRNWALTARVAHLWRDRHKRLQSYFFML PHYBLDRAFT_152786 MPLNNSKKSDRKEKGKANASTSASTSTSRVLAGYVLPCEIAPIN TNIEGVKSDIAIINTNMTTFKNKLGVLVGMSGKTNMAYVEFAKAYANDQVCIASVGSS LMTYSALPPSLSDAETTAVILAALWRFFAKYPLCPPGV PHYBLDRAFT_175506 MIYLMIMAPRTNINQNAHTNRSTSRPLINAVNTGRIESSNPMIA PRPENMSIPVSEFNDVVSLLATLNDKMTAVSSDVSELKVQCQVGAQSTGMQAVLDSDM DPQNIISSSRHPKISSIIWGRLRDINLKTDDLELIKENDDKPTWDVNVGLSDKFNKNL ASDLMLYICCQPVAAMVSPKELCGIIVNSYYNRLTASKLTEEDRQTNTTSNRRGNRKT ALNKRRKKMYTKHKDAITEKFNWDYNGVFYRDAMSGDETETDTSVVASRPGWRSDELN TVFDFLDELARDDLGKRATQLKLRSHVLVHETIPRGLVTKMPTWSKRI PHYBLDRAFT_175507 MHNLFLGTAKRMMDIWIATNLLDDKDLVEMQEEANRMVLPVGYT TLKIKIGKKFPFMKADEWKSWCLIYSPVLLKTRLRDDLLGNWIHFVDACRELTKPSIT KNGIKKAHESLEEFCVGCEDFYKPDVFTQNMHLHLHLKETIEDFGPIYGFWLFSFECY NGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQAHLRNVTSPLLLSLFLKLSGCKIY NPALSPHPLIPSFFHLPTFLQSTEKPSKQTFGNESLPLSALPLCLKPPTTMRKSEYDC RLDFYKIEYDDDSLCSAKTTIRNCWFVNDRIQKISSINLLGQVYTGGEGLVVRGSHIQ AKFIEKSGDSEERYAGRIKYLFLHDFTPNLTHTNLFPCHNPQHVFAFVEWYKIPCHQS RIKQGIELYEPEFLKYDYDNILPVHRILSPIAIGSHVSGSGAAKVVVIPLPRKLYA PHYBLDRAFT_152789 MFAIANPDEVRCKCTRCNRNHLRYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAKVNTGEADIDVDQIEEHIEYDNYSVGAPSPEQYVNTNLPLLVEESL FETEEHTSEYESEYESSDEFEQEEQNREQEQESTENLSENIWHRVIAVFTVIFISSFI VDEGAIILITFINTILEHYGEDF PHYBLDRAFT_175509 MSLNIFSLLNTGNDLGCNFLATVIDNSRDINLKTDDLELIKEND DKPTWDVNVGLSDKFNKNLASDLMLYICCQPVAAMVSPKELCGIIVNSYYNRLTASKL TEEDRQTNTTSNRRGNRKTALNKRRKKMYTKHKDAITEKFNWDYNGVFYRDAMSGDET ETDTSVVASRPGWRSDELNTVFDFLDELARDDLGKRATQLKLRSHVLVHETIPRGLVT KMPTWSKRI PHYBLDRAFT_175510 MHNLFLGTAKRMMDIWIATNLLDDKDLVEMQEEANRMVLPVGYT TLKIKIGKKFPFMKADEWKSWCLIYSPVLLKTRLRDDLLGNWIHFVDACRELTKPSIT KNGIKKAHESLEEFCVGCEDFYKPDVFTQNMHLHLHLKETIEDFGPIYGFWLFSFERY NGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQAHLRNVTSPLLLSLFLKLSGCKIY NPALSPHPLIPSFFHLPTFLQSTEKPSKQTFGNESLPLSALPLCLKPPTTRRKSEYDC RLDFYKIEYDDDSLCSAKTTIRNCWFVNDRIQKISSINLLGQVYTGGEVETLRKDMLV VLSTCSCTILHQTSRTPTFSLVTTPNTYLPSLSGTKFLAINLESSRALSCMSQNF PHYBLDRAFT_175511 MFAIANPDEVRCKCTRCNRNHLRYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAKVNTGEADIDVDQIEEHIEYDNYSVGAPSPEQYVNTNLPLLVEESL FETEEHTSEYESEYESSDEFEQEEQNREQEQESTENLSENIWHRVIAVFTVIFISSFI VDEGAIILITFINTILEHYGEDF PHYBLDRAFT_152794 MPEFLLANQDDDYTEFSFENNNQKISQQSAVNSGVIPSPSAASS ADHNDDTMMTPSGSEDGASDSEKGSSGSDDGCSSYKDVNSLCIHLDNSGVRVNNNLVV TMEMLLASAEKDLNTKKSNYYAALDHYLGVSKRDPTSTAAKSASKSARKVQELFAEAE QILKNLKASTAHASKPHDKKSTLVLSNIPFLQLRSENLLVKANHDVFNSVYDFCQEFT TNKLKGKAYNWKETKSILLDHYDMPFHKFLNMGRVWCMKQDKDESARSFGTKFQKFYC QALLDDSVQFVLCFCTKMPSKIEEIILLFQDLQKVLGYQEDHEEKHLFLVQGAWSKGH SCPEREKYLTKVSRMAVRSSAGRPIRASTVVEGSPLLSCSEYQDNTSALTKIVIHCMY THISGWPKHILYL PHYBLDRAFT_70948 MTLDYKYNLKDMIIKKDFKNMSTDITLPILANNSIRTISILNYL LNKNNVHKCFICLADNNIQIKRISTCVISVTCNSKTIQRKFKVMNLTNSHEYDFSIDT DYMSSLSIGIYGLSLSYDNADSSEKHNHNIPKGSFCTISESVVCLDTSENATMFRSPY LISYKMQDVVDKQVKE PHYBLDRAFT_152795 MANPKVTETFADKEKLTVWFENSAKRHSNWNVTNTHFSQATNTI SPKDVASTVYFVCDYQGFLKKAKVQETAGKLKAKRVHTESIKDGCKAKIIKKTLHDGS VQVDYLWQHATHQPEKVQEMVQSRLPSEVKQWIVSHVDNNMDWKAIKTLLQINPHFPI SLRINYYDVQNVINVHLNNLSRGNAIDKTSLEQWIEFLEKEKNYLVHIVFHEVVKSNV TNKGVPVCFFVTNAEFITILSQWLNWVKSNCSLHVKRVMTGCSPVEISALEEVFGQSF QILLCHWHIKRAWEMHIKKDIKITGATHKSKREQDAVRVAFNLLMHARSKEAFNQQYK EFVSRFAGHAKFVAYFATHWHAKYARFHMNNLIESYHHILKAYYLGRSRNFRDNRLVY MLSQVVEHDYRQEGLKIMSTSVAPLLTILFGTSSWSKRKYCQSALVQTLIICLSIAQN QEVQVVGQSEGSAMAQNRLRALEFVERYNSLINKITQAFSERNAVVRDTASGMDITSQ ILEDCLSSLKESGGAPQQKYRKQ PHYBLDRAFT_175516 MQHDGSSTIDDSELISDSDYAMNAIEINKTISYKFGCSFEDSES EAHVYDSSRISSNTFRKAELMSIHLSQLMLQYRISRAAYRDTVQFVNTIIQDHDEIML ELGAKISHGKTINALLKSKSSVKGHEYDVCLSGCQLYGINDNQESCVDCGEPRYKTDP EQSETPSASMKLMSASDMFSQMLADPATRELLHYRANRKSVAGQLTNVFDSNSYKQLV QQDLFSNPNDIAIELYTNGFVNQKKGKSLYTIVHAVVFNLDPSISEIKDLEVYGLVIK SNGVEVCRAKIHLLLASSDIPAVADMVHIGSHASLFGCQICETKGKSPDNRRYGMYFE DSSAPLQPLEDFKTGNPIIARGIGKHMYNLITVSLTKETKIFYTRPDDTLFTTEYPFF IPRTRLVTIGNCITSSFDNIFSKIDGTRAVDWLDFLLYIVPTLVVPFLPNRAVKTVVL SLVKGCALALQWTLTSELLDKMDVNRHFKHWHHYLSQQVQNKTISRSAFRPVQHYLVH IPFIVNQLDLLRCYSTQSMERVIGVFSKLIKSKCKDGHNASFLVERFTLHNYVNTAIS IQNEIDLIQPKPYGRESYMDLPNDPSGAQLWEPFHQFAQLNDDLIEGVSSPRVKDILT RYYQQTSGLMISDIGDSTIVVASRLWMNLTLYSSCINNCNIIVYNWLVGAVVFFFQHE DSLGSLSFFAFVEVMKEYDVAAHDSSVPIVKQRLLRNLN PHYBLDRAFT_70944 MSDINTTLINSVRKIEIDIAEIKQMVRMLQDQFSKQFAPTVSTK DISTMQQNIIEQSVYSLALLILWHTISSLVALERVAESVKRSQFTEYPDQLGKQVIGT GGNFKGKNKAQKYNLLLQLLHKQDWKACCKEIPEGQPLPQLVLLSDSNLTMKQLQLKT LSRSIKHNLIDKDFPAFSKEWKGILAKHQEYYMMQLERLAKDNGFAIFKCKSIHNKMQ MIFYCLLIICQKQMVASHLLWSPLAEMSVELAHKRSQRS PHYBLDRAFT_152798 MSRFDPIIELTDCYINHSSRRIVEEQEQGWVSVLEQQEEHADVY EDQEPTNKEIQEVLAQYAQDQGIPSEFSLPMTLALMIVLRRMVFSACLVDLSLLFGKR KSTLSVIFNEMIEKIYIKFYPALKFDYSPAMYCVGFIDGTFSKIARPIQGHGLKYQAV VTLNSITSFIMKPDSGRNHDVCMYHKSQLDVMLCVAFDFTNINSPCYYLYGDLTYTVS DHIMIPFRRQMADEQELAINKKHKFAHVGSLWAFLKYSQTQRSGQSPVGLYYIVGTFL KNLHVY PHYBLDRAFT_70942 MLNFIHSDSIWSRFSILNWPSFCSATLLKSNNPLRVVEQKKWTD KVEGSSSGHLVSQLITDFSDAREMLKSFQDELFSMVAGISDGEVLTSMRRLEENVERG CLAIETRQRTLEQRQTLLEERQARFKYNMQRYLL PHYBLDRAFT_175520 MSCLNRDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSRSSFFDYTPTLILYRQTNNVIVFCVCQLFCFLNCLAKKACRSKQWNTVGVDCTRGR PMDVRKE PHYBLDRAFT_175521 MSSNTQQSKKTKKTTTKKSVQQTTGTAASTRQREILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGVTNGQNNNSNHSPIGQALTTGEYIKYC LPTVSRLIHSQTRAVLATMPLTVNEGAFSTSNRPIADVVQSYTHQQAEGKSFARKDSS TKSDFLTPVQKEKYYKAIHLADKANLESKFGETVVDLLDYDMLSDIESDEEKNKTRYT PRNRHLLVDEYFTVLKKQRLANKGPDVIGNSVYPIILRNTELSNEKKARVAAWIHTRQ Q PHYBLDRAFT_175522 MNSTTKTYTVMCTCSSCTKNAIGGILQNAQTFKRHNNADKLLDI GPKNRVNTEVVEEETDVEMVDISETSIDYEDNYSIVSAETTVQSVPFLREDEIFQFEE SDVETISLASDNDDPDSSDESEDESEVEVAGVEDFEDMVASEILAFVVASLKIHEMSQ TSQFMALFGVIFQAFYLVQAGGTAMLKFFCHLLVAFDKDTDLPLTIDALKTMTDFNFM TKSIVKYTVCNKCFAIYLPGNCQPNCTFEKYTTTPPTYCGNPLFSDTEADRAVPLMVF PYNSLKNALAQHFAKPGFEHQIENATEAEMWFCAESDAERAVLEKQHGTSFSKLHRLH YFDPIRCTIVDPMHNLFLGTAKHMISVWKDLRYLSTAVLVRMQRLADGILVPPGYAVL STKIESGFPYTKADEWRSWCLIYSLVVLKDALPEDDYKNWTLFVKTCQKLTGPSVTYS EIDSAHQLLREFGKEWETLYGESSITPNMHLHMHLRESMLNFGPVYAFWLYSFERYNG KLKNIKTNHRNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGIAQVKSNSDS SSPLNLDAGHPPALPFSLAMFQQAATNPWYNVTGSEALPPTTLPIKLQPLTMMKDDHY QWLFEFYVKAYRSTSVSFCVVGRIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKCG SFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRVSTFAFIKWFPAYHSSSHQPL ADQGLQLWDKGFMEEDASCIVPVHRLHLCFVLTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_175523 MADMSLYSAIRDAPIRFNHKINLIAERDRLLVELLINQHLSYAG HGQSGAAWDEILKKINDAGGKGNKIGVSTLKQRHKNLVKQFKARQAQEQKMTGSNKSY SDLNQLLFQLVESFLKETEGDRGKTMKKQLAFEEEVQK PHYBLDRAFT_152803 MAIRISPDECSSAKHAMNQEPLSLGAIIDMIAGLCTCNQISFGT SGNRQNPTCMVRYERSRMLQIAMIPGPGAPANFWSFMEPTMKELLVLESEGMVVKTPN ETICAKVHVLMVTGDIPTLAKLACHSGHMSKDGCRICHVVGQCPKHGQYFRTLPSTNI RTLESFQNFSQASASSRKGLNGQSPLATLKVFSGPLFFALDEMHGLCHGISKQIWGLV SGTYGTDHCFALSSGVRKEIGTAMDVYKNPGSFKAVDWADFLLFVIPMLVAEHIGDAT AQNALLGLVQACNLLMSWELSAEEQTSIKSKLEIWNMYLESLLTSGKIKINIFTINQH LLQHYPLMIDAYGPPRAYSARSVERAIGEYSRAIKKAGATVMIIEARTARHLQYEDST AGWPLTDEGERVGAGSDIEFWGPLRNRTIRDSFEGISCLSKLLEDFYKSKGEECSMIE AAIQTSRKAFVNGCVIDSALDQNCVREAHNIRLQIQVDENRNINSAYSPVYKDFFGKV VVFFEHKLNNKRWPLALVEIAAVRLVNGIPVVNNGQMKPKVVHLADVKELVGLVKSDA TINTTTTTTYIVWPELNRGPKLSLGSLADL PHYBLDRAFT_137452 MSLLQPYINLNVLVITLDGRVLVGKLRGTDQTANVVLEKCHERV FSQDGTEIVPLGLYMIRGDCICTIGEIDAEKEEELDITQFKADPLRPTKI PHYBLDRAFT_183952 MDVLKGLVARVARAFYDPKYIVILDELNNAPPNTNGVREEDLVM TLKMTPRDIHRICGKLKEDRLLKMATRMEARKQDQRPVPKTYYYLDYKEFVDVVKWKM YKMQTTVRDNLRTESENKGYICSNCEKQFSTLEVLSLVNPSDGLFHCEACDFVLGEND NAENVKGSQQVLTRLQEQSQPIIHLLKQTDSLVIPSSYIFKPSAVGVRSSGGSKDEYE LAVAQDTGAGQGDIIVDLQMDNESARRQKLQEAEEKRQQNALPVWHQRSTVSDVLLGT GDRSHEAPVENEDEETFEEAGTEEFDAVRHDYYEKYYESLSHAIPSESTANDNEWNID GHEEEDDEFETVMNGNNANGRQNNNYNMDLDADDSYRGRYDSEGVEEIGEGFEEIPLV SVNGKMVPLNEVMEDDQRNMTTEEYKAYYEAWQSWQNS PHYBLDRAFT_156727 MSSKGSANRLSPTKGSETNMLASGFKRTERISWTPFLRDYISNS YAEHPDLYTDDFRILDELRNDCIYLESSQKGLNRLIKYYGQLVFISSKFPIDVGLYFP WYSVSGGSNQPGKLAHRNMNYEKACILYNIGSTYSQLGNSEDRVTAESVKKACNYFQS AAGCFRHLQDVVLPEMRTAPTVDISPYALQTLINLMLAQAQECVWQKAAMDKLRDGTI ARLATQIAQYYDEAHELATNSSIQDIYPPQWLAHMQIKALHFHAAAQFRKASECISQN KYGEEVARLTLANAHVKHACDMLKRPSSAADSHQAKKVSSAVVNDLKSLQQIIQTNLA RAEKDNDVIYLELIPSPLAIPAIQKIVMVKATPPPEILDPVSLMLNNANHKLDSTPHV IIGLPLFQKLVPFAVHQAASVYVDRKERIIKEDIIGRAEELNAVYHSTLQSLNLNAAL SEGVDQSPGLPESLLRQAAEVRSSGGSRALYEMWEHMQQASLKNLEILEDAFNALDEE HEMDETQRSRYREHWPRPESHVLTGKLVDQGQKHRITLVSAQKADQTVRAKLNAWAKI MDVLVLPEDELKSSVLGAYETSSSSSAQEALDCLRRAVEEMNEIEREQKRMVEKAKRT SNADDISPTLLKKAAQLTAKSPTTKIDPAEFEELFVKELRKYDTYLMALDEREERQNQ LLSEIIEAHRQYEASKQSNVPTGKLEKALQNLNQAYYQYKEIKSNLTEGLKFYAGHAK GLVAFRNTCYDYCYRRRAESDQIEEKLSASLRKMSLQSHYQQPPPQQQHQQQSSQSSQ SSQSSQSESRRSTPFGVNQDDGTRM PHYBLDRAFT_73668 MAGGTNSRGRGGGGAEDISVSGDGLGLRSLAKVTHLAVPGSTET SPSITEYSYRNDNIGETKTEDVTIGFLAAFLSSDYNRHNFLLYSSLYTFLNRRRNSFQ VKLGRVATPSSVFILPTLPLCVDPNTIAVGSIPTSDMTSFSITSPRSPSAMSLL PHYBLDRAFT_73669 MSFVEYDPHLANPTQPFSKVLNFSGSFQVPENLQQSPFKISTGL LECEYIANLDNRFINSGYCWAIMGPVKAPDILTIMLFGSRNGLQHFGCKYLGQERILK IIVCLP PHYBLDRAFT_175529 MPKTEVGVEKYLDIVPDVKLTLVLYGDSAYMVSKCLYSPFKGIS LSVLLGIVIKWYKFKVVDNRMLQINFASNDPVLIAENEGKKRWNTNERIDHTDNVEVI NYLRDYILSQPTAAGVWPGLVVEKIKNNYKYIYRTMNMTPAQVTAKNRKTRSNSRRIE GNPDEKAYERLIEKDMMSDGESDSEVISPGYKQRTLRVARPSWRSDELNRLLGIIDKI MQTNDELQVTAMSKPRMIGRLTSIKDTPVPRNLSAKIPAWAIQNQ PHYBLDRAFT_152810 MRWKMLEPEFVISCFFNGCAEAIRTLGVLWAPINIIIDKFEREM ALFIQDLLDEHCTLTLGQIRDKLLHNLQHHLHFLRPHSPFLNPIEECLSKLKTLVKRK SVLACGPLLEYIAECISHIAMENCQGWVDHSISFFRQCTNSQEIN PHYBLDRAFT_7996 WTSEEDNLLRLAVQLYGDKTEKWAKIAACVPGRTNKNCRKRWFH SLDPSLRKGTWTDEEDQLLREGVTKYPNQWSKIADMLEGRTDDQCAKRW PHYBLDRAFT_152812 MSELITTSVIPTSSGFVQGFVNPMHDIHTFLGIPYAYPPVGDLR FRPPVPFVDSSEEIKICMEHAPAAPQTQMPFDTLMSVEIDNQSEDCLYLNIWAPDTEP DSKRPVLIWFHPGACMSGSSSQVFWDGTNLARNDIIVVSFNYRLGALGWTRLDHLSSD FEGSGNLGLLDQIEAVKWVKENIASFGGDPDNLTAYGASAGATTIMAAILSPTAAGLF RRVVLQSPPMFMANPSDWAATKGSLMLHSLGVPKDDVGEMRDVDVQSFLEAQTFMTTW PNFLEGLAPIGPSVDGTVLPTTLMEHFLHKALPKGYENLEIMIGFTRDEFNFFFPFLP NFQDMDDSMFVRTYFSHIFGHKNARRAYEIYRDQIVPPSSPPSEVARYMASDVMCRVS TLLTAENLARHGHKVWLYEWDYESNDVENIIKAAHMVDAIYSWDNLEYWVDNPFLGPG DDVERDQIAKQMSRAIVSFARSGDPDHPGIPHWPAYCQPGKETERDGMVFDQEVRVER NIYDHGLALWKTQLKEYVRNQLCPIKAKEETASLSSTTDDAAKDVSEPEGSSGKKRKI DAV PHYBLDRAFT_98007 RKHLLQAPVLQVVYASTIKDRYLFLFSDLLLICKPIMDESIIVS SPINPDSESRSRFKSTESSLFQIKNIVELAQMTLFLSREDQPSFDNRHSMNNSPGATG SGMHPMLVTALRKFQTSAESGVAYLIDKNVLIQEPLSIAGFLFKTPDLSRRQLGYYLS DRNNNDIYEAFLNCFRLVGLRLDEALRILLTTFRLPSQWENIEYIIEQFAKKWHDANQ NVIKFHEDMVVKVIVAMLFLNAEICVAFEPLHYITSVRTKGSRRPTVEDFLERWKYYD QYELVEAEFLEEMYRSIVAERLETGWDTRPGKTEEQETDIVITVDANQLPSRLTRNVP CLPIRISIPSPDPGLQIKLRGQDLLCQPNILDFSQSAVQSFTVTGHTLGRTSLMFIKS GFNAGRYVSPTLPRTKSIVVERLFMRYTFQIGF PHYBLDRAFT_189393 MVVKTPSGDISAKVHVLMTTGDIPALGKMACHVGHMSKDGCRIC HVVGQSPGHGQYFRMPSAITMRTPESFKHFDEVASSSKKGLTDQSPFSLLDSFSGPFF FALDEMHGICHGIGKQVWGLVCGKRSIPTSFHGAWINIATKSGYFRAVDWADFILFVI PTLVAERVRDQAARKALLDLVQTCNLLMSWELSAEEKTLIKTNLVAWNAYLEASLAKG EVQLKVFTINQHLLQHYPAMIEAYGPPRAYSARSVERAIGEYSRAIKSNSAIGINAGN IMLGLTQIRQMRVENSRTTTATVTATTLLQYDDPSAGWPIDREGSNVGTDSDIEFWGP LRNRTIVDSFGGISCLPELLQKFYESKGEECSMIEAAIKTSRKAFVNGCVIDSALDHN CVREAHNVRLQGSVYKDFFGKVVVFFEHKLNNKRWPLVLVNVYAVRLVNSIPAINNRQ MKPMVVHLADVKELVGLVKSDATINTITTTATTYVVWPELNRGPKLSLGSLADP PHYBLDRAFT_178462 MLEFQKEILTEIVADDALTILAPGLGLFRIMCSLIEMHCRGNHL VFLLNSNPEQNAALREHLMMAGILPDKNLKVIDSDTPAETRRAMYTNSGVFSVTPRIL AVDMLLRRVPIPLISGIIVANAHRVRSDAMEELILNVYRKENEQGFIKAFSDNPSPFV SGFAPLQTILKSLRLRKVQLWPRFQVLVADNLSATEVDVIELRQPMSPSMEIIQKGLI ECLEATLAELRRQNPTLDTQEVTIENSFFKMFDVSIRRRLSHIWHKVSPSSKQLIDDL GNLRRLLVYLTEYDAVSFYSILETIVDCGTPSKDNQQQFSKWLLLGSANTVIMEARKR VYLKPDDPEYNTTETWHGYTPINMPPSLRLTLEDQPKWELLRDILEEIEQDMDEATQG KGAPVLVMVKSLKTCAQLRRRLVEMSDPDYEDDKKPTMMTHLVQNLFSVRGNRIKILN PTATAATPTASPASPAPPPPFLRSSPFNKRRRTRGGSTTAGTGGTRSESTNDTQDSVQ SSSQTTQECEAYQPEIKIDMAQVLMPTTTETISSEFIEIPKENTITIHCYEPNLNDQI LEDTEPLFVIMYDPDPAFVRSIEIYRSLNPHLNVRVYFMVYENSVEEQVYLSEIRKEK EAFERMIREKSNMVIPIPPSNVTPDDGFVSAVNSRILGGHLKPSEPAKIIVDMREFRN PLPPILYSQGIDIVPCTLVIGDYILSPDMCVERKSIVDLISSFTSGRLYTQCEAMSEH YKIPILLIEFDDTKAAPFQSLSEVKEHIVGTDITSKLVLLTITFPKLKIIWSPSQHET SLIFADLKKSQEQPDADIAASIGTNGARDEDRMYNITPEEMLRSMPGITSANYSIIMN AVNNLEDLCKVKEPELQKLIGKEPAKKLYRFIHQKAG PHYBLDRAFT_160687 MEAKLLKIYEYNEKLREQLEIQRIPVSEASRSLIEYCQNNSDYM VPSVLNTKRPDPYAETTGDCGCVLM PHYBLDRAFT_42678 MASNILRRTFATSSSAIRIGLIPADGIGREVIPAAQRVLEALPN GPKFDFVHLDAGFEHFQKTGTALPQSTVDTLKNECHGALFGAVSSPSHKVAGYSSPIV ALRKHLDLYANVRPVISVKSASTPNQKELDMLIIRENTECLYIKSERIEQDPKTGLKV AYADRKISEYASKRIGAMAFNMALTRQKLREQKPLAQRFWKHAPRVTIVHKSNVMSVT DGLFRETVRAVKESNPELYSGVDMDEQLVDSMVYRMFREPEAFDVVVAPNLYGDIISD GAAALVGSLGVVPSANVGDSFVMGEPVHGSAPDIAGKGIANPIAAIRSAGLLLEHMGH TAHALAMYDAVNAVLADASVLTPDLGGKSSTNDVVEAVLKHL PHYBLDRAFT_152818 MNPTVYQFQIPSYGVSYDNILAEIHLNSQHNCHTSPQFNTYNCQ GLNSNQQEQTVCNQSSNLLYGYSPPLIPTQKATQTSSFFPDVNFELNNDEALCNTTSI QHILSINRVTLDKCPFCSYKVNIQKIYNQMSPSHPTNEKTLYHIMDQFNQHDCPAQAQ YKAKHIIQSELCAHSTSKVVPSILKPQQFVFFNTFLESFTRKHPKRPRTRRTCNTSSQ YDLNIGRNEINNVFPVVLLPSGSTPVLSNLRTSAYAKVRMPTSISARHFLRQSNPATV NSTQIRIHSFIDRRWLTDRRAIRSI PHYBLDRAFT_183959 MYSAKTALSFPENGYPDKQSVLEAVNKKGLSNNFTVKIKEGKFT TLHLACSKAGAYWDKCNISEEKRKKGSNSSLTGCPFLLRFSFKKKSNIYLSFSTRGDN KNCHDHPVIPENLVSSHQGRISLLTTDDTAIAKTMLETHTKSRDVQKATSDKRTEIVN LRISDIDNLKYSGIRGASESAHGTTELIRTMKAKGFSVLYEFKERNSLKHIFFTNDIM IKIALSFLDVFIMNATCKTNCTKMPLVCVEGVSNLNGERLKIFHVEFAMIIGTKHGVF DKNLELFKSLSSQKYSQSKEQLENDTEYSIKVKKWAGYLASQLRHLDCLTTQRVQGGH NFEGDYQDLKFNEATITDARSYHEPRLHGLISHVSRIGLITIRPELLEEVVSGELCDR RCEGDQQMQNTVTMVDELVEDAASEVKAFGRPSHGKQKTALSKDFVLLDKEMPVFVTN KNVHEFSLLAQINQAAILLTFNPKSDGRCGFRVCAHLKEGGENQLPLVKKKMLATLAI HRKLYEHNVGLDVAKVTKVIAFGSEINPVLGESISSFPFSVWFSAPDCAQIIAGTYNE PILVYSDDLSVFLVILLPLLIGSLSNERHCQWSCTMDMGTTGKQSKPTLVYIGSGLG PHYBLDRAFT_73682 MNSAMDCPYIPDYCVSNINMQLEMHTVALNNGHPTPQLGACNCQ ELYSNQQEKAINKQKSSSSPGYSASIPSRQEFSQIPFLSLNTIVPQILSELDEEFFNT VPITNYYPASFIIPTIKCSLCSSTINIEKGYALRPPTIYTDMDLVNYILKHLNQHKCS AQDPHIGTTVSQRKLCGQSDVMTSTEMSRPQKFTFLHIFQKDCVREYSKRISGYYSTS RTSSPYSLKTSRQNRQNNDIFTEMFFCHLCNHQCNRKSNLKRHLETHSTISKKPRCSF CEKGLSNNHNLKRHIKSCTMAPVDTAIHNL PHYBLDRAFT_152821 MIGEQKFHKERNFQDGAQLISKDNKYNNDNDIKNSNKNSNNSKG NSRMKKKPQIKRLKEPGELDKTN PHYBLDRAFT_152822 MLFGMTISPGKLGKVASAIYDKGAASLNIFGLIDGTMHEISKPS QGNKVQKAFNNGCKHLHALKYQSIVTPDGITSNFLGPYVDSRHEARVEEYLDIVPDAE LPFALYGDPDYMISKCLYSPFEGVSLSVFNNMIHCTGRNRSPTSSYFGLDPPTLEEYI WINT PHYBLDRAFT_73685 MPLKRTNKKAEDSNRKLQIKQYKIPGFEEEKKPKKTHGFWNETK ELKGAHKKAWNDIVKSVNNVTPGETELSYETCRRKMLRLVDIYKGAFEEKTVVGTSST NKNRPEIEKAVSRVLDKHEAFNISGRQQEIGRQELKLGLEGHLQSITLGAPGRITNLK QVYRDFVRNNNADMQEEFPTESSAMSAARPVSRACPASIEDGEGENADEEHPKVGYEA SESQVARQAEAMGVLKQILNNMCDIEEKLKKAKKK PHYBLDRAFT_73686 MHVEFICEQLGPKTPYKVIEEKTLVSSSKADIQPKTHIHVTFAI IRHRLFPLLVLRNILKFHPNKSLDKYNSFLSKKRTRSSEALSTSVAVDLENDIEMNNG FIELEIVMEKPRDYYGSGSTDEETKYENNPRLTDSQVDAILLQERTKENEVETSETDN NTLNCTARIFDFRKNHQEDHVYNGDALGGCETTGKRLDFPKPDAVINFYLRAKNEVPV FKTSPVEDTNRKSKVHEFSINKPSEYIKHILAIPGKTSQLSALPDFTENQRLNPNQGN KWKEDPLIQHSMLTFEESDYWVGNVVEVQGCSNRFLLEKFFTENGSSYANAFQVYGGH GPFLNHPDDAYFWSCGNSTNFAVFVPKYKMEVNKFLSIVQKDSGSGSFFGFGFPVSSC YTEIIDRALVGVKFRLWSNTSNIEDFKRIIAGTSDLMKVVICQLNMYSDDTSGNDSKQ YNAYDNYLLYFAAMALEERNKRENTLFVCTSNHILNAVEMLPPIADDLVVSEKGIEMY SEDLGEYVSLVTPLLLFMGDNPSQSQPAMHKGTSSKCLCRRCIFPSPRLVRKHITGAL RFFPVDHFSWPHRSKNFLSAFASSDNQSDVYKCSHSFGYIKNGSEEFFRLKAFDQTKD MPFEILHMIPLGFIECLFIFLWKQPVLTAVQKDSLQREMASYRSCKSYNRTFRNQLCH SGSFVGRDFKQLIQILSAFHAVARLSSLVYMRAIHVGFDYYLMQIRSAVDEVTKVLRA LDLFILTTKDKLKQQDFSFKPKLHLLHQNGEQFNKFICEHLFKTNRQATSRDVTKKFA KQFMCRHLCNGGSYIVEKRVGNGTRPVRYVPSEFIKCAPIDFPAFNLHFFGSRANSDN SGSSIPNLRDTLAGVFQENGRWFLGQVSIEALRDERNRLVRKTFMMQEYQMIPSVNAN TVYSRNVVTDPYGNIEFDENEVVVIQAVDIHVTRVPDSSRRLLNVPKFGMFWWMLVNI SNID PHYBLDRAFT_152825 MSRRQHEQHVRIHTLTRFDTKSSCKTEVPAPYCHFLLLFTPTSY LLVILSTCPRLPPKLSSSTRTAPHPPHHIHFTTSTSPHPLHHIHFTTSTSPHPLHHIH FTTSTSPHPLHHIHFTTSTSPHPLHHIPLTASLSPQAPTLTSASFPNNIPPFHHSHPQ PPSPLRRFHSRLLPSGRLVVWSSGLPVFQSSVHPATTLLLLVMD PHYBLDRAFT_152827 MALPVAHVRPRTSKGMIDLLLPNITLLSAAVGSAPAGALPSVLL DFILHFRDQTLIPCYPW PHYBLDRAFT_152828 MSRRQHEQHVRIHTLTRFDTKSSFNSFSSLLPPSTPIHSDVLSP RHPFYLPTPSPKTLFLYSHSTTSPSPHPPHHIHFTTSTSPHPLHHIPLTASLSPQAPT LTSASFPNNIPPFHHSHPQPPSPLRRFHSRLLPSGRLVVWSSGLPVFQSSVHPATTLL LLVMN PHYBLDRAFT_183960 MNPIVRAASSTVRASSTISVLGKRLAMFSITGALAYSYIQDCKN DCMSNTYSMVSSISSGLWLFSHFPTNGGFTAFTVKPNPILWLKNNKSSGFSLAPKLPL ICIPYVFLPSQAQQGQEENRDHSSPFRYGAVANTDKVSVSIRSNGSTPSRSTKERYQV VGYPLKTPLIHRDETEAVGHTTRAGFGCIILGHIGNNHDRTRKPWKSYTHNLVSPPKR QYNTFGGGGNSLVLVWIRFSIESGLKTFSHHPADRSFTESTSSSIRSYFLADSTKPEG QEENPDLSRRRHGQNVRAHTLKRSDTKPLCLVDVPGSGVSPKLSLVPVGRSLKPLLMP LGASFGCIILGLVVLYGSYFLVIATTGLESPGKAIPVTLSLHPRDSILHPVAVATASL PVGSPLAKVLAKRLSAIIRLIGASRNPP PHYBLDRAFT_79640 MEQTSVRSPISTHRQRPDSLTDDYEYHIYDTSPGERSYIASSSF GSCPIVVMSRHQGFEWNEELFVGAYRRNAGCESHKSRGRQQREERISDEIRRNSTETN SDTVCREIERVVEIKLTDKEKDIWP PHYBLDRAFT_183962 MASLSVPANILASSDGSPKLFNKWSYEEIEVKDISLQDYISVRQ HVYLPHTAGRFAAKRFRKAQCPIVERLTNSLMMHGRNNGKKLLAARIVQHAFEIVHLL TDQNPIQILVDAIINTGPREDSTRIGSQGTVRRQAVDVSPLRRVNQSISLLTTGTREA AFRNVKTIAECLADELINAAKGSSNSYAIKKKDELERVAKSNR PHYBLDRAFT_183963 MEAPLFIRTSEPDRYEIAAQSIVLVAISIISCLFGVKIFNIKFK YLTLSRWLVLILYIFSWAFTVSTTFLITTNNGNYISCLLSILACDVFYSGTKVIIYAW LIEKVWVVSATKSTRMESWMYKLHLFFLTPYIAIFVLMLKFHTSELEEDGTCIIGLQL IATAPLMVYDVLFNFYMTFLFLRPLFTAGKRSAPDWKSSRLHSVAKRTLVASIICLIV SFANILGLTLSNGRQRGLLCLGCCALDVTISLVTIHWVTTSPRRKKSRETMTNMTYPD TGMSLSDTAVESDGAHTTRVEEVSFPDNKFSSANNKQLETLKHGEYVDMDIINHHNHY DQQQQQQQQQQQQQLGYDNRLSQSNRFSFSFVGNH PHYBLDRAFT_152833 MQHQWVYSSGSNWAVLDQATTQVVDKMWGSAESGWVHSPTFGNQ PITSNQVNSHQFKPMASGWFYKNGAKWLPLETDLQERIEELWKSESDAWVESRAFGRV YINTQKLIMSTSETTWELLRDL PHYBLDRAFT_6932 ALYAGSQFKGLQTCGSSSYEVVVDIQRVDLNESMLSGYLNIKGL TTEFPELTTYFEGEIIGPKHSFLTRKWQTQQIIDRRHWERFDSFKPYLEIFNRDGFVY DPTNKDFVYMRWKEQFLVPDHRVHTIDGASFAGFYYICYQRSTNKIIGFYY PHYBLDRAFT_69870 MNPFANEPNEVNLQALYDAMWYYVEQQVIEEASSLGQTVTPEFI ASLTEVVCRQAESMALDLEAFAKHAKRNTISMDDVKLCARRNPGLSELVTEIADDLTV QPKNKRRGDEITIGGEEDDDGDDDGDDDEEEEEGDDDEEGEEPEYDYR PHYBLDRAFT_175553 MLTISYFYFLLIVTGVCAEQATNWISVNCTWPCSDNKECIVTNK AIECQQVHNSQWVLSNPESSPVFRGETARLNQPCVVLPTPPLVPTTTDTSSSSSTVIL WPPNDVSNPTDAYLSDCDNSTYCALESDMCIPRLLQNSVCVSTNQCIAGLICTSNDGT KTICSKPKHSSHDSDSKTKIGYIVAIVLGILILLILLGIMWYRRRRQRRMDTTKPSLV ALETLPVAGAPGVQSQFQPSSYPHSSPHSPHSSYPHPTNASAGTGTTIINSTNSAFTF IPTSTSMHAHHPHHTLIHNHTPDANANTTPNVNATSTSTVIIGSTANHNDSNNDNSHN LPIYPDSSTPSMQQQQLQRQLQLQHHYNQPEADNKNTVPPPPYVP PHYBLDRAFT_119590 MRPPTIDTSHRRQSVQGGAVLWKSEDNLAKSRSTPHKSKRPETV GRSFSQRLQKFSLSRTPTRSDVVTTNTISKDYDPTTGNKMINHYMILQEIGRGVHGKV KLARDTNTGECVAIKIVDKRNRKRQLGHSLRPVEGESEHKVRREIAILKKCVHPHVVR LLEVMDDPASRKIYMVLENMKGGELIWKDEDGPRLSIERVKSIFRDVVSGLDYLHYQG IIHRDIKPANLLLTEDQVVKISDFGVSYFNQHLAGKPLSNLPDRQMDRELAETAGTPA FFAPELCSTTCDTDNGEDNISNSNSNGNGNGNGGDDLSNVRITKAIDVWALGVTLYCL LFGKCPFMAATEFELFDAIPVQPLTFPANFADQDCKDLLLGLLTKNPDARMTLDQVKV HPWVISDLAYPIIWWQEADPRQYKTVEVTDDDVNQAVTIMVTNSFLSYILLNSQRKQQ RQQRQSKYICTYMHTHTHTHIYIYIYIFLLFFCF PHYBLDRAFT_152838 MRLILLISFLTVAKASISVLNSNTTCADHSAAFGPPIQDEGLIG FLMEPSHDPFGCTPTTQPTTDWVALLQRGGCSFITKVRAMQISGAVAVVVGDPESSTW ITMYAPGDTSDIKIPSVFVSKREYRALVYLTQRVNPLLVRLEWDGFISWPMLDVLLLV VLSPSIMMLFVYCTWKIRRFQKQKQDLAPAHIVSGLTLRVFSKRKTLENEPEDCSICL EDYALGDALRVLPCHHDFHASCVDAWLTTRKKFCPICKRDVTGANTDEATPLLMEASP LV PHYBLDRAFT_119595 MMRVTSTGRPFAKDLFDIFGAFLIQTPLKDHRSIFRTYPNSITT DEAVLTLSDLKFVHVIRTPDPNDPNVQIATRTTTTFSMTREMAKTLGQHMLNSRLAEN AADPQNRTMKDRATWYVTPKGKYMVQDFSQRAGVSISHIQKSLDRIDSFRIVKFDRLI DTDSLSFARPNMTTAFKTMMAWLPTETLLADDVGGLKEKQAHEYTYTFYGYQCFEWIC EYTTVVSGEEGLMIAAEFVLYGWIVQVLDKSDKSNSIRTDNNSFKTTKRAIYYVTERG RKVLGWQTKPTRSPSDVSLSKQSDIASSSSSSVTQSQVLRKQMTLAKRLAPTASDVPL SDSASGNLGKTPRDSQWTRLRQILEDPLLRMYFRDFMKANFCEENINFWVDYYSLRKK ARSRRMIISELLTDCYTIYDTYLGMQAASEVNIDHGLRQEIITLVTRTFTVAPGSSLD MPFLNIPIQPTLINVTVNGSNQQCLQVIMRMYDKVNDHICRLMAQDSVPRFVKTEKYQ QFMSQNSTPI PHYBLDRAFT_175558 MDQESVFNATDHSCHTTFCASQPHKYANTSQIKGRNKDALSVES INVVVDIGVIIICIDIFKFSVNGANGANGANGANGLNGTADITANVIYSRKNNSNI PHYBLDRAFT_69877 MTRLFAVIACLSDVRCSNSLLSLVWHNLIVGEMNVVETKSIKGM PGLVNDANDKSIRVVVWPITKDMMSIGMTMTVIIVITSIGVPKYRHTFNAVWNRDIIA AKKKGILDILLFYARTNNKRRHRS PHYBLDRAFT_69878 MNWRKNIWSTNRTINRYIVAIKIRSNDFFVPLDTNIVTENLSLN DFNISEILSHLNTLLELYDPSVSRKCFTFYQSKLNRSIQPKDKVPLIAFSVGMFEKGT VKFKGVPVCYPVENVEQCGSGTSTHVLNMVTVPLCILSEKGRPKGFKPQKKEISVANR ASLTRFRLSQVFAIDYFNYLDSKCTTIFVGQANLKSS PHYBLDRAFT_175561 MSKFVLLLSIDKARFSVVIVNNRHMNNIVLVLIYKVILSKLSQK FKSSRSLFKQSFQVCVSTKSSRAQTASIVWVSEYLLCSYYCVTRKIVLQAVAIMALLR VN PHYBLDRAFT_152842 MSNSNSEISLSTEDNHAIKNEYKTWYKHDGGKDGLTSMERLQQF MLMNGGENLNMYLGGDKEGRTFKSSKVIILNKCNQYFQEQGVYRTTAQRKSRLNNLLT KQYGEAYRVWNNSIKNNSNDEGSTSEKEGLESELNQICPAFFHMEKVMGNRKTGSPAV CDTTKPMEWIHDEDGEQSNGDDDNTDEESAENSHSSNNEEYDSQNDNMSVLCSPPPKS SSSRKCRRVDVEYKELAEEVTRQLNESSVSLEAKIERLYREKLEVLKDDLHIKREREF IHRKFENMMKAVAELAKVQNWSDEKVQEQTDDVYNKTYGS PHYBLDRAFT_189400 MGFSTRSPMWAIALMVYTCVLYFIFTSMRASTWSNPRLVDDEPQ NLAPPIIEQIEEIPEECSTESVQRNIDHNHGNAHVQFWRYLSKDVILSYQKQWQDFIA KEKAKPDVGQFKGRGIVLVAGNVDTFGRATTTIRILKEFHHCTLPIEVWHLPDEIPSP EIVTELARLGAVSRSFGEENLVRPVQQRRDADKQFQIKAAAIINSRFNEVLYLDSDNV PAIDPTFLFDSPDYKRTGAMFWPDFWKTSGENIIFPILNIPCKDEWEQESGQIVINKA KAWLPLQLSWYMQNNHEIYFQLLNGDKDTFQYAWKALDAPFYMVPTFLGMGGGMVDGR FCGHTMLQYYPDGDEDKLLFIHANMMKVTDKSRFMQKNRIGQEVERPWQLAKRYTMSR GNKWLQPGFYVSSTNQPCMDFTHLKGEPECAVESFDKVAPGVQDAYFAMGGIGGRS PHYBLDRAFT_101073 QLIVVFVSILIAFVAYTSQIFVLWNSLGGAVAHTFFVLAPFNVF IIFIYINYALTCTTDPGTVPPNWMPDQNTHFEVKRSTHTARFCKTCNNFKPPRAHHCS TCNRCVLKMDHHCPWINSCVGFENYGHFLRFLVYVEIGSIYLFALLSNRLAQIVEELH TSQIRPSATEATFLSINMIMAALVIICVGILGGYHVYCVTTNTTTIEGWEKGKTLTMK RMGKIQNVKYPYDYGVMNNLRSVLGPQPLFWLWPRTMSGTGLYFPIN PHYBLDRAFT_128705 MPFKPVYQLTCRYCTSHICARSMKAILLADTRIELFSTDTPGQG IQLLEKDYLTRTCHCRIRDVACLGCGNVVGYHVVSPCSPCLRSCNNGHFWMFHSDACK PVERKDNSGIATLLWSSLPRPDRDFCFLLGGTIPYSKLCR PHYBLDRAFT_69884 MADSTSSGPIITYCVEYAETQLSVCKSCNRVIPAKSLRVGELFR KNKKEKKKQAKYSWWHFKCWTVPKVLTVLPIEQFRGYPALGKKDQERVERVIKHGEGA SWKSVNEKVVKEGEEEEEEEEKPKKKQKISKEADEDVDLTDILTGVQANNKSADSKAK KTKNAEKAGKTEKAVKGTKTEKPATKKKAKAEAPKVEEEPKQVLLPKEDQLELENIAN EIQASFKADQKAKRSRA PHYBLDRAFT_189402 MAAQQQQQHPNKLAFVEGVTYIFKSWTALKLAVEQDWGGVESAE KRDWMIQLMVDYFDKNGKKVEVDEIEDILIQIMSDEFQTLLEDDSAYLIAKHLVLIFN QCITGNFTEVVKLREKFQSQNQASASSFVKQGEEDSDVDEDDDEDEEDEDQDMEPRAP KEPEQPDEDGWLTVRHK PHYBLDRAFT_189403 MEKPTPRINSGLRQKYIDKTVRLTGKVVSFTGESALVESSDGGQ IIIKTNRDTTWGTSYVELIGRVEKDFTFTEFSSINLGENIDLKLVDKVVEYGQKYPDL FE PHYBLDRAFT_100399 IVAVKAFRKRDRDETEKSYHKRMTSEFCISKALRHPHIVEMFDL LKDHKGRWCSVMEYCSGGDVFTVMQDYELNDNEIDCLFKQLLKGLAHMHSCGVAHRDI KPENLVMTSEGVLKITDFGVADVFQSCFHKTTHLSHGHCGSAPYWPPELFARAENDNL GYDGRAFDIWSAAVTWHCLLYRRMPFLEASDTDEKFMEYLEQRSTQSWTPLSKCTDKE KDCMYGMFDPNPTTRWTAQKCLDSQWVENIEVC PHYBLDRAFT_69889 MVSSLEYFFSKPSVYCSCLHKLRGRYLGNETIIPVEETESEQKG NNCLEDPFYTDQIMKALTKTINDTCFADSSADSSSEGPNKFWARPQDSPELTLKEYSD SGSIGVVFGHEDENSAYSYPIEPSCVTNQSQTSRSRRRHHSHQSTAHPVTALRANGRP QHIDSKRHQTKMLLVSLIESFCRSYGDTPEANHKVFFLICQTLSSFGIIDSEFVDEVA SARSSYNRSFQNLFYTVVQRVRKQDYWLEDQQRMITQTPWLDLNNNRQPNGSENNSPS FLFGQSPQTNKETAKEKEEEDISSSLESKSTHRSDLLFNLSIQNSRYRNDFIEVSMLG KGGFASAWRARNKLDDIEYAVKKIRLGKDLDDDGINPYERIFRESKNLARLEHHNVVR YYSSWLEYTPADTPITSSDEGSCDEFGYTSSRQGSESSDSEDSQASIFNGQDPTFDDS TPTFSPVKPSYEDMSQIDFVQDYQEVDQLERRGEDSANLSNRPTTEYVPETYSPGPSN LSPNPFSKYGQVCSGSGGAEEGPGGPGSVRRESRQRKKSTSEESFGAGWTLFIQMQLC PTTLHEYIRFRNKKYSETNRDQTDSGRNIEIFSQILEGAAYIHGQGLIHRDLKPSNIF LGMPTYGEHRRHHIRSSSTHEHGFSYDSVLSVSGLRECMWEEAWVPKIGDFGLASAVI HDSDNGYEKNYSLSMPQSFYSHLENHNHNSNNNKNINNINNDLSRSAEPAGLSTSLDS SDVQTIFKKRPRLHRMRTSGVGTRTYASPEQLAHPPQAYDEKSDIYSLGIIFFELCQP FVTAMERADAIDHLKKGIFPEGFVEKHPKEASTHK PHYBLDRAFT_79647 MLRILAILCLLCASTLGQDTSGCMSLQYSTACPAFSQFYVGIPG LAERYSFMTNVTDVKSFDESLLSYVYSTADYLAPLRCTNKAYITNIPYARFSMTRICT ELIQDSDYSLPCNYKNNQAPPPLCQSTCNDYMDSVSRITNDTTLCPDQDQRNNALLNL KTQCQYWQGYNGTGNCIMGVANEPNNCGFMYDTLSACAYCKENTDTCCKSVSSCSKLS KGAVVGISFGCLIAIATAGAAFFYLCYRRKLVHKNKFSFNTYVPPPKNIPSTESDPSP AYRAVPESSQNIAAYHDDVVHRDIGNRGPVVETSPFMTHEELQEEQQQALAQQHHYNQ NQHQQQQQELAVEELYEVVHPYPPQMGDELGLHVADIVCLAMRFDDGWALGVNVTTGL KGVFPVVCIAPASEELLERLLHSSEMTDTISEHDYASKTLIEDEEDLGARRTKSIRVP LAINIQQIRDDLQRSVSVNSGTRRTPLTAPSPLTTRPELTDHRTIPRRTASMRASYGY QEAESPTSPSMNTPFFNVSLLANQIPRQTPNQNIPPTVPQETYEMRRQENRVSKHEQE FNGSNTTWTARQVEGQNK PHYBLDRAFT_69891 MYFKASVSVWICLWIYYFALATCAPIGNLIQGPAYLVTPGGINL SSVSLVERYSEAPIPLAKPLGDQYTNDIGGNEASQIPRLQIDSIAQNVFSYLGRLRNI LIKDINPPLQNAAGGVRGSVKKMISKGAQRLQ PHYBLDRAFT_152852 MADSSSQSTSHTKPKSARKKGVTSTRQYESWTGRPSPSPDPPGS PHGQPPARRRRRLGDFDQIYRAFAAFESTLKQVDIRAILGRPIRRRQRRRPRDPNLPK RNAHSYAHFTKTNYAIVKADIPNINQRDVFRTLGQRWRALSAEDKERYVTMAQNDRVR YLREMEEYRRRFPNP PHYBLDRAFT_152853 MTQVYDTTDTTDFTRRHSFRGWLRKNVLPAPSRKSQTSFKRTTG QRTGAIHNVFGVPLSESLCYARSTIGYVDEDFIKHRRAGAIPIIIAKCGAFLKQNALH EEGIFRLSGSMKRINELQQAFDSPSTAYGLHFNWDGCTVHDAASVMRRYLNKLPEPVI PLEYYQAFRDVMSDKFYHTTDARVTAFQKLIQTIPPAHQHLLLYLLDMLNMFACNSAE NRMDASNLAAVFCPGILNHPDHISVVHYKISQRVIEFLIEFQALFTMQLLIKKPKETS DTNDLPPVPPIPKQLAHTDTSSILGRDIPIARPLIDTDEPLAPVMPSVKQRALPQPLN MTGLVYNPTRSIKTSSDISNNMPSSSKEEEEDWVDSGTDVKTPASFMKSPKKIKDIQQ SEYSQQPIPEEFNEDDVATPRAVIADPFSLPNKTKLQETPKSQDIVTSISEAIQPFKK RTIEQFAQLKDTARPYFVQPTDKDFVYSVCVSSAGLVLFLSAYEIYLVISNRQWIEPC AYFGGMMAYFTLLYKGTCEDNQHLSEQTTLDPPVTSSFDLQKNEDTFGSSVSIPKQID QDSDDALSLFDDISIANDHAAEEAMMKDESIMSEWKDLLTRAWRADISMPKGFPFSLA NSHSMSETTETNDREYADDAFETASLCSVSSVTSRFDEESDPSEDDELDYKEDEDCLA DLSTEEMESLWERFQQIEKDTELAKVLQKEQDEEANRVRLENNPFITSPTSPSFAEKE KWKLHKYTK PHYBLDRAFT_128707 MATETTTTFDSNEIFVVFVLGGPGSGKGTQCAYIKRDYDFVHLS AGDLLREEQHRPGSEYGELINNYIREGEIVPMEVTILLLEKAMKESRDTENKTRFLID GFPRKMDQAIKFESTVVESQFTLYFEASEETLRKRLLKRGESSGRIDDNSESIKKRFK TFEETSYPVIEDFMARGKVRKIDAEQSIEEVFEEVKKIFETAF PHYBLDRAFT_152855 MGNSPSYLDYAAAYASCTGSPFLRRNGQLVSVRSQNSGWYSTPI IHTPPPPPTICTHPAVHYSQPPSVVTTSYSVNPTIPYFSNQYVVSTPFQPSYFESGQK MFYGYR PHYBLDRAFT_175577 MLWNSSLRLQGISKTFKSISCYSTAAQFNTSKVDCYISTINNCY TNLAIEEWLLRETDPQRHVLYLWRNKPCVVIGRNQNPFQECNLEFMRQNNIPLVRRRS GGGAVYHDMGNSIYTIYMPRHAFSRRTNADLVSRALQQLDVPAYVNDRHDIVVDGLKV SGSAYKIINQRAYHHGTMLIDADTEALKGCLSKKRMNKTGIISKGVESVPSPVTNIRD YSFTVDHQQFCESVLAEFIHDYNDGASIEPIIFDEEHIEQLPSKVKETAKELQTWDWM YGQTPEFTNTIKNEFDWGHVNGSIHSKHGMITDAEFSTNSAGAHEVTVVSAISVGLKG CRYSPNAVKEAAAKITSEIPGLISAENEHLVEDMDRWLSNKL PHYBLDRAFT_152857 MTSKNIVPANEWPFREVLSDEQSRDLETVDAYITNVEPKETNNV IKFIQRYLPPLEGLEHCKRIRRSVISEKGKCSAISLDALESLVREHDMTKEIKPAVIR LSKHAPKNRQQYEAWKHLWPMTFREDTRNDPKFTLEELEAIKEHMKQLIDNSCGQMRA RIVDPSGKVMAEESDTRAETVHPLHHAVMNCIDTVGFKEREMARLVGSNRPKRKAHQI SDELETLEDFQSTSEATGALTEEPSKAAYLCTGYDIYITHEPCAMCAMALVHSRIARV FYSVPTRTGCLGTVLKIHSHLSLNHHYRVYMGLLENYTKDSVVECDFDA PHYBLDRAFT_69898 MNSLTTGSASIYLQPTLVSSTEYDTETIVDIDPHAKQEHTSITL EQAVSAADSSGDGISKIKSWLRSQWKDDSNRHAFQLATAMAIASLFVVIGPIDAVFKN SFWVHIYFTHVYINTSFFKNSVAVSVVTILDTSLGGTLHSSTQRMFGTLISASLSVFS MVVSKAIFPQWNWHAAILFTTFLFLQAFFIAKIKQRPSTAFAGNVGMITTAILSLSGY SNIIKDDIYGILRLAMWRIVDLLIGFVIVMWICLCIFPSKAKRTLRKNLSKALNEAAD IYEEMAGCYLYSNKCVPETSARVQDTGTNTLGEVSRHPCLLSCSNCVEDVNKRALGVL ARLHKESARLPNVSKESYFTMPTRLLFGSGRTQWRRDLDQTKRYSEVLSAINQIFLSC LSFRLLAPLVRSEVLSSKSSLTQNESSGSEPAQPRDVRHAHTFHSCIAPSKTVRESFL DSLKVIRKLALMLRDGEQNLSDHPDWPIVRLTIFRGSIYIQQGLAEMTEDNQNEMIGS KSLIHYGFMIRCSMIWQSLEIIVENLCHHKACSIMNSDIAGASV PHYBLDRAFT_160698 MYQVPRLKELATHAMHQNLTITTARLIYETAALTYQISLQVRAL RVMLSSRKVLHEAVNQPGGLTGLDTAESSFLPSPSNGSMSPGFSSLVSRPSHDDTYFS RSFSMDSSSDETAPISPSASSPTSTPFFGIRRAMQPVMIPARSMSTDPSDFGPMSYLY SPRRMAKSASRSNTQPSSPTMRPVPATLR PHYBLDRAFT_128711 MSATRTPYRPSLADFTTETRQTTGDIPPALVGASTTFVNNAVYV FGGRLATNHQITNHLYILNLNTYVWTRHFAAPDSAHPPQPRHFHSMSVHKNQYLVLFG GMGYASSRKRLEQNENICSLRDVCLFDTKSLSWITCEVRPSLFTPQARYAHLSVCAND KLIIMGGQDVGNRYVHEISVFDLITFAWVQCSPLDKPYGTYRAIAFCPPTKDIAMFKS ALYYPEKPKKNFSLTAAETMASNDPTLDVDLTMCVYSNYNFTNVTRDLQLLYPLRTQA TVEYHDRSTQMSGSVLPPGLRFPTGRVIGHHFILIGTYLSPTQHAFHIWALNLVNLTW RRIDAGSALNTGSWNQGFLHEDRRKVYVLGNKSQDLLKDYHGRRMNFEHLTVVDLESY GIYPLPHAIYSSTAQAIGLEMLNETMVADSELL PHYBLDRAFT_189407 MYKKVPNFLTSLLQNNLLLLSKSIEREDLFDNAHNDGIWDVAWS KNTNYVITGSEDSTVKCWDGTSGELQFDLEGHSLGVISVDVTQDGKRLASSSIDSTIK IWDLENSGALLNTITASSLEAWTAKFSPDGRFVATGSHNGELHLYNVESGQKAHTFAT RQKFLMCTAYSPDGKYLAGSAEDGTIYVFNVETNQLAHTLSSHALAVRTLAFAPDSRT LISGSDDKCIYVHDVEHGQLASALTGHSAWVLSVAANPDISKQQLASSGSDKKVKIWD LAMRSVIETQEVHSDQVWGVAWNPEGTKLVSVSDDRSVKWFASSGSS PHYBLDRAFT_119509 MADDPNHVINATLGSVYKLILAIPGSNIALTYIKTAYQHDPFRI VLELFLVFFALKYMLSKKYKPHDNAVKLTEKEVDDLVEEWQPEPLVPTLSSFDKFNLK NSQQINGPQSVKPKVADHSKPLMNLATTNYLNLVSSEQIRTKAIETLKNYGVGSCGPP GFYGTIDVHMDLEHDIAQFLGTEQAIIYAQGFSTISSVIPAFSKRGDLLVVDEGVNFA VQKGVQISRSNVRWFKHNDMDDLERVLEDIRTEDHRKRLTRRFIVTEGLFANQGDIAP LDKLLDLKKKFKYRLILDESQSIGVLGSRGAGLTDLFNVPATSVDMIVGSMANALCAS GGFCAGNEEVVDHQRLAGSAYCFSASIPAMLAVSASQAISIILNQPSLLAELNDRARS FRVVLANKSLEPLVDIHVGDIESPSPFFHIRAKLSFLRSRLRDYETEFSREDEEQLLQ DVVDECAYQGVLTTRAKYVYDQERACPRPSIKIHVTIGLSKKENDKAAGIIKAAIIKS FNKWKK PHYBLDRAFT_152862 MSTPLLTHNHTQFLNGYHGSMDSIDHSFRDASPPLPLPMPMPML GQIPHHQQTFFPPMVPIGTPIFSDHNMQDYCDYLYHVGFLQGQFSDIHLVFPTLQKSY ALHSLVLSRSPAFFRRLSQPHGKSLEIDLDLSSEAIYTVLSHLYRPLSYGDVCFIVNE NPRVALELLEATEELEMESLSDMLIHSINQHLNQISIYHWIRLLRSFTTSRKRWTETL QHHLVQYLTHRLVNQLEAFPIGAKMTGGICIGQNEAFGYMPAKTPPLRGMVELARAYS MLPESYMKLCLEHEDLPVQDMIQRFHFAKQVLMFREQCGKQNITAVMRFDQNPCVLIV KKVGRKAGRWDLSQYDKV PHYBLDRAFT_160700 MLDPNIRLPLDKYRPAPETHADIVSETLWNYLEKAYGVQGRAYS EDDLHGPEYVRLRIYFDDFKTSILSYP PHYBLDRAFT_79652 MSTPNKLRILCLHGMVQNGPVFRKKTAVIRKKLDKIAELIYVTA PHLIVDPRYTSDAHREAAADEHAPEESKPFGWWHPTPSGATPEGYYQGFRESVDYLKN ILIEQGPFDGVFGFSQGAGLAAVMSELLENRGVMPSLVSKDFGHPAFKFAIICAGFKL ISQEATQSLFSVPINTPSMHIYGEQDTLIVPEKAIALSELFVNPVILTHPGGHVVPSN AACRNEITAFVTKFATTS PHYBLDRAFT_175587 MGGFLQCGTFPPISLNTFCLPRLQGGLGVIDPKTQQSALQLRWL QLIVCSLRLPPGLVPRWMFGLLQASLPSLSPLFPLLFPSIHPSGWQDLTSPLHLVFTA TDRLPHNFDNVVLSPLSLPPKHASLYPVPWSSSTQLNTLTFRLIIVRACCSLRELAEE YPSLSIQDGTSIGLYLLRLGLVFPPALSTGCVPTISFVPAIPITLLTLAIGANFGLSL FLLWLANFGFVASMTRLPAEPIFTFCFLLLFLLLPALFAPYPPTPKTTSSSLGLSKTR RGSACDWNSLWSLDNFKVLSSRLGLEIIEGPLYMASSLDFHL PHYBLDRAFT_119524 SLEPLSFLEGSVDQVTYYHCLSKDFNPWYMKLNDEMDTMFPFQE DGTSSHTGSYTTCWKNRWEIKRFDYCSLQSPDLNPIEHVWNAIKANFQELKACILQEW EKLDLAGLLRTLVASMPDRVQAGIEARGDHTTYQILFFYFHKTYIYIHNKTKFHSI PHYBLDRAFT_152867 MVRGKLFNEGQNQWKKQMNCILDIYVKDENHPYRFTRNLSKTKY SVIRSSIGSIWTYVETITSQEISEHSEDKFAHPQIDLAYQNYVWRFLRLLKDLQFFER IDDDLSDKSSLEASPSQLAKLKSSASERNESEISSSWTQSESKNEKEKESLSHPKNEK NSNTIERIPDVPNSYILIPNIAKLEYNQVLNLFGSRLYAVAKKEIQNKELFKDVPPGP LLSNNTIAALKVIIQSRHNGVSQHTLADLLKFDSRATGQYLRVLEQKGAIFRNVSTLN GANLNLYTHVRFGKPAKRNDGVAKETSAETVDSCCAYNTNRLGIAYSHELLLSKIIDL LNKAPNDSISSNEILSSLDFNLNNTSVQNWLNRAIDTFCLKGHLQKLNFRDTSKSKNN QSVKLPKNQDEKESRPNYISSKNIKCEQRHCIELPVIKAKACTPYSTKLLRDVTLEHQ IIMVLRKSGTKGDIAKEIGCENTSPFTRIMDKLVNLDGVGKESYAAYRHLESKGRNRQ YRYYTYTAYKKVIDNIDIDDEFHIPSPFTDSDMVEFDYLSIRSKIPATRNSGNQLNST TSSKKITLSKNTQSQQKHAEVDIHKENSARISHLEPESNAYVPSNLKKATKTPSKRSA EKSSEENNPNETPNPRQKRTRNITQPNETKPALPKRNTRSSILASNTLLRETSVEETF NSEPSDVAVVPTNKALSCNSGNDIGMNNPDLESVLHLKPQEKSRYPKRSTQDTRGSVH PDDSVTEPINILTPKSQESVLLVLKDKTEKKAATKPVTTAILDNKESSFVENTQNGLE SGQATSHSSPDVDRQSVDLTNLLENSNKVNVIKGGVGKIPIDNTAAKKMLDKKAPRKT RSRTTLQTKQADKPISVPTRSSKRLFESTSKISDFFSKAPLKKTPAILPKTTTYPLVE SAQNPSHDSVTEPIIEHLQKDSSKVVTAPVKSQYKPQQEATNDRIQSINAEEAEKSIS TKPSDIKKHTGKPGPETPDEDMINVIPEIRKRLSSTEDSPYSSASEFPESEIANVSGK EEPSNSSEHQKKKRKKSSPHLREPHNRLSKKEMQVNYYLEQRKKVFMALLEECPIYVR NHAFRNLYCDKFTELFGEINPSKTICLKTIWRTAKELHKDGLVETKEISTTLMSGAIM KRGVFYRKGLDLDGPELKSYTSIWNKDHFVYPGTYSIKKFEKIEKPVERLTERLGRME KDLEKAKNNNSVEVKEIEKKITNMKQNINNSNADEISTHRPSTGSYGNWMIIGMQLGY MCAKNIRCKLLHQFFIEIFERNLPGVDSKTRWISIRAIVEQMTLADYFTLIGFFQTDN EMREFIKNPKNKSVKILNLPKNIRLIIFSDKNRLRRRICTLLEVLEWLNLIKRAPGGA DKLDLPPNQIVSDETSYIVLDHVSTFDLMDPERRTIHHYEIRDAGDIHVYWSDLQYIC NKIENERPDFSKVNLSEEDKARILSLISAKNWSTTFMFTDAQRTALNAYINPKNGMTP LRNVPLCKKIARKLGLENPIIQGYFRKIEQAFVRKTEKKFLRVIERENGTDIRGKKRS RSKMFSENPNITLRTTQPFKRRVYSTGAIRMAKRAISKGDGDITTDTGSQPMGLYHDT ADETPVVGEADFKEYTDRPAFNRIPWSEDEDELLVYCYVILKHRSENDRMRWTLAVPF FPERNRHKMRGRFSKIILIPSYQRKIFNMMKLWKILYEEGVATGRIKELPEGISKQTF GISDELIYFLERSRDISENNLPTNSLNLPCKPIEGVNFPKISKLDEKVFLEDNYHRAV SRKSRQDIICSVTCTAKFSDKNGWDTVLTDHPTETNIKQRQIMFLKGFHKMVLLTPEE YYDTFYVYAIMNQMPRGLSALVATEQRIEGIVARLKSTNFRKLPGTLFGISDRFTRRM SGTFPHNYYQKAREYFNHMLQNKGLEVSPTYLNSGIMGCLLDQFSDGKKQLVFSIKDF EEFSKRPSLNFHSSRSVDSARLDFDIMVKTHQHQEQENIPEHVEYNSTAILLTQDAFE SAYNNLKHMNNCLQTLIDDIVAALSLAKHDGLSILEIKNVIPLRQKIQDSDIRKAIHI MTEHSPPLVAYVGYEAYRLVLTTCLDQWVVIPSTAFRNKGDNEENSSKYESLAVKGVV NLRIWNDVNGTRTESVWNGCVGAVTDLIINKPGITEANIERFFKHVLVRTEIQDLLNT LVKQKILRKLVMSTTKQKASLFGKNLIMETTEPDQIQALKRTCYWVISGYYTRIKA PHYBLDRAFT_152868 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFNQVSSEEPAHLTTETMPHDFSE LTELASNKFHFPMLVAWKNLPATVARDASL PHYBLDRAFT_119570 RLLEPVGQHFLAHARRKRNNRTFSEDEIHQAEEKASQVVEEEKV DFVYEDVDSTTVNNDPTQWKTQDNYAVLGLTKLRYKATEDQIKKAHRRMVLLHHPDKK ADKNDDSFFKCIAKAFDTLMNPVSRRQYDSVDFGMAHFDDAIPASKSGPNFYKQWAAV FAREARFSNKQPVPSFGNEESTKEEVEGFYDFWYNFDSWRSFEWLDKEGAEGADNRDD KRYQEKKNRAQRAQLKKEDNARLRKLVDTCLNIDPRIALFKAEEKKRRNAKKDAKAAA DKAAAEEAARKAEEDKLAKEKAEADAKDAAANAKKDKESIKRAIKKEKKTLKALIKDN NYGMPAASPATPSDIDRQLLALDDLIVKNKALPELEALRKRFEQAHKDGKLAEVYAAE IAK PHYBLDRAFT_160701 MHMLADEEDQGPFLSRTQHGLTKTEIIQGMANRFMYSRFYIILY LGLGLLSLSTIILSINETCPSPLFIVFEAIVNVAMILEVVVRLVALRRAYWKSIWNIV DTVLVILCAVTLVVLASGCSAGERSEAIFDTILLVIRNCFQFTRLFMMVRKNKNSINA RSARIDFTDLPDHTREPSVEFSALDRDRGIESFLGEGSDLEHEHF PHYBLDRAFT_175592 MFKNIAPYVLQFRFSFSENIAGQSQLKSSVQRSIRTKLSEQYPM LEPILDELMPKKTPIIQIKGHEHITFLSVNGKILFFQHFDGPFFPTVKLLHKYPDILP KLQVDRGAIKFVLSGANIMCPGLTSKGARMDVDLPKDAVVAVMAEGKEHALAVGLLKM STEEIRRVNKGIGCDNIHYLTDTLWKETVDY PHYBLDRAFT_33488 MLSRSFLQRFRRLDAYAKTLDDFRIRTASGAAVTIVSGFVIAIL LIFEISRFLSPTMDPEIIVDGGKSQRMSITFNATFPNLPCYLLSLDVMDESGEHISGY EHDVFKVRLDKQGNEIEKEKAKNPSDSIQNSLSQPTDGYCGPCYGANPNGVSEPCCNT CEQVQKAYTDMGWKLNPDKAEQCVREGWREKLEAQSQEGCNIHGALLVNKVRGNFHFS PGRAFAHGSAHVHDVRPYLASNHDFSHKLHSLQFGDQDLSARKHKRTPVDSLTNPLDN TSWGNSESAMMYQYFLKIVPTKFDYLSGDSFNTYQYSVSRQERNLREQSSGGLPGVFF MMDFSPMLVIYSEHRKSLASFLTSVCAIIGGIFTVASLLDGIIYRAERSYQKKQELGK TQ PHYBLDRAFT_137488 MQNQGFYADDPADDVDPVHAQTYRGNPDDEAHQRLFDDDAENVI QSPKNKKRFSWICLGFLAVLLTAWIIWTVALGKLSKVIFSLEDEEQELKRIDFEDIYN GTFTPQTPSLVWVENDPRDGIFTHRDPVTNDILLESIEDGNSTVYVKADNLFVGQGLL DVNSFELSQDAQYIMLRTNRTNQWRHSYYSNIYIYKNSDKSLFPLLNTSTVTDIPKIS YAAWSPTGHQMAYVMNNDLYITDLSTHRRVTFDGSATVFNGVPDWVYEEEVFSSNFAL WWSPDSTHLAYLRFNETSVPEFHMPLYTASESSYPEELKIKYPKAPTIQAGADNPLVS LHIYSLKSDQSVMITRNSTDDAHIKTTSTHKDFEDDNRLITDVTWATTTSTHLLFKQM NRVQDHSITSLVTISSVISKSTVAKAREYKPTDGGWIDTSQSMVFLADNSTQLKYMDI ADDGNGFMHLAIFTATEPNNVPMWLTEGTWEVVDGSVVTDTKRKLVHFMSTERSSLER HLYTVQLNHEDPLSSKKCLTCPENPEEYATYNIVFSPKFGYYLEHYLGPDVPTTTIKK VDDNLFSKTLENNTLLKSVLAEYELPRIRMNTVMSGGVDMNAMEILPPKFDITQRYPV LFHVYGGPGSQLVSHRFELSWQTFVASQLGYIIVTVDGRGTGFRGRAYKVNVRKRLGE LETIDQVNAARHWATLGYVDPTRIAIWGWSYGGYMTTKVIEANDGVFSAGMAVAPVTD WRFYDSVYTERYMLTPDLNPQGYIDSAVNNMTGFENAKYLLIHGTGDDNVHFQHSAVL IDKLTMANIHDYRVQFFTDSSHSIRYHNANRNVYYLLTEFLFESFGGKEYVHVRTESH GRFMGEIDHHE PHYBLDRAFT_137492 MATDKKSPVTCHVLVASLGIPGKNVGVKIEKLDQGEFSVLSTSV TNEDGRCPTLLPAGYKAEKGIYRVTFETKAFFDTINQECFYPYVQIVFELANPDQHYH IPLLLSPYSYTTYRGS PHYBLDRAFT_152874 MAYYYPTEDTITQLIQGATTFADNTNSIQYPTNDVSSTQETMEF ITKISEGEKVNEVDNTMGPILSQSDNAQPEESQLYQEVPQEISYTPIEYPWESNNVLD SLNEGNIRKSLTEYEEVSSLVYPSLGSIEPEVGDQNQNWASNTAEQDTYYQSFPLNNA VDTNTDTEPDNSYQYEEDVYEMQAVQNSYSENTILSHVSSIIPSILSYDSTIKYTPAD NTFTQPQSQPQPLPTEKVAAAAIPMKPTAEEAVQPKEIPQRKPESPINKESNNIQSPA QDPTKNNIPPPRPQRKPLTTAENSLPQPDNIPPPAAAAQQPEGHYPISLPPGSDLGAL GQIGIFESSSPSPSEGLQSILFWVCILSLFFTLNNNGRHGN PHYBLDRAFT_69917 MSAFLSSVLSGGSAAASLLAAIPAVSAVQTSASSTNTAQDARMS QHTGIDQPTTTNFPTATVTNAASKPTEPPMQETTRITEKTEEKPARTRDRNQSAAPDQ GNNKTIGPEIDPYSRNNESGPKPRPNKMVFTSSGKPVVAITSSGTKIITYTSTSYVVF PTTITNTDFEKHPNYRSHNRSAVVGGVIGVVLLLCLIVLLGCCIIKRKKNKRNSDFYT NAKGDGIFYPSPLHESHGDYIMEGSSMKSGRPMSVANSSSTPKQVRAFVAPPPITPIM EATVYPYQTMPPSPSAQNTYYEGNGIRGSAYGQYPLTTDHNLQQNLYPAPNYDQQYYS PNVVEYHSAGYYQPQHDSYTPGYTHNVPHSRD PHYBLDRAFT_137493 MSIQYKVSPRAYALPILHAAKYSSSTVCGVLLGKVDAQEVEVVS AIPFFHHWTALTPMLETALQQAEIYATLNDLKIVGWYQANEHDDDVTVHDNAIKVTDL IRQRIPQAVIFIVDDRKTDSSDKKESSILPYIFSENQWRANKLAFTKESNFGFTYEET VPKVRGLFSTSAYNKVVDFDDHLEDVSLDWFTSPELKI PHYBLDRAFT_128732 MFIFCICVFLIRVCWVLYSKLPILPRTKSCKTMIILGSGGHTGE MVQLIKGLNPIRYNPRIYVVSEEDQLSCTKAKEHEMNIKSEFPCDIRTIPRARRVGQS WSTVPWSVFRTLLASLKMILLSPPDVIICNGPGSCVPVCMVAYIPRILGIKPIRIVYV ESLARVKTLSLTGKILYFFADRFIVQWPNLIQRYPRAEYKGILV PHYBLDRAFT_183983 MTSTLQVPTIEIQGANPRRDSPRTRPRTFSLSSLVGKTRRAYSV SSAYPPKIHPALALPVPKSSGDHSLSVRDHVFGDVWTDPRQSLCSPASNLTPAQRRNS VAAASRRYEDFEQKMEAAPLFVLVSTYLNYLVLILFGHLRDILGKVFKRKKYAHLRTN EGYAPLVSDFDSFYTRRMYLRIRDCWNRPITGVPTRKTMILERKTTDFNETFSLTGRK IEAINFASYNYLGFAQNTGPCADAVQDAVREYGISTANSRLEAGTSDLHVQLERKTAQ FVGKEDAIIVSMGFATNSTTIPALVNKGCLIISDELNHSSIVFGARLSGASIRVFKHN NMVDLRELLREVISQGQPRTHRPWKKIMIIVEGLYSMEGSIVNLPELIRLKREFKFYL YIDEAHSIGALGENGGGVCDFYGISPKHVDLLMGTFTKSFGAAGGYIAGDKTVIDHLR VRNHAFCYAETMSIPITQQVLTSLSIIRGEEGTNDGRDRIQKLADNSRYFSAKLRALG FIVYGDEGSPVIPLLLFNPAKISAFSREALKRGIALCVVGYPATPIISSRARFCVSSS HTREDLDDALEKISEIGDILMLKFKETSS PHYBLDRAFT_160707 MNVVGASVSEANYAAHETRDIVLPNQNVHVSQIAVDIGGSLAKI VYFTSSTEECKGGRLHFKKFETDHIDDCIDFIAELMDDARHLTPRGIGQVLKATGGGA HLYHEKLAKRLPGVIVQKEDEIECLITGLSFFLTEIPYEAFTYNEHDVNPMRFQQKSS DIYPYMIVNIGSGVSILKVTGPGPDEFSRISGTSLGGGTLWGLLSLLTNAQSFDDMLE ISKEGDNKNVDLMVGDIYGSDYTRHGLKSTRIASSFGKVFKKGIRQNKDAFNPGDISK SLLFMVSNNIGQIAYLNAQQHGLKRIYFGGCFIRGHAVTMNTLSYAIDFWSKGEMKAL FLRHEGHLGATGAFLKHKPIRKARHSFSYSENFSPDTKANNPSRVIDGLEATNLELRP DNQK PHYBLDRAFT_160708 MTGTIRTIAVNKAETLIAVAYSTGAISLLESRTGTLIASWKGGD TEITMIKFYTDELLVSCAPADHIICCWNVSRLALVKTIPAPQDVASLDIFKDEILTIN ANNSVSFIPINDDFQSYSSAFKSSIIKSQVSSFAIVPTDQLLLFGCTEGEIFLYA PHYBLDRAFT_175602 MGANPFVLLNMGNSFSTHELKTQIAHDLKIDVAFPFFPAGRNQG SAACCVVDTEWIEKLSSKKDDEELVILKSPAYARHALSPDGNPQKQLPTVNIYVNMLP KDPSKRSDIQLYQSNSNLEKKGKEQCGWPLINYLRSLLEGKDADQRIGGLVDSFEDFE SIFGESLSIEKTHQQFVNRIQKMLWPCSRRYKVIPREEDGADQLALQEMSEDSLVGAL GEPLEATKPLYNDPANSNLPDVFAIIESENAYYFLASYRGTTLQDLITYNPGVLSSNF KKGFVVYQLLRAVASLHSRGILHGRQVLRASNILVDENLWTQLAGMECGANPMDIGLL KTVKIDIDSLVHNAREPTLTKDVKEEPLVIKWVRGEISNYSYIMALNHLAGRKEGDPN FHPILPWVVDFTGTSVEDGWRDFTKTKFRMNKGDEQLDFTFDGPVPHHITDILSDITY YVYLARRTPIPVLCQFVRSKYEPNEYPSSMHRLYEWTPDECIPEFYTGT PHYBLDRAFT_189420 MVQYLIAVWDYTAEGEFELSFKQGERIKLLEKHNDDWWEGELND EIGFFPANRIRLETDQDVKQNSGLVKDQPLREISHEQQTSRGDVSLPRVVKSVHESKI THLSPDYSLTGQRPQSFPFTNLGISSQPFEKATHSLDTPPALEYRSRESSNKGRGISF HGSASFDQEPLIISTSDVAPSKEQVQQTSYNSDTLPEGWEHAYDNEGTIYYFNEHTGE SRWERPVNVQSSSGSRAFVPEKEENALASKLDNMLLSPTTGDDFGMVTNFQKLKPSEL KQLELDQLHSEWIRHQGFVQMKMRAEKEDGGKLSSWKMYYAVLSNGFLLLYKDSYSKG KKPAKPLVPVGGFDLDSCHIDPANKHDTRRKHVFTITTEKKVKIYIQASHEKEFSTWL DTIMRDLITRREGQKEESDIIRLLRMLTSDSNQLKVNRKMETGKAQTKYTETDEKDRR YRIKTDTDDHRARPKKIGHWFSKSGKEDEKLEAQHVVGQTESPAGNTVFGGFLHLDDN GEIPRILRQCIKEVEERGLDSVGIYRISGPASTIQKYRAQFNRNEEVHLEEEHDINVV TGLLKLYFRELRNPLLTYEYYDWFIDAARIPDYDERMFRIKSIIHVLPKSNYVVMESL MRHLNLVSLHSEANKMETSTLALIFSVGLLRTAAEDLSSIMQTDLQSKIIEAVIQQVD WFFDADEQEEDLVQVEP PHYBLDRAFT_175604 MKKIADTFLSAYFGGNALSYNSMSMIRKTIYKLWLFEVMNQKVF RQRIFSNIQQSAQSLALMHFSFQGKEGNTQFIASRCTMTQLVFPSNRQTILKRCEEIL SYFLIL PHYBLDRAFT_24523 MLPVSTSGYTGPRPASSTPASVAEEARKKGKKKSLVRAAGGEVW EDPSLADWDDNDYRLFAGDLGNEVTEELLFKAFSKYSSLQRTRIVRDKRTGKSRGYGF ISLKDPNEFVKAWREMNGKYVGNRPIKLRKSNWKDRNMDVRVKKERERVGPYQKNR PHYBLDRAFT_119584 AVQQTLALIKPDVTVTACKQEAMISMIIDAGFKIVKEREIHLSV DQARLFYREHESKPFYNDLVNLMSSGPVYAMVLEKENAVQAWRELMGPTDSKKASEID PNWQVLRF PHYBLDRAFT_160709 MTTASRPMWYAAHHDNHKTCWKCEAPVPNVDLHCDNEDCGAIQP VPSDINFFRLLHVGKGPNHDEPTFDVDTKALRMKFLRLQQKAHPDSYSQASKHEYSLA QTQSSVINKAYNTLLDPLVRAHYMLSLKGKQVSESESLQDPELLMDVMEFREELEEAE TEEDVARLKKVNDEKYREAVQHISGAFGKGDYELAKEYTVQLQYWARIRRVIIDWSPG KRVEIQH PHYBLDRAFT_10626 SLYHTCCSVLNRLSAVEGMMSYLEMDTTALNIVSSEPSTPTLTN GDPLSKLWAMARLGSPLCTIFNVLSPETPLHIDADPTHSTLNTCKKSIYHFLVGCRNQ LAFTEEDVFTISDLYQDDTNGFVKVVNTISKLLLLLEERGIISAHSSHRNSDPNAPKD TRDKVILELIETERKYVQDMELLQNYMRELQSQNIVSSDCVHYLFGNLNSLVDFQRRF LFQLEEIVEKSPEEQRVGYLFTQMEDAFAVYEPYCANYFSAQYLVVQEAPKLQKLADI LNPIYELPSILIKPIQRICKYPLLLGQLLKSTSKDWAYYQETEQALEAIRRVADKVNE TQRQHENVQAVEELKRRVCDLEDLAIETYGHLLLQDKMIVSINESDRELHCFLFEKTL LMCKYIKESKNILTKGTTLSIKKKRRGSLQSKCTIYASRILGVHNKSTPGLWSLLVEY KEREVEQFSLRFRNEEQLKKWEATFLKIKVTHKANVPNTHLLNTAHSPIGSGGNQQQW DDLPQLPISSRTPYHNLHIVRPTTSTPRLGESHAQQHSPIDRTMPSSPGSVKVKLSYH DGIYVIVVPQEASFLELMERVERKIRLVANLKPKEMLRLKYQDEDGDHITINSDEDVQ MAFESRGIHNTVNLFVS PHYBLDRAFT_152885 MKPLSRHTTNDSSKSYLERELVISLDDGGPMVGATMMALEMDHE DTRTLEGDKRRSQDESTIENHTTKYDTQYTTDKQIDDSASSVHSANVTLSNRPWYEFW KVKQETHTDPRNFSPFKKYLILLIVSLAGSTSPISTTVYATLVTMQHYFNTTDTLMNA SRRRRIYLVSFLIAVVGSVCCAVSVNITMFIVFRGFSAIGSSSVMSMGAGTLADIFEP HERGKAFAWYTCGPLLGPALGPIIGGYLNQGLGWRSNFWFLSIFSCCIWITMFFFFPE TWRPAPAPGAETSPVPGTENNNGSVKKKTKRLVNPLSALRLLLFPNITLAVSFVGVLF LVFYLLNTNFTRTYTIQYNLNSGIVGICYLPLAFGAMIGGISGGRISDRLYNKRVVQA NGESTPEMRLGGPLFYIAIVLQMFAFTGYGWCVEKNLHFAYGLAFQFLVGVTIMFPNV VLSAYMVDCFRKKGASVTACNNFVRYCMAGVGSLIASDIVNAMGNGILFTVCGVALFL ASGLILIIIRYAKKWQKLRECV PHYBLDRAFT_128739 MSHVPGKIGRFALRFCSEQSFVYRLLFGPDPHKVRPKVLVMITF LLSVNVAVWVVAAIVYNPYPSMLGTGALAYTLGLRHAVDADHISAIDNVTRKLLNDNQ HPVSVGLFFSLGHSTIVFITAIIVAATANAAANGLENFSEIGGVIGTSVSIVFLTLIA TLNIIVLVGVVKTLRRVKREGVYTELDIEEYMNNRGILGRFFRPVFKFINASWKMYPL GLLFGIGFDTSTEITLLGITAVQGASGMNMWLIILLPLLFTSGMALIDSLDGMLMLFT YTWAYVNPIRKMYYNLIITSISVVVACLVALIEFFALIGEQLELENGWWEFWYTLADS FEWIGIVIVGAFIVTWLVSAIVYRVMGYKDLEREFDNHKPQQGEKGAVGVVIVEGGTS GAAGKSEVDLEEGMAGMETKDETSKSTEPFGGQDSEIINAIPEIEPKRNSLEKNPIG PHYBLDRAFT_175611 MQSPCTLLEIGSQNKRLASISNDHIYPSPILTPPTSNSSSPYSQ YHSSFVLFSEHPTTQPLSLTSLFLSSFAPHQQYYCHSPQNPFDFLSVEPPISQSNLDS LSLANSYTTSLDHTALNESNPEPLSLISTLNHNGNNNFDGSNLINPIDQIDSVDSVDQ IDQIDQTNLDYFGTSINGYHSFCQHSSYSGLTTNSFQNQKAYLSIGQSPTLTSITSVS ASASSSSSVRVEATPTHTIPTKTRKSRFSSGEPNSRFFVCYTDGCGRVFKRSEHLKRH IRSIHTLERPYECPYDLCSKRFARSDNLSQHIRVHRTSKKALIRMQHTAVEHLP PHYBLDRAFT_152888 MSFPFDSNSHLQQQQQQQQKEDQRTFLAQAHVENFLTRSGLGQY NQIFIEEGFDRLDSLFEVTEADLIQLGVKRGHRRLLQRAIANSKGIPSSLPLQINPET IIRRSDILEYDHSLQTAPGAVYSQDHVHLNSTNGNNTSINPNAGSNSTNSTNSITNTN TNGNVNSNGNSIHNLNHSHNLNHNHNHNNHNNHHNNIASPMPLASPRSSSAGPSISHV STGAATSGMSSTEDDNTPGDSTGRLWKRKYQRHAKPDKNCPLKPSSAYVMFSNDVRAE LRSRNMTFTELAKLVGDRWKNLNHDEKQRYETNAMVARKQYQIRLEDYQRTTEFKQYQ EYIRDFKAKHEAAARPVGKPRKRRRRDLETQEEEEEEEEEDEERRSRNDGYSDSTMIG QPLESASGIQYGQENLNPRKTSIPEHRNYPYCILNDVPPPSPTSNTPQSTDLSHSGTI RNNSSTSTSTSTSTSTSSSNSMSGHSSTATSISSNKS PHYBLDRAFT_175613 MVNNQQSSKLGFLFDASHSVFGHCPSLSRFYMSEFQQNLCNYDA KPAQAVERIGCPRCGQIYSPGFNTTVRLEPFGTKKRKQIQKTKPKNRLVYECHACTFR FSMGRSIKAKIPTASLEPNQIKGSVTAQTPASTVPNDKKNMNITKNSNSNNSLSSSDQ SANNLHSNKKTLNNPIKKKTKGKSALQAMLAKSKENAGPNKLGLNDFLSSL PHYBLDRAFT_152890 MTISNIRNHPYAFEHALQLSPDYTQPSPAATRQKQTAYCTWLVF MHPNWVPFDYNNHYKLEQTLSLGGTFVDIQDSHFPCVKRVRVFPKSNYLSYLGVKYRL SRVMQPDAWIDPPDHEELLLLSSSSSSNNNASSNSSLEDNDLDDYRMPPPLHFEGLSS LASISLYSDNQSTDDSNLFRSLSISSRVS PHYBLDRAFT_152891 MSRNADGCGRECSHQRNGTRKQELSVPKSTALCGMPRSTAYELL NKFNASDGTRPSKYTAERDAPRTLQLCFNIITQWRAAGVNYRENCVFVDEASFHIQTI RSHAWPKRRDPTAVKAHR PHYBLDRAFT_183989 MGILSGTVPLSQLRIKDDGLPSDTSISQKSTEDSVLKYYAEYAP NNLEKKSLQSVNLERADHVPFLRKGLDQLSQWMVGLDASKPWIVYWILHSLDLLEEKL SSSTIERALSSFAKWQSPTGGFGGGSDQLAHLATTYGSINALAIIGTKEAYDLIDRES LYGFLLRMKQPDGSFTMHDGGEIDIRGSYCALAVAALTNLFTPEITENCIDFICRSQS YEGGIGPYPGKEAHNGYTFCGLAAMDLLDGMSSLNMDKLIKWCSGRQMELEGGFQGRT NKLVDGCYSFWGAGDFPLLSKALEHGVNDDIDYLFDREALQEYILICCQSEYGGLIDK PGKGADYYHTCYCLSGLSTCQHSVHYDTKAAEQLKARGIDSSRGGISSLLWAASNEAT VVGNVDNLLAPTHPVHNISMGKARAMVHYFYKNELKQVLDLLPRDEEAWEA PHYBLDRAFT_119602 KQREIDEEYKTWKKNSPFLYDLIYSHGLEWPSLTCQWFPDVESR PEKSYTIQRLLLGTHTNDEEPNYLQIASVQLPNSKQEIDMRKYEESTNEVGGHGATND AHVKITQKIVHDGEVNRARYQYDNPNIIATKSKTGDVYIFDRTTHESFPRENERFNPA LFLKGHTSEGFGLAWNPHHSKKSYLLSAGFDGLVCQWDINAASRDHRVLPPLRTFRGH SSSVADVAWHLGNDSIFASVGDDKRLLIWDGRHGSSDIPLHSVSAHDAEVNCVGFCPG NEWVLATGSSDTTAALWDLRNLRVKLHSLKAHTGDIVQLAWSPHHDAILATAGVDRRS IVWDMARIGDEQTAEEARNGPPELLYVHGGHKSRISDFGWNPASPWTLASTADDNVVQ LWKMESDSFLFWKTLF PHYBLDRAFT_175618 MSDLNWCTFCDHAISQYSESLYCSEKCLRDDALRNHPLLGYTYR EFVDFPRPYSNKRPLLSTKQQSKQSQSSVYSPPISSTHTSSALSAITTITTNTIKSTA INTSTIYSNHCNRISTTSNISKSNNSISSRISTSSSSSSTTSTTSTTSTTSSSSSVSL PSVHNSPFNIHPTNSFLPKIPLSFVSLLSTQIS PHYBLDRAFT_189426 MAWSSLILLIYLGSIVNAQSNITQCSAQSDWQLNATRFYDPTSQ LYLINGTLFTSQPVHPLSYVLSTRLKIGVKMTVQGNQSLCHSQCLITNRIPFVQKVIT PDTSLPLGDISSTLEIIKDDTSMACFVVNSVGYQHPAWRTVFIYIPVAITVFAALNSF FQSFASLPETERDILLFTSNYANLPAALRLKTPGFFDVIHYCQSIVSLGQLNLAFPSF YPLFISNLGWSFGLFPNKWLDAAIYSIFPSDVSSQSNPTHQGLSFNKRQSFTDTPNSG PGVVGSHFLPVAGTGIANVALALGIDINGQFLTCLVYYLLILAGCLSVCLVIWLVLLV INIKYPRNINNISNSSNSLTNSNSSSIGSIGRSTSIRNRNKKYNNNNNTNVSRKMLDF TIGVGIRSLSLFYLPLLITSLYQLMLSSPWYLTFLAAIIVVFPLILLYGYIGFDLLSV RPSALVYSEPSLLLRFGSLYNTFADGKFYFFTATIVYKFIVSATVAMGQPNPVSQVTM MILVESAYYVLHWTQWPYADQAINIHYIMFGTLRLITTWMTIAFLPGLEVSLDARQWV AYIQIIIHAAALLIMFILPVKNLFILVTGMLDETLFDTSLPPPRMVLWRRRLYNPNNN EVHSGVMEKKVAEDAAYHQRRNSSKTGDQNGRGVGTGTRVDMNMGMGMGLNVGVDSHG SNIDNSGGFITSEAVRPSGHINEDCNDNNFGYRNGTAGHEDLDWDIRLPTQLDPDQPI FFKLPEGGQPYQDVATRAE PHYBLDRAFT_79670 MMESFAPLPEDLFDDNLRDDNNNAYLSLAQFDVGLQQQAQAALQ QGAWQDFDNFLPVDYHPMFLSNQPITPPSTHIPYGPDSTFIYDTKKQQQQQQLPTDLN TTNTTNTTNTTNTWPEPVIVKQEQHSPETGLLDCQPQFTTVFPDSSYFDPSQPLLDFL PLDPTADLFAQPTFNLGDSVLVGREKVPIQRLKTANSPSGNTTPVLPGGGRQLKKTAH NAIERRYRNNINDRIAELKNAVPALLHAKPKDTRTGKRAHRSIDDDDDDGEDSEEFLD GVAVATKLNKATILRKATEYILHLKKSGEDMKRENATLQHILAQMQGGPEVLVRYRQQ KMQREQEALHQQIVDRQMLKQQQQQRKAASRKRSRQQSSAFDTHDEYESSASSTGSDP LTPPAISNRVYMAVFMALTFFSTSPLTSGPNASEQYQNHHHRSRTAGLDQQQQQQQQD INIESTSANFFVADFWPTDLWSVLRTTVFVICLVQLLMPILKATFSPSFKLRRVPSAT NRSRRAARQRSSVATNNNTAGQIRVVQIHDMLIRSLEETNDSPPQSTLGLVLGFSKEL TRLVFRHAFGYEIFYTPQPLVQEWSSLLRWIKLNEVLCLGGNPGCGSLMMLYSCLRMV NQMDVFEDEDEEDEDEGSGGISAADLQASRARVYCTAAMQMSLLVPKQSLARTLSNHF YSLALEESDQTTAPMWVKALADWEDEDEEIQDTRAWSETLTVLSTQRNPTSNTLSLSL TAPVLVPVVLLSTLHMLDALQSQFHRLAVVMTASEVREEDSQIFADFLDLTAENNNSS KQQPHDRDDQLRIVQWLAAVGGTVEALWSTNEKTRKADIEHAYSLLSTLIVRQIPRAV TAESNLESKTRANEIDEIMKSAICHTLSGAIMIKNQQIEAGHSELVKGERLRASARKL VRHTKEAKRNGGRSKQEEYSNTNAKNAKDNVGAVGGGSGGSVSIEHSALVVADFVVAL VGLEAWIKVWKTGEKEDAGEQVRDLTLVLRRMISQPSLKLLQSNQSLIIRLSRLNRYV AHPSDETDSACDMSDLEEEEEEEEEESELSSEGEVSKRADRALDILHGPV PHYBLDRAFT_24558 MLDLPPLSLEHSDIPDQPIIATNPAPVHLPVPVPVSVPAPNPNP TPQRGQRKQAKLGPYLLMQTLGMGEFGKVKLGVHSETGKEVAVKLIRKTDVETASRLG KVEKEISLLKHPHIVKLLDVIETEKHIGILLEYASGGELFEHIVLHRRLREKDARKLF AQLASTVHYMHQKNIVHRDLKLENLLLDKEHNLVVTDFGFANQFSAVNDLMSTSCGSP CYAAPELVTNRGLYGGTAADIWSCGVILYAMLCGYLPFDDDPANPNGDNIILLYRYIL SSSLTFPDYVTQPAQDLLRQLLVSDPLQRCTLDTVLHHPWL PHYBLDRAFT_175622 MKLFFLVLVFLAGSVNALHPIIIKGTRFFDKVTQEQFFVKGVSY QPRTNHVLIDPLADPVACRRDSALMAHLGLNVIRVYEVDPANNHDECMKSFADAGIYI LLDIATPKFSVNRARPEYDVRLLQAYRATIDAFGDYNNIFAFLAGNEVTNDKTNTLAS AYVKAGVRDIKRYLRDTRRYIPVGYANNDDGDIRDAIRDYFSCGDPDDQVDFFGVNLY EWCGKSTFEKSGYADRTREFDKFNKPVFLTEYGCNLVSPREFGEVEAIYSPAMTGVWS GGVVYEWTQENNNYGLVQQNPTNLTLLPDYTNLKDALSRVSPHKTHLQDAPESGQEQV DCPGLSEVWKASSILPPTPLNETCTCAKQNVVCTASDKIPDIEDRTTGIDSNSSLVVG AQIDMLCGLVSCEAIGGDASAGLYGVYSGCSPKQKLSLLYNEYATMTGTCDFDGFALE ATPHRTSEDCSNKDKNRVFRPKPSLVTPTEAVAGRLRPSIILVVGLVLVFMLC PHYBLDRAFT_189429 MDVYSLLNQGNASLDYNDLCRILKTNNSQTTLENITQATFVYPS TLEQSYAFFDVYIQLLLSIESESQKLHKSTIQDSLRTCIMGIIKLHGFVWLICFSAHL STHTWQRLYTNPDPMILIYLYGLVLASADKHSAELGRWAEALLQFMKSQSPVIMLHML MSLWSVDELNGHQTDFATVWLNTLEASMQHSEAMQQSLQVIFNVLAKADTSYMKDTVD QQLETSLKRAPFTASELTLVMIWLENKCRQEIAFPHLAQAYFTFQYTNTIKTKKRNKN PLIKNLLEPQPSDPTERAAIVRKGTQSWVGLVGSLLPYEFEMYLKDAVRVYYPTERKT MLDLVLAEWVIRDPFECHRTIILNSLVEQLQSSKYNIRTAPYYAIIQLFSSAEEMGPR TGYEIKTGAKVDLLAFQAGEKDITRGCCSVLEHLTLSNKQYTHTCVEDCIRTASAGIV EWYVGWMAREHTRLATSQNLFGDYLVVTLKQARQRALSSWVVPACLENLTTDSLGLLL GLNDDPDLVGVFVDYFGQASQLGTRRLVDTLLKTKPKAFIDLLLAYLQRHMNDTKMKS EMSRAWFKNHFLASLLTEAAEAVFVPTSTYATTSIMDESQPNVASQLLAQLLTDPDHY EWYFGTPVVPLGTKERNFGSRDVARTHDIISVWHTGLASMLQEMVRIPDNKAVGLVNF WRSLWIDSDTHKFSVPTPWILQCLGLYDLAPASVKQLMEEFLRVGLHSVNTKGRGFTL RMVDLVMLSDMPEADEVFDLFVRLLEEQGNTVQAKEEEAKIVWAITATMVELAEESKL ETEQRLHNKPTSKKRQKQPVPTRPKDTHWNKRRGRGGRKNRLDKKAVVVEEVVEETVV EEQEEEDDCLGTLIGRVIGFVLIAVTASDRHSICAETRRRLWQSLASSLLCYEALDTL RQLSLSEELQEDILSITDACLERLKTTQPILWEDARRVLGTVN PHYBLDRAFT_119512 MKLCILCAKQRAVLKRPKTGQQICQACFYHVFETEIHHTIVDAK LFRRGERVAIGASGGKDSTVLAHVMKQLNEQYDYGLDLFLLSVDEGITGYRDDSLETV KRNQQQYELPLKIVSYGDLYGWTMDDIVREVGLKNNCTYCGVFRRQALDRGAVMLGVD HIVTGHNADDIAETVLMNIFRGDIARLGRCTEIVTQGESSIRRSKPFKYTYEKEIVMY AYFKKLDYFSTECIYSPNAYRGYARTFLKDLESVRPSAIVDIIHSGEAFEIKSTVKMP SQKTCERCGYMSSNDTCKACVLLEGLNRGLPQLGIGKESRVVSFCY PHYBLDRAFT_160711 MAMSWERLVCLLMNIVSFGLSVRLKERQFVFVLLCFVAAAVVVT NAILVHRLVTPTVATGPSFGLQGALGGDPWGLDQLRSEVIVLQEKISRLKADCERNGL LIPRQ PHYBLDRAFT_79674 MMSFSTFPRHESFGPSTWSRSVVLNKKPSIFAHEEREPSHLPAP QQQPAAKKNISNPSNQKPLHINLSQLTTSPSLKSSLRSHSAPTTPTGSKTVKFNPVHL ERICLFRKTQTPSALLQDNQGRRHPEDPPSFRVGYLNFPPRETTDRSKNILVEKKFIV EDNAVKGRVQVRNLAYHKSVSIRYTFDCWQTSHDIDAVYKDSQNTQSIYDTFCFTIPL VNLADRGQVRATIDFAIRYHVNGQEFWDNNDGKNYGIQILADPIESTYHSQTYGRVTD VDNPDNHNHNHKNDDDDDVDDDDDLKIDTPQRPNHKGLGNRYSFGLSLSQAKKVVSPA SETIPPVPSVPIKPSVRVTKEAKPTMLVQPRPKKPEMSGLTTSAIISGDNNSRNNNNI NSNIGLSARPTNSSNSPSNSTSQSPSPSTYAHISPAKSPVVSSPIPINGASAVRHNLF ESYYHSAPSSPTFACQPRHAYIQSTPDLDSESYLDLVNKYCFYGTNSAHTPMPINS PHYBLDRAFT_119561 SKNYAKGFSEVQRKVRESTSNDPWGPSGTSMNEIAQLTFNKQDF LEIMDMIDKRLNDKGKHWRHVFKALLLLDYCIHVGSENVVVYSKGNLYVIKTLGEFQH IDEQGKDVGANGRLKYIY PHYBLDRAFT_152903 MRNESKETQHNTTQHKHSSGLASRDQVFVSREDNTKHKIKAITF LLLKNQKTDSLQFSKAIEISNEHASNLDSQIVLDADIFLSILLKLLSFVNFADSCTNL NCLENMDGSEVMHRLWNRDIASVLNFRYILNNLRCDGTISVRFTRLIRIGRIRRKAEE DLQEGRRLRQRLTRLQRR PHYBLDRAFT_152905 MYKGAFRAMSPCRSRKKWGPIWELNAQRDKEICWFYLLMPVFPR RQNLLNSDKGSVEKDNQCSGSAARLEKNARHLGTKRPELIFLHAKGSVESLYQPSCSA AHSRAINGHTTRAQSAVRNRKNCQCYSNKDCRHDTKERACATIFLHVESGVESKFKPE GSAARQPTPSSKLHSLDSEPLKTQYERIRAIPLVGLAWKLLYNGNETSV PHYBLDRAFT_152906 MYKGAFRAMSPCRSRKKWGPIWELNAQRDKEICWFYLLMPVFPR RQNLLNSDKGSVEKDNQCSGSAARLEKNARHLGTKRPELIFLHAKGSVESLYQPSCSA AHSRAINGHTTRAQSAVRNRKNCQCYSNKDCRHDTKERACATIFLHVESGVESKFKPE GSAARQPTPSSKLHSLEYRLKSHRAH PHYBLDRAFT_119611 MVSPADFEIVVTMVLAQVKKENDAVVAPVDFESVVTMVLAQVKK ENDAVVAPVDFESVVTMVLAQVKKENDAVVVPVDFESVVTMVLAQVKKENDAVVAPVD FESVVTMVLAQVKKENDAVVAPVDFESVVTMVLAQVKKENDAVVVPVDFESVVTMVLA QVKKENDAVVAPVDFESVVALIGIRRFE PHYBLDRAFT_175631 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEDNVDAEDQVEAKDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSINGVNSMTGLSDVT PHYBLDRAFT_102310 NLPRSERYKKENVVLVGLMPGPKEAKTSEINHYLRPLVAELNQL YGGVVIPTVQCPSGALVRSALLLVACDIPATHKNCGFTSHSSTCACNKCNQQFPRLPD SNAVDYSAFVLSEWVPRTDAKNCCDAKLWRMASSDAQRKRLERENGVRWSELHDLVYF NLVECTVINPMHNLYL PHYBLDRAFT_175632 MEKWRSSGLITDAHLAEMQLDADKIVLPEDYTPLGTKIGHGFPF MNADKWKSWYLVYSPVLLRGRLPEAHLSNWTTFVNACQYLSMPSISTAHLDEAHQSLE AFCRECEKLYKVPFLLPNMHLHLHLRETILNFGPVYGYWLFTFERCNGKQAFAPFCTS LALKGNISMDESKYEHLLEYFRKTYNDQTLVHYRQAGHSNNFVNNWIQKFESIDLLGQ IYKSKTKNQCGSLMQALFETSDGRSTKPYAGQIQYLFVNTAVNSFADHTSQHVFAYPR AGEGVEVNEVGLEDDSMNSILSVHRIRYPVAVGEHLGLEGKVQMCVVPLPRKIYI PHYBLDRAFT_175633 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVFTLLAANNVSMQSLQENAKGVTDAITYLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDLLKVAENNNRSAWSMTGAYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMARNKAERRHNRKKTLLDRCIITYQTYREAIHEGMNRYD SRNILSIDVMSDGKSDKDNKLQTFIINIDKLTVICLKKNSESLKKRIPYEKEVSIPKN LAVTLPD PHYBLDRAFT_175634 MPRAQEQSIPYTIFKFAATEIETPATSPETNLLPEPQILAKRNK AQTLAIQKQKRAVSTQSMINKNCANLVEGGFTYNRDEAMTLAEDQQERAAFKATIADL TGKLEKLAADYQSVMEKLNNLLAKHDASTTRATFAPTGKDLLMASKHAPKTLMNDDSS NNCTQCANCNPVTDNSNNNNKSSNITTDSTATPTQTSYAMQAKKGIDAKQAKQNVQVR RVQRQRLLQKPTGPSAYEFVYLPAKRYIKYQEMRKILSSFKIPTSRILDIQFPARGTV ALLINRESRKELITLLGKAKVTPLYNFDPTTTNVIADPKLKEESIEVRARKAQALFDA RLVKVCLRMPTYLGHSIIQYFSSPKAVNPPKRQSPQLMNRLKISLFNANGLRPKLVNV IDACETNDIDILFVTETFLPESAPALQCPWTQIHNFAIPMTSPKPMDGISLLIRPDFS HHVHPLPIINPHVVSCRIDPYTIHCVYLPPRLTSSECQERLELLSINNFTIVCGNFNA RLHHTTGDTRGGPRSEIMANWISKEQFHDMQMKIHDELALDSDHHLCELFFQPVFIPQ LLTENSVCQLCKLQRLEIEEVYKKYQRRFEVDSETSILCPVVLEAYSERLNEMIYKAL DDSVGQASPRPKVWKNFWNAELKHLADRRQELYHWLRWVGNDFERIKAHAEYVAANQD LRTAVQAPSEMVSTLKRMKIQQRSPISLTSPEGPLTAANNMIDHIENVFGGPMEIRTK PEVTPLEREVPWDVDQVKEAIRHLPRWKAPAIDHIRAEMLKPLVSTLGPLLHMLFKLC WRCQGIDRADMFLKSQQKACAAMHKLSSSGVHMNGFGLPAALRAYLIFICPILEYGLA IVPASWSDVQILQKAQNICLRTYIRRPNATMRVVYIAALAALPNLFTRSHALQAKFLR RAEKLPSEPLIKALITQLDLSKEKTTWGELRHSVLWKKTQLLKEHQPQLKDPLKEAYV LLCQKEIDMKLASVNHLVTVARGLCKPVWDPVLLLPCTRSERRCLIKWRIAWLPPTPS VECQCGTIKGLSNCLLRGAIGDRACLPCYVLLIKPPHSINCQKKKLMVKSSSTLQQNS VPQNQPVPTASHRPPKNLFLVICSTIFSPKFPPFLVNPLHLCPPEIVPRRTDLVWYRC VFWIYKWIVQVSKRNPSLEFLSLLKSVPNSGALSSGSQYAKMRKA PHYBLDRAFT_152915 MSHLPGVLFFWKDPERPIDMILLQSDQSESFVETSGIAALLLDG GHTEHSLFKIPLKLDVNSMSSMISKDMFHDVSQTMQDIMKSMNPLLEHVPFGEISLSL EEISVRFYLLSLKPLELK PHYBLDRAFT_73176 MHKDMFCARGLKEILQRASYFFARYSWSERNQKNTHRVNHIVAD TTQGIIIFVIYDSSMNNYEFICYRLHDPFDYLTIDRSCILDEAAPNIVLLAYICRSYI THCQEDGITYSNTYLSSPNFVLEIIDANKRGISSVTTDREPLPISEGSLEPSSWLSYQ PSFRLPFIFASATMLASTSETENRRMTQCEFQSAFLRNSERQTAIFDEVITSISLQLE KIKDVFVYSVECQYIHAVSFWGGMLLGQDIKRQQCMGRRPRKKVDFQSQFSQQRNNCK RRKRSKMQIIGLS PHYBLDRAFT_73177 MSKQASTGASPFQQNIQVNIQKARERQQKYYNKNRKERQHVKVG DVVNKIKPKETWKLLNARFTGLWSIKKITYDKGASCQIDDLHKLYYICDVVRTGPTGS SASSGVGASGNYLFNTQFTLYYDKQH PHYBLDRAFT_156740 MSSLDDQVPEKPTNKRVLEEDNAPIKDEEDDIGPVLPPTGDSEA PRKKKRSLAHEQLFLSHLPSSDMYETSYMHRDTLNHVEVTKHDFIITTSNDGHLKFWK KTATGIEFVKHYRSHLSSIVGISLSADHELLATISDDKALKVYDVTNFDMINMIKLGY KPKSVCWIHQKGQAQALAAVSEAGTSTIHIYDGRADGKPLHSISTIHHGPVHIMTFNS KFNCVVSADSLGMVEYWSPEEPFELPTNLSFEMKSQTDLYEFKKTKSVPTCIKFSPDE MSFVTMSFPDRQVRLFKFLSGKMYRKYDESLQIISEMQQAGTALYKLDDMEFGRRLAI DKELEKSSQASFINAVFDESSNFIIYATLLGIKVVNISTNKVSRLIGKSETHRFVNVS LYQGAPRKKGIYTLAMAASENAVIKERAALDPTLFCTAFKRNRFFMFTRREPYEDDSK KGDRDVFNEKPSREEQTVAAAQEPKQVLGTHAILRTTAGDIHIRLFPDVAPRAVENFV THAKNGYYDNLIFHRVIKGFMVQTGCPFGDGTGGESIWGDDFEDEIVREMRHDRPYTV SMANAGPNTNGSQFFITVVPTPWLDGKHTIFGRATAGMDVVHTIEQSKVDKNAKPYDD IKIINIEIR PHYBLDRAFT_175638 MLVLNVYRYHVMGIFLKLILKPSDVVLHDFASNALKSLSKNVEF TKCFFFVIWSCLTYMHMSAIKTVIPFKVTELDVGQNVLATISIHRSLNKDISSERIRR QRFAQKKLHSLSI PHYBLDRAFT_119641 MGVFVGGFVARAEQDTVYNNGTHGYNPTVIFISLDGVVNHDLHL SITPHISQLAKDGVQARWMTPSFPPITFPNHWSLVTGLYPETHGIVGNYFYDPQLNDS FNYKSPAQSWDGKWWGGEPIWITAEKQNLKSGVIMWPGCSSLLQGLRPTFEVPYSDYM SFDAKVDQTLDWLDLPLSERPQFVGVYVPNVDQAGHRYGPYANEANASIGRLLAGLEK RNLTEIVHVMVVSDHGMSQTDRSRLIYYEDGLTDEELSLIWSIEAYPSLAIRPPPCAD QAEATEKLYRAFKRFQGHLERYNADHNAHFKVYKKEDIPERYHFRHHTRIPPLLVLPD PGWVLVTHEEYDPDHDDGEYSPQGVHGYDNLSPHSRAIFVGRGPLLENGLARPFWNVE LYQVLTRILDIKPSANNGSLAGVLELE PHYBLDRAFT_152923 MSSPVSLVSSMKPMAISSITSSLPLQPLHPQHQHQHQQSLSLSL SLPMSLPMSPSLVTPYSQDSRFFNYSTCGPQYRSLRSPPSTPGEDSFSSPPSSPNSYA SSESLSPQTQSYDNHNHHHNHHGNNSLQRSHSHQNYHQRQTLIYSQQAESQLQHNDGG SIVMVAPPLTLEERRQRNKAASAKYRQKKNQQQNDMRQMIGRISEQNAVLGRQLQELR LENERLRATADRLRGNMVAKKMLRQWIGRHKEPEEKMTRSSSSSSSSSLPLPSSMSLS RSLSCNPRPQQTLCSTNTYAPHYQQNHEYPVVTLDQENEDGIVDDNNEEEEDDDNEDV DFDYQDEFSEEPL PHYBLDRAFT_152924 MAVYKVKLDQGVVPTKDTLIQLGDSINVYPIAIGTWQWGDKHGK FFWGWTPEAEKDAKEAFDAAHKLGVPFYDTAEVYGDGESEKELSRFRKVYSAEEQEKQ IIATKFFPHQHRTQFPAVLFSALKDSLDRIGIHKVDLYQIHAPIHPAAIEVVADALAD AFDAGLVKSVGVSNYGLGEIERMHAALKKRGIQLASNQVSFSLVRTIPEKDGLIKLCH DLGVGILAYSPIGMGILSGKYRVNDPAPVGREKVFDSLEKGQLQNLLDSLKRLAEKYD RPQSAIALNWCIAKGTIPLGGARNASQVEQNVLALGFILSPEDVAELDRFSFKGVNND SWKHG PHYBLDRAFT_175642 MPVQINHRPTTEPSRIYHTYPRSNTRHSCTKEAAIDASLYQILA HYMPQSPPSFDKFNHPIDNNLSDATALQFPILQRRQMSHNKPTFSSSSPSQRKKVKAP LWKVHQTWLGEDILKSNDCLLSKKETLDTSRTCLLRRNTLLNTQISRVAQDPRESDPS NHSIPKNSFPSIQSTAQTWKSVSFSDTVQVFSQLNQSDATHIQTQNHNLINNHNHNHN HNKNDQQKGSYDDDNHNEEDEEDEEDEEDEEDDGLFVDALED PHYBLDRAFT_152926 MKRQQQNVTAASIDFRLSGIHTNKPTIRGLPLPRPYPSPPASTN VPKNKEPHHAQD PHYBLDRAFT_128746 MQHFSAFTRIRLPSLIIKRSLSTRPIEVTQRSFANASNRTRTLA KSHGRIVVLGSGWAGFKLMRDLNKEHYDVTVISPRNYFVFTPLLASTAVGTLEFRCIT EPVRGYSKDVDYHQALCNSVDLENQLIHCTSNLDDNKGEKFTVDYDKLVIAVGEYSNT FNTPGVKEYGIFLKDIKDAQRIRARVLECFEFAAQPGVTDEEKANKLHFAVVGGGPTG VEFSAELYDFITEDLSRLYPQLMEYTRMTVYDVSPSILGSFDQKLSNYATQKFERNGI QIKPGSHVLEVKKDVISIKEEGEVPYGMLVWSTGLMPNPIVESMMTAAKDPRTRRILT DKKLRVLDSKTMEPLKNIYALGDCATIKDYNLPATAQVASQKAIYLGNELNAALKSNS HVDKGFSFENRGSMAYIGSWQAVVDMRPVHEKATEGGHMAWMFWRSAYLSMSVSARNK MLIPMYWCLTWVFGRDISRF PHYBLDRAFT_175644 MDEWFHEDNPRSVDGLGYDRNGVERVFFFLKKKKGSSGEGRVVV DKTVYGGRKQPSSLMSMLKGIANPYLNVNFDILLLTKVFGIQSVKTNIISNIILSELK LQDNGVSFTKEVRSAEIPKMYAQGHKWLKGFEFWGTHCGEIIETSSTYETYGIFHIHV RSQCNSSLNTHRE PHYBLDRAFT_175646 MTPPTSSITKPKRQELDAFIRGRIIGQWEKDATYEEISKALDIL KSTVGNVVKAFRDKSVFKPLTCLGREPKVTKRTQSAMVCSFHSEPFVSIVAQHQRLVD AGIPICMTIFHELYVD PHYBLDRAFT_128748 MTQSTVSAVNSEDIAFARALHGEKIKSGLIANLKSKNADVHNVA TDTYLKMWKKSDVETKEDEKKRLGNYTQLVNSYYNLATDFYEYGWGTSFHFCRYYPGE EFFRAIARHEHFLAANIGIKKGMKVLDVGCGVGGPAREIAHFTGANIVGLNNNAYQIE RAFHYAAKEGLADQTDFVKGNFMEIPFEENSYDAVYAIEATCHAPTFEGVYGEIFRVL KPGGSFGCYEWCMSDDYDENNAQHREIAHGIERGNGIPKMRPIGECLQALKNVGFEIE IHQDLAAMGDPIHWFYPLEGDLRKCQTVRDVLTTLAMTKAGRFTTTNMCRVLEAVGLA PKGTVETQSFLEVAADALVAGAQKNLFTPMFFFVAKKPATA PHYBLDRAFT_87970 LARIDELKYFLATATNSWDPNVPVKTFPLPAGESISCVLWNNLF HITGTDIVRSLIYRFHAFGRPVSNLKKFEEGIFSDLRNLKPGIDACLEEPKSEFLDML YKNSCIRTQKKQKVFYWFSVPHDRLFLDALERDLKREKMGTEVTSIAVTEPATSLSLD ATQELFDQLRKSMSLSAAATAQALGEQVIPQTTFKDPSDSWKDGQERPSCLHYDTSPS IQDIPARENYSNTHPPTTTTAFGQPDFRPTRQSTSSSDSSTDDVLSILDDHTSFPEAQ TYAPKIFRPTELSISRAIGRLDIDGSHDQLQSPTASINIASVATVGSLAAVTPPSSTI KTKQLFGMFSLFEGSPSYKQRRRRATSFSSLMGQKQTHPHSLSIAAHATTVCREDGSD SERVFTCPLGSCGRLFKRLEHLKRHMRTHTMERPYVCPLCGKRFSRSDNLSQHRKTHQ KLQSKQTKEEGDNDKD PHYBLDRAFT_73190 MSNLNQTLTIQLIPPEMVELIACHLDICSLMNLMDTCTQFRYQF LGSTYMWRRVVFDLKYGDLSAIYAALRRLRDSNGLRLLVREVVMDSTDDSMFSPLVML VKFPNLHRLYARNRRFNTKIKEDTKALLDMIKSGTIKLKTFELERIEIYHHYMTTEPY LEVFQRTIQRLSRHPSVYIDIRMCGDVSEQQGTQPKTQTQTQTQTQTLETDQLNPTQS SPPCQKIVSKVAKCWACDYHFKQCWMCAPFCGGCNSRRLPPMVNDQKKQQNLINQRLL QQTNALRQQDPVEDEFSVFE PHYBLDRAFT_26741 MFGLRKWSTPFLRPAGPFMVGGAVVFYLVAKMQGAMIDSDEYRN DPRNPAAGK PHYBLDRAFT_119699 MSSKKITLPHSVSTYVGGAAVASLAILSAIYHDRAIFDETRKDI LTEKGWPLVGSLPALIQGKDRLHDFLVESFYRLDRLTLTMSALGIPRHIGTIDPANVE HILKHNFENYIKGPEFHSAMNDLFGDGIFNANGEDWKYQRKTASHIFNVKNFRDQFTD VFVHEIDFMSDNILDKATETSELVDFHDLMYKFTLDSFILLGFGVRLNGLASKEVVPF AESFDIAQKNTFQRFVNPIWKVTERIQSVVMPWRRSMNYHLNVVDTFAREVTEKRRVQ LAKGEIHTDLLSRFMSARNTKGDLLNNTELRDIVLNFVIAGRDTTAQALSWTLYMLLC HPRVEQKLLNEINENITDKVMHNSPELYETIKNMTYAHAVFYEVLRLYPSVPQNQKFA LDNDIWPDGTHVRKGDYILWSPYAQGRCEKVWGPDAKQFNPERWITPQGDLRRESQGQ WPAFHAGPRVCLGQNLATLETLVAITFLIKRYKFHLAPNQEITYQISLTLPMMNGIKV SVEKRQQ PHYBLDRAFT_175651 MVMAMVMVIYQGQWNQLAQPIRIAAKQSKKLTKESKVNIIIIVL MVVKHRTNAAVCTLDQQNMKDEQRNKDNNNGSKDTSVWLAYCVQCVSSCVGGEGRIPF TYTYNTRLSKFELSNLTQQRRP PHYBLDRAFT_128753 MSLNKTPAFCVECKDQEASVFCEQCDEDFCEVCHAMLHRTGNRR KHTMKNLIVKPPANGSDEPVVNGDVTEILLSNNEVGKGSSSTLTSSGELDGTVISGGG ATLGEWMTARAKTVPLRLDEKERNMLRLLEAALNVSEYTDKIDIISYSNKARRIVLQI KDICAVISGLLVSGDYKLGSRLFAKRNIRENEAFFQRVFEVGRRFKIMNPEKMRSNYG KLMHMLMDSVVPEVEELLGFSCVIPIKTVYEFLKKRNRVDVLHDDLIALATREIAPEL KTREQIDAEVQRKRRAVEQLGKKYANSDISAEDIERCLSSLSDNHAYLKANRDPCERM SKYLAKYFNPAKTESNYSLAIMAGRQGHRLTHSHSNQYAYVHQSLGLWREIVHEMFML WSLADSDLLSSSPYRLTNTGQGLQRIQTCPGVGRAMQKILGRAQKRGGSWVGSSAVHL GDKNVPNSFMFIDKYNQVSRILTPIIRTLDRLDCLDQDKELEAYVKDTFGGVEQCRKD ILYDFFRFGFDGSGGDNYFDAGSCIDGRLTSAWNWCSLIEKKKYFPIFLLTGFIGFDG EDWN PHYBLDRAFT_184002 MSDNSISNSINEDFVKKIVKNLEDPQCIKDILETSKQAGTLSNV INKKDLHGDAVAHYAARAHALDLLKLLHKYGADLEAINDYGRRPIHETIDNFECLFFL VTECHVDVNAFKRGDWTPIMIAAMKGYLEIVHLLSNSGALLNLSTKDGRTALHLAVQN GHVEISKFLAKEYPGAITMSTKSGRWPLQVATALQESPDAAYEITVSLISTYTSKANS VPDSLLLLLQHKDKSGRNLLQDAVVAHNLRLVEYLLEKKDAFADEPDSLGRTVLHHAA MLGYTDILDILSKKAQCLSWDALDTWDHWTPLMHASREGHLETVRFLLRVGADQSLRD KQGRTACELGNIPLES PHYBLDRAFT_152939 MSFKFLSQKVAQLIDEELMGASGGFSVDQLMELAGLSVAQAVQK TYNSEKYSRVLVCVGPGNNGGDGLVAARHLYHFGYKPSLYYPKQPNKDLYQRLVKQCQ NLGVPVYESAFVSHLNEADVVIDSVFGFSFHGNQVREPFKEIVQAFQTTSLPIVSVDI PSGWDVEKGPSGEATFQPDVLVSLTAPKICAEFFKGKHHFLGGRFVPPLLAKKYGFQV PHFPGADQVVELN PHYBLDRAFT_80500 MDTITHRSRPILLLLGLLCFLCITPVSALYKSQAGVFDWHQSWI GKTRWSSKLDSSHITVVTERNVVASLNIDNGELEWRQVLEETITHAKITNGGILTLTD EAGHAQYWDRTTGTLVWERYAGQGGQPGQFNIIVLEDDHVALFDGKTLVKLSDNAKDL WTWELENGTGNVLALHSLAETLFVITYDGTSTIYSTALNAATGEVLTTTQIPCRVKAD EISVIGNYIMWIDHDDIKWNEIGTSTVAITTAKELVGSIDSFEVASLESLHLIPSACK PGLETFVFGAEIELDELIGATAAAFRISADGSSLELVKNLGVRKSFDDVDVSDSYVAV FSTTSKDTFAVDVLNNDPSIGTHQIHIYHDFTLTGDIAHAKILDLSDFRIFIVTASGS TFVYSKDGIVWSREEAMAHAIDSEFLDLPEKQRWTQMADEISETPEEQAVVGPVTRYI RRLTTHVAELRKVPSWAIERVMGVVSPPAQQKANPAAVLAAQSCWTNQSYHQQQKTNE DDDLYRDNFGFRKLLLSVTNTGKVIAQDSANKGAIIWSRYFADVEFDHVFVVRNSAVK FPPLIVAIGHQNDGGYIYNHFYRLNAITGEDYVSQIPEAEEFFESVLITEAGVDKVMR LPVEDPEEHTQVLAIYEAGTSRIYIYPDTTGSREAFTKFIPNFYFTHQKSKQGGEFRG YQIVEGYRGSLTASAVWTLGFPAEEKVVALASRQPDEKVASLGRSLGNRNVLYKYLNP HMFTVITAIPETETLLVRVVDAVKGSILYEAVHQNADTIHNEVHVVQSENWVVYHYWS NGPLTNGYVAVVMELYEGEKENERVVSTEFSSFEDIKPYVQSSAFTFPYPVRTMGVTT TRLGVSNREIIFGLESDQILGVTKRLLDPRRPMDKPSKDDTEEGLMPYAPIPDERRSF LSYNEQVAGINHIITSPALLESTSLVFAYGLDTFYTRSSPSKQFDVLSEDFSKSQLLL TIVGLIVGIMVAGPMVRRKRTNGLWK PHYBLDRAFT_152941 MSATEVLKLCQLQGCCISAPQENGDPLLAPKETLVYQSTSPPPA IFREFCSYVLELPLVSTLIAKKLWARFSHEPRKPTWDLKTTVFTTSMQVICEHGMQSS VDFWRFMVSAPTFLTPLTCLIEDGTFRVRRRQQLRGILKDADEAEDGTRLISGEWIQT GTIQGIWTNSRFAKSLQDPSTMLSLPVYPKGETRPRSTEKVILYIHGGGFCTMSAQTH RNFTHKICRVTGRRILAINYRLSPEVIFPGALYDTVQAFLNLIDPLFGENMDPSNILL MGDSAGGGLCMSTILYLRDHHLPMPEGAVLLSPWVDLSFSHMSCDDLPVFDYLPLPPK TETHQNPAFHYLGAEQYKTMRKHPYVSPLFAENFENLPPLLFQSGGCEALRDEIRAIV EKIKASKTSSVHYEEYEDMIHVFQAFPLLKSQEALENIGWWVKVGLSLLAQRKSYSET P PHYBLDRAFT_152942 MSNVRINLYKMQAFSLSGSPLPDWSSTLRSHNITDWHDRTSPAP LHYLGFRLRTAAIFTHLAVSPFGKIRSIMGSFLQHGTFPPISLDTFCLPRMQGGLGII DPKTQQSALQLRWLQPIVRAPRSPPGLVPRWMSGLLQASLPSLSPLFPLLFPSMRPSG WQDLTSPLHLAFAAIDHLPHNFDNVVVNSTTCLALPLSVVTIVPASQARFPPSWQDLL VSHLYTFDPALALLRSISITSSHPRSCVINKFLGRVQLNTLTLHSIIVRACCSPRELT EQYPSLLVQDGTSIDLFPFFNALVPSQTWARLSTRTFCGLCSHHLVRARYFDPPCGSR HWQKFWSFPLPLVAHNIWFRGLHDKISCQARLHSLLPLAFPSPTCSICSLSSDSQDHF FFTCPLKNAVWIGMWLEFFGTIPTPTALHNAFHFFSFPSSLNSSIPPSTVFGCTLLAI WRHHWTFIFDDSPFVPSAVVGMARKTLTRICQELDLNPLF PHYBLDRAFT_175659 MALPITPPDPLGDPPSTFDSPSPHSTTPSSPTTSQRTYSVAATL APTALLPHQPRIIYGDSIDGLSRIWCAGTAPHTVFYNAPLPTSPLHNAFWHALKSSAF ADSIIKVTLPSRSAPTALEVQFLDAVSCAIMCVHPIFVSDQHFPACIAVAPGRKVYRV TLSRLPGVRYPDSVTGLQRCLAPFGIVREIVVCESYTFFDGTGSVLLERPNPPAQQVA KLAYEISYNDNTTILGEWAHMGSHCKYCKQMGHDIDACPAHSSETRTCHSCNKPGHLQ ANCPHVSEPGCRSATTNKRSRHLNRVPHQNRIVLPRPLTTNLPTGTSADSIHNPANKA SSSLLPIEPQRKAKVVNHAEEETPSDNTTYIVDPEDDTMLDALPEQVNSDKAQLQQEP EKAANEQALLEAIQATETERVRRLTRHMPNRNTRRSLSTSPTRRNTASRNSSLSPPPR FTHQALGAEAKSNQ PHYBLDRAFT_152944 MSNVRINLYKMQAFSLSGSPLPDWSSTLRSHNITDWHDRTSPAP LHYLGFRLRTAAIFTHLALSFWIRSIMSSFLQRSTFPRFLWILSVSHACKADLVSSIL RRSRALYNFVGFNLSSALFGSHLVWSRAGFFAVIDHLLHNFDNVVVNSTTCLALPLSA VTIVPASQARFPPSWQDLLVSHLYTFDPALASLQSISITSSHQHSHVINKFFGRVQLN ILTLHSIIVCACCSPCELTEQYPSLLVQDGTSIDLFPFFNALIPSQTWARLSTRTFCG LCSHHLVRARYFDPPCGSRHWQKFWSFPLLLVARNIWFCGLHDKISCRTHLHSLLPLA FPSPTCSIYSLSSDSQGHFFFTCPLKNAVWIGMWLEFFGTIPTPTALHNAFHFFSFPS SLNSFIPLSTVFGCTLLAIWRHH PHYBLDRAFT_26722 LRNWQPIALINTDAKVFTRLLNSRLISAATPLVNPYQTGFVQGR FIADNRMLT PHYBLDRAFT_73204 MRKDSVIYRVWPSVDSRHGRANNLTVLNNIRKYGFIIKFFSQTV NRVTRLFAIIDCLSNVQHVNQNLFPAWDSLAPRVVKVVDMKSIEGIASLVHNSNNNAI PHYBLDRAFT_175662 MSNKWQKIINAFNVVASDNKSLQYDACWYKVHFDENERTSLSGI NQYRSPIEKVKKIVKNELKKLMQTRSSARWEQKQQLNKEERGKSINMVTHNRDVTVES KDSTMEDSTVSVSDDEHEVIYDVYMDKKTQFCQKMLGSLSLKKKYQKKMLWYASEQKK SNARIEKMMKKLVKALTDKK PHYBLDRAFT_73206 MRWGMQFDTHHFSPSNMERSLKAIFDKGVAFDNIVRFIDGTMQT YIHALKFQAIVTPDVITSSLLGPFIGSRHDYFIYTISKIEDRLKEYLVPTSDPEKYCA LYGDPVYMCSVHLYSPYIGGVLNDHNKFCNKSMSKVRVAVE PHYBLDRAFT_152948 MSNPQLAEWAKETFDLQKAPDASTISKFLKRGDKDLIIQANIKN RKRVRKGKCSEIENASVILLAY PHYBLDRAFT_152949 MLVHFLVEISSQYETYISEVDSAVRSLIEALHKYDTNCKHKKHA FYTSKPKVHLLTYLPEDLQRFGPALNYKTKKGEQFNKHICEHLFHTNRMNTSKDICLK FGKQYMTRHIIDGGSWIGKNGLRETRGKAIAEYMQQNSDGKFHETLLGGSREFADNNG TGLTPGRILKDNTFALFRQSNGHIIIGMVLLSKVYHLYIEYPSTHAVNNNYCLALKYA DDIYTPLDELKVVCLLDMHLKVGCKYVVNLNKFGSYWSFLYPFY PHYBLDRAFT_73208 MNRVTKKLAPGRVSVPTPRILGQLNFGLVDIGKSCSLCEKTFKD HWNLKRHLQRCHDITETVADDMSIVQEAEYQDIQMSNSPKNPLTPSESVEEKSDVDNE YYNSILNYDECEESDDGSRVDNSDFDVEENTEPNAGIPLFNHILNNMSAFADNNESSI DEEDEFQSEVFNSTAWNRFTLNTHPFKDIQTMILLALVDGDNDMISRRMLKKILFTIN LLLKIHEEAIRKDISFKLPWLDALWNYQTRKGSNISIFKSKSLDITLSDSTKVTAFLN LPSEHIKLLAANPIKSKSIFSLPDRTPNQSVCLQQGEKWRTNTYFQQPMFTHNNVDFW SGDIVLLKDCSPNLCFLVESFHTMDTSNVFSHGYIVRTPKDGCSIGIEINRTNINIES FLSVDTTPLNTSLCCSISPDTIISLIPTHRKLLEEEHFLKRLICDGTDQENNRRKYYK VNIAPVILFSDDTSGNTSKQFNPYKSWSMKCAALSFEERCSIENILFISAIPKKKGAN AASLLPEIVDDLKKLENGVVIFSAEDNAYVLVVSPLLWIEADTSCHSELCGLGAPNST YPCRKCYIKLQSRIPKLNEVEYYTNRHPTRTKDHYIQAASAPDRDTVIPDIPCFDNKN TAEELSFKNKSTDKLLELKAYDQSKDTPAEILH PHYBLDRAFT_175666 MHGDADSAKVNDEAVQLRVEEIKKKLKIFERQDIFNFDETGLFY KQHPTRTISGQVVSDLKTDKMCLTVGLLGNSNGLLKFDPIIIEKHAKPLCFNKKTGFE LGFQYFNNKSSWMTKFIFAKAFHTINIHIRNLGRKVLILLDNATCHDTSSFDAGIIQN FKVKYWHFQYQFATLHYIANKSKTESDYFTLTRLQEMNCIMRAWRKVTSEIITNCFKH TGLFHDIIQKFISPVIEENFDDLNCLSGSGNITITDIENLNSIEESIDILERNLVSPR RNVEEVKEERKDNAISSLE PHYBLDRAFT_175667 MNDITFNPRTLTIYCFMLHMRMRQKNLSLKLKKTCHANWVIQNS NKLKRKVPVGALIDPNLIKVTQYLKCNHSGTKAESLKKQAVENDELHVVKRRNTMGSS IKVGCLATLVVKFFNSGKVTVVYNWRHNNHNPLELSDISHSRLSEEARIWINEHVEKN LDWKAIKGLLRLEDIKLEEMENSMSMTSIPSAMMIQYKDVHNAIVARINNSARKHYKD EISTERWIAFLQEKGYQTMFDTYNSVGPLLFLENAEEWYLDSTHKTCKSFLDNKDCYL MSVIIYNPVTNKGVPVAFFVISIKCSYTIARWLNWLKDTNSLKVKWIMIDCSPIEQKA IRDTFGLSVQILLCHWHIKRAWESHVKKVTIPNAHLETKNVWANIRAALNLIMHSNNK ADYNAHWQKFRLDYGIQFPGLMSYMEVTWEPKKKRWVKAWRQDAVCHTNNLIESYHNQ LKSFYLGQSCGCRIDKIVYVLSQLVERDYRQDTLETYFGIKSIRLSVADTERKRKVNV IAIERANGLVEEVEPQELQANKMYSCKSFGEGCELVYFIKFTTHLHDCSCPDSARLCK HIFLVSQVFDLPVTVRRNVILDSATLFSLNENNGNIISEDNIVLLENQMSEDEQKANI LLMNESLERI PHYBLDRAFT_152954 MSSNSTRNSTRRGRGNAGPSVHSVAAGHVQQANITPRSQAVNQV TDSELENRIIDLLVAIQSRVGNVYDNDNASTIAILDVINTQQLSAVSHAPASGSVLIP LTGQNPSNKMNVIVLRFINERMWKRNFISNDLVLIAENEAKKRWNTNERSDHFDNVEV INYLRDYILSQPMTASVWSGLVVEKIKNNYKYIYWIVNMTSAQATAKNRKACSNSRCV EIHLHHLRVYNDNWQIIDRKMGYKQGNLDEKAYECYIEKDVMSDRESDSKLNRLLGIS DKIMQTNDELQVTTTSKSQMIRYLTSIKDTSVPHHLSAMIPEWAIQNK PHYBLDRAFT_152955 MSGSKEAKTSEINSYPKPLVDELEKLYTSIKIKTNDCPHGTSVH AALLMVACDIPAARKVCGFTSYTSTNVRPKCSHQFSQLAGKSSDAEVWRNATTQTERH CLEVENGVCWSELHRLQYFDAVCYTIIDLMHTLLGHCQKNDGEMVVEKMVLLLDYTVL KTKIAKGFPFIKADEWKLWCLIYSPVVLKDVLTPRMYKNWIFFVDTCQQLNFEITYMK AFIEDTWKGNIVCDLLKTANPFDCSSIFSKSVNTLSNTVSHINTTDIYNIFSLLDFLD AAENPYLSICGNEPLPTSTLPLKKNFPNNAKTEIQLFGQVFKRCKGTNRRGSYVQAML IEGRNGAKYMYVGEIQYLFVHSFSPLVSTPHHGNLQSSQHTFAYIKWCKTSQVTSRQL EGVEVWDPAFSAPDFQSILPVHCFLLPVAIVDFQTKQKVNKKLIIPLPRKINS PHYBLDRAFT_152956 MVNNRQSIAPAPSPEYTELLRRLTAMEESLKTMDSNIGIVIKGN KDSLEILDSIADASGELLAVIAPTTIPASASVPFAASSISSTLDWYTTPSEAFFGISS AAPSVAPSVAPSVAPSVTPSVTPSVGPVVLTGANAGELSKQDRTRVLLGLVAANNSKC SWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADISNCIYTNFCGTRCQVKESYE ARKKTNSWSRKAGRETDHFDCCELTYHTFKAEINVVVNEY PHYBLDRAFT_152957 MTEGESEDNMPGVSSNCAIRTVHPSWRSDEYNHFLVVVDDFISN HMDFNSGQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_160715 KTAETMIVPGGYISLNPKIGKQFLYMKADEWKSWVLVYSPVLLK DVLAKDRFENWINLMHAAC PHYBLDRAFT_102198 NLPRNERYKPENTLLVGLMPGPKEPKSEEINHHLRPMVDDLIRL YEGLAIPTFECPSGVCVRAALMMVACDIPAARKTSRFTSHNSTCACYKCNRHFPCLEN GVNVDFHGFDFSRWVLCDGVENRLHAEEWESASTPSERHWLEIENGVRWSQLHRLGYL DLVRGTIIDPMHNLFPGM PHYBLDRAFT_152959 MLDFMIIVIIRDTIQETTSDVIIDDEQYFEAIDNFSDIDYDFID LENNTETYISALIITQVLSLSEADDIFGNQEDKDSNNVDSDEDDDDNVEDNIEDKVDK GAVILIAIMNKILELFRDPFHLPVSISGLKSMADFNTLTNRIKKYIACSKCHDIYENN GFTPPCCTFRKFGTNNLCNNTLFK PHYBLDRAFT_175673 MATSNNRSRQSFIEGDAEYGIKKPTPFGILSSFTGTCFFGLNEL HLWGQNISKHLWAIVTDNRQKKSDISNPLFLRKPYRVTLGKKLLLAQALSNHHSKDGS FLNMATSASYGRAVDYIDFLMFVVPTLLVEALELQTEDLKIKLKNEKKRKGKGKAAKA TILNQSNEIDPEEQSIGTEIETVISCMDRTAEALALLSLVCQLSEKLYISTEDVSAIE HHVNIWHAFLQELVGGKCFTINQHYLLHLSKYITEMGPLKEYSARALERTIDLCKENI KSRSKPGENAVSFMHNNFASAKQKWHYELNKRDETHEADCTNISSDELDMMLDAKNIP FDKMLLNFCVCENIPFDSKVPIVNRVEYTVAGNHQTTYIDVNMDLCLKMMFYVNIKRH EFADVFMLLYFDTNKHKLMSTWIYVKK PHYBLDRAFT_152961 MYYSGDASIACCFCNEERYEGILPRKTTYQLSIGRQLADFLANS ANVSKTVEYKKTLIEDFLSVKEPIYKNVFNRSIFGGVRGMKELSLALHINGFNPFKRG GISMIIIMATIVDLPPTERYKQENIFIFSIIPGPKKPKNLFSSLYPVLQDFFVLESQG LQMNFEDGLTSLYKASLAFVVGDTLEFLSCACILDTLHTTAAECCK PHYBLDRAFT_175674 MVQFCPICQVKVTKRNRKKHTKMYEIQNMQALQERIRQERDWSQ VNSFSSVLPLKHTRAETISGDEQTYMCSNQSNDVDNFLVVNDCDPADFEEGEKSLAVN AENNYMDTENSNVSFDNVKTREEIVEERDDSLSLFSCLGAFKTTVESPLSYESVTNSK LFGTLNNAE PHYBLDRAFT_175675 MPPKRNATVVEDINNEPIWFKKFKEEHFARMKEAMEEIKRENQQ DYKELHAMIRTLTEMIPRPAVGINSHVANGGQDLIPPLKNSSEKEKKSHIRDIIMNNV VEIDEDRANELLDILKKNYLKACQSFALKDDSNGKTNGEKEWKDLDGEDHVHLVMSTL QKSVKEARELEVLNLSCGLWVVKFLVQPKWSNMLRNRRRNKHGFMVFYVSMVTTILCC FMSFSMVVMALPITPPDPLGDPPSTFGSPSPHSTTPSSPTTSQRTYSVAATLAPTALL PHQPHIIYGDSIDGLPRIWRAGTAPHTVFYNAPLPTSPLHNAFWHALKSSAFADSIVK VTLPSRSAPTALKVQFLDAASCAIACAHPIFVSDQHFPACIAVAPGRKVYRVTLSRLP GVRYPDLVTGLQRCLAPFGIVREIVVRESYTFFDGTGSVLLERPDPPAQQVAKLAYEI SYNNNTTILGEWAHMGSHCKYCKQMGHDINACPARSSETRTCHSCNKPGHLQANCPHV SEPGRRSATTNKRSRHLNRVPHQDRIVLPRPLTTNLPTGTSVDSIHNPANKASSSLLP IEPQRKAKVVNHAEEETPSDNTAYIVDPEDDTMLDALPEQVNSDKAQLQQEPEKAADE QALLEAIQATETERVRRLTRHTPNRNTRRSLSTSPTRRNTASRNSSLSPPPRGLPKVG RPETRSLFIRHLRSKGIDLLALQETHAHSIALQDTFTMQFQSSSSLWSPHCGLVCLSK DIMLTDPLFSICGRCITATVSHTQFMFNSFRICVIYAPATYRKRHSFLTSLLHNPLLI PASPTNMILLGDLNHSLTTTTAHSTPPRPWLHFLTDRLVDCVTPTGKVPQPTFHRGTS SSTIDYIFASSDLASCATSHSVEYIHSQWSDHCLVTVVLSLPSSRTSGKGLWRANPRL AQLTSFQDELSVFLYTFVPTLPASNSPQTNWDLVKSEVTRFIKRFSRRISPSLSTLEA QLQNTVLTSLILSQLWHVLRVVSLPMSFFQKIRSIMGSFLQRGTFPPISLDTFCLPRM QGGLGIIDPKMQQSALQLRWLQPIVRAPWSPPGLVPRWMSGLLQASLPSLSPLFPLLF PSMRPSGWRDLTCPLHLAFAAIDHLPHNFDNVVVNLTTCLALPLSAVTIVPASQARFP PSWQDLLVSHLYTFDPALTSLRSISITSSHPRSCVINKFLGRVQLNTLTLHSIIVRAC CSPRELTEQYPSLLVQDGTSIDLFPFFNALIPSQTWARLSTRTFRGLCSHHLVRARYF DPPRGSRHWRKFWSFPLPLVARNIWFRGLHDKISCRARLHSLLPLAFPSPTCSICSLS SDSQDHFFFTCPLKNAVWIGMWLEFFGTIPTPTALHNAFHFFSFPSSLNSSIPPSTIF GCTLLAIWRHHWTFIFDDSPFVPSAVVGTARKTLTRICQELDLDPLF PHYBLDRAFT_152965 MDIDFETTPNQQTKPMEAMCSQTNTLVYKRAPISDNKVAFTNES NGESNNGNENDNDKESNSREESEDDEDDIVEIEVKEFDSKGQSIEFFNKLLLIYKQNF QLPLSLSGLQSMTGFSAMTKEIKRLVTCQDCYKMYEESIPAPFNCDFIKLCAHTACDC KLMIQSLSGEFKITHTLCNIYDGEAWTGLKDNNNKIFVENFRSLMMTLNINWFQPFDG TSYSCGAIYLVINNLSRNGATVRAALLMVACNISAAKKTSGFSAHNSTCACYKFKRVH AEEWNSASTPSERQQLEIEYGVQWSQLYRLGYFDPTVAVMMILPSDFTKLKKKVGKSF SHMKADEWKPWILMYSPMLLKPVLLSNMFNGWIDYVKAYCVLVKPSISFINIDHAHSY LQELCQSCEDTYEPKVLTCNMHLHLNLHDTIRDFGPVYGYWLFGFKIYNGLLKNNKKN KKDRFETTYMTKLTADAYKAYYVQNILSCSSLIPFLSLLEKCISTTAPITTYVTYAPT NQQPFQLQQFVNSSLSQAAPIKGNEPLPPFSFPLQSLKKFTMSNIDYPQLLDYYKIAY AIPNLISYHNARLSQYFVNNQMTILKSIDLLGQTYIGNNSSGKCGSLVKAFLCSSNSR ASSLYTGQIQYLFIHSFTLPSQPNHQASTLHQDQHVFAYIQWYNLTNDNEHRDEGIEI YLPDFSADNYHDILPVHCIHLEIATAVDVTDMNEERMLVIPMPKNTMLEAFMNKYTL PHYBLDRAFT_73222 MVFCIYVNVIICLYLSISVSVSVLFHYTLSVSLEDTIILFISDA FSSQCLFQLANVNERPFLKYSLHLKLSSHATVIDMTILALDKDYQDLQIQLFALVIFI VKVVILGAMSNIPDILLIFSLVSDVFNASSSKLHITGFFERNNICVTLLNSNSMKDDK ESGPKYIIVSLDVLYNHFITLVDKKVVADLDSNSHQLLSCAFGKIVEGPVSDAIASQF PQWDLRNGS PHYBLDRAFT_175678 MSKVNHTLALHLSKEHTDLIARLDTMQQSLKDMDSKIGYVVKGN ADALEVLDNLIEISDNVLEIAPASASAATSFNVNQKVYNRLFSLIQSQLRDPKFRSNN AALITANDSKSGWNTEIHFNRSSNNELTLALMTYLKPKFATDGLRPFKICSSIYTNFC RRRSAERKSSSALDAGRSWSRRASRATINFDRCELAYSMCKADINTLMGKDCEGLINK VAMSEGESENETSGVPGNHVIHTYNKFLGHVDEAVLRCLNLNVHQMAKKTFGRDADLA VQSQLKCSLPQWAFKDEL PHYBLDRAFT_175679 MHNIFLGTPKRILDWWIEKKKISKDDLVTMQKTAETMVIPGKYT ALTKKIGKGFSYMKADKWKSNAHTFFERFCQKCETTYPIKILTCNMHLHLHLHNVICD FEPVYGYWLFGFERFYSLLKNMKTNRKFGFEETYMRKFIEDVHKEDYVNAVLRCPRQA SFRQFTFKLISAPMSTSMPITVPTIRQRTFRLQSFIDYSENPNLVIKGYEPLPPSTFP LLTAALTPMSNIHYLHLLEYYKTAYRNQALVHYEEASLSPYFVDNLINKLKSINILNQ TYKGHNESGHHGSLIQVKFYGGTGQYVLAYTGQIQYLFTHSFASLSTNNNNCQFRLMH YDQHVFAFVKWFMSADNHSRSLEHVDICYPTISHDSHQSILPVHRILLQVATAEHTTH QNVKKILVIPLPKKHYA PHYBLDRAFT_175680 MAVHNTLSSNEICGYASAGTGFKKENITVVIGFNFDSAAMLVLC GYASAGTGFKKENITVVIGFNFDSAAKLVLVQVSKKKTLLCAAKLVLVQVSKKENDTV VISFNLDSVANLVLVQVSKKKLLCGEASVGTGFKKENITVGITVDFEGDLFATLNMAE NPVHRFIATFVVMFASCYVVNKGTVFLIEFINKL PHYBLDRAFT_175681 MAVHNTLSSNEICGYASAGTGFKKENITVVIGFNFDSAAKLVLV QVSKKKTLLCAAKLVLVQVSKKENDTVVISFNLDSVANLVLVQVSKKKLLCGEASVGT GFKKENITVGITVDFEGGVKRLLVQV PHYBLDRAFT_160717 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLEN LKRKFTYEGFREADLRARLHKNFTSQVSKARKTEEEIKVTNTRLRRAGRVRDVSVISF TCIHRQQRSN PHYBLDRAFT_73281 MHLHLHLHKCIRDFGPVNMFLDVINPTTKCLDHHRLFGFERYNG ILKNFKTNGKDGFEATYMKNFVQNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAA TVTTLSSRPFRLQVFVQGYTDPYNPPKGNEPLPPSTFPLKYKKPSVMDDSNHLHLLEY YQVAYNLPDLASYQDTSYNRPALDNQIIKLKSIDILGQHYRGTNNSTISHGSLVQTKF VGSNGNIILGFAGQIQYLFTYSFQLPPTHNLHLTRMVHDHQHVFTFIKWFRTSSDRSR EDDGVEFCLPTFSPDSYHSIIPVHRILLEVATATIATSRNVSKMLVIPLPKKLYT PHYBLDRAFT_175684 MFPSTQTHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEAA MRNMDVDTEVILTSRSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIE IEDFNSEDPFAAPDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVFSKLGARSACN CNLTKSVSSGALVAKREYVYQSIKNTLSVFFCRPSFEAKILCGTIIDPMHNIFLGTSK ILMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADKWKS PHYBLDRAFT_73279 MAIRAAVVSKVNITVFLFFSMVDSISAVLDFSCNNFVIQPASHT YPAVAATVGSLVHRLLMKICGISDNTLHHESSVMQRIINYPKNSRVVVSAPKGPGQHN FAFDDIGKTCSLCGKDFDRVWNLRRHLTKYHKLATHIANDISPQYADRNLASQRQTTN TAEPTAPDHNDDSVNKDLHVESDLEDDDSSDVDDMNSNGDDNVSEIELDAGESIIEMD EDTSPFESPSPGNHLYMHIRNSMLSSASNTSSSLNADLDLLKEATGSHTTWNQYTSDT HPFPDLQSMVLLAFVDGDKDMVSRRILKKILFTISLVLKLHEKAIRKKSPFKLPRLDA LLNYQTRKKSKIPVFPSTKVDIQLPENNTTSAYINLPSDHVRFLAANPKKARNMFSLS DRTPNQSICLQQGEKWRTHWYYQQPMFTHNGVDFWSSDIVNFMNGSTPTRFLVESFHT MDNSAVFVQGYMVYILEGGQFIGIEVESTSIKLETILSVDSTPVDVALCYSVSPGKVF HLIPRHKSLLEEPHFLKRHVLDETGKPIDPKLFYKVRISPIILFTDDTSGNRSKQYNP YESWSMKFAALSYEERSSIENIHFLSAFSKKKGASGMSLLPKIVEVFKRLENGLVMFS AKDNENVLVASPLLWIEADTPCHSELCCLRAPTSLYPCRKCYVRLQRSMPNLQSSSYY TGRHTARTKAHYLAAASTSGRGSTIPDAPLTGNALTASDLCFANRATDALLELQSFDP LTDTPVEVLHNILLGVAKYLVNDLVKVVLKKNPNQMARLSKALKDYENSQGMSRKFTR ELRHCGSFLGRDYKVLQILPAILVTEFANDSILSLITPSFVRLGRLCSLVFVRAVRYD YNMYIDEVEKAVTSLIQELHHYVITCEIEGHNPYSSKPKVHLLTHLPHRSMKIWNCST LRDRKR PHYBLDRAFT_175686 MIENFKVCNPNKTNDIISAQTIPSTSSNNTSIFQDSYDNVLPKI GNTRAFDWIDSLLYLLTALVVPYLPNSPVEIDLLSLINGCALALLWSLNAAVAKQGRN LIKSKSISVHEEINLIQTETYGRESYMNIPDDHNDAQLWGPFYGFVNSKDNLVKGVSD PSVKNALTSLAGYELADSTIVVTARLWMISNLYSLCMYRRRKNRNTTVHIWLADTVQF YFKHKNPHGILHFIAFVDVMKEHDVIGHDSFINRDIEFDPFYETCQLHKAILKGQVSI NGPSQYFGKTEK PHYBLDRAFT_73277 MAVMLPKWYPQTNWHILTSLNIEGQIPKSFDQVTGHCEGSTVIQ SLVYSASYHKKQPDVQMLIKRLLLNNSRKYMRNANIDAKNGHVNGLIRILKRIDYGQK LVSKSANFETYNLPF PHYBLDRAFT_128758 MASNKIAKPAGAAPSDEFELSVAQALVDLQNNVPELKKDLKALQ ISGAKEIEIGGGKKAIVVFVPVPSQKAFNKIQARLTRELEKKFSDRHVVFIAQRRILK TPTRRENPKQPRPRSRTLTAVHEAILEDLVYPTEIVGKRTRVAVDGSKTIKVFLDTKD ATSLEYKLDTFSAVYKKLTGKDVVFEFPANVDLF PHYBLDRAFT_119718 MSAEHPETNPTKLLVPLHVKYIQSLDTRKEDLEYWLTEHLRLNG IYWGLTALDLMNNVDALAKKDVIAYVRGLQHSNGGFGGHVDHDPHLIYTLSAIQILII HDAINEIDVAKATEYIASKQQIDGSFCGDSWGEVDSRFSYIALSSLSLLGRLDAIDVD KAVEWILRCKNYDGGFGNQPGSESHAGQIFCCVAALAIADALHHVDIDLLSWWLCERQ LKNGGLNGRPEKLEDVCYSWWVLSSLSILGRLHWIAKDKLIAFILSAQDPENGGISDR PGDMVDVFHTLFGVTGLSLLGYPGLKEVDPVYCLPKYVVQRLGLAQKYHI PHYBLDRAFT_73274 MSDDRKLANILETYFSDANLLWDKVLQSKINNDPDGFVSFNSLQ TLSRFKKLNATAQDIKHAAVNHSLSKLKEGLQKPYHTEQAIKELFGSLIGHVSFVRIP KNTQGCSGFYGFCFVEFDDRDNVNKAVRLLNRFNTSALCSEDQSNIVKTNEKKGENNV EDGDKNSNENVIEQANKLSLRVMSKSDWNKLKEEYLEVQAARAAYTRDQWSKYYASLP NTAEEIPGPEPQQQKKKKGEELPETMEYTKGIIVYVGNIHPKSSKTVISKLLEKSGLL VPYIGRKKGLDYCHARLSSPEDAQQLVKYFENHPVIQENHTDDTGKAASTADMFKVNL RIISGKEEEFYWQDDIAGSKKLFT PHYBLDRAFT_189439 MEENTEKCPLCTDKRKNSSLYDTWLQCDACSTWYHAECLGLSLE ECNIIERYHCLGCTAASGPTTYKKKPRKTSRSHARLNYADLNEGKTGDEKIWGKILRA KSFANDPFKRYKGDEVTVELARKTGMTEPFVIEDVEFLDMKMPDSSITVNDIAKAVGE DQPVEVIDVGTQSEQPGWTVGRWAAYYHSPTRDRIRNVISLEISNTEFARGITRPKFV RDMDWIDHMWPSELKPKEYPKVQLYCLMGTKDSYTDFHIDFGGTSVFYHIISGSKVFY FIEPTSKNLKKYQKWSSSPDQSSTFLGDQVKQCYAVHLKAGNTMIIPTGWIHAVYTPE DAIVIGGNFLHGINITTQLKIYEIEDATNVPQKFRFPFYKRINWYAAHNYNKTLQDTP EKLSPFELQGIASLAQFLQEDLNKLQKTSSATNEQRKAVRADIPALISDGVELISSLM KGLQNAFRILTQSTITGTGNGTSGGAGTETKDSKVLKVKLKVNPANPSPSVLESYHSK KSDHKTKKATKATKANKQHQKIVLKVKPKKDKVQEEVDESIEESDEEYVDNELEFFGD LKEEEEDDADEEEVLESGDEEYVENYKDSPKTNARKRKQTANPSTPRKPRKNPIPKKS KIEVEESSSDDELGTQKSNRSGRATDSFMSGPGGGYGIQSKKTQTSTVKQRLLERIDR VKR PHYBLDRAFT_175692 MAPSIRPSDLKNKHKREDLYQKQKLEKQKAKSLRRKQLAKEEGK NPELKEKRLQENVPKTLENTRENDETMVENDEEVAQDEAMDELASYFTGKESKVLVTS SKSPSPACYDFCAELVSIFPNAKFGKRGPKHELRQVIDVAKEKEFTDLLIVNEDRKIP NAVTLIHLPDGPTAYFKLTSFVPAKKLPNHGRVTAHNPEIILNNFNTRLGHTVGRMFQ ALFPHVPEFQGRQVVTFHNQRDFIFVRRHRYVFRNEEKVGLQELGPKFTLKLRWLQKG AYKRDGEYEWMFKPELETSRKRFFL PHYBLDRAFT_156751 MSFNDLEQGFGSAGNGRQVRHSEGLLGGKDGDYETEYKALTQQV SQQVFHINGNISSIERLVNFLGTPKDSSDIRNKLHEVTESTRELIKDSTNDIKSLSQY QSGDSRKARQRKLEQQKLSKDFQKVLADFQKIQRISASKQREYIDKAKATTAMLQSNA AEEIMEQESQPLMDDAQRRLQLQTLDNEIEYNEVLISEREGEIQGIEQGITELNEIFR DLGMLVNEQESGIQSIYGNVLNIAQNTRQAADELTVANRHQKSARKNMCCLLLIITVV ACVLALIVVVAK PHYBLDRAFT_189441 MSDGEWHTVPAKGNHQTQASVSQGRRKSKQRGRAATGGGGGGGG TTVPTNAKRSTHTKPKAESGTSAGGAGTGASSGTGATGPKSLERRKSVPVKASTTEKT VHIELSNPYHLPENDETDEEDEEDESPDPIDMPVPPYHTTIIISCPFDKCPSSEPFTD TTSLVSHLRKEHHIVFNNLHHMYMALDPYLSQWAKEIQSKSLKEYGQEDKEEKGVYII DPEQCDLDKKIREEMQRSKLNEILQTQQTERGQDSKRIRKCLFCKIVCDNRQALFKHM FSEHNFNIGLPDNLVNVNEFLDMLENKLSHLQCLYCEKTFTSPAVLRKHMRKKKHFKI ASKNRQYDRFYVINYLEPGKNWENFEHDTYESDDEKRDESWADWEEEESEPTMCLFDE QVLPSPKEALEHMKQAHGFDLTAIRKEKGYDFYKTIVLINYIRHQSSLATCFSCHQSL QDFSDLVSHMTAKGCLTKFVSDDADFWKDPKYLLPTYDNDPLLTGFGDEESDVDEDLD MPDDEANKKFMSLVVTEALEASGKTKAKKDEQKSTIAQR PHYBLDRAFT_99542 DPRLVRSFWGVDYTPHGTQLQFGCGVTQEEVIQDLRLLYQITPR IRLYGMDCNQADYVLNGMRILNINMGVILTIWVDSNQTTYQRQYDTFWRVLETYGGDN IIGVSIGNEALFRKEVSVSTLVASIRDVKKKMIAMGYPKIPVYTSDIRELPKLMPEQD AVLDNVHPFFAGTLPQDAANWTWHYFYGVDQYPTIKLAEKLNQTQTKPAMISEIGWPT FPEDGSIQAAIPSVENQKILLDTFICQANRRGVPYFWFEFKDEPWKQDMFNETRESFW GIFDKDNNLKVSSLPNCTL PHYBLDRAFT_128763 MSTAEPKKEKKDQAEAMEIVEDAEAKQLRLAKETNQAVLSEIKR NFTLLERAVDTLESRFTTRVLRTVPSIRRRLTSPVLAQVISETYGAHEEEKNELLQYL GEKSNDMEVDSAAEKNGSVLPEIDTYLHLHVLITLLDKNELKKGTELADKTVKKILLL NRRTLDQLAARVYFYHARFYELTGRLAEIRPLQLAAHRTATLKHDDETQATLLNLLLR NYFVHNLYDQADKLVSKCAFSENAGNNQAARYAYYLGRIKALQLDYTSAHTYLTQAIR KAPQNNITAGFQQTVNKFFIVVQLLMGEIPERSLFRQPILKKALAPYLHITQAVRIGD LSKFQECLAQFDAVFKKDKTFTLILRLRHNVIKTGIRMISLSYSKISLRDICIRLHLD SEEDAEFIVAKAIRDGVIDATLDHSRGFMKSKEILDIYSTNEPQHAFHQRISFCLNLH NEAVKAMRFPLDAHRKELASAEEARERERELAQEIAEGDLDEDNDMADY PHYBLDRAFT_95900 TMYYSQALPYAHLLGGEAEQWLETICTNLAIAVRAQDYQSGVVA WTRRLCSYLDMKHALSRDVRANLAKIMFDLTIAPGMDTALIELWANLCVRLIRKTKRL GPEDLTLPWRPLYERIDKSIFPKSRQRTLISDKHMGSVLRLVEHCQRFFEPSATQEIL DEFLPKFTTHSIADAILAQGYIVLFLPVVVPKHSVTHHQLSNTSMTPKAYLPTIFSMW SIFTCSTTYDAQFTDLISRIAEHNTDTKDWQEIGLFTKQQIKTVFTVCLRMMNLPVGS GTTGYGNNGLKVDIRAGNSLFLRRKPKFKSLARLMVYTMFPENHQDGTESSFTLSCLG ELIQAIELYYHPSNHGSWSYPLAVFACHLSSEFLKRWKQERDDDCNTPVERRLTSDLR REFVLILRPVIYLSMFGKDHYTVGASQSTLTYLSWLEPTLIFPGLFERIYPSLETLTE THRTASALSILADIALPMLSRDHYPAGGKHLLPLLHLATPGIDMNDPMKAMGALMFIA TAVMSVPIRDLTDGNSYYNPAVDGESHYDEMDIDDENHVKELPREVEDQLCKATTGQF EEWLAKFLRRVFTIFENLPQHDRKKQGGAMEAGVTQMLLHACDVVFGQLSDNLYDLAL RMVVEFVNDQVIPNAVRTTGLLCDSITSANVKKAAKHFIPLCIANIRTELDYGASSTI TNSASSNPIQSDSTLHWNQNILFSVVSMLGPEILNYKTEIIDIGHEMIAKCRSRRGVM WTGKFLRNVLKGLLETYPKDFRNLKPEEWNNKDLMGNSHLLWGKPGNPKDLAIDWHTP NEDEKNFALEFLDTFLTPSLRRLEELISDDPNVVKPTSTHELTNEFCRHLAIVRNCLM GSSTMVKDDGIDEESKPETIDTSDDEIVNLRAAQRLVAGYAFTGTDPRTEKARAIRKN IGELLHKIALYFKTHREDDVESIKIVIKITRAFLTERGVEKALYERSKNGYNYAKGLG KTPLSHKSYPRNLLVRRAYNHHLLRLRQNFQGRVRTEFHDNLLQDILDLSLSSYAEIR KMSQNALSASARYFLGAKYMIMPTILEALEQKNDSNRMKGSLYLLTHKSLLMICLRDW KFISMFVLAICRAQHEDKPSIQELIRKVFVDYVSHYNTFSFRAIVPNDINISLEKLYP SGAAIQKTKALETKVTERQQNQIQSYHQLIQSLLDVMKDKKVHWRYATMAANFLELFL RPEMAPTAGLAEFANKCALSELPPLRRIGVGATTQLLLYIKQRTFAEGDQDLLITKST RNPLKRIISVDGKNTHMSQKLLEYFCVSSLLVDRTTTGWYVWPKTYEAYEICTDETIP TVDPESQEAYNELKKAFLSLDYWQKMSGYISQETSRNQEDVFTMSHGRLFCSIFQSFQ DGPLSVCKEIIEGLCNASDQKNHQRAAAELLGGLVRGMKHWPVSKSLKTWEWLVPLLQ KTFSSITPDSLTYWESFVRFCSSDHDPRRIRPLIDLVLKAEFDPTSDAAFSESRKLLL VRTLMTSLKWRMLPFSDRLLETYLCNLQHPYKQVREVIGGNINEIMQIQWVPALPSVE ALMSSNAREKQDGVGNVPFSLAEQGQEKHIQDVIKHLDHWLAEAEKRSTVGSSDYTNA SKTGKLFQDIYKIMNLAGTLPYVLPFMPRLFKMQEMNDDQDLQQMATRVLHLMAQLSY PPSMVPQLIQQFIDILKTSSSWHIRIRALPVLQIFFFKHLFVMDGDQILRIMEIISAM LLDPQIEVRVVRQMAAVTLGGLVRCSQRDAIDSLRKRFSAQLDTRLPKRRRHPTTGKV IEPAGFAEAMLSKHAGALGLSCLVNAFPYEVPKWMPFVLCELAGCMSDPAEIQSTVRK TFSDFRRTHSDAWHEDMNKFTEDQLSMLSDMLISPSYYA PHYBLDRAFT_137534 MSTRYLADPKTPISRLEAKPFFEALTDKEKTYAHFMSRASFHGT RIVIAQTNPGAPEIYDLILSLFTNEREELVDIEALAKKSGVSQEAFDQLLEYSAQFLG NLSNYKSFGDEKFIPRLSADDFEKIVEASESHEAHVLFTKKRGEMYNVEPVAKNLIGY PDDGHISGYYSKNTTKEDIKIVQEYLEKINISPLNTRLFKTAEGYQLHIASSQAPDDI PQPETLENGITLEIFYNDFQPCMKKAADMVKGAIHFAANAHQKKMLEAYYESFNLGSI DAHMESQREWLKDINPRVETNLGFIETYRDPQGVRAEWEGFVAMVNEEQTKKFNNLVS QAPLFVSRLPWPPAFERETINKPDFTSLEVLSFATAGVPAGINIPNYTNITQLLGSKN VSLGNVISAQSPGEKFPFIRNEDLDLYTTYRNPSFELQVGTHEMGHGTGKLLEEDAEG KLNFDKGTIDPLTNQPVQSWYKSGQTFNSVFKSIASSYEECRAECIAMVLGPHADILK IFNLEGQKAEDVLYIMYLNMARAGLTALEFYDPTAKKWGQAHMQARYAILNTMLKSGQ GFVEIKQIKDSNGEETLEIHLDRSKIRSVGAPAVAVFLNKLQVYKAMAAEKLGTQLYL EATSVPEKWATHMRDIVLRNKQPRKVFVQANTFIENGKVVLKDYEPTPVGMIQSYVER RV PHYBLDRAFT_73263 MNELNSKIEQNTHMRDKAIQLRGMLEDHNAQKQCDTQIKESERY ISYFSAELRRIKHRSFSRPAQSQIQAMLSKRASGGSIPTSSLTHNFSNRSIHSEGVDS QKDDTDSDTSSIALNTVVSEEMNKLDINDLDEDTLAMKNEEHDKKKYTNIEKFISTAK KTTTTTTDLLQAETPINRAKVCLKLHELEYKVDVEKKVQDGIRNLALTLDSATDRKRK SEILEKQNESHEKMGLLSNAVRKYKNLYLGDEDDVDLSPIRAVPGTRRPITGTLQLKV IEARELTHAPTRMFKIAHTAVEIKVDGAAQAHTKLSRNSRWSESFELHVDKATEIELV IYDKSGDRTLPIGLLWLKISDIAEHLRKQKLAQEQDLQWVSADIAQQQQESQQPQQSQ ESQSAVQHCTKDSPNDYSKNISAWFDVEPIGRLLLDIQFVRENTALRPRDKLERAGAV RERKGEVHEMNGHLFVARRYYHIMKCALCNDFMAKLVFQCEDCGLACHTKCYSQIVTK CSRQALKTTKRDQGSEELRHHIPHRFDSLAIIKANWCCHCGFLLPIGFRGAKRCEECG VTCHKKCAPLVPAFCGLPMKKANKMLSEMKVVNQRRTPHPNSKTQSAIEGQQTYSKAS NAYHTNGSGSTSSSSSSATTTSNNRHSFNSGLGLPPPPLSSSSTPTTSTSTGKNTQSL GYSKGILSFQSIPEAVRSSTITRPNASNPGRTSQLMFVKKTPVHTNKKVSLGDFNFLA VLGKGNFGKVMLAEEKYTSELYAIKVLKKRFVLDNEEVASTKSEKSVFQTANQGRHPF LANLHSTFQTETRVYFVMEYISGGDLMMQIQREKFSEKRAKFYACEVLLALEFLHKHG IIYRDLKLDNIMLCLDGHIKLVDYGLCKENMWADSTTKTFCGTPEFMAPEIILDLPYG RAVDWWTLGVLLYEMLLGQSPFKGEDEDEIFDAVLEDEILYPINMSRNSVSICQKLLQ RTSAKRLGSGKGDGQEVKAHPFFEGVNWEDMLAKKVTPPFLPSVRGRADTSNFDDDFT REIPILTPLSTRVLPEEQQKFKDFSYIADWVLEG PHYBLDRAFT_160723 MSTPFRQGLNKVYLPNIIFKMVKTPNLQSNQVAFRVPPSCNKFD IHSYLTNIYNVTVQEVRTMNYGTVHKKGRNGKIGVSETAYKKAIVTISEPFSFPKDPE WCALEREQNKVGSKMAGRKTKGWRFRGTEEERAKLKEVNEKVQARMEADQKKK PHYBLDRAFT_73261 MFASLLEMPNTKAKVSKGWWITCIIYENFYQCYVSYSSEARSKI QSRNTKGTECLSTYKNYALSNNFTCKIKDRKFAIFHPACSKTDVCCSKPSSHQERIFL LTIEDGTISETILENLAKSCDTQKGTNDKVAVVRKMAPCFPEVFIVNSTYKTNCIKTT LICVEGARNLCGEKLCLALIAAESKCVGEY PHYBLDRAFT_152992 MVSKATKNCAWVVVLTSTNKYVKGVIVIANALRQLKSSYPLLVL HTPAVTDQALDQLKEAGCHLKSIEPIKPPGKTSYFTERFTETWTKLAVWEQEEYDRLV LMDADMLPLQNMDELMEIPMPEGWIMACHACTCNPQKIKAYPDSWTPANCPYTGCTKS TPEPASTAQSYFNSGLIVLQPSQAKFDEILHQLMTVEDLTVYLFPDQDFLNQVFDQKW VKLPYIYNALKTLAGTHEAMWDQTKVKNVHYILSKPWDANRETPQEGEDVYYPLYRLW WNTYDSIQAN PHYBLDRAFT_73259 MNQNCPIGLSSGDQGGESIGSYAEDHEELLSLKRGEIFLAILLS ISLLKRLMSFSFFTSLYFHNLTESTGNLLSYSISGLFIDNNYVYKIGTIYNHRIIWVI INRLSKIIEATRFQPGEVRLQAICDVLKLGYKDNNFYYNADGIIINNKHKIEIALVKT MGIFHHSNDSKETQDYIKVGYGLVSMLHWISRKFLYRNFDTFKRIENDNRNVQRNRHF RKLPYKQYEENLNSAREGMLFTYGVVRQHY PHYBLDRAFT_160724 MTPNEDHNNESILSDLEGAEILLDSVLDAFVSLSKHADQNRAFL TILEGKLGEMNSKISQTIDNKSANIPTSTSSPTSPSTPVANEIVLTNNLEEPATLHLL EKYSTLLLKMVESKMSAA PHYBLDRAFT_184014 MTRLISFTYIVWVASLFFAIAQAAKVNGVISTNGILPDVSLLSP STRVTLNGAAYTTLIKKNGEFEFLDIVPGSYLLEIRSIDYIFPKLRVDVTEDGDVVGA YTGSGHNWASTGLHIDHPFELRAKLEAEYFVKKQGFNLLGMFKNPMFLMLGFSGIAMF ILPMMMKNLDPEAMKEINASQSEAQKMLTEMPSISKMFNSS PHYBLDRAFT_80519 MASLTRFTRAVVPNLRVPRSTYIKSASTYGLSSPRFFSAVAPKI NTPEVTLSHTDSDAIHVNWHDIQTSQADPHTAKSAYSYLWLRDNCLCEQCVHPSSRQK LHSSADIPLDIAPTSVRIENGEAEIVWNKPLRHQSDNVHVSRYPVSFLNTYRSDKTSE AFRFNQIKPTTWSRDEYDLKWVSYDDYMNTDAGLHEVVQRLYNRGLVFLKDVPIKDSG ATDVAERIGPIQETFYGRDFDVKNIAKYLDSPPGIQLLHSLQNTVTGGASIFVDSYRA AELLKESYPEDYEILQKTPVAFHYLNNGHHMYYRRPTLVTGEDQSGPAWDMHVNYAPQ FQGPMDHLSPAEAKKFYRAYQRFADFVEDEKLRFQLTLQPGQLVMFANRRVLHGRTSF DPASGDRHLKGTYLNLDSLKDKLRVLSAEYGFNTGV PHYBLDRAFT_26789 MDDERLESHVKRAQELIGNDTKEVPAFWINKYKKEAAKNWDMFY RRNTTKFFKDRHWTNREFEDLAYQEGQSKVCLEVGCGVGNFVFPALIENPGLFIYACD FSKRAVDMVKDNEHYDESRCKAFVCDLTQDSLTDNIPAESLDLVSAIFVFSAIPPEKF AFAIQNIFKVLKPGGAVLFRDYGLYDEAQLKFSAASDKKLESNLYVRQDGTMSYFFSL EDLQSRFEAEGFKTLKNEYIYRETTNRRLELNVDRIFAQAKFQKPLA PHYBLDRAFT_119629 TFNISRQEVVRRLRAKGQPIRLFAETDKQCRIRLRALELMEERS EDQGQRNDFMRQLGEMEEGMKLDVLRQKDGIVDDKSLKKPKKPDLVVEPITLGLLQAD RDRLNMQIYAYLAYTQQEWADFMEQRPEEEKRSTQGKRAAVLQKQTAEYIKPLLKQLK KRSLEPDILARVAEITHHMQNRRYRDAQDSYLQLSIGNAPWPIGVTMVGIHERSAREK IFSSQVAHVLNDETSRKWIQSVKRLMTFAQSKYPPDTLSQIS PHYBLDRAFT_119617 GSPIGMFLYGISLRHGWGCQLNGSLAFHYLQKAAEHAVDELLQL HGMNNNALASKGELIMAIYELGVSFQQGWGVPKNRETAFYYFKIAAELGDADGQNDVA HCYYNGHGVKKDLFQAAKYYRLAAAQGHGILGNSWIFKPKYNL PHYBLDRAFT_80517 MSDLPSLRKKIDTLDTTLVNILNERARVSLDIGAAKRQLDNTEG LPTTDLHVYMPGREKEVYAKLTRLNHGPLKDDSLHAIFREVMSASISLQKDVSMAYLG PPGTFSHQAAYQRLGDSVVYVPQKQISDVVDAVEKGQTTYGIVPFENSNFGSVVETLD RFVKTKTRVRAETYLTVHQCLLSNSPLDKITKVYSHPQGLGQTQMWLAANVPNAKRIS VKSTAEAAQQAALETGAAAVCSEICAELYGLEVTARDIEDGAANTTRFLIIGTSSDNA TKDDHTLIKFTVDHRQPGALCDGLKVFKDYSLNLFKIDSRPSGLHPWHYVFFVECSGH QQNEDVQKAVKDLDQFCLDVVVLGSFPNQRPE PHYBLDRAFT_175711 MSRLAVAQPILQTTKASYDGKSSLTITQKVQESAGIQPGLYLGD KWFSEAMDQPPHHSVPSLPYEYPQKSFISFVLHKATSPSLQKRSIGPPFSSASHNTPA FNVIHVIAGVLGGLGATLVGVTMFLMCRKKRKKRDNSLDIESKPVTPAPSIPSNNLKG TSSSSSASTCPTDRFDQFAIDFCYEERQQASTHNSISSCTTLPAEIDLSDDPVSPSMQ QHRLQYLILQQQLKSQAASSSRPNATKPPPPYHP PHYBLDRAFT_128779 MLRTATLISAATLKKASPLAAVRAYSSAGSPGIMNFGLSEEQKS IQELARKFTVDEIIPVAAEHDVTGKYPLDIIKKAWELGLVNTHVESKYGGMELGVMDS AIISEELAYGCSGIQTAIEANNLAEAPLVVAGNDFQKKKYLGRMTEAPLMASYGVTEP GAGSDVAGLRTTAVKKGDSWVLNGQKMWITNSGYANWFFVLARTDADAATGKAFTGFI VDADTPGIQVGRKEINMGQRASDTRGVTFEDVVVPQENVLGSPGQGFKIAMGAFDITR PLVAAGAVGLARRAMEEATRYSLERKTMGKPIFNHQAVSFMLADMAIGVESARMMVYR SACMRDNGERNTWHASIAKALASEVANKCAADAVQIFGGNGFNTEYPVEKLMRDAKIF QIYEGTSQIQRLVISRGLADMAKSGASALGGF PHYBLDRAFT_160726 MVLVTRTLPFDHHNNASNDPHSGLRHGAEGAALGAGAYEANKHL ENGHRGSFEGNPDYENSGLRYTGRQDRLPSNSNVEGFQRVREQASHHNNDPETYSHHN DHSGLKHGAEGAALGAGAYEANKHMGHHNGDHHRGVLDSEHPHSTDRGLHDNRDHSGL KHGAEGAALGTGEYEANKHMGHHNGDHHRGVLDSEHPHSTDRGLQDNRDHSGLKHGAE GAVLGAAGATAGHEVNNHHNSPPVSNSTNGAEHGEENTPDGQRGKASAPHPLNTGGTA SHIAEQPDMGLGGLTGKQPVGKIDLKNL PHYBLDRAFT_80513 MPENVTEIRLKKRLCCPQSILRQTTDQSTYHKNDDDRLQALYDE AYTELKKSIDSYNNSFVAHMRSLEAMPNRDILAQDSQCPTEDRSIKDLTDRLAAGSIK DYSPLIEHGLKQDPQIGFEEEGACGDLW PHYBLDRAFT_86149 DTESRVFVNIKDLGRCGVFSGDWVLVAANDSSKSRLCRIYGIDN NQEQAKRYIYIYIYVCVCVDLDVLFLSPILYFNLGLPLPPSSMEDHVVTITRLTVNPN PPMASAVTIARIASPSSMDKSLQTAFLEALKQWFEVRERIVCKGDILAIPLDEENARL RPKEEDEQADAFVRKPTTLAYFKVTSLEEPTESNGVHPSYYGHGRKIIPSQTKMIQTG VEQSRIPAGHLSRYYDFLSQLPLERSSTSAYCNLHELVSSSLHPLGRDFELSCNVLLL GPRGGGKATMVKQVAEALGVHLFEFATYDVVSETDAKTEAHMRAKFEKASALAPCIVL VRGIEALARKTAVVETGQEPLLATVLQECIKSVNSAYTSSGYPVMVVATTSDIDALPT SILACFRHEVSVEAPDEKTRLSILKNLTSSCPLAPDVSLKSLATQTAALVSKDLVDLV ARTGVLALQRIHKSVYGAERNGARTQDIKAAGVALTAADFDGALNEARASYSDSIGAP KASDPKCIPNVTWDDVGGLANVKDDILDTIQLPLEHPELFGSGLKKRSGILLYGPPGT GKTLLAKAIATSCSLNFFSVKGPELLNMYIGESEANVRRVFQRARDAKPCVIFFDELD SVAPKRGEKGDSGGVMDRIVSQMLAELDGMSEGGEEAGVGDVFVIGATNRPDLLDPAL LRPGRFDKLLYLGVSETHESQLRIIQALSRKFRLHPDLDLARVAEKCPFHYTGADFYA LCSDAMLKAMTRVADTIEDKVKELNKEKRKGLPDVVTAQYYLSHLATPEDIVVQVEEV DFVKALEELIPSVSATELAHYSKVREKFEQPKNDKGKDKGKGKGKQRA PHYBLDRAFT_175717 MNYLVMDRCTETAAHDLAEDQEQQDPGFSSLSLDTNPITNDLMS ASPALDALYNIPTMAIPTAGIIVEVNHLLFNRTSYFQLAPDATIEPLIAWLRLSFTDH SISGMVLQYKGFDGLWKCLLNRDDSLKRILKQSLKANSMLQMRVPREQDLLSSGYTDR RLLALTKPSV PHYBLDRAFT_80511 MNRPKPYSSSPAVDPLLSNDNTTEQVSTQPMSWDQLRKEARQLE NEIELKLASLAKAGANVSAQGSYPVDNSKMSQELEIEALLTKLQSVISAMTEFIERPS ATPTTPSMIHLLDRHRDILYDTTKEFRKVKSQLKAARDKADLMNQVRDEIRSANAGNT DNADYYLTERNRVESSHRMTDTIIEQAYATRQDISRQGRTIHQVNTRVGGIIGRLPGI NNIISRINTRRKRDTLIMAGVISSCIILITLYWLHI PHYBLDRAFT_189458 MATPPSVSRPSKLLDATQQKKRLPPLVIAPSKSTSYQARRRPSM APTPTRTPSMTTSRRRNPAGVHHKPPSDIKLLPRLEEENANLPFQDSVEFILAQIERQ NAMLDEDPKSVCIQSNELKAHLSTLQNLTTTTTLDTEKDDIDWEFWSFMTEDFGGVAL KLPHLVSAKLRAGIPDKVRGVIWQAMSQSASLNLETMYGQLLAENSPYERIIQRDLAR TFPHVEMFKQEGGDGQQALERILKAYSLYDSMVGYCQGLAFLVGPLLMNMQEQQSFCV FVRQVYLGQLMETYEMRSMFTLNMEGLQLRLYQFSSLLAQILPELSDHLAFHTVNAPM YASQWFLTLFAYAFPIDLVFRIYDIVFAEGAAETIMRVAIAMMKRSEDTLMEMTEFED ILDYVTTKLYNIYNDDPGMVITDAIALSGLITREKIDHLAELYVREQEEEKKQTEQVL AVRFNFWSKQPASPTPKAKKRESSGWLNKRTSSSSSGGDEEEKLKPTVPHQDIAKLHQ QTEDLLLALSQLQTDHLQVKQELMQVRMDKMDIEAERDSLLTTVQEMPRHAPVAPKRS QHGLQKDLNAARHENVEIQQALILVRQDKAELIEQVEELRHENEMAKDAQTQLIEKLM DYQQKLDALEDVQEINERLKQRLEDANKVAQELQSEKIRLLNDLEQARQKGNRHAESE GGRRRPVSMSMSVSPYMDQSSAALRPIIRPALPRTKSNQRLEVVPTTNPNIPSIISVS TTAGEGRCRELEQMLAEAKLRIVELETAPSRNATGLTQPSVLRRNRTQTVPSVPSPLA ARPGKARSVTSNSGPSSPVDPNPRRSCDQISQHHRPNAATASGTLSKRASFYGRFWSA IGQQDTPPVSPVHDAA PHYBLDRAFT_128786 MLKQVALLAFFGLLCALMGVSAADDDKKQYGTVIGIDLGTTYSC VGVLKNGRVDIIANDQGHRITPSYVAFTDDERLIGDAAKNQYAANPERTVFDAKRLIG RRYKDKDVQSDMKHFPFKIVNKNGAPNIKVDVKNEEKVFTPEEISGMILTKMKETAEA YLGKPVTHAVVTVPAYFNDAQRQATKDAGTIAGLNILRIINEPTAAAIAYGLDKTGGE KNVLVYDLGGGTFDVSLLSIDDGIFEVLATAGDTHLGGEDFDSRVMDHFIKLWKKKNP GEDVTTDLKAMGKLKRETEVAKRALSSQMSVRIEIESFFNGKDFSETLTRAKFEELNN DLFRKTLKPVEQVLKDAGFTKDQVDEIVLVGGSTRIPKVQKLLEDYFNGKKPSKGINP DEAVAYGAAVQGGILSGEEGSDKVLLLDVNPLTLGIETTGGVMTKLIPRNTVVPTKKS QIFSTAADNQPTVLIQVYEGERAMTKDNNRLGKFELNGIAPAPRGVPQIEVTFEIDAN GILKVAASDKASGKSESITITNDKGRLSQEDIERMVKEAEEFADEDRAIRERTESKNQ LENYIYNLKSQLSDDGALGTKISADDKEAIEDAIKDKLDWLDENALAEKEDFDEKREE LESVVNPITSKLYSEAGDKDEPLRDHDEL PHYBLDRAFT_73241 MNSKVYNAIYATFALAYLIAPALLFIPVMLWEASPLPSAFCMAK PARKRRPPMAGVGATPFFGASSEGESRVEAHEKVMRQTILGIMSNFVGSLWSTGSRTT GEPSGSLLSERHGGIPGHMSVDDFSSEEEDVVCVGKLNVSFGAELRGYVPHLLPLHKL ECGIATMVSPVNDVAGTCGETHADLDEGVSSELVDVCDEAEISVRLRFICDRFFSEGF AKPRLSARFLDAMLEEELNNSENKAHRDSLTEVAEPECDLVPKSAKETFVFEQESVQT PSGLNNADLKEPKPKSGTVEGLNEAFALSSWQSVNGFFGECPSTGLPTPMEIDDDLAW SEVVEVENPMEIDDVVEVVVPDAVCGEKLVAHTCNPMDEDLQYVSQSVKKDCDTNKVP TCVPQQVVDDKVENDMTGLDAPIAVTALKPQSGGTEQSGGQKKGGESLPASVSGLLAD GAKKVEECAPVVLGPKGHSKTVKPASQSGKMKAKDTKAAAKTELASGSREKQPTDSKA EAKVSMAVKLKALASGAHMEQPKDSKAESTASAGKPKRSLAKGKKPAKSFDAPTNNGL SAAEFQAKGF PHYBLDRAFT_153013 MNRFKGLHIKMRSVRFTKRASCRSVGPGAKPMRSEQKVNPEASG KLYGDTGIPRPFTVLFLGTVCVVIHVGSFDSKDWIIVSLWDRRHAPPVRLVLSLGLA PHYBLDRAFT_73240 MNTVPYSIHSPWLKHKTQATKALNPGTEHARDPANRDFQNEIRT IPERYQKSSQPDQTSQQDHRGPKTTQNQGLRKPYSYKNHRSQISASDLRGSQQEPYEQ KAQANPTAVQAPPSNVYKRQIPEANRIENKSSTTTSYTHM PHYBLDRAFT_153015 MLATSPCVWTQTMRTHACPDIRSYFELAKPKFICTKGYASMTDQ LDSVEFADDFKHHAKPVTKQGELEIVTKNKRRLQLATGNEYTQENKTRVEGRLCTLSV VKEKQGYFL PHYBLDRAFT_153016 MFFDDRAEWTLTTNSKQPSFQQKQCANLQRWGQPQKGLTTEWQR SYQILAYHTKNPKYIQAYNAMYNISKGETVQLAYRAALPFEIQRQKQPLKNCLIACFV VHIDFALSSVVYYWRPLIVPTGVLGP PHYBLDRAFT_73239 MVKFMLLQSSPVGSSQVQDFIPCPDPESCSSSQPCFNPGPGSNA EFFRPRIWFLVFGFSFGTCFWNFALDPFLEIDLALRLGGTAQILDFDRNAPLLLTSTR TVLDSVWTFGLGLRQDLESDLDI PHYBLDRAFT_175725 MAGQFYTAEMNTNFDLVHCYRILAKQPRWQVYLPGYSSTKTQRP KVKKAAAIVIDDDERPSVLPTRATKRNNTENQGSLKRKHEDVIEILSSDEERTIRNKS KSTESGEQSIEGTQKKLLVKLHFDSKENIEEHHYATSKTRSMSLSIIIMMLFGNYEPR F PHYBLDRAFT_189460 MKCDILFIVCILVGLVFAQDTLVPTSQAATEDVSTPTSPIESSA VATPTATPTATSSATASTSLSGCFSDSPFSTTDYFADSKLTDPLQSSSLFKVTYANTY KTVQNIILGEYYILYCTQTKPNLTDVQSKSYIQIPVTNFAAVDTRVIGFLDLLGHSNN IVYIGDTGNLTSPCVTPTPAYFDQAGIIDRTRYDLAIYPTTSVNDPKGVGLGMNYESS PLATAEWIKYIALFTNQEKASEVIFNKIKANYEVYRNSINQADIPWRRNITFMSYDPS STQFNVPNNIYFQNLTADAGAKITVPLVARPGSPLTMKGQMQNSSVVIDLSPSSSFKN NFDDWQSWMGYSESSVNAAADAAFRRYETIKPYVNDPEGPPFERNSQLWRLDLVASSD SSDWWTRGFARPDLLIQDLIEAQFPNFFSNRDRVFLRHFSDNESIQTKSADQYGCTVD NLATTSFVTYSNDVSDVPVLSISSTFPKAGVIGLGVAGGLVALACVAGGFLALKRKIK DRRLKQFNPLEEDHPDNNPHLDRQPMMLGASHNEPSSPILDTKDEHDQEDYSKRHIV PHYBLDRAFT_153020 MYSHWDSRAQTVSKLKWYKLSDLINYCHGVRDYVIGSTAKLSLS YMPKLQAQEIFFGQRLRFPEDEIYLSEGVGEWNIRIDRLALILSTLFSTNKIERKYPD ITTQGEAELVVLSCIDDILKAIELHNDVFDQVEFERRYELAWGVFSSE PHYBLDRAFT_128792 MFQREANSNLFLGGERTTGQDVRASNVLAAASIANIVKSSLGPV GLDKMMVDEIGDVTISNDGATILQLLEIEHPAGKVLVELAQQQDREVGDGTTSVVIIA AELLKRANELVKNKIHPTTIITGYRLASKVACKFIADQMAVKVDTLGKECLINAAKTS MSSKIIGSDSDFFANLAVEAILAVKSTNGRGETKYPVKAVNILKAHGKSGRESVFVRG YAINCTVASQAMKTRIINAKIAVLDMNLQKARMHLGVNIVVDDPDKLEDIRKREIGIT TERIQKILATGANVIMTTKGIDDMCLKLFVEAGAMAVRRCKKEDLKRIAKATGATFIS SLANLEGEETFEASYLGHADEVVQERISDDECLLVKGTKIQNSASIILRGANDYMLDE MERSLHDSLCVVKRTLESNSVVPGGGAVESALSIYLENFATSVASREQLAIAEYANAL LVIPKTLAVNAAKDSTELVSKLRAYHNAALNDTADERKRVLKYYGLELINGSVRDNLK AGVLEPSMSKIKSLKSATEAAIAILRIDDFIKVLPDQRGNPEDDGHGH PHYBLDRAFT_178482 MSQDNSDQNIEIWKMKRLIKSLEAARGNGTSMISLIIPPRDQVS RAVKMLADEYGTASNIKSRVNRLSVLSAITSTQQRLKLYNRVPENGLVVYCGTIVTDE GKEKKVNIDFEPHKPINTSLYLCDNKFHTEALSELLEADATFGFIVMDGNGSLFGTLS GNTRTVIHKLQVDLPKKHGRGGQSALRFSRLRDEKRHNYVRKIAELAVNFFVSADKVN VAGLVLAGSADFKTELSQSDLFDIRLQAKVVKVVDVSYGGENGFNQAIELSSDALSNV KFVQEKRLIQNYFNEISQDTGKYCFGVDDTLKALELGAVESLIVWEDLETNRYVLRDI EGVEHIIHPTKQEEKGREFLQDKCAEAAPNSEMELIEVKPLLEWFSEKYKDFGATLEY ITNRSQEGSQFVKGFGGIGGILRYRVNFEALTYESDEFYSDEDF PHYBLDRAFT_175731 MTMVYKIGKLIKSPYKQSLYILMQDLNDDRRISEAHNRFRNDNN SNSNSSNNNIPLSTPNTFVTAQSYSMNRSSADSLLSSTHSISTPPTPTLSSTPIHTKD MAANITIQPPTPPSHQRPSRSPSPSSTPKPPNPPTHEIEETTPRPSVHRTGTIGASVC LEDTETEGRPSIYLFIFVNPLSGDRKGSDLINLPIQHFRLRRLPQVQVEIHNILDDDD RLAGLEQIKLIEGMVKSGQIPSIPPQEDEEDNDEENSPLETASGKTSGETSGEASGSL GRKSTKKSSSSKSSRKASMKACHKTSTRASRRSSRKSDQTLKLTKSEPEGLGRLSSAV RTRQIHVWSAGGDGTVMSVFELLVSHSVDLDLIFFSCIPFGTGNDFSQVLGWGRTLPN KDILGSRLHNLEMLVTERLENSDAARLDIWQVDMVAHKSGYVRQSGPERDDGHDVAEI KNSQEDHTTMIRKMSNYMSIGVQGYVGSGFEDHRAGKRLMNMFVYATESSKWVFFRHF PPVTRFIESIVKDGKTVLKCPPPPSIAGDLPKDSQENSNVSGTGEKIPIMTKHPIDFV IQNIPHIWGREVDLWGEAESGLEVVEDRSGPTDPSTWNPQLANDGKMEIMVIDNLFSY FKKLANIRSHVSRVGQFETKFEIHFRSPHEEKEGKKGTESNRSLWATVKKRIMDIKKN KYEKNNIVCIMCDGEFYTIKDPKVLKFNRFAQIWTLGRSDEVSQGRLVADELTQEKVF PHQKQESP PHYBLDRAFT_73231 MSLPNNEIVWLHATPAVANLYYHLHFKQTHDIYCPDLHLDLPRI RPMVLSLASHLDTHSNRPLVIYFMEAHSSIKIRDFLKFLCQLRSTIHIIHCVIRSGLF QSKLLLGSAAYLLNTYRSHPKVLEWSKQCSVPFTDAYADIVLPKEQIVSHQDLPLSTI ADPPFSPFTRQALFVKIDKKFGTWSENDGLVFHFTFLNVLQSWQSTISTSRQALVMLI DEKAIYGDIPNFFEFSMEKQEKILECYRNTLNKSLEPFSVDQPIHSYFKDYRKPKETI GESMAWLQGLLGLSISTSIYLSSVEEPDQFVVCPPYFKNLCRIYLNQQTNLTADEWTN KFLDLQQSSIDCFDSLYIALHCIALYCIVLHIINKETQASHFRNLPNPESKQASPEPK HEPGALIKEDFRNQGIHFTIRSFPIEKHEPKNEKEQPKELISEQISLSKDTYEPKEQI PIAGIGLNLSAHRHLPSTFETKKEAKKRKKGDLPEKVSKDSVESGENVKPEKKTAKPT RPRATTKKRPAEDQTIHSDNGQDICYAKDPKDAKCDDDSHEMIPKTKRKYTPRKKGVN QTVIKGDDEAAVEVAMTETEPQSVSEIQTKKKRKYTKKTPKTTVEMTAKGDDLFTMFF LETYQPKEGYTPRENMVTNEKFKESAGMQKKKGDMYQCVEFPGTQPLGSTKNANTKET DYDSDATDDGSDFRDNQTSFNVPPAPSAPSVPHVPLSRSFTNTELSTVHDSYSYSHTN NHSYSYSFNDSHHMAKSESERPADYDSDATDDGTGN PHYBLDRAFT_73230 MEISRIPNAALQKYIFGSYALGKLILSVQAAAIPESSISNAHSM VSASPTDMGVPTTTAQQHIVSGSTMSLATSGISADLTGLDLSPLYGSATPTINSLASS QPTIPATISASASIENCGQSGCSTKPSQTVVSIATTTGLTGSSENSTSGGLSTGAIAG IAVGSGVLVLFALFCFWRTKRRKPKFDRGRGITPSMFFDNTQSRQSQNPQNLQTKEGS SGDRLSAYSFTSPNAAFGYCPQPLSEKTTAMLDQMYNIKQYNNPNSTAATAAAAAAAA ALGKNDVPGKSTARLSKYNYLTQVFSQMRASTAEEQIQQQQQQQQQQSSVKVRQVREK DMTDQPEMRSSGPDRTLHESTVLGPHQPEDDRGLSVNRSIVEMAKLNSTFNNINTDNN NPGAGGGNGNGSKFGDVPAISVSPPPPPPTTATTGGGGGGGGGIRSTETLQQPQKYQY QYQNQSRNPFQSHPQQQQQQTPPRIAVYNEHDEQKEIIDPYRGIVNGRDSMMSEVSQY STFSTDTNPFRASTGSADSVTLPYIPQQPQPVVHSQRYEYI PHYBLDRAFT_189464 MYDAMFDSFCRKRKLEDTQWSDYVYSSPSSPVPPAPISVDPLAT FHDFTLTQQDISGSHSRRHSVAVGELDFHSMDMFKQEFDMSKWDADFQQLLGAAWAPP PINPQPTFDSPALERGIPRRALSLSLSLSNHQSFLQSLQDDPPQMSTKEIMMLPFMDP SCLSPDDFLSLQPLQDSTISPSILADDTNSTTTTTTTTTDISFTENNIKRPRRTVVVP PTLASPPNSPFSLSPSPSPPTPLQANSMFEPIRCTAELSQSPTSFKPLLQQYLVSRNQ GLVPTNERTVMILTSKVAQKSYGTEKRFLCPPPTTLLTGADWWSHEQQASRATPAKLT IQISGEATSQTGVLEWHNQQGALLDSAASMAATACVLGETQTISGKCVSKQLHINDAD EKRKRVEVLVKIQLGNGLILGTLASKGIKVISKPSKKRQSAKNMDLCIHHGTTISLFN RIRSQTVSTKYLGVSRNNQPTNGLPVADTNGTCFVARTGQWDPFVVWIVDTQHQSTEP HNTKDQLNQSMKNKYSPPPPAIALKAKGGPIAIHYNQPVVLQCVSTGLVSPVMVIRKV DRGSMALGGSRIDRSNGNGNGSGSGSGGGIGGGGECGDEVLGDPVSQLHKIAFQIVQD PSAHSVNTTKSSDSNNDNTDCETNSNNNISQPFLLSTSSWNLPNSCQPVSYLACLNDA VGMHRTTSTRTLHKPEAPKRPVEPSRRNSATAQDLSLDGSCWTEDVSDAAVWTIVGTD CASYTFWTPDIARTQSGLAHFPIINNLTMSSTTSCNGSNINSSSNGGDLLTLTGEHIT RDLKVWFGDIEAKTDYQSRESIRCVVPDTHILETSLATTIDEELVIDGSIGPNRRLPL LLVRNDGLVYQTDFFHTF PHYBLDRAFT_73228 MSNTLRPYLTAVRSSLTAALCLENFSSQVAERHNTPEVESGKSK EVLLNPLAISRNENEKVLIEPSVNSVRVSIKIKQADDLEHILCHKFSRFMMLRAESFV VLRRKPVEGYDISFLITNSHTEQMLKHKLVDFIVHFMEEVDKEISEMKLSVNTRARNV AESYLSQLLLFLE PHYBLDRAFT_175737 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMTILASPMYSLGLQINPFASGRTTI YKQATHNWAFLWEILFGEYLFYQNIGHFKLYEG PHYBLDRAFT_153029 MVNNRQSIAPAPSPEYTELLRRLTAMEESLKTMDSNIGIVIKGN KDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYTTPSEAFFGISS AAPSVAPSVGPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELKKHNFKSNKPEL VAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADISDCIYTNFCGTR RRVKESYEARKKTNSRSRKAGRETDHFDRRELTYHTFKAEIDMKVGKSCDGLLQKEAM SEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDFNSRQMLKRSFG RDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_175739 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDNVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSVLHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDKDIQDDDFAAMQKTAETMIVPGGYTSLNS KIGKQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEV NTAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIP SIRQRTFRIQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKV AYNNEQLVHFQQALESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGST GEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPE NDKSREYKHVETCFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQ YI PHYBLDRAFT_175740 MSNQNESYLTRRTPAEREMTNSLAILHRDMTTVMKDVADIKAKT SNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDAKSVNKTKAYVCIIHIFKSN HLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNLVGAGLRKSDVCDFVYTNF TSRKRAANKSQAKKKSDNARNRRSSREKEHLKRRKTAYQSNKHCKNRSISHM PHYBLDRAFT_102100 NLPCSEQFKKENVILVGLMPGPKEASTSDINNYLKLLVDELMEL YKGIKIKTHQCPNSTSIRAALLMVACDIPAARKVCGFTSHTSTNACHKCKRQFSRLAG TSSVDYSGFDFSKWLLRTKNANRKDAEIWRNATKPTERQRLEVAHGVCWSELHRLQYF DIVRCTIIDPMHNLFLGTAK PHYBLDRAFT_153033 MPSNSSRKTDCKGKGKASASIFTSANCVLAGRVGPREIAPSFSS ATIQDQQYAEIVEMFNKVNNNINSVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAFA DFATAYANDQTRMASLGPSLMPSYVPQTSLSDAKVSVIISEIFAEKLWDWKFESDNPA LVAENESKKKWNLNEKINHRDNIAVINYLKSYISAQTRLAGTHPQSSEQKAKKNSKGR ANSRTLQASIDAAMGYKTGNPVEKAYLKLFQKDAMSDGESDIEIVDNLPRRCLHVARP TWRSEEFNRLLTMVDDIDRTHHMLNAGVGTKPRMNRYPATLLPCSVPATLSQSLPCWA INDE PHYBLDRAFT_153034 MDKNKKLIIKTYQQQKFICTANISMYLIEWLVFENRFSLNNSQC ALCLVLTKVLFKRTLHLYMDHYKFRSYMDNSQKSNSPVKTAIDDEMKRDCSGLIIEEA MSVGESDDGTSPHVSYSGLCLRRPVVADLGLSSHQLLSCAFGETVEGPVPDVIASQFP QWALRNEP PHYBLDRAFT_153035 MKADEWKSWVLVYSPVLLKPVLPSNMFNGWMHYVKACRILVKPS ISFIEIDQAHRYLQEFCQSCEDTYEPKVLTCNMHLHLHLHDTIRDFGPVYGYWLFGFE RYNSLLKNNKTNRKDGFETTYMTKFTADAYKADYVRNTLSCPSLIPFLSLFEKLTSTT APITTYATYAPTNQQPFRLQQFVDSSLSRAAPIKGNEPLPPSTFPLQSLKESTMSDID YPQLLDYYKIAYAMPNLISYHDARLSQYFVNNRITKLKSIDLLGQTYIGNNSSGKRGS LVQAFFRSSNGRTSSLYTGQIQYLFIHSFTLPPHPNHRASTLHQDQHVFAYIRWYNLT NDNEHRNEGIAICLPEFSADNYHSILPVHHIHLEVATAVDVTDMNEERMLVIPMPKKY YA PHYBLDRAFT_175744 MSNINTTLLNSIQKIEVDLAEIKQTLRKLQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKNEAQKYNLLLQILHEQDWKARC KEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYPAASKEWKNIPEKNR EYYMMHLERLVKNGGLHIHQCKRMWCARSLLQKSFKSDNQTHKRRMAEKNKTQRDISD SSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSRRSVNAYFTEQVSILYKELD HSVKAAKEKQEVVLELKAIEQKKELKNGSYVTKVNSATSPHCQTTEIVLIFVDFTILM CQHESSPRGVIG PHYBLDRAFT_175745 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDIDMYHDENDTSNEDESVSNSEYTMESMELDNTISYKCTCNFEDSE GEAHIYDSSQISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDDIM MEPGAKISHGETVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQESCVDCGKLRYKTD PDQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTDIFDGDNYKQL VQQGLFSNPDDIAIGLYTDGFVNQKKGKNSYTIIHCIIFNLDPSIRRHGMYFDDIAAR LRPLEDFKVGNPSKNIYQPSMYTQLSTFSGSSFFALDELHLIARGIGKLVYNLITVTL TKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSQKYIPTSFQGSFNNIFAKI DGTCAVDWLDFLLYLVPTLVVPYLPNRAVKTALLSLVKGCALALQWTLTSELLDEMES YFKHWHSFLYQQVQNNTLSHSVFRPVQHYLVHIPYIIKQQGPLQCYSTRSMERVIGVF SKLIKSKSKGGRNTSFLVERFAIHNYTSTAISICDEINLIRPKPYGRESYMDLPNDPS GAQLWEPFHQFVNLNNDLVEGVGGPSVKEALLKYYRRTTGLTGHEFGDSVVVVAARLW MDSTVYSSCMYRRKKNETRCGNHYVMFTCSYRNNRNFYFQHIDFNDSPHFLAFMEVMK EHDAAGHDSSVPIVKQWSQSTRKLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFYV IAPYYIFNNNMCITKVHVSQRPPSIFYAMEFEKYEMNIFSRIQRIRFSFKDLDSC PHYBLDRAFT_153039 MPKNNKAKTINSYYKTTDLEKGMTFGRYKEGRSSYEISDAEGIS QLTVYCIIKKYETKKTCAEKEHPKTSKKLSPWAIRYLNQTTVCKYLHDMNLRSCVTVA KPYLDEAHKRACLQWKGGQQYVWCQLHEKYASDCLIPAVQWLGGSVSVWRCIWTEGIG PLVILDDYMTKEMFVQTLRNNYLSFLAALSDKNTTTHLLQEDNAKPHKSKLAEQWKKK EIMWLSYHDLQKSRSQFNLEYVKLP PHYBLDRAFT_175746 MNNTDNNSISLLHAMYNEILSLKAGQGKAKLEMKAQIEELKLEM KTSIEGLNLEITALQSQLENRNISNQHTSLSVSAISSANTIRKPVSIFCEITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDCHKRLQSHFFML PHYBLDRAFT_71694 MSSNSILDSYQCNQCKERHTNLKKAKSCRAQCFKNRHRRHNDIQ TSQTTPVPGQVSVVLNTVLNDTIDRERADAIEDQIMDTLNSEDNDDPIMNIFSNDDND ESMYDVELGNDMDIIENETSPLVFDFSQPAPTPDKDDAKNLEFLKIIKDFGISRNAHE MIVKHFNSILETSTCITYRACTPHLGKKLLKRFSGVEETVHDICQRGWVRAVLFENR PHYBLDRAFT_175748 MTNTRRERAIALRSVEIINQQLMEILSTVRADMSTVKGQISNVE QTLTNMNGRIGVLATTSTNTISAIDSLARTPLAAPVRAELTVAAPVVISNHEPTREES NAVYKPKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAKRLLSNLERRFGSSSMRR SDLQKRLHTNFTSRTHCKRMSDDEIAETNALTQRAARADDMSIMLIYINECHRVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESANEIDEDGIKHVIHIVQPGWRSDECNRFIA LVDTYAVQAMGSSANQRIRRITTSVSNSAVLDNISPNFPWWALRDGL PHYBLDRAFT_175749 MSENPVHRFIATFTVLFASCYVVNKGSIVFIEFINKLLKIYGQD FQLPESQAGLHKKTGFLSITKGIKQFVLCPNCHCIYEENMSVPPHCVFTNVGARSPCS LHGTIIDPMHNLFLGTPKRMIDWWVDKKTIGGKEFAAMEKITETMVLPRDYTKLTLKI GKGFPYMKANDWKSWVLVYSPVLLHGVLPFEIYNNWMNFVCACRYLIKPSITFDEVNS AHDYLEMFCKKATKLYTPTILTCNMHLHLHLCETICDFGPVYSYWLFGFERYNGLLKH IKTNGKDSFEATYMRSFVQNAFKGDYTNAVLKSSSHVPFFNILSKLSPKFTPTTTGDK PLHPSTFPLQLKKSSLMDETDYAHLLQHYKTSYDLPNLVSYQYATLTNSFVDNEITNL KFIDLLGQQYRGKNGSASCGSLVHIMFVGSDGRNTLAYAGQIQYLLTHSFTHPSNSNL HLTRMVHDHRHVFTYIKWFNTSSDRSREDDDLEFCLPTFSPDSRHCIVPVHRIFLEIA TARITTSRNVSKMLVIALPKKLYA PHYBLDRAFT_71692 MFPSIQMHNTDCYCTRYHNNDQEVFRVLRRTAQRHNKRTRFEAE KRSMEVDTEIIPTYQSDSVEAMDSQANSPISDAVSMFDNDVFVGNDYNGDESDRTNDN DSDDNGEEDTAEIYVEEFNNEGQF PHYBLDRAFT_175751 MAMVDELVDNAGEIIDHPNVVFPSASEVKAPGRPKHVKRKTALP KDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKKETQFAEKQ EPLEEAKTTNFAKKQEPLEEAEKYSSGIKRPKHLQDDYWYDLPSPKKQNKNVHDFALP AQIDQAAISLTFNPKSDGWCGFRVFAHLKEGGEDQFPLVKKKMLATMATHGKLYEHNF GMDVAEVTEVIAFGSEIDPALGENIPSCPSSMWFSAPDCAQIIADTYNEPVCVYSDDR SVLPVTFLPLHDRKPLKRKPLPMVLHHVHGCHWTTIKVKPHLHRSWPEVNALYFDAIR RGSIIDCFSTSWNHWGQFPKNKSYLLPSTTTTTTITTTATNSPTNSPVNSSDIIDLTH I PHYBLDRAFT_71690 MNNNLITAFFEEEERDEELRTTVSSFLLDKLTHDYSDYDIEKIS QRTICQLAYGLPANSIDESFRMTESTAFECLKHFCAAVVSVFGNEYLRAPYEEDNCPT AWHRQYVRKEKTPTIVLEAVASYDLWIGHIFFGLPGSLNDSNVLDWSHLFENITDGKG PKGYYLTDDIYPTYAAFVKSFNDLQSAKHKNFAKAQETVRKDVECAFENDRGEKENIG EGNGVERKVVGERSEVDTSLTGTMSLMPRSEIMLPDGSFASFMQRFIAIQNCKQHFQL RHDLVESLWQRKGDFLIE PHYBLDRAFT_153046 MLSMKPSAPAKKNERHSKVTVSAPNPIGESIDEEECPACPAGRK FAKEQEATKRKWGENIERLIALHSESIAKGDECKKLLKKTMESNFVAMAIREKNAILQ RTQDIKVLLIDTSLIADHVSRQMMLDLKKEVQERGVGNTPISNDDSMSSNLFDISSEG EDCDGN PHYBLDRAFT_153047 MSTPSAIEFVSHQEDDNQLHSWMKPDGGKDGLSLIDWLQYFLLK DNADNLRKYLGGFKNGKKVKQYPIAFKAWEDSTMRISEEEMSQHKKDELEDINIMRKV LGESKAKSPAVCNTTTPLDLNKTQDMSKGEDSEDGENDGGDEASKSSKSCKDQEEQGA DVSNEISSQREAIVQSNSESSTSEHSDASAYSSKRYPKFFGKIVKNTAKRSVKSIEDI GHGIKEFNDARISLLESKYEEELKNQKEKLQKELQCKQWVKGVILMTKTFDWSEKKTK NELEEMYNQYLNY PHYBLDRAFT_25776 MRTLSECELGKFSEQFFSDDEYVLADAGYKATNYIIPIKKKPRN SELSLADQEFNTKISSMRVKIEHAFGILKERFYSLKSIPVRIKRKEDVVKVNA PHYBLDRAFT_175756 MSNTDNTVIQLLQGIQAALISLKSSQKALLGRQEALENKQDTMQ LQMTSFYNEFKYWEFPDRTIVISTSTLTGIIPRPVSKINNITLKHIYKMITDDLKIEL TEETKRIVNTCTKVICDQLAALPSVQDLGTNPGWSLLSQEDRNRLCINHSIILRDNGI DFTRCHRNWASIARVSQLWRGHKKQEYSDISGKIKRISGMLNMAPSMTTLTMKMIGKI SLGKLSNISLLRADIVSCRIFGVDRSKVQKKGKKRAKLINGYDWPVFV PHYBLDRAFT_153051 MLISWTVKAGSSGVHKAQAYYILPLQIIKRPQNSISHSVLAESP LSVTGYLLFRYMPGLHQSDHDVSSIDTDLGATLDGSEVLTNGPFHRSCAICSWWAI PHYBLDRAFT_119775 KTKATIFAERIGVKNFSASQGWMEKFGKRHCIKMNRIHGEAGST DIELLQIDKAAIKEKIEGYSARDIYNFDETALFYAAPPRTTISHQKFSGWKDNKKRLT VGLLCNADGTDKWSDVLMIGHARRPNCFNKNNKKQEASDHGFSMYHYNSNAWMTRSIF HVFLRRFDHAMKAQKRKVLLILDNFSGHIVDYTPTNVELLFLPPNTTSHLQPLDGGII RAFKAYFKRKQYAKAYQYIGMIQNGNQDKIGPIEKIFEIDQLWAMKWIREAWESVSAK TIENCWNATIFRFIEDEDSEGSSKIIYWS PHYBLDRAFT_175759 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHREDS ATMTEIDQSILDDVDMYHDENDTSNEDESVRNSEYTMESMDLDNIISYKCACNFEDSE GEAHIYDSSRISTNTFIKAKLMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDYDDIM MEPGAKISHGETVDALLKSKSSVKSHEYDVCSSGCRLYGINDNQESCVDCGKPQYKTD PNQSQTSAASMKLMSVGDMLSQMLADPATRKLLCYRANWESVAGQLTDIFDGDNYKQL MQQGLFSNPDNIAIGLYTVVPR PHYBLDRAFT_175760 MSDINTTLLNSIQKIEVDLAEIKQTLRKLQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLECIAKSVKRAQLTEYLDQLVQRKNEAQKYNLLLQILHEQDWKA RCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTIKHDIIDKDYPAALKEWKNIPEK NREYYMMHLERLAKNGGLHIHQCKRMWCARSLLWESFKSDNQTHKRRMAEKNKTQREI SDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSRRSVNAYFTEQVSILYKE TDHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFF PHYBLDRAFT_175761 MKIYDLCLKLNREKMTHQQQQNYNKKDIALNMNSLKFFLNTIIL SPHLGHDVMPLEHNVSYTVTFGTRRFASRTRRFLHSHVWDTTFCLSGTMDQIKLLDAG QQVTFYETAIEVKDSDSGQGKFCDSSFYVRKQYGYAEQQKVFFQKKSNEEKLKEYEVI ESRLHKNKKYKKYFIGLCSILQQRSMYRNEAERYSLKRSCNNVATANSRCCALHIMER QPDFANQKSVLEGIVEGSGYKFELYSKYYCECNWIKTYWGAAKKEAQCKCAYSFQSLK RKINSFLDSVCPPEDDVSEKIQRYFHKSFIYINAYSLGHDAEHAFEIVKQFSKLHKYH RKL PHYBLDRAFT_175762 MVRPSMVIDPRNVKPRDSTCQENFQLEERPEVWMKKSCEGSQQV ANLQNKIKKVTSEFEGKTGHPSIDFQAPEKIKYPGRRKGSACPKYLPKDFGRANWRKI SVSSGHAGLKAMVRLRAKMREGKSAATQKTKQKQTRASRPSKSLLTLGCRLTRIRFLV DATKNKTAKIKQEPLDPVDAPQKNGFKRPATALEDYQYDNRTSVGKRVKFQPGFPVSH EIVDDVKGGFSPTADGWCGFRVLAHLIYKDQNKFSLVKRDMLAALPKYKTLYTNTFGT DTSQLEKIIQHGSQLDYSNTRNTNTNFIPVCSHASMLFNTPDCAQLAVDTYTQPVCVY SDNLNTPSTTFLPFALPNNKTKQRQPLIFNHVNSNHWTTVDLSHNISRKWPTVPELFF LGCARNKIDDNFDTYWNKFKEFNKHDCRNAMLSLSILI PHYBLDRAFT_119732 MFWSDELQHSIINKRIEDRTNFPSWICFVNGILIGLEYKPTWKG KDFYSQKSYYSISVTIVCDDKKMIYHYYTERAGCSHDACIFANSQLGRTPGRFFLGDQ YLF PHYBLDRAFT_175763 MFRMSEDRLFELEQAGNRSSFSSSLLIDYQYMRNVINARMMKLS RKHADHYESVKLWVQELNESENTTLFTVHKNDPFLVSWVSKWQKEFLDNLEEWCIDST YKTSNSFNTVTGKGPEDCFLFTIVVQNPITNKGLSACFFITDHEYISILSQWLTWVKN TFTLKVKRIMIDCSPIKISAIKKVFGNSINILLSHCHIKRACEVNVKHAAIFHINNLI ESYHNQLKTFYLGRARSLRVDRLIYLLAKVLTLDYRQEKVKTLYEFQSVRLTYKEEQK RQNVYMLDRSTAMKMVEKLSDTAFTCRSFTVNLILYNIELQNREPVGM PHYBLDRAFT_175764 MNINELSPKQILELIKLGQQAQQRQRDYDGDNLPEEILKDLDEP SAKGLKSNIIRFTKDTLQFEGGKWTNSGAINQIFVPDLKKYTVDAHQIVQGKYKDGGK LRIAGRAASEVFNDLKYIKSQQSSNKDAADFDELIEKVRRLAVYAFASRKTLDEDAKE LSIRAIKLPTRARYLEDEDENDKDMAFDQEWVEKIQQARYKESVLQSAVSNKRGGYST GGYSNGGHRGRGRGRGGNFFSRGQIQCSPEPINEQQSSQPAIGKDHLVSQLDYPMNLP EMDQNTSASVSQNPSNLMSVTQQHYNIPTDGIPPGGRLNRFLSVWKNVINQQWPIMVV EQGYQIQWTSPPIAWRTKTLSLPPEDQIEVDLVSTRSSTQRIYDSGWKRWVEWCAHQT PEVIPEEYQPMQVV PHYBLDRAFT_137562 MFNHGWHNYLEHAYPADELNPVQCNGRGSDKSDPTNININDVLG DYSLTLVDTLDTLAIMGTQDQFEEAVRLVLEQVSFDKDSKVQVFELNIRALGGLLSAH VFASDPSFGHSIKGYNGGLLNLAEDLANRLLPAFQSSKTGIPYPRVNLRYGVPRTETV ETCTAGAGSLVLEFGVLSRLTGNPYYESVAKRALKAIWDRRSPLDLLGNVINIQTGQW IHTASSTGAGIDSFFEYVLKAYVLFGETEYLNMFEDAYGAILQHIRDPSGYIYRNVHM SSGTLMATWIDSLSAFMPGLQVLHGDLESAIKGHLIFYNIWKRYHALPERFDYYQKTV DIAFYPLRPEFVESTYHLYRATRDPFYLQVGEMIIEDLNNRTRLACGFANIGDVRTGR LEDRMESFVLSETLKYLYLLFDICKSTSLYDVYGCHGNIHVFKLIFSY PHYBLDRAFT_71676 MAPLCLIATLTILSVQQVSTLQTTSTVNSSGYTNGPLDNTVHHP SVGLPIGSWNILDLNSTSKANVCNDQLLFCDKQCGDPNSTSLNFCNVNTMAWGCDCKT KIPDCPPYQWPVSIAECRGREDACNNGCAEGTTKELCADGCKRYYRCNMPGGIPSALR TNTSDEKPIYNIPIPNQATLQLTAPTYSILLPVIIFIGLLGQTPLSIVL PHYBLDRAFT_153062 MSNRITALFCFLIVSVLVFSPLLIQSAPFRTRKRNHSIEEICSE VDQHIDQCAFVTRACDGFSGVFLRFYYCTVLWKPLCVIILCATLLMLFGAVSVVASDF FCPNLQTISSKLGLSESMAGVTVLAFGNGSPDLFSELIGAAFFIVAIVSGCMGIIRPF QSKKVTFLRDAAFLTGAVMMILGIIYQQAIHWYHGLGLIFYYLSYVSVVLLSNYRMNR TKSDKPTKAMTETSSLLGHQVRIPYKPAQITNLPDQSHLEDQHDKYNTHHNNQHHHHQ GHIIRPVLPSQPSRLSLRIDTTNLPRSPSSLGSISARAHRPSMTPRVGMRTSLFSAME LKEKFDSLKRASSSHHIPLTKRNRQISMPHEVSKHAISPGQSFNPGSERRPRANTLTD QLKVSMPSPNTAHNSNTNISAHSTPNTTNANANANKNGNSNGNISILTTSGMQRSQTR NSSNSSTGIAEDYFTYLSTQSSLHDYKPESSTFDIVSPSLHSQDYYYQHPNQQHNQQS QGQKIPEIRLAPPHTPKPLELSPFNHPEFCPGPLFPLPPHHYHSTNSNYLHLHNHNHN HNHNHNHQQHHQLPSSLFSPTFDDNNTTTAIPQLYPWHSYATAGSPLLSLPSPSPSQQ CSPSSCTMWLEDICQTLFPTLQQWHTKSVLGKISSLVATPIVLVFTLTIPVAESEDVK VDGVHILEHSGGQDQDQVQVQAQTQTQGQGQAQTQAQAQAQAQAQSQYNMFGNINNGA ISNINNTDSINISNDSNGSTLNGTVNGAYYYNSGYCGVNCNADAEEGDCEEECGAQTN GFLAVPVQVEQAEDEEEVEVDEDVSQGWCRWLLATQAVTSTTFLFTVMAVHQMIPYWA IVVGTCLGGVLSIGVLRTTRQDEPPSWFWMLSFAGFYVALHWIFLLANQMAFGKIFDI SEAIMGLTVFALGNSIGDFVANTAIAKMGLPTMAISACYAGPLLNMVLGVGVSATYQT WKTGRPYALNIEPTIVVSSAGLLLVLFSTLMVVINNDYHINQRLGWWMIFVYSGEAYG QPGGTAWRDSLKGQPESVLRHLVTYLGKCHIGGTAWAAWAAWKYVTKLTSRI PHYBLDRAFT_175769 MHDKENWVNTYVFKHPHFGNRTSNRAEISHASLKHALGTSSGKL KTVTMKVVKWYEALVDDRKRRLTTKCLGESTTVVFDKINSSRLNDIRHKVCRFAMDHI KLELAKSIIPEKLTKECECLINYNYLLPCYHQLAQYKKIPISCIPRRWRINYLEGEDH SIIHNALPVPKNITKITTITPQLAYKLERVTQILTNAQSKQQQIHFEEYIDKIIELDS KQKLENLNGPTVVEAIKGRPKNTKRKMIALEHCLEAEKEETTKKTKTEKKQKKFNSFD FFRFSRHELSLEKQQKALKKIINLGSPCDHTLLTNLTIAPHQISQIFSPEADGNCGYR AIAMEVYQNQERWPEVKDKMKNRARPYACIHKPIIISLQDKRSPLPQQHWFGTIDHPQ LVANAYNRTVAVYWNTPRETGDCLFVPFTTTPDRFEPIILILDINHFLLAKRKPTRNF NWPQINPFHKAIVKKYNLEDFSILY PHYBLDRAFT_178483 MAMNNRAMADSDILKFNQLKSRKKQLRFAKSPIHDWGLYAEEHI DANDMVIEYVGEVIRQQVAEEREKKYERCGIGSSYLFRVDDDTVIDATKKGSIARFIN HCCSPNCSAKIITVDKHKKIVIYANRDIEPGEEITYDYKFPIEADKIPCLCGSRFCKG TLN PHYBLDRAFT_137566 MNHPYHKIVLFGDSITQFSFDPLFQGFGASIANAYQRKIDVMNR GFSGYNTTWAIPILRQLLPTVEQQKQPAKIQLLTIFFGANDGALPISFQHVPLEKYKK NLEELISMVRSPSSPYYNPSLRLVLITPPPINEVQWKVVCEENGIQLDRKFETTRLYA ECARNVGKEHKIPVINLWDEILDRAKEQNRDLSQFLSDGLHLSSLGNQTAYELFMEVI NTHFPELHPDALEMELPYFRDIDPKNYLDALQFKLIQKE PHYBLDRAFT_175771 MVPQPRVQVVKQHLITPSEPTPIHQKRVKLSDWDVVMFKSYTPL LLFYPNKDNTPNFMDTPTLKSALAKVLVSFYPLAGRLLDIGNGRDVIECTDTGVLFEE AVYNDRLERFRAKGYLPTQIDYHHMFPVHFYSSPDDPLLAIRLIRFTDGGVALAIMML HKIADTYSTSLFLDCWAKTARGVDYTKPSFKRDLVKCPDNTVIGPESLEHYRQEHRTT KDPLQMQILPSRRNLETTTHNRSRSLLDTHIKLQPTNIVKPPPLKSVLLEFYSDGLQA CKKDAHTPEMIQAKQWLSTKDALFAMLLRALARSRHVDDDEEIKWVVSVNGRSRMKNS KEMNFYFGNWMMQVVTRTLGITKNSLEKTSLVDTACNFRQMMANLKASVFHGLSQLYT THEDMTVHYLSYQPNTPTHHTVSDVSMLPFWRLDFGFGGPDRTRGYITFGGNGCLVLF GRSENTKGPIYDIQLQMDEQSMRKFIEDPDIVKYTHAVIY PHYBLDRAFT_119733 MGNQCCKEEAIDFQGQANLRHFYLLRVIGKGAFGKVRIIQHKQS RKEYALKYISKSKCVQLKVAHNVISERRLLEHIHHPLIVNLRYAFQDDEHVFMALDLM LGGDLRFHFDRLGVFTEHQVRFFVADIALALIYLGEQRIGHRDIKPDNILLDSKGHAH LTDFNIGTRFDSQRPMRWSRVGSLAYMAPEILNGSGYDTTVDWWSLGITAYELLFGKR PYRGINSEALSNAIMEDPLCLPVDASARFSPECLQVIQGLLTKSPIDRLGCGPAGPRK FLQHAWFAGIDWRGLETKTAVSPFIPNSQESNFDAVHALEELLLEEVPLRPTK PHYBLDRAFT_137570 MIEDISLALGRVHWSVWAVAPIVFAALYRKHGSFYYRSLVSIIC LAISAIFGMCASLLLPLVRRSDLTNWAVARLYYNLTRIFLGVSVKIEGAENLKVDGPA VYVCNHQSSMDVFVMGSVFPKATSVVAKKAIKYYPILGWYMTLSNAIFLDRKNRDSAI KEAQKASDDIHRKNTNVWLFPEGTRGHESEITMLPFKKGAFYMAVQAQVPIVPIVVAN YNHIYSSKRKEFKSGEITVRVLPAIPTKNILAESEAVDQLATGTREKMLVALREISVN KKTQ PHYBLDRAFT_184037 MKTFVGPGLSVCCSLLSVCGIIFLVVLGFAFDAEVEVLTEFTSD PDDPKATAHACFTAAIVYACFLAFCSCQTLVHKYNARNQIQL PHYBLDRAFT_156764 MYRLESSLLGHDQDVRAVSALSNDLVLSAARDKTVRSWKRTGPN SFELNHTFTFHDHFINALTTIPSSLEHPNGDPLYTLVGHTENVCALDVSPGGDIVSGS WDKKAIVWKNYQKAYVLTGHEAAVWGVLALDDDVILTASADKTIRLWKHGKQVQIIRG HTDVVRSLAKVPGVGFVSSSNDGTLRVWSLEGECLQELHGHTSFVYSVDVLSTGEFVS SGEDRTVRIWKDGECVQTLQQPCISVWTVSVLPNDDIAVGGSDATVRLYTRSAERFAL AEDQKALDEVLASQSIPSNQLGDVNKEKLPGPDALLTPGKKEGQVIMVNAGNGTVEAH QWNSSTHNWTKIGEVVGGVGSDKKQIYEGVEYDHVFDIDIGGGPNAMLKLPYNLNQNP YDAAQKFVTRHELSQGFLDQIADFITTNTKPAEIGPSTTQYQDPFTG PHYBLDRAFT_71666 MARRWNIPEYKLLVTIDLGTNLSGYLLTHFKQEADINPITALPV ARHWFGWRLIQEIQRLENNRDTEQISQDLLMLIRNLILADINIQYPFFDDRTRYRYAL PAPASWSERAKLKIRSNAAAINLINHQDDPGRMMLIDETVAVAVFADHVFSGLNSPHL QSFMVCNAGTGLLSISVFEKETDEESETGTGSLKEITMETCVFWGSAFLDHYFEILVR LKASNLEDYKESDVTGTLDEFRRALKIFYENNCHDEDIMDLLRAVNERYTRGYKTTGD NDGFTLYEIGVYVFDPVIDQVVHTIEDHLKQLGDRNLSTMFTTGRLGESNYFMKRITQ AFSNRFNHFESFGEETRPVMRGVSLFSANPKLVSQRIVRYSYGVKLGSPTVRPDGLPP VDEDRFYVCVKKGEAVGEDIWSSTEIPWNKKFLPIKADNDSNNKALYAYYDDGPIPDY PDAEKLDLVCISDYNSPRISPRAIKREMMAVKLCFRIDRVDVKVNYARGKDYKYDVVW DPVGERVTRRMVEINPPPSVTTWYMYRDAVSIYYSKIYSSSMALHPFIRITWPLVHLS LCIKLTVMRP PHYBLDRAFT_200783 MSQLPTVRECYEWINEHCASLLNSRVISEKDLLMVLDSARILIS ALDAPIPQKTALELGLQKLRQQQQQESDANNPDQNEEISWTITIQELQKLFQNVLPWI QFPEKTITNNSNNDNNNNDNDNDNNNDNSDNSNNSNNNSNIIKMNKSGINGHYKIEEA RILPHRYSQADYASMGTDPVDAQAIWFRQRFVGKSYISLIGPMASNRDTHKENVIISV VQEYSPHGYNIIIRHKEYNEVRIVPESTAKYTEMRLEAANQGHLLHCGHSDRKQRPLR SLSSAIISSTQTHSSKRMRAALLSIYPTIDLRLFKELTAEATILAGLEKDLIKFDEMN ISQGYKFGVLSVKDGQTTEEEWFSNSGLSAPCEQFLEMMGSRVELKGYGGYAAGLDTK TGESGEVSYVSSWEHRNIMYHVGPLMPLKTTDRQQVHRKKYIGNDIVCLVFLEGPESK FDPNEIHSQFLHVFVLVSPETVQDRQMWRVQVMANKNISDFGPWLPSPPLLSSNELYG FLTLKLINAENAALKSDKFASMNAKTRTAMLKSIIETGLDANNVTRSFSTGRLLDGGY NVKPPSFKSRGYTFGERPKSAGAAAAITTTTITNSNNSNINSNNSTKQNHRNSRTLRN LYTSSLDNNPRSLTPDQMTSSTSTSTIVPIPTSSRSNVLKDFKSGFGRRRSSSTQAMM LPLMASDMTLLCEHDHHDNAHSLCNPPTLIHRHSSSLFSKTHQDIQQQQPNTNNDNSN NVYSNTNTNNNNPNNNNNNSDNTGTGTSTGTGASASKQGGILSSITSHPTIKPNHSKS SSASPGEGGLRSRAQHLMTSVMGRRTQRTVTVNLPGIPRSKTFINDSTISLQSMAHYS KGKPDEVE PHYBLDRAFT_80143 MGCCQSSERAEEKQRSQEIEAQLKRDRVNMKYEVKMLLLGAGES GKSTILKQMKLIHDGGYTPEERQSFKEVIFSNTMQSMRVTLEAMKTLDIPFKNKGNEA HRRLVMEAPPPIDDLGHELVEAIVALWEDPGVQACVSRANEFQLNDSARYYFDAILRI GQSHYMPSDQDVLRSRVKSTGITETAFRFKDMTYRMFDVGGQRSERKKWIHCFENVTA LIFLVAISEYDQNLFEDESVNRLQEALTLFDSICNSRWFFKTSIILFLNKIDLFEEKI KRSPMNVYFDDYMGGNHYESARQYLLHRFVSLNTQADTKQVYTHFTCATDTKQIKFVM EAVNDILVHDNLRNIDLL PHYBLDRAFT_71663 MEQIYDVLFFKITQQELAEDTALSEAVDSMFQLDFIQVGLPPIL GAKKRVTQAIAEFERIGSYRTPADKLDSLLTTISRLTDGIMSDSQQGLDSDSLIPLML LTIIRSRAPHLRANLNYMKDYSFERNTVTGQYGYALITLESVLDYIIDAHRPLSEIAR ENQAFWTALDQGNLDRVKKVTADERSTQPQPQPQLLSSSSSSSISPHLPSSSSSASIS ASRRTSSSNLSIARSCLLLSNTIYDARDHKGNNALMLACRGGHVQTVEYVLSVREPIN AQDLNDSKETPLMMALEAQSIKTVKLLLKDPFVVATIDHVSDTQKTSLILASRIQDPV FVKLLVGADLDVVNLGANGPSFSPSSSLLSQEHITKALYAACLANCSDVIRYLLKFNP RLDLPNDRGETFFHLCRDSDIVRELLSVLSKPDLTTNDTLIQSCVDALDSQKRTPLLV WAAKGRPDLVELFVPVANPDRLDCQGRSGLHLLSMVRWTIPANTNSKFVLSRCIHDLV KKLGHLMHLADWADGNTAVHLAAQTMNLRPQVWITELVRQGADLTRVNRFLDRPLNLW RGTDRSFLQDTVVPTLDHLIEPLLLLSPRLLEDAVQCLDRMLAWLFFHPLLRHTHILH AFVRLPDLKRDVFAHHAFVRRQLRSQNVESSVQEKGEDYFFKYARQMLLPLRDSLGKV LHQSRQVELCIRGTEVSRRVVANDLKTMSLGQPELREMLNIVSLCCNGTYSPWVEFIG IVQNMHSLASGVLLALEYPLCLIDQQTELRTHLERQRDALQHHKAWTLFSQENKQLNH EKTKVVETLGAVEKTSCEIGDSHQRISDELAHFQTIQPRYISRALGRLARQQFEREKV NLKVLLMAMNDLKCVSKEEPPKM PHYBLDRAFT_71662 MHFKHPLIEAIQDLDLPFPTIILLPPSLPPFNPQQLQDFIFSHV FYCPLNNNPTTLNPRPSLSASSVFARIKSPLLTQPVKHKPTIRTRSQSVDQTTAVGQV LNSLNDKTVIICDRRVRTHGGYPNLAQIKLVYDEPLYDQAGQVTHLLLPPSVRDQRTR LFNPLPTVYNTLGPSLHRLVRHFCDTADYEELEDQKRQLTGLLAKGHQLLDTIYCPEL TQEQVYSMFERQDLIYF PHYBLDRAFT_88373 MGALCCKQDPLDLGGEVDLSHFVLLRSVGKGAFGKVRVVQHKGT KQLFALKYINKTKCIGMRAVDNIISERRLLEKISYGLTVNMRYAFQDDENLFMVLDLM LGGDLRFHLDRLGTIPEASVQFYAAQVALSLNYLHSKRIIHRDLKPDNILLNENGHAH ITDFNIAVRFQESKPLTSIAGSMAYMAPEILQKRGYFAAVDWWSLGVVCFELLFGKAI RPFRGKTNEALQNAIMYDPLRFPENHSISSHAIEFLKALMTRDPNSRLGYNEQGFARF KAHPWMQGMRWELLEHKQAVPPFVPDRKRANFDPTHELEEILLEENPLKVRKRSPKRS GSNINMSLKSHTSDANLPEMSPEWQRMEEKFLPFDFTK PHYBLDRAFT_71660 MGVLSQIVRSNFKFKKQYYWIKIYKATNNYALKKSCKISEFIVK KKTPVESLSASTDELIKDILKVIEEKVNVKLEQIIAVKELEQTFKANKYINKVDNVLQ DLESKERTLGFFESLG PHYBLDRAFT_184044 MFGILPRAHTITTAAVRRATVAAPLQRAFIHAKYDDEEPKFVSH DASLHSLGARLGLQALDKDILRKAVTHKSLEDQDNNAALEFIGKRVVGICATEHFHCK YPEMHPDAFDATLFSYIGNRSIGRVGSNAGLQHSIRWKAPQSDDEKLGQSTVMADCMN ALIGAVYQEQGFEAAKKFIHGHVLSRDFDVKTVLKVGQPKRHLTALLKKIGKPKAISR LVSETGRLSSAPVFVVGVFSGEEKLGEGFGSSIKMAEHAALQDALYQHYGKVTKDFTL PSDAGKVEQYTPAFLGNTQAII PHYBLDRAFT_175784 MKLIWLAFIPLAFAASSVPPLEEGFQHVTCGSTIKLSNQANQHR LHSHGVSYGSGSGQQSVTGFSSSDDANSFWLLRAAFGKQCKRGDPVPCGSIIRLRHTN TNGYLHSHNHKSPLSSQQEVSCYDGNDTGDDWRVECASGSKFWLREEPVQFVHVESQA YLSCNGAHQFGHPIPGQLEVSGTKGSSKHTQWIAQEGVYFAAPITEHHD PHYBLDRAFT_175785 MTLTAYLGLLSPGCSIDNEQGIDSDRLERQVNRSRLQAQAILDA ELSFTNTVIKHCEFPERRETRESIATLCLLGGSMVANYALCFPVVVARHRYQAFPAYY SSGKDTPWGSAKFLLSTARRDGIRALYPGFGLGLVGQAVAGAYELLLSEFFQTTIAPA TAHLGLPWRFLIQAVERSAGFAINIALYPLHRTALVMRVQSNSEMTRRCILGYKDFMA AYKSNLVRFIPWRHDSSSNSLPLMSSFVPSCIVNTLTEKLLLYLYKRVYRVFTLGVKT PAKPSRSRRREDRSSPKPKRDDVAMLNTFYPEIACGVASSILARAISYPIDTVMFKLM LQDSGVHKINTTYRGFFDCVHRTWRDEGGIKAFYVGWGAGVLEIVAGYLILEASWFAY RAVEWKLGNHPSGDDHRLIRKARRIRDRLQHCVNCVCNVYNKFGYINFVLQNTGVEAD YRFGLKESYGTWAISGLMNRANEPVYVSLGTRYNYWNLHKKSHLDGLFPWNTTFSNFR FQPFAGLMKSSFWFGINQQQSASKQK PHYBLDRAFT_153081 MQRQLLTFPSLPGILPGAHVTDLTDIENLTEEAIVTTVRARFLQ DKIYTRIRNSILLAVNPYKDIRSSIQEASANYLTEYKDTENRDRLDPHIFQHVNQAYF HMRRTGQDQSIVFSGLCGSGKSELHQWALYHLVTLSSGHKKKQQSKVQELIQMAYGVL EAFGHAKTVGNGNASRFGKYLELQFNERGRMTGAKFLDYMLEKSRVTHFLSDDERNFH VFYYLLHGTNAEEKTLLRLQDGGASYGTLYRNKEAARTAACGLAEEDAEKYAELRSHL KALGINKTTWLHITQVLAAILHLASLQFMDDASNMQDSAIVKNTEELDIAADLLGVEP KALESVLTYKTKLIKRDLTTIFLNAEQAASQRDHLIQVLYSLLFGWIVEVLNKRLCKA NFQNFIGIVDFPGAEAPTIASFDKFCVNFSNEKLQHFMLQHITDRNMEVYSEAAIRKE PIVLQSNAECLQLITDPSHGLLANMGRLVKAAIGVDENVEKQKTRQAMDEFLFENSKN SCLSTKRSDAGTQLFTIQHFTGQVTYSTVNFFASDSEALSADFVSLFRSNNSHHHSTH DDVYGDPLVNELVSLLFAQKTIATEMHPKNSRAVVSVQQSSKPRRSPSTRKSKDEPTP ATGATINSRAEHLGEALNDLTLTLEETKIWWVICLRPNDLLLPNSCDAKKVTAQIKLF SLIDITMRSKHEYTMRIDMDEFCDRYVDLIQATVVELDAEPKDKCSALKDSLRWTERM MMIGEDKVYLSEHAWAMLENKLRSMEKREQRRARCIAKGIPFVEEEEIVPMTPSLSQD PPSCFGPSMTDVGSVYSEDCIDEHHHHHHHHMHYDETESVCSSHMCDGSSSMSRTPCE TEDASFMEQEETITAVKPVVLSAEKPSEHNERKTATARRGWVILTWVCTWWIPSIFMS TCGGMRRSDIRMAWREKVTLCVLIFLLCAAMVWFIAFFGQLVCPHQDLFTQSELQAKS NRDNAYIAIRGEVFDLTSFAPRHWASEVIPANALFQYSGQDASDLFPVQVSALCDGLD GIVPKEVTLNSHINITDSNAVYHDFRYFQEDYRPDWYFEQMVYLRKNYRIGFMGYDPV DIKNQASVPVQIGGINTQRQWAILHNNIYDLTSYMMGGRGARVAEGQSLPADVNIDFI DNSITELFRQLSGSDVSAHFDSLPLTKVMRDRQLVCLRNLFLVGKVDTRNSLQCLISE YLLLLITGFLCSVILFKFLAALRLTSEPALNEYDKFIICQVPCYTEGEESLIKTINSL TVMKYDDKRKLLFLIADGMIVGSGNDRSTPRIVLDILGVDPNTEPEPMSFLSLGDGRK QHNMGKVYSGLYECSGHVVPYIVVVKVGTPEEHQKPGNRGKRDSQMVLMRFLNKVHFD APMTPLELEIHHQLKNVIGVNPGFYEFVLMVDADTEVLPESLTRMVSCFVNDSKIVGL CGETMLSNEKDTWVTMIQVYEYYISHHLAKAFESLFGSVTCLPGCFCMYRIRSTTRNQ PLLASNQVIYDYAENVVDTLHKKNLLHLGEDRYLTTLILKHFPNYKTKFTPDAACMTN APDRWPVLLSQRRRWINSTVHNLGELMFLPQLCGFCCFSMRFVVMLDLLSTLVMPAVV CYLGYLVYQLCTNTNQVPLMSIITLAGVYGLQAIIFIMRRKWEHVGWMIVYVLAIPVF SFLIPMYAFWHFDDFSWGNTRIVVSEKGLRKGLGPDEGVFEPSSIPTKRWSEHEEEEE EEGKTEDQTDWEERSACSKHTVESGCSCEKQPSHPMTSSTRSTLSCVEESECSIYSGD QHSVGMYDRQYSQQSSYYYESSIAYHDPRNPPSIASHPLSQMEPYTSGPSEATIVQEI EHILDDNDLMRLTKKQVRDTLSEVFGMDMSYKRDFINQCIETLLAERL PHYBLDRAFT_178486 MTSTTESSTSVGDPVQQPSLIPENQEQPPSSASLYVGELDPSVT EAMLFEMFNSLGRVATIRVCRDAVTRRSLGYAYVNFQNLADAEHAIEALNYSLVKGRP CRIMWSQRDPALRKTGSGNIFIKNLDPTIDNKALHDTFSAFGNILSCKIATDESGNSK GYGFVHYETEEAADNAIKHVNGMLLNDQKVFVGRHISRKERQSKMEEIRSQFTNIYVK NLASDVTDEELNALFNQFGNVTSAIVSRDDSGQSRNFGFVNFEKHDDASTAVDTLNET EFHGQQLYVSRAQKKTEREEELRRQYEQAKLEKLAKYQGVNLYVKNLDDEVDDSRLQQ DFSTYGMITSAKVMRDEKTGISRGFGFVCFSSPDEATKAVTEMNGRMMGSKPIYVALA QRKEVRRSQLEVEIAQRQQMQMGGPNYMPGAPMFYGPVNPYMHQVQRPVFPQGGPMMG PRRRWGEQGGNPNNGGHPMPQGYMPSHPNARPSRPPRPPREPRQHQHQAPHESYQRNQ EVTSEKTATSSPPPPVTGSGSGPANAPPSRDNRVLTAEALAAVPPNVQKQMLGERLYP FIHQQEPEYSGKITGMLLEMNNAELLVMLQDKQALTSKINEALAVLRQHFAAQEAQ PHYBLDRAFT_178487 MRITVATCSLNQWALDFEGNLERILESIRIAKQKGAKLRVGPEL EITGYGCHDHFLEGDTYLHSWEVLASILQSEEAKDMILDIGMPVMHKTVKYNCRVIIS DGRIVLIRPKMFLANDGNYRELRLFTPWMPKKVEEYYLPRMIQKITNQTTVPFGDAVI STLDTCIGCETCEELFTPDSPHINMGLDGVEIFTNSSGSHHELRKLHTRVELMKAATQ KVGGVYLYANQQGCDGDRLYYDGCAMILVNGKIVAQGTQFSLNDVEVVTATIDLEDVR SYRSRMTSRGMQASRSEAYRREQVKISLSHPDMDSDIHLRPSRQREPFYHTPEEEIAL GPACWLWDYLRRSKTAGYFLPLSGGIDSCATATIVASMCRLVVAASQAGNKQVLEDAR RMAGECESYVPSDPREFANRIFYTCYMGTEHSSKETRQRAKDLASVIGSYHTDLDMDS VVVSIHSLFSFVTGKTPRYRVHGGTPAENLALQNIQARLRMLLAYLFAQMMPWVRSKQ GALLVLGSANVDESLRGYLTKYDCSSADVNPIGAISKTDLTSFIGYARDAFDMPILGS FLDATPTAELEPITENYTQSDEVDMGMTYKELSQYGRLRKVEQCGPFSMFYKLVHEWG EKMRPTEVAAKVKRFFFYYSINRHKMTTLTPSYHAEAYSPDDNRFDLRPFLYNASWKW QFGKIDRAAAALESGSSHTKELVDINSQVD PHYBLDRAFT_153085 MAPDAIIAHIQHDLKACIAKELEQMDLKFGADISATMPDRIKAV IAACG PHYBLDRAFT_175790 MGYCIRCGNLSHGDKCGKCRGKIVASIATGLTTEKRRVSNGDKW KSQYAESILSEPFGSTASTLRSKRDSTYLTASVLASNNRRPSLPLHKTCVTCKKQLSY DSAFLNGNVQHCGTCRPPDACVSCTETVSEEEAFYSQNQLWHRGCFRCQGCHKLLEDC TQVDRYKQPLCENCSKEDEMTSRPSSSASTPLMSPLEKSSLPQLQTSPTSTVSSRDQF DSIRIRTPSDDLSSFGTLSSLSSSVRPTRAISPVGFTGTRPPITSQLFQQYQKSLQEQ QKNQQPIFIASSEDQPLLISSLSQPQPPPTPPKRYPSTPTRQQQSITPLATRSIVPTK DPSKRHSYGNDGNFSEKQYQQQQQQQESQIEPIRSSSTKQVTQNNKPSKKPAKEKRVC FKCLKTLRGRRIKAPTATGDAWYHYDCLTCAGCDLHFTDTEIVVEGTDIYHPQCRGVT IKPKEEPLFTCHACELPIQDKQCLKDGTKLFHHQCFTCHDCHNVLPPDQPFYDMNGSL HCEACARKRTISSSALAARAQQRLQQNSNTNNNRRSSPRASLSTPLVRTNTRETSFDE AEEEDSPSEIFRQRQSRAFPKLGGSRMCPRCRQSIAIMDDSPGPRATRWHKKCLRCAG CRKQMDSGAKVTEGPDGEWLVHCRNCMDKNTTEAYVR PHYBLDRAFT_128821 MSQPSNSSSDHYDDRFIPNASQENDGDQQYDYDDAQLTLRALVS TKEAGVIIGKAGKNVAELRDSTGVKAGVSKVVQGVHDRVLTVSGTLEGVAKAYSLIAQ TLLDNPISPATPPATPTGTSMVDLVATIRLLISHNLMGTVIGRQGAKIKHIQDTSGVR MIASKTLLPQSTERVVEVRGTVDSVRRAVVEIGQCLIEDKERAYGTILYNPTKGSMSI VGANGAGVGNVSSSVLSSSVANSATNSANGGSNGPDRRSSITRTGNGSDFSSAPTDYQ TQRRPSATSQNFLNDPSIRTQHISIPSDMVGCIIGKGGSKISEIRRLSGSRISIAKVP HDETGERMFTIQGTPDSNERALYLLYGQLENEKERRLRSVMHEDILEEDEVVM PHYBLDRAFT_175792 MATTEDNNRKRPSEVEDSTTTTTTTNTTNTTNTNTNTTNTATTR PPPKKRFLSSRSASPSHSSDGTPLTLDDNSQEDTIDTFKEPLEVYRRDAIIRQLKEYR RSYRQWKKRADLAEERRQDCERQLRVWDTQFKQLQAYMQGRILDHTPEYHPSFIKDDW AVNITTTMANALRRGELTEDLMGALYNYSVPFSTKRMHFLGLLEPSGENSALRSKYKN ALSSFTSNQSTLLDSINQYRSFNTKFILLTEELRLLTNRLELAESLLQETRLKLSKAN DKLAAEKETSANFSNPTQPRDTSDAKDTASEGTTPPQILETQQDPLICAQQTLEKQLR EIEEMKDQRIELKQQLFQLEVDYVVLPETRIYKDPLCRQALQTYDYQRDKNDHLTNVC QKLQKELEDSQSTRRQFLEELDTKQTGHIKGLEEQLRKLDGDLTRIRGHRDALQSNLE ERKANTEFGRDSISELRVIGDVRKEQVFSLGVELSRLRLKGAAKSGSHDLYKFMMAID EKKRTREVLEKELREADTKIQATRARYLDPEALAILDDEFTLITKIKHVREQLDVFKE TYGFEATHTDEKAILDILQAKVKSEQASIIEARQKMEALESTEHQLLSEIQSVTMAFG ELEESNLAKIHELAVMEDEVTVLQGERVKYSQTFTALNKSKDAHAMVANALSKKVEKQ LAYIKQLNEREKNLGNQITTLDRQSVASSGAVEIYLQKSLEMKASLEELKEKMLFGKE KIGELEKSVLEKIKMIEEDAHSRMRLEEGCELLRRKVEATTKVEKPVEMKLRKEKEEY RSLLNCSSCRTRLKSHVLMRCMHTFCKDCLDIRIETRQRRCPSCGESFGINDVKQFYL PHYBLDRAFT_175793 MDPGQFPVVYKIIAKKKRSTVIGIGIGICIVVVVVVVDSVVILA SIKISITSLYEMRCVTVDGSVNLTVNVNVTITITVTITINVIIIAIAIAIEVNVLIRC SARLL PHYBLDRAFT_92772 AQPAHPPHKYGNPSAVGFAAFAVGSFILGVVNTGLLTDIPHVAV GVALGYSAVGQFVCGIMDLIIGNTFGATTMLTFSGFFLSYGIMLLPSTGTFQALAVKG ADDLEKCIGLYMLAFAIPSFFYFVGSLRQPYFVRAVLLQVFLTFFLGSVSAFTGVAVL AKIGGWFSITLSATAWYITCAILFREQPTIISLPL PHYBLDRAFT_189478 MTSTKSLPHHQWHHQNQTLDFLLPKYYEHDLTQEDHDINDSIQL PHISSFRSTNRPARDPELAMGDCDAMYAATLLNSEFVDWLQKDSLDNSCSSDSSNPTA QSASSLFCLPTIDRLRMLLGHISPDNLDSGRALLDSKGKKKA PHYBLDRAFT_71645 MDSFCSLKTLAKQCLSKEQSYSLTVRDNEQKQVCSSLSRHQKIT QSTLTTTQGKDPKDTNKTSLSLLVSLSECSDQPSFLLNQFSKANVSKESCQSCPHSPL PNDSSPTGVSSASVHSDFSCQNTPHNRSNPKNKSKRETKNHKKKTKNNHRTKKGSENK SDYCPEQSESAKHIIIIKDSNTSLGNTTQPRPHKRSRKDKPTNISVENISQKLEMLST HPPITQSIKPDEKSQCNPKTDDRNNPKSHKSHKSHKIHTCGHPPEKDKKETGKPDVGL QKKQKLYKTELCRNWEEIGQCRYEGKCQYAHGVNDLHTVERHPKYKTQICRTFHTTGT CPYGIRCTFRHHEASKPDKKAPVLCLAPVEKVIQQGLDDRLSVDLGSRSVSGSLDQLL VDDWSTDDNLKDKIRRDVNVSDAEEDIYTPTLSLSHPCNPNLIPNSNLETELEFEFKD CSLDFGIDFGYDCVRRMTVPEITCGDGCFLPRDAESLLPHELVTDLSYP PHYBLDRAFT_189479 MLPQIGFIVAQAGADSRGPPPTMPPPLNNNASQRPSSLAFPHHP RSPKEPQRIAPQPVPEDPPYYLPYYTRHPSPHGTTNLGTQQQQQQQQQQQQQQLPPPM PFIYYPFDRSSSDPQGFRPLQPLPPLPSPWQEPRITGDIYRPTSSIWHTPQPYIDPPM QESYIDYDDPYHSQYATRWGQDESTDVNQDKVLRRLHEFNDLITWMDREFWEQSEKIY QEKLKSLQEELQSIQEGTHSAFKEVVSDLEHKREKTIQDATYFSTYQLLFAKKQYDKD MAAVDGEYEKEQNTLHDTLMATIEDRRKQIKEDKEDSEDSKTQDIFKDAYARISHKRS LRRRTPFDRQNNMSPSRHESRRRQNRISTPHNIHATPTSKEEEELASEFMSMKLILYL KKKKNKKTNI PHYBLDRAFT_175799 MPVNMLLVTFTSKLIFCPVWSKGLVHVPINVDHTHTCIDLFTGK SQLNGARSSYQFGDSCDNIYLFCDPATNTCNTKGCTNADYLKGWDLTVRKMPDRCGNG TYCPDNNSNCVPLLSIGSHCEMQRDDECSGQTSICLNSTCFIKGAPMGGNCGSDTTNY VSYDAEGFAVQQTIIRDNCTIGSFCEDQTSYTCIPSKVNGLACNQDRECISGTCSNDG TCVNGPDVFHTIQPWLWGVLGAAVTIFVLLILGALWVLHRYQSNLEHEKIAKFFGDNE EFGRYAMADRDDEDTSASSYDGGYFPDRPRMPMLANESVVYLTTPDYIKSSSLSTHSK RNASATRLRDANAPPPPPPLGHESGNGALFS PHYBLDRAFT_160748 MKAPTTPKRFRIKSPCSTANIGPGFDVLGISLSLYLVLDVEVMT AGKTFEMTYSGEGAKDVPLTPEQNLITKAALYVLSANGISNLPPFLKIHVHNPIPLGR GLGSSGAAVVSGVLLGNALGELKLSQERLLDYCLMIERHPDNVAAALVGGFVASYLSE LSPEVLKGVPTSESLLESVPSPNLPQPPHGIGHYVRLGWAKEIKAVAIVPQFKLATAK ARSVLPDQYSRHDVIFNFQRLAVLTTALGQSPPSPGLIFNAMQDKIHQPYRKTLIPGL PEILSTITPEKYDGLLGICLSGAGPTILALATKNFDEIAKAAQEVFKQHGVKESFYKV LDVVEDGSTCVYL PHYBLDRAFT_175801 MSPARVSPVYKHSEAPSVERRLEHFKAQHELARNFYDDLEFCPV QCPDELYEHRERIQQRISPQSSPHTSPPMAYLSPRKSNPSKRAIPIIDPANMVQVSVP ARQPSPLSNPLHWSSQSSQSSLSSSVSSVSVSLPTNSRIQQQQQHNHHHHHHHQSMYT GISQNDHHQQQQQQYQQQQQAVRQLHYSQQQHHHQHHHHQSQNTFTPNFYDVLVQ PHYBLDRAFT_137591 MTAMEYRYLGNSGLKVSILSLGSWITFGGQITPEQTTEIVKTAL EMGINHFDVAESHAGGQGEIDLGLALRNQAGLRRSDFVISTKVFWGGKGPNDRGLSRK HVFEGTVACLQRLQLDYVDILYAQRPDPDTPMEEIVRAFNWCIDKGMALYWGTSEWPV HMIIEAMGVANRLQLIAPITESPQYNIMNRDRIEKEYVPLFNQFRLGMCTWSPLASGL LTGKYNEGVIPPYTRLAIQDHPVINRLRSGFFSEEGKRKLEKIKMACAIAKRIGCTPA QLAVAWCLKNPNVTSVIIGASTPAQACENIQALKIRELLTDEVMLDLDRLFSNKPEPV FDFRKS PHYBLDRAFT_189482 MATEKQRESYDNLSRQNNYYTYNQVNQVNQVQNTLHHPHLTLSH THSLTHTLTLSHTHSLTHSLIHPQPHPHSHSYSHNANHNIQHNSHGIHNPHIHQENPY IFDMDQVSSPSLSWSQTSRTVIPSNFPPTPTPTITTTNTNTIKTDSESETTIANATIS NSVSVYSPTINKSSNNNNTTNNNNNNNNNNHSTAISTLINDPAHLDHALSQDSIVPIA PNVPNHRNKLATSLWEDEATLCYQVDARGICVARRQDNDMVNGTKLLNVTGMSRGKRD GILKNEKSRVVVKVGAMHLKGVWVTFSRAKFLAEQHNIAHELHPLFVDDPGLYFFRNS LPLPPVPNYYLASESSNDYISQDMYSHTHYNNYQHSHHHHHHSLNIHSSTPVPLAELP SVPPVPSMIPMQHESNLYGYKQDTHIYDHGHSNSNSHDHGHNHNHNQNYDGCYMDEKK TQQLRPLYEAPHPTHISFQSPNSQGIDQQHAIHYNTFF PHYBLDRAFT_175804 MCMFYMNVQMDVILVSETSIKKKKRKLIIYSNLEALTNIRNYCE SQGPERESKLKDPDTRIEDVANLLKRIAHNRKPLFVYYNRVKLYSAAKFGSLVGGSAE RTAQKWAKRFKEDKNWNILEKKTRKVIKRSSQLYEEHKVYPINFYDEYSHAQVSDAVA TLTEKSGNFTL PHYBLDRAFT_153099 MNFLERSTFIDESGFDINARPPSGWSVKNKVKRSIFDENGGLIA RKTEAYNSVPPKHLRAFV PHYBLDRAFT_119804 MCESCFWKVLLHKGNRPQGNCAQGNCTQGNCTQGNCTQGNCTQD NRTQDNRTQGNRTQGNCTQGNRAQGNRAQGNCTQDNCTQGNRTQGNCTQGNRTQGNRT QGNRTQGNRAQGNRTQGNRAQGNRAQGNCTQGNRTQGNRTQGNSTQGNCTQGNRAQGS RT PHYBLDRAFT_71636 MKFSLFALATLALSAVVSAGADVSCAEKHAASKGQSCAVFSSKY HITVKQLQTLNSGLGSNGKDCKNLIPGKSYCTKATSPKVDSPNKSTPKKSDGDKKSND DKNKETISKSSENEAKQSTVNTNGASHIAARAIAECKKYHVVKAGDTCETIAKQYSIP EAQFTELNKKDSKDSAIPCTKLVSGKRYCVAH PHYBLDRAFT_71635 MKLSLFTISALALAGMVSAAPAVGCAEKHIAAQGETCVSVAAKF DITVKEFQGFNLGHGSEINNCKSLVVGSSYCVKPLSTVSKNKRSEKEPEHKKPIHKPS KVVPKPIKPTQEPNVEHPQDKRGEKEAEHKKPIHKPSKVVPKPIKPTQEPNVEHPQEK RGENSPKKEEMAKKQKKTTKKPVKTTYKPSKSTKKDHAATPKGAHHATRAVSDCKKYH VVKSGETCKTIAKENKITMAQFKQFNSGLSQAIDSECQKLVVGQSYCISY PHYBLDRAFT_175808 MMKNSGYAHLVRQKGLTAYNIYITISSIFSYIIFLSVLSLVLDI KLAINVSFLLKMVLSSILPRCQGGGYKVYIYSSKLVIHQINISHAGNQNRLCIDFNYI IGQTQRCHFHCIYVIRSNIFHVPRIFDNISLRNWPFSNYNTNA PHYBLDRAFT_153103 MKLSLFTISALALAGMVSAAPAVGCAEKHIAAQGETCVSVAAKF DITVKEFQGFNLGHGSEINNCKSLVVGSSYCVKPLSTVSKNKRSEKEPEHKKPIHKPS KVVPKPIKPTQEPNVEHPQDKRGEKEAEHKKPIHKPSKVVPKPIKPTQEPNVEHPQEK RGENSPKKEEMAKKQKKTTKKPVKTTYKPSKSTKKDHAATPKGAHHATRAVSDCKKYH VVKSGETCKTIAKENKITMAQFKQFNSGLSQAIDSECQKLVVGQSYCISY PHYBLDRAFT_153104 MKFSLFALATLALSAVVSAGADVSCAEKHAASKGQSCAVFSSKY HITVKQLQTLNSGLGSNGKDCKNLIPGKSYCTKATSPKVDSPNKSTPKKSDGDKKSND DKNKETISKSSENEAKQSTVNTNGASHIAARAIAECKKYHVVKAGDTCETIAKQYSIP EAQFTELNKKDSKDSAIPCTKLVSGKRYCVAH PHYBLDRAFT_71631 MKFTLFTLSTLALTSMVSAAPANTCVESHVASKGQTCSTFSSKY HITVKQLQTLNSGLGNNGKNCTKLVPGKTYCTKATVPKKSGSNQESGDNQKSQGSSKD GNNNSRSSSSNDQTVAKSGETTQSSTATTNDASHLAARAIIECKKFHVVKTGDTCKSI AKDNHIPEVQLTQLSKEKSQFTPKDHDTTPKNITTVTPPPCNKLVVGKRYCIAY PHYBLDRAFT_119786 MQPNNADEWKERLIGKTILGEDEETTLSSKLPKPYRLLAPGSIM TRDFNPNRLNVFIDEEKVVTNVYYC PHYBLDRAFT_160753 MINLPGFQTDEGRKHVIDRVIDLANSKRMAAFRYFDKGPMPTDS EILYHVFKQYLDYAMPQVIPPLIDFPINDDVVKFLLVFFYVTPELKRDIFHL PHYBLDRAFT_103911 DPNTSVAIVTEIRNNISLKKEDVIQLIAPMLPPQLKIDLKNPTL VVFVTVFKSVCGMSVLENYYQKKKFNLVTL PHYBLDRAFT_119761 MSDSKDTKKQRLLVVSNRLPITVKKDPATGDYDFKMSSGGLVAA LSGLKKEMHFTWIGWPGLDVPTDERKGLEKRLLEETSTMPVFVSNELADLHYNGFSNS ILWPLFHYHPGEISFNEEWWEGYQRVNQLFADAIDKILEDGDLVWIQDYHLMLLPAML RKKTTKDIKIGWFLHTPFPSSEIYRILPVRKEILLGVLECDLLGFHTYDYARHFLSSC TRILGLSTMPNGVEFEGRYIQVGTFPIGIDPEKYTDGLKDPKIVARIAQLKSRFGDCK VIVGVDRLDYIKGVPQKMHAMEVFLSQHPEWVGKVVLVQLAVPSREDVEEYQQLRSTI NELVGRINGQYGTVEFVPIHFMHRSIPFDELVALYAAADVCLVSSTRDGMNLVSYEYI SAQQNNHGVLILSEFAGAAQSLNGSIIVNPWNTEELANAIHEAVTMPEDIRKANHQKL YRYVTKYTAAYWGLSFVNELRVSVV PHYBLDRAFT_119756 MSIPELDYTDVLSQAAKSTKKKLVLLDYDGTLTTTHKLPEFAKP SQTVLDHLKTLASQPDTYVYILSGRGRHHLDEWFASTGVGLSAEHGCFYKHPANIHNK WYCLVEQVDPSWKETIRPLFQHYTERTPGSFIEEKEINLTWHYRNADPEFGSWQATEL QVNLEKLLSHMALSIVLGNKTLELRPSSIDKSTAVRSILKDLQLPSLDFILCIGDGKT DEVVFSLLNEIPHAITSTVGKKQTEAQYYIPTVDKVNKLVEDLGKL PHYBLDRAFT_153108 MASLAYAQDVAVDASADADADACASGELENYNLPLRIGSIFIIL GTSALGVFGPIALHRINPNSESSARDWILTIGKFFGTGVILATAFVHMLPDAFENFGS DCLSSGWLSYGAFAGIFCMLASFGLQLLELCAISHLNTINKRKVLTARENSAAHFDEI SPKNLESDGSMISGTHDGVFQNGGGHVHSAGFLEQDDSYSHIGTFILELGIIMHSVII GITLATADRDEFVTLLIALVFHQFFEGLALGTRINELKYKSLAKPIIMGCLYILMTPI GISIGVGIHASFNPNSYSNVLSQAILDLLSAGILLYNAYVSLMSLEISHNTKFHEQSL ARKTACFLSMYAGAGLMSLIGEWA PHYBLDRAFT_184056 MSTAIPVNIRSNSLNAPLHSDYSTTPHGTIYSSTPGGTRVIYDR TTLLALSSSQLAQTPPTGMAYVAGVTRSSHAEIPTAHGTRKTTEQKKVEEEKKKTYNP FAVLNNDGDDDMFDMEE PHYBLDRAFT_80127 MAERDVGLANYILQSIHKDLTFLRDQHYVSAQTYDSVVAQLPQH VDAKQSSPSSTPAFVKPPLPTRKSTTTLNSREPVAPSREQLFPKLPVRRSGEDRQPSP QPHHSPLPTPAPAPAPPVAAVAVLPPPTTTYTPPASAALPHQALGRAVQSFVTPPVVE TPPPAYTSDPGVLATAEALYDYSGDDPSTDLSFRQGQTIQVTEYVNDDWWRGTLNGKS GIFPQNHVKKRPNPPATLAKAKRAVPPPAVKAATLPPSQSTSNVPYSYPPPPTAIYHN PPPATNIYHNPPPATTMITSAYPVPGTVQQQQQQQQPQAPEEENKVSNMAKKFGGHVA TAATWGFGATLGSEAAHAIF PHYBLDRAFT_153111 MTTKAHDKVGMTNSELSRLYTPAAQSRRPDYGIVPPEIGYADHR KSTFSHGLQAYRNSLTSAPQDFMVSCTDTADA PHYBLDRAFT_128840 MGIAETQGYKPTTWAAINNATLPAEGAGNQTFDNRVLFGAWVGI PALLSYVLGFSIFGFLFLAIILALPILASTIYLFSRFAPRYRNRIALPGKPIETYITI HDPELKAQYFGRNKIPMETFFEAFFEGKIDFNGDALEIMELRHDWAAFQFTLGQFKFF LLQWLPETFWHSRDQDETQVREHYDRGNDFYEGFLGPLMVYTSGIISDPTQRETLEKM QENKMHLICNKVHLKEGEKHLDIGCGWGTLTAFASKHYGSQSTGVTLARNQVEFGNKR IEDWGVKDKARLLCMDYRDIPADDTYNKITCVEMAEHVGLRRFPQFLHEVRELLNDDG LFYMQVAGLRATWQYEDFIWGLFMAKYIFPGADASTPLYWYIRQLEMAGFEIQSVDTV GVHYSSTLEKWYLNWEQNKDALRGKYGDKICRVWELFLSWSTIISRQGSATCFQIVAN KNRNSFDRAALINNRTNPSAWTAQRG PHYBLDRAFT_71623 MFFFYFDTRLGYGVTGDFYIFQVSDMKGRNSTRQLDHWTSGPLV YTTNLIFQPSCQSWILTGSVLNISNKLTRAAIYIQSAYIHHMIVLNATEDYEEINEGY QRRIAKKASICIGLSDNQPTLQR PHYBLDRAFT_71622 MAAIQSDQDDMSTVNAIANSGAQTIVIGSLEDIQVFAEICEPNK RQRNHISHDGYINSDIISDDSDDPTSILSGSSYVVPEVDDAPCSYICKMGMPELDSSN IPSSPIEIADSQLAALVVDAQPLASNNSMHPLDVVNRLRLESFLSDFKYVIPKLAIFY YLCLKYSSA PHYBLDRAFT_153114 MFLERWEELKKAHPVATSSQRDRTYLKASWLYQGGKRDSVEIDR DGWRSSELDRRKGFKPIVMHLAKTLEFALNASTLHVVQDQIVSSLPDVNNWELQAIIQ KCPEDIFDEEDVKIELLQCARTYKRNRKEAINAIVALTTYLYDWTISTPSEAYLTTSH IHPFVHNLLSFTRQGTGTITLTHFTRLEYPQRDASDKSNLKYTLALQAVGNSITLFAM SLPYRRLYTFTELARFQIPKKKSDFLNMVGHLNIVKSKLL PHYBLDRAFT_175823 MSDNVAHALSGAGGGIVSMALTYPLVSISSRLQVQKNDDSKDAY KNTADAFFKILAKEGPKGLYAGLSSGVFGIAITNGIYYYCYEAVKAVFEKAKAQGKPM STGESMLAGALAGCAVVLATNPIWTINTRLTVRKGIDGEAKLNMFTVGRSIVEKEGVA GLYAGVKAALILVINPIIQYTVFEQLKNKVSKSRALSNFDFFLLGAISKLCATGITYP YLVIKSRMQSAQGDDEKYPSIWEGFKKIIATEGVKGLYKGISSKIVQSVLTAAFLFMA KEILFDWAVWILVVAGARKARVAAGKA PHYBLDRAFT_128841 MSTENSADKYDLVRKDIIKVFPEEEYDDGSFAPVVLRLAWHASG TYDHKHKDGGSDGATMRHNTEASDPANAGLDVARNVLEPIKQKHPWISYADLWTLGGC VAIEHMGGPHIEWTGGRRDKHSDADCPPVGRLPDAALGKDHVLAVFVNRMGFTVQETV ALIGAHTVGRCHRDRSGFDGPWTVTPTRFSNQFFKQLLAQTWVERKWDGPRQFEDVET QELMMLPTDIALLDEPFRKYVDIYAQDKQKFFDDFSSAFLKLIELGVAKRGKL PHYBLDRAFT_119782 SDDELDDVDKYGEYEELEIDEEDVNVLEKFMPSAPVARRTLADI IMDKINEKNAIEGRGVDGEEDDQELSPAQNPKIVEVYTKVGHLLSRYKSGKLPKAFKI IPSLTNWEEILYLTNPDEWTPHATYEATRMFVSNLKVHQAQRFFSLVLLDRVREDIQE NKKLHYHLYLALKKALYKPSAFFKGVLFPLCESGSCTLKEAAIIGSALSRVSIPVLHS SAALLRLADMDYTGPNSLFIRILLDKKYALPYKVVDAIVDHFVRFQNDPREMPVLWHQ SMLVFAQRYKQDMVPEQKDLLMGVIRHKYHPLISPDVRREIVHSEARDELLGDIDMMM D PHYBLDRAFT_156777 MCNNYTTRQIGAPHSKNYKIYIEKDGIPISPFHDIPLFADTTSS TMNMVVEIPRWTNAKYEINKETPLNPIIQDIRDGEPRFNYNIFPYKGYMWNYGALPQT WEDPNFITEESGTIGDNDPIDVIEIGEKIGYTGEVKPVKILGVIGLLDQNETDWKLVA IDVNDPNASDMNDISDVEKKYPGLLNDTIDYFRKYKIPVGDGPNEFMFSGKAQDKEYA IGQIMTTHNHWKKLVSGDIKENNIEKTNLLVEGSPYKVSPNSKIILNIPPVNLLPAVP IDPKYERWYYV PHYBLDRAFT_175827 MSRQKNQNKSDAHIPEPRESISNQASQAKLNLIREKTLELEKIK EMNAMSNGLVLLFGDVADSMKDLSKGAEGVSKIMENWDYVFRIMSMVNPEANPSTPNP TVVKIPSHPVSS PHYBLDRAFT_189490 MSERSRSRSRSKSPKREPLSPTATKHVNSARGEDDEDERDRRHR TPSPRRGHHRSYSRSPSRSPHHRRSSSRRRHSSRRRYASRSPHRSSRRYSRSPPPRKA RTGPSQPKECRVYVGNLSYDTKWHHLKDFMREAGTVMHADILTLANGRSKGCGVVEYK HPEDAQNAIRLLHKTEFMGRPVFVREDLKSETPGSTKEAPEECQLFVGNLPYFASWQD LKDLFRKAGRVRHTDIVTDPETRRSSGRGLVIFDDPQDARSAIEMYNGYEWQGRRLEV CEERNRPPPRVSGGNESRGSGQALHRDSGRERSIENYRSSKDVSAHVSHPPPPPPISH YGGDNYDNSYRYQDGGAPHAVLPMAQMPHQMNVVGGPGASLPSIGANQIYVKNLPYST TWQDLIDLFRHVGPVVRAEILLSGAHPKGSGLVRFEDFQTCERAIDKFNGYLYGGRHL DIRLDRQSTCA PHYBLDRAFT_153121 MQTIDLPVEQAAEVVKRHFITTKHHYQLPGGSISDSIYTWVAKV ERAHQHRRTRAQSISLPAIHEPSIDPLLTALREPGGFRRAFVMTKAAQQGRDIPKFLT SNFVEFLCLFGHFGGEDLSDSSENSDETTSDEDQESDEEEGEDEGQEEEEEGEWVGGS SEGSIDQRLLMSRRIRPLVDRQALPSIASTSQPLVTKHLSSAHPWKKEHVPNSTERRQ SYTIPAATYPSSHTPTPRYPHHLRSRERTPLLPKVRPTTSIQGKATPGKAMFLLLKSF VTTGIMFLPKAFSNGGLLFSIMGVITLALVSLWSFLLLVQTRQVVPASFGDMGGVLFG HKMRTAVLLAITLSQIGFVCAYMVFVAENIQALVLTYSDCRLVLPMHLLILAQSFAFI PLAMIRRIQRLSVFALTGDVFIVIGLAYLFFYDFKALIFTGVEDVQWVWNPMHFPLFI GTAVFTFEGVVIPIIESMKEPEKFPRVLTRALALITLLFISMGSLSYMAFGNRVQTII LLNLPSHDPVVATIQGLYSLAICLSIPLQLFPAIRIMENGLFVTRSGKNNAMVKWQKN VFRVLVVFLCAWIAIVGSKDKLDKFVSLIGALFCLPLCFVFPPLFHLKAFELSFLRRM ADYLLICLGIGCMVFVSFMTLSQWNSAEVHDPVKHCVANKV PHYBLDRAFT_175830 MTSEESLLQTPKAHLLPFGVGVEGSVNANHYLSLDPVVDPLIKA TNEKIRTYTTVIHGRRLYGHEIPLNETIKAHLWAQEESMDHPIYDDEDEDEDSEKQSR KFSKVGNSLESFVLWKKDRAPDEKDARLVALESWFAIAQVIHAPVPL PHYBLDRAFT_175831 MNDQEDDQGIHPSKFFILQPNHNRPSHIQFMQCLFIEVCRVCRC EATEEEPLFYPCKCSGSIRYVHQNCPKILYNSNTVYQPDMPATIPFKILLRQVLKKAF IHLCFSARFLFVSIIWFIVLPYCTVWATRFCLWTGPKYSAVLEQLIGEGVSPETQQTV NTIAQSIASATSSTLQTLQTQTPDLPSNTTAPINDISTNLPTYPWLKIIFFVVSWFDN RPYVRKFFMDCSEGQSLVFYLSTVTFIGFMARNWVLENMPVDIDQIPENLEDEELEQN EDAQVRNWILRMGAQVEIPEVRQPELLQQDQEPIIAVAQNLQHQFPLQDQVRNIDNIP QDINQADPVIQQRPIEEPERLENVRNRNEGVFNEENAIGELFGILDINGPLANFLKNV TILLVSILVILVVSVWVPYLVGITFILSNPIDMVHHAFKEMRFLYDIIRHGVYVGWNT YFDPAVKHLYQPYFEAQSGNSPSLSVGPLIEETLGEFWRHLPIFNQIPTSTIISNIPT PVAKLLQENASLTDTLHHMSHQFSRLQIHFHNAAFGSSQYDQLLCIAIGHFVFLLGAL IYLSQKSNVIGRIGHGIKIFLRQQVVVFKLIIFLFLEMVAFPCVCGIALNMSMAPYIS SPPLGLRWMLIKSHPVQYFEIHWFAGFSIILGLTRIVLWFQKVIRPGAAWFIESFANR ELNLFREIPERSIIAQLCKSIAELFFSSILIFSSIGGTLWCIRNTTNILPLRWRLNDN LPNSESCLILLMYTTIPHILTYYQTKKTLQTGCKKALELVCNRLRLTSYMLGNRIPEE EGTPFYTSWLGWVSGNIEMLDKDGQLALVPKRNTVKWVQGRRMLVPVDPDTLQPLDPE ERRLGHPAESGPGGREVNTTIVYLPPDFKTRIAMFLFALWISIVVAAFSFTLGPIALG YFVLIKCLRLSHDDRNDVYSYCTGAVVILVLGVLVRKAICIIHSLRNRENQSSILELI NLAIYDTARWVVKVSFLGLNCCVIFPILIGIILELYTHIYWTPIGSMEYRVPLLTLME RGLGLLVVVKHIHTKAPYEPWQRNWSAMLPTDDVRHLDISYALKRVFGPLILICVALI AVPFFADDLASRLFGYTRQDSTTQWSYSISLICIIMFFILRKMAQGVMVWIRSTREDV FMLRRDLNNFDGNQQGIGH PHYBLDRAFT_175832 MSQLIIGLAISNVFCLVAACLIAYQVIRHPKKIRVFIAIFSIFT IPGSIVNTLAYLKVTTDQWNSFVYLFSTMLMLTMHCWMVADIGRHTHIHGFNWWSPLM TVGNITLFTATMGIICQIAVLFARNESFPLRPVFMVCLTLSIISSFSTFVYTFTPLLY WKENRVTESHSRTTAVGTWYMSIQGSWYIFYGILYVWFFACNDNEMFSTMLLFDYNMR FILCFMHTWSPPEYIISLFTVRFFTLSSPRHHCFVDSENTLSGEESQNNYLKPKTNNL QENPRDSDGCYAKDQSFKDNQI PHYBLDRAFT_80121 MTPFYKELKRLLEPLEKGSLLEIHDIPTIPIPCKKLTVNSKGDF KQTRRLILITTQSLGCPKAIVCGMETIEYREYHPEGRIESSIYISKVDTTGYPSIKNI TAIMIQAYLISLGPCKVYVFACAQPQYLFRDSANYCEKKTKTLGDRALIGWWRNILSH PTLWNINTQNISENDSTLSSIKKTKGWWSIPGVDDERQAVHATGNRLKNIPGVVWKYG YPYDPKANIKDVIPLFEDDTKARLSAALCESKGGRDSEDTINVEGFWEILGHTEECGA GRLTAFLVLDLTNRTKPKDNTTEKMIMRKSFTRIWNTMMDLFFDTKENIEKSTKKLEA TILEYRPAVEPYKIHTLGDPVDKRASSSVDKNNTEVRTLSSNLIKKKAGSTSPANGLE SSSIKTSSAENTPVVNVLGNSLIKRKTPVSVPAVNVLRSSLIKRKTTENTPKVNTLEA NSIKRKTTGDIPMSTVLENNLQKRLKTEE PHYBLDRAFT_153126 MKDINVQTPPTAPRNKATPPQQSACHLLFDYKPSSDGIDLNLLI LLHGLGDTKTPFSALGPKLNLAQTATIAVQAPQPVPYMEDSYQWFPSFDFLTGNLLPP SNPERMKGMLRTRKWMAELLQHMVSVCGFAPSNIFFFGFSQGGSVALDTVLFGDIPNL GGVVSISGYLLEEQEKEKKVGTGYGGYILVTQGEKDGLVGSKASAEKKFKAIQRFCSP SAETSQIFITNKDHTMAHSEGEWRVIHTFFSKYLARRNIQLENMSDVYLVNP PHYBLDRAFT_184064 MFSRAQHQLGRFAPRTLVLKQDFHVSAVACAKRHPKQIKKENLA KRAAKVAEFERTKPSHIISQSAPFFNKLHTPASAYGSNTDYQHFLTNEDRTHLFETAP KEAVEESHLAAVEGMDEAMKHEQIKVETLQKIIGLQNGNAKAVQLWNIQQAVDWFKRK EGDTGSPEVQAAVLTVRIHNLNSHLQQHRKDVHNYKELRTMVHQRAKILKYLKNKDQN RYNTCLLELGLERRAVEGEITL PHYBLDRAFT_128848 MDTQLIRTVNKLQDAFSTVGVHNPVDLPQIAVIGSQSSGKSSVL ENIVGRDFLPRGTGIVTRRPLILQLINRSSTHKPSAAESAKDGEKEEESPKGNDYENP DEWGEFLHLPGQKFYNFDKIREEIVKDTELKTGKNLGISPQPINLRIFSPNVLTLTLI DLPGLTKVPVGDQPKDIEKQIRDMLLKYITKPNAIILAVTAANQDLANSDGLKIAREV DPEGLRTIGVLTKVDLMDQGTDVIDILAGRVIPLRLGYVPVVNRGQRDIESKKAITKA LDSERDFFENHSSYRSKAQYCGTPFLARKLNMILMHHIRNTLPEIKAKIQSALSKYQQ ELLQLGDPLGDGTSNQANLVLNIITEFCTEFRTIIDGNSNDLSSFELSGGARISFVFH ELYANGVKSIDPLEQTKDVDIRTILYNSSGSSPALFVATTAFEVIIKQQIKRLEEPSV KCINMVYDELVRILGQLLNKQFFKRFPTLKEKFYQVVLAFFKKAVAPTTKLVSDLVAM EACYINTAHPEFLNGHQAITIVNEQLRPKPQQGQLQNGPEYQTKGMKNQSLLQQQQQQ QQQQQQQQQQQLSALQTNNVGTPGSESENGSFFGSFFSGPKKTKKAGPMMEAPPATLK ATGALSEREYMETEVIKLLIQSYYNIVKCSMVDMVPKAIMLNLVSHSKEELQRELLSE LYKADVLDDLLQESEFTQQRRKECKKMIEALQKADEIVGSV PHYBLDRAFT_153129 MQRIINYPKNSRVVVSAPKGPGQHNFAFDDIGKTCSLCGKDFDR VWNLRRHLTKYHKLATHIANDISPQYANRNLASQRQTTNTAELTTPDHNDDSVNEDLH VESDLEDDDSSDVDDMNSNDNDNVSKIELDASESIIEMDEDTSPFESPSPGNHLYMHI RNSMLSSASNTSSLLNADLDLLREATGSHMTWNQYTSDTHPFLDLQSMVLLAFVDGNN DMVSRRIFFKILFTISLVLKLYEEAIRKKSPFKLPRLDALLNYQTRKKSKIPVFPFTK VDIQLPENNTTSAYINLPSDHVRFLAANPKKARNMFSLPDRTPNQSICLQQGEK PHYBLDRAFT_153130 MFTHNGIDFWSGDIVNFMNGSTPARFLVESFHTMDNSAVFVQGY MVYILEGGQFIGIKVESTSIKLETLLSIDSTPVDVALCYSISPGKVFHLIPRHKSLLE EPHFLKRHILDETGKLIDPKLFYKVRISPIILFTDDTSGNRSKQYNPYESWSMKFAAL SYKERSSIENIHFLSAIPKKKGASGMSLLPKIVEDFKRLKNGLVMFSAKDNENVLVAL PLLWIKTDTPCHSELCGLRAPTSLYPYHKCYVRLQRSMPNLQSSSYYTGRHTARTKAH YLAAVSTSGCGSTIPDAPLTGNALTASDLCFANRATDALLELQSFDPSTDTPVEVLHN ILLGVSKYLVNDLVNVVLRKNPNQMARLSKALKDYENSQGMSRKFTRELRHCGSCLGR DYKVLLQILPAILVTEFANDSILSLITPSFVRLGRLCSLVFVRAVRYDYNMYIDEVEK AVTSLIQELHHYVITCEIEGHNPYSSKPKVHLLTHLPDNLRRFGTALHYETKKGEQFN KHIREHLMHINRLNTSRDVCLKFAKQSAMRRIIDGGSWVSKDKMREKYGNSTAEFLKE NFNNNVKNIMFGGSRDFADNNDTDDITAKALCDNTFAVFMLKESRDQHAHPFIGKVSS LRVEHYRVESSPHAQVNNYLLVQRVSNDASTPLDQLKIVCKLDMHTEFNNKLVINLSK FGSYWFFVSLFLNRQY PHYBLDRAFT_175837 MTGTAFVRGDLCDSNHILKSRTPDNTIVASVNMIEQDLGISPTA EVKGAINTRTKHICDQLAALSSVQILRPNPSWTSIPQEDRTRMCVSHSHALKNYGIDF TRCHKNWASITKVSQLWRSRRKQHLSANTIN PHYBLDRAFT_153133 MIEAAIQTSCKASVNGCVIESALDQNCVREAHNIRLQIQVDENR NIGQSYSPIYKDFFGKVYAIYETNGIPVVTNVPAKPKVVHLADVKELVGLVVSTATNG WYIVWLELNRGPKLLLGCHADI PHYBLDRAFT_153134 MFTFQEGGASCHTGSYTMWWKNRWEIKRFDYWPSQSPDLSPIER VWHALKVNVQERKASINNIEELKACVFQERERLDPGLRCTLAASMLDRVQEVIKACGD HTTY PHYBLDRAFT_153135 MVQDHQSRNNLTPSRNPFHTSLLLVYDAPGISPFVYELQLSQNH CPSTNRGLRNEAFGLNVLDNRVSTDDDTSSYHISLTICLTLERVTPHAQTKACNIRDT KSLRSPRSGL PHYBLDRAFT_184066 MSSSNDESIKFFHSYLVRVPARPSLFSSCACGVSDGWVLVWCGW GGVVGVVGVVGVVGVVASLTPQRSRSPPHSVLFHEVRTGLRPVEDGSAFIGGELRF PHYBLDRAFT_153137 MQNDDDDNHQKLMMEINMDAKESLFLEYLEYTNNYINAIHSAPS LNIQRGIAVFPFIYPERSNAQTKCQLSKADY PHYBLDRAFT_153138 MPSNATRKSGRKGKQNARGTLSRVAAGRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMCAIVLNLINGRMWARNFRSNDPELVAENESRRRWNTDERID HPDNVEVINYLRQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNN RINSHRIEIHLRRVDTYINNWLAIDTKMGYKPGNPDEMAYLHLLEKSVMSDGESEDED VTPIIRVRVLQVARPSWRSAELNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKIIAV TPVPGHLTAILPVWAIQNQ PHYBLDRAFT_8604 GVRQGNLLSPVLFNFVLEPLLLHILDYSLFQGYTIPQSVPSTSL KVLAYTDNFLAFL PHYBLDRAFT_175843 MAPTRKSNKTKECKCSVCKTRFGGSDTVSVQTFNFHKRRDDAGM NIFRNRLIIKRSVETTVSYVPEVINNDEQNSVAIDNDYDMDYDFDEMDTSIEVDMETQ EPIRILPLSESDAVFGYENEEFNSDLDSDGCEDDSSEDDMLDSEDNFPEFNSELSFIH RFIVQVLALFVSLYVVDEGAILLIAIMNKILELFRDPFRLPVSIPGLKSMAGFNTFTD GIKKYVSCSECHSIYENNESTPRFCIFDKFGNNSMCVENGVRWSELHRLQYFDVVRCT IIDPMHNLFLGTAKRMMEKWVADGLIDNKKLVAMQKIVENMTLPPDYTMLRSKISKGF PFMKADEWKSWCLVYSPVVLQGVLPKQKFENWMFFVNACRFLTKPNVSEDDVQSAHIA LEKFGKGCERLYSKDLLSPNMHLHLHLRDTIKDFGPVYGYWLFSFERYNSVLKNINTN RRSGFEMTYMKTFIEDTRKGDFVRNFLKTSGPFNFSGIFDKLVTGYSPADSTTSTALY NWFSLPDFLDAAENPNLSIRGNEPLPPSALPLQKKAYEMMLRQEYDCLVGYYQAVYND PTISSCKDVIQDTAFVNDWIEMLKSVNLLGQTFKGSRGTNGRGSYIQAMFIEGRNGAK YAYVGQIQYLFVHSFSPLVSTPHHRTPQSSQHTFAYVKWYKASKETSRKIAGVEIWDV AFSLPDFQSILPVHRILLPVAIVDHTTLRNISKKLIVPLPRKLYF PHYBLDRAFT_153142 MPSNATRKSGRKGKQNARGTLSRVAAGRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMRAIVLNLINGRMWARNFRSNDPELVAENESRRRWNTDERID HPDNVEVINYLRQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNN RINSRRIEIHLRRVDTYINNWLAIDTKMGYKPGNPDEMAYLHLLEKSVMSDGESEDED VTPIIRVRVLQVARPSWRSAELNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKTIAV TPVPGHLTAILPVWAIQNQ PHYBLDRAFT_175845 MGNLTDKWVSGARFPKKPGGPLLSLETRHSVDSPSTSTPTSLVP PVTAPRRTKPPKESTVRYPSPEPANLTLACNTRDIQLRPASSIGRAQKVKPTGDQGVS FLIWDGLPFTIAKSKGQTHWGSESILNYYTIFNYKRSPFTAVDAQKMLLCHYTVVNAQ EDITAHKEVLRLTIFRFYGFIKIMSDTCRFRSGLVFQCYITAKTGNHFGHRSQKRILC NCHPDWLTRIQAQHFDESVKSEDVKGRLTQIGNNTFVKLSSVVPEFLSANQDDDYTEF FFENDD PHYBLDRAFT_175846 MSVNKNIVPKSNISTTSVFAIQASAPLHASWSKPDGDGRKNLEK YLGGTINGRIFKATKSGVINQCSQYFLEQGVIRTNSQIKTCVIFDLGCSSNAITQPKL VKPIGNCKQR PHYBLDRAFT_153145 MSSRQFPLDSLVILDEALACAAIHVEQHKALLSKIDVIVVHSIA FQKQNSALTKELCVANEHVEFLHNQPQLQVQVPGVSTFTTTTLLPTEIAPVENSSAEA SAHGPVTIPTPSPTTFLAAAKKAMGKRPNQPKLTTAQATHALQPKSGPSAYAFVYLPC NHHLKYSQVQKLLRTFKIQQSRVLDISFPERGTLSLLVHNDFKDKITQLFADIGVSVK TDFDPLNHWIIADPAHAHKPLHCHRLLALCLRLPAPLGKSVMRHFCTVESSSLRLPSV CLEQYLEDRNLPSGPQASAIDTATAMLSWVATMLKCARPRQTHNKNIFTCPPLMTEAE INRYNCNDYEQLSLKQVTSLGN PHYBLDRAFT_153146 MYLLAVVLYYCKQYILSKVQFILTFTQHNYTSNNEDETEAEDNE YNGRLQDNQVFNPYFGYDVLDHDSFYNSITNSMSSFDDKALDAENDPDTAETDTNTDP ANIIEQIMKGPIAGCHPFPDLQTMVLCALVDGNNDMVFQRMMKKILFAMNMISKIQAN TTEQGTFKLPKLNALMNYHQMKKNRIPVFPSTKVDAPELSLTPISAYINLPSVHLRFL MANPSKAGKITSLPDQTSD PHYBLDRAFT_153147 MLTINNVDVWAELVMNMYDAADNKRFLVKDFHTANRSTFARGYL ATIDQNNVYYLDSTTKDVKVEDLDTIDLTAFGAIYVSCEPTSASGLSNYCYDLLYKDH CFKSLVLDSAHVANSGLYYKVRIAPIILFTDDTSGNQSKQFNPYESWSMKLAGLSYEE RLLIANILFLLAIPKKNVVSGMSFLPALIRYLKKLKNDIVVYSAKDNTYILLMASLLW IEADTPCHSELCDILGPAMLYPCCKHYILIQRTVPLKEMECYLKRYNKRTKEHYVLAN STNNRTMIMPDVLNTGKPVNARALSFIDHST PHYBLDRAFT_119888 DESMFMVKGNDSDASVICKTRERFQQRHIFPTVKFGKGSVTVCG CFWTGGSRPLVALEGSVNKDVYVDCLSNDFDPWYQKLNEEHDTFFTFQEDGASCHTGS YTTWWKSHWQIKQFDY PHYBLDRAFT_153149 MSNINNINNTNDFVIVSETLKKYDAVLTEFNSIFLVGREFSSVV AVREAAKAYGVKHNIALTTEYASSSRIKLICKHSGKYRDTRKAEKERKRKKDMQKHGC PCFMYANTKKGGKLTVCSHEAEHNHPIEEDRRAYAIHHKLSPEAMALVVKHLENNNNV STIFNSLKINGYTNIVCHDIANIKQHFGKSEKGKEMFDFITTLQDIDFHVLYF PHYBLDRAFT_184068 MSSENQSPAPSVQSSLNTSEIASNEQANKSMLAITRLRDLLAAA SIALADAIEQNQPEDTKNQIRLTIAATEGDLAILMSAHTHLLRSGPARAEQVAPQSRV VPRELPVLQWQGNEWDNTQKVYSSIEECLDKFEDVLHSYSLDLNVDWHRLLPIVLSRE QRSWYDNYLRSSPELPWSFVRDAFIKVYGINDLERQVQLTHELMLMRMSPTETVSNYT DRYQRVRREADVADNMQAAIAYTATLLPELARQVSLLQVNMPREKRDTIDKAASLARS IYSKVFLMSLHNDPVPKGRHLSPEQTTRMSAATSSARSPGSPREATQSRERSSSKRCS LHGKGSHDSEDCRILKNALAAKGGNRVEKAPYINKYVGSAPCRWCGEVWSHKHRCSSV AGSSSSSSSASGSPRGSAPHFAVRSAHTVSDDSSPSDVSTSEDDQSMHMDFEQCSP PHYBLDRAFT_153151 MSLSYCLCALFESTIMLDTTPCQDAINEAQRMPETVIIDATYKT NSHRMTYVNIVGTSNVSGNPRTTLKTYPIAGAWVEHETEENYLWVLSCLRDAVWPNDN NNNNNNNIYSVVRA PHYBLDRAFT_175850 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFGKEEHLCYWEIRL TGIAEDIILSFSLTLRFSSNQYSFTTWPKRQLDHVLITKVDKKQIDYLKLNLLIDKSL QENQVTILGRS PHYBLDRAFT_175852 MKDKENWVNMYVYKYSHFGNRTSNRAESAHASLKHSLGTSSGKL MTVTLKVKKWYQELVDDRKCRLMTECLGESTEVVFDKVNGARLNDIYHLTINNAEPVS ANIAKITTISPQFDHDLELVHEGFHSTHSKQEQIDIHNLVKNILEKMTKQKLEDLNGP TIVEAIKGQPKNTKPKEQKNFALFSRKQISSTQEQKALQNITNLGLPIDHTILTNLTI APKHITKVFSPEADGNCGYRAIAMEIYQDQKKWLEVKENMLEAYLKYQHTYYQGRMEN GNMPASTNPLIIILKDKRSPLPQQHWFGTIDHPQLAADVFNRSVAVYWNTPRETGDCL FVPLTTTPEKFEPIIIILYINHFLLAKRKPIRNFTWPKINPFHKAIVKRYGLLWGENK AKYNIDERIRENLCRLFISKENVCLSNLYHDESSSRSIVLLERSGKTN PHYBLDRAFT_119834 MPRHIRAVLSKKCKENLGRDVLEWPAKSPDLNPNEYLWNLLGDC VRSRSSQPTTLKKLEEALQEEWKTVSLNVCEKYCLTLHKRVKAVIRNKGGHIPY PHYBLDRAFT_72410 MHPILLILIVTGIPLAVYFGFELYDSYTERQQQHQEQKEYDSYL QKYNEKLSATYHSSEDDDKDDKSDDDDDNEPLANTAWKRDFGNLRQRHSQSSMSILSQ SSGEIFEMRKSIIERKKQLARDQAMLDQAERELDLRRDSLKYRGNNILGIQPEPKFES EFEHELELEPEHEHEHTDQFNQNQDRLFIRSASRESCQTIRPMLSQSEENPFEDPDWL SNTTHSPVISNHTFSLQQQSAVDIPISPLNMPALVISPAIDSQSSTPWSNVNDTTLQF PTLNSDTEDSWADLDRLNREHQRAESGGSDLTFNSFDHSGTPSYGVRSVSSEDYIH PHYBLDRAFT_153157 MSILHILNIRVRTKTPPVPKAITDIQAHHGHNRNDCFSWMENID NPATFGIIYPSGKQVLSQNRFLQRIVQREMKRRLQTRRQLPPLTTVAHGYEYFSTTSA YGMVYLRKPLGKGRDPPEEVLLHAGFLKAMNMSIRKILMSPDHSIFAYNTEREGMEYG ELHFKDLNYRRDIGRCIQFRVGQQQDSLLHSYQCPTASTQRTTQEEDVLVFEEPDDSV FVDITSTKDMKFVTINSNSLSSSEVRVIDATLNVTQQNKPLLRLIKPRVPQLEYYVDH HDSTFYILTNAQKATNFKLVKAPDESVEHSEWEDVITMNPTEKIEDVDIFQPFQNNIV IYGRRDGLPIILCHDLSTKLTHQVDLPGRFCVVSPGTNLEFNTDTFRFSVSSPFAHES TYEYDVEKRKLNPVRVASIQGKYTTRFDKSKYTCTQIHVKSHDNQDIPVTLIHSKDYE KNSKNPVLMRSYGAYGVSTDPDFKIEHLPLLERGWVIALAHVRGGSELGRPWYEQGKL KHKMNSFRDFIAVAEHLVKTKVTSPDHLAAIGTSAGGLLVGSVLHMRPDLFRALVLRV PFVDPLSAMLNPDLPLTQVEYPEWGNPTKDKEAYECIQAYSPYENIKALSNATSLPAV FVTGGLKDQRVAYWQPLKFVAGLRHSLKESKDVLLKMDLDRGHFGGGSEQDARLSEAA EQVAFLISHVQK PHYBLDRAFT_42299 MPIINAFTLQKKYPQLGVNDINSLIDQFEACDLDGDGYLDQGEI QQVCKSTGYSDSYDEIRATLKQVSTSATSKIDVEEFIDLAARLKAGSNKGAFDVHQHK IKVHGTNSNATHTINEDERTEFTRHINSVMAGDRHIGHRLPIPTNTMQLFDECRDGLI MCKLINDAVPDTIDERVLNVSKKMNNFQMVENNNIVINSAKAIGCSVVNIGPTDIIEA REHLILGLIWQIIKRGLLSKIDIKLHPELYRLLEEDETLDEFLRLPPDQILLRWFNYH LKAAGWERRVKNFTKDVSDGENYTILLNQLKPEICSRAPLQERDVLRRAEQVLENADK IGCRKYLTASAMVAGNPKLNLAFVAHLFNTHPGLDPLSEEEAPEIEPFDAEGEREARM FTLWLNSLNVEPGVYNLFEDLKDGVVLLQAFDKVVPGIVNWRMVSRKEPISRFKQIEN CNYAVMLGQERRFSLVGIQGADIVDGQRTLTLGLVWQMMRENIVHTLNSLTKGGRPVS DQDMVRWANEVVQRGGKQTKMTSFKDRSLRTGLFFLDVLNGIKPGIVDYSMVTRGQTD DDAFNNAKLAISIARKLGATIFLVPEDIVEVRAKMNLTFVGSLMLLDRQSKN PHYBLDRAFT_178496 MATLSDDYMINEHDIPYEEELLRNPYSLRSWLRYVDHKRNGTLA ELCFIYERAIKELPGSYKLWKQYLDLRRLKLSGMSPARFKAQYASVNSCYERALVLLN KMPRIWLDYLSFLTTQPFLTSTRRTFDRALRALPVTQHSRIWDLYLTFAEAAGGETAI RVLRRYLKLEPSHIETYVDMLIKLERFDEAAVKLVSVVNDNRFVSIRGKSQYQIWQDL CELVVEHCSDIKNLQVEPIIRSGIKRFTDQVGMLYCRLAMYWIKMGQLEKARDIFEEG VTGVATVRDFTAIFDAYAEFEEEMITTKMEMAAEREESGGKDEDEDLDLDLRLLRFER LMDRRPFLVNDVLLRQNPNNVMEWEKRVALWGDNRSKVVETYTQAVQTIHPKKSHGKL HELWVHFARFYEDGGDLDSARSIFDRAVKVNFKTVNDLAEVWCEYAEMETRHDDFDRA LDVMGRATSVPKIPGVHPKQINFHDESLPVQQRVFKSLKLWSFYIDLEESVGTLESTK DVYDKVMDLRIANPQVIVNFATFLEEHEYFEESFKVYERGIELFGWPIAFELWNIYLQ RFLKRYGGEKIERARDLFEQALDKCPPKYAKPIFLMFGKFEEENGLARHAMRIYERAT KSVADEDRLEMFEFYAAKAVESFGVVSAREIYESAIESLPDKDVRIVCLKYADLERKL GEIDRARAIYGYASQFFDPRVQPEFWQTWHDFEVKHGNEDTFKEMLRIKRSVQAQFPS AI PHYBLDRAFT_153160 MALVPSSLTSSVTRQTVPLPIIPTMHSTSLDLRHIHKDLDLVYN CTAQIQTSLLDSRSQNNTVNLPSLQELDSLIDSAGRMIRVLDDAKHLWSQSPTISSLQ RHTKEIKSTTNTILQCHSCNSTQSPEWRKGPMGPRTLCNACGLIWAKLSRRQPMGPNK TQDRSNMAHLIHSRSVPIKKQRTKHTSSLKGNKHAISFLLS PHYBLDRAFT_72405 MPNSQPKILPSSSKISQIIIIEIGIETGIEIDKTVTDSLNLVLL KKKYSSSNIHSIHFNKLKTNLQRKRIIHFITDFNQRELQIKRFGTKVLKQTLEVSCPD TRQTNIKIRNNVRGALIFFTKRFFKTFLAYSIKFRS PHYBLDRAFT_72404 MVIWFFENSQFVDAWVPFDRENQKKLEFVYRHHDELLADLCLEK DIRSVTPRFEVDKDEEDESAYEDLQYTHHCISVVLRDSHFEEAITLYPAMLLGCLPSR DILIARAERMDHVSNNKINI PHYBLDRAFT_80358 MEFHQQRLYTLNKLYSENAPTIDSMEAALVREIPLLIQEFELSA KAAAMVEDYVKDRATLFRFLAKNKFSLPHALSFLLDTIRWRIKENVDSIGLDSVKLFV SQPFCFFHKYDKTGRPILIIQIRHLPESSTNIAEFLRPFVIFILETTRRMLSKITRDT GGLVDIAVIVDFKDARSLPMDPTLVKTILQILRRYPSMTGSVSLLCFGWMYTGLWQMV KIMLSDEARHRVGFPSSKELLDLVDPASLCKDQGGTDDYEWNIKSDKVFRDFFPYVPP SPPLSPLSPSQPNSRCSSTTSLRSVYYDATEHVVPHKLQFTPMSPKLPQRRSSFTATV YSTPVGSLTPIVGPILSKSPAISPHADFSSSLNHKLSLLQYTRQPQPSPQPRRRRPLS INQRTWTTFIFRLLFGSLQRTQAGIRMVITQAVRKSQKYQNMFYWIAACLLLRNSIHD FLQSLFVLLLETMAAKSGRGSAIGLRNLLSLAGGHAGQMTL PHYBLDRAFT_102959 IPAKHPDVMVFRNYTPKDLLPFDGNGKDGRILMGVNGSVYDVTR GRNFYGPGGPYENFGGHDASRGLAKNSFDEDMITDPMGPIDKLEDLATEEWESLREWE QHFATKYLLVGKFIENE PHYBLDRAFT_89642 DKVNDTVVYKSIKSFPSVRRLQPSERKRILVTGGAGFVGSHLVD RLMIMGHEVIVLDNFFTGTKRNVQHWIGHPHFELVRHDVVDPFMIEVSQIYHLACPAS PPHYQYNPTKTVKTSVMGTINMLGLAKRTKARFLLTSTSEVYGDPEEHPQKETYWGHV NPIGPRACYDEGKRIAETLTYSYMRQNDVDVRVARIFNTYGPRMSPVDGRVVSNFIMQ ALRGESLTIYGDGGQTRSFQYVHDLVDGLILLMNKNYYEPVNIGNPDEYTIRDFADII REKVLLSPISPDDVPIKTLPCPTDDPKKRKPDITRAKTYLGWKPNFDVHQGLQETVDW FRLQMTEGLI PHYBLDRAFT_137624 MTTAKSASYDYLIKLLLIGDSGVGKSCLLLRFSDDSFTPSFITT IGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFAN VRNWFSNIEQHASEGVNKILIGNKCDMVEKRVIAEEQGQELATELGIRFMETSAKANI GVEEAFFDLARDIKKRLIDTQQAQQTRQREEVRLDAAPSKSSSGTCCQ PHYBLDRAFT_72400 MARVNSSRTNNTTGNNTGYKEELDYRGQSAQYTKNMIISRYIVA SVTLVSYSDLSYIPHFGRNPSFKVSDKTFPIYARLLLDYSRRTWLSNSLVERRNNRSA QHLYEDMARNRENIKVWICIHVCCCYLIWAKDEALYEIAKARVIKSLTRIMQTQTTSL VFTIFLLPVQLTSRLIVKRVHKASLELVYVCSPKEFDK PHYBLDRAFT_72398 MAFFSKKRINAVGQLMRLNRRLIQSDYYSASAFYFIFTVTVHDH IPESPLLNARFICFLAFYMEFTELEILKVLSNGKSAAAKKQSTPAVVVETCQLMRTSY CVKYKKNKSS PHYBLDRAFT_128865 MADRGAHRGHHSAGRGGERGRGGRGGGRGGGRGGYQQSGHPQKK ESILDLGKYMEKKVRVRFNGGREVVGKLMGYDPLLNLVLDDTIEYTRDMETGYVTNET RELGLAVLRGTAVILISPFDGSEEIENPFLAQ PHYBLDRAFT_119845 ANVPVHIPSQPGEVLQPHSPGAAVLTKSALVVGRELEMMNVFLG YEQANKYKIMDPEGNHVGYIAEEEGFGKSLSRQFLKTHRRMNATILDAQGQVVFKIVR PISLINSRIFIYTADDELIGEVQQRWNLVRRKYDLFTGTNQFATIDTPFLGWDFTLQD QNGGTLGNVSRNFVGFAREIFTDSGQYVLRMDAMEDSSRGMTLDERAVTLACAISIDF DYFSRHSSHGSGGFLPFPIFGMGGGEHREAEQEHQPGVDIGPAGAAGIGSGAAGIGSG AAGMGSDVSGSQQQDNSPFSDPYSQQGSEGTTNEYGDVWLSDKEAGVDSPEDGASGIL DSLSDFFGSD PHYBLDRAFT_175866 MYIEMILKLIQNNNNLDRLHRVLNTSTETNLGTQCNQLKTQLRK ALQRQESLQYDLRVYQDQVKRLRNEKDILLEEIERSRVITTSFDDSSASDVESHTSAA GIKRDRLHHESAHINDQSSFVKILGRPNNQVKKRRSQKETNSTHSTKAKKKKDPNAPK GPGNPFFLYCRMERDRIKEESDHDMNLGEMTRILGQEWKSLEPKEKQKYLDLFKKEHD EYEEALRSYTASTENTAEQSSPSEAHSDLVADTPVVDGKSQPKGV PHYBLDRAFT_184076 MADTDEFSNVQWNVQGTEDMNRNHSYYNSQSSLEEQHQQQHEQH EQQSSLILDNPLSDHLQGSLGSHDSDGFNTFHHSNEIDIAILEPSSVNVDIDISDDPL LDPQTSQLHSIQIPVQTLPDYYSHNNDQQQPQPQPQPRQQNTGETVSQSNNAKDTYSR KHIFVSDPRKETDLQQGTFVSYGITSDKPLVRRRFQDFVWLRKVLYADYPACFVPPLP DKHRMEYVKGDRFGTEFIEKRRMSLQRFLQRISWHPVLGRSNFFVMFLESSDFNDASA RALRESQETMIDTLGDSLLNAFSKLRKPDERFVEMKERIERTEENLELLEKTLMRSNK RTDDLCNDYEEFSASIRGLAELEANMNETLLHFASGVSQYAKNIRIMASKDTDWLGEI HDYMAYYSVLKDVLKLRDQKQLDVEELTDYYQTTIKEKEKMMRGRPGEGSYNFAGYFT GKINEVRGADTDKIKREKILRLDERLRELKNAKDQSDIVSSAFSDQVKKEDHFFTQSK SVEMNEALKIYTDGKVEFYDQGIQIWRDVVQALEKVDPDES PHYBLDRAFT_153170 MKLYALLSILIGAASVSGQLTAPTRNYNVTSPVSNGPYVIGQIL PCTYRLFSDVDSSALNLQVTLEPTALPSNGSLLISANADVTKTDAFIKRDGNLTYYEH SINFNIPSTVKPGNYKVVFLDKSTNTQLPVPIEVRPPAVSTSSLAKASATSIPGQTQS TGSIFTKSSDSTMNYPGLITVLLLVSVTMSAMAIVL PHYBLDRAFT_175870 MTLMQSELPILVVIVNYFVKKCVEYDSKTLYLKSVFGSKTLGTG TGTDWKLQLCSAAQGRAEKLRELLENKMLLNYTDTERELQSIFLGQQILVYVGLLVLK DSADPYFWVLDSP PHYBLDRAFT_72390 MRIDKILFILKRLQKWSSRNGIWLRCLLRLIVMRALFSLSTPNV EEHKNHSVIDRELLAFLMINVNSDIMWIDIFTKHSRDKLVNDSNGVVKTMLITAISNH IFAGEEKRY PHYBLDRAFT_119862 MAKLKGKALFAASTLLAAIGFLLFGYDQGVMSGVVSNQRFKDTM GNPDSALMGAIVALYEIGCMAGALSTGRIGDWLGRRKTIRLGCVILIVGAVLQTAAVN SAMMIVARIVTGVGNGMNTATIPVYQAELSPPKSRGTHVAFEASLLAVGVAIAYWIEY GLFFVDGEVAWRFPLAFQMFFAIILCVASFVLPESPRWLQSHGYEEECKVVLARLWTD EDVSHPRCIAEWEEIRDGIELERREGVSSYKELFKKGRLNNRYRVLLGMGGQLIQQFG GINVISYYLTDVFIQAGMTTEMAMLMTGIDGIVYFLGACTPIYTIDRLGRRKLMYLGL IGQAATLLIVGGCQYLVENGNTNASPGVIAFVMIYNFVFGAAWLGLAWLYPSEIFTTG LRAKGNSMSTAANWLGNFVVAMIAPVLFEYAKYWTFLMFGILNVVFLIPIYLWYPETK GKSLEEIEVLFSSEEVQEDSRSLSHIGSVSAYDSKRDAESLAQRPHSSRMYSFGSRPG SRRMPSSFSVVHPKSEKPNPNSAAGQVKQEYTKGDDEVKGPNFK PHYBLDRAFT_153173 MASFFPTPLCHFRGFLFSGITDALRIRSLSAKVIRAHIRLPLAQ IPPPFPNVSPAHWRRFWESRLPLRLYTIWWRLMHTKIPSSRQRLFSHNVTDIEDPYCV LRGELEDDEHLFWACAYKQPVLATSCNSAPYQSYLSYVLIPSPSIFSVDRAIWEAHFR YFFNNIPFVTNRSAFIVVVIAVSVSVSVSTSAPVSVSCGYSDNDNGSGS PHYBLDRAFT_26233 MHALNIIIIIITVGLPARGKSYIVKKLRRYLNWLQYETRVFNVG NLRRVNEASQQHDQSANFFDPDNTDMKRIRDDLAIQVLDQMIDWLKQGGRVGVHDATN STVERRKLLIDRLRKEPEIKVLILESVCTDKMVLERNFRLKLFGPDYKDRDPAEALLD FKSRVDNYERAYESVGDWEEEQDIQFCKLINVGKKVIAHNISGYLSGQCIFYLMNFNL AERQIFITRHGESMDNVTGRIGGDAPLSEKGRKYARALERFVSQQRASFAYDLASRKV KEEDDWRSYGLEPLDGKKESETIDTRTTQEKIDAKAKELSSGQFTVWTSMLKRSMATA ANFDPDVYDIKHIRFLNEINSGMREGMSYEEIQRFYPEEFHTRQSNKLYYRYPGMGGE SYIDVIHRLQSMIIELERMTQSCLIVTHRVVMRIILGYMLDWSQKEMPHMLVPIHTVY ELRPKPYGTELKKWQYIESVDSFVEF PHYBLDRAFT_72386 MQNLRAFFNLDKAERHFQDRKLPNLLWLLVQIQCLFSNLSRQQK DYSAHCRLAIKNYDGIRSISVWESLPVSPVKGYSGITIVIADIQKLALVTRESKPTQV YLKCAIREGHDVSRVPYIQGPDIII PHYBLDRAFT_153175 MLGMQCCYCLQLVTEVLAAHFTGSDLTKAEIMYRTVDKITRELV HYSFFKYSENHSAIPSWVVLQIIKKKTIMTSSLEENAALKNIALDRFETSREHCLCSL RSEELPNSMEDLINK PHYBLDRAFT_153177 MEEMHAIKLSNALELKERTVRILESIEDQAAEQMRAAQARQLLD EEEMASFKRRRLLEEEEIASAKIRRQINEEELASAKTRREMDEANTRLIKINAMIAER KLLSFDVSSISDPAARKKFLDLQPQVMEDNTDSK PHYBLDRAFT_175875 MGYYLTDGIYPTYGAFVKSLNDPRTPKEKNFAKAQEGSLDHPGC GIETHFMTACIILHNMIVEEKRKSMEMGHADDGQRIADERQCIDIDPVSTLAPVASGF GECPFPEGSFARYLQRHLEIRNRDQHF PHYBLDRAFT_189506 MSPASSDSSPTFNPTLQRSRMTKSKATSSTTAIQPLEITTTAVV MSDAMRKNTDTCPADLLPLPGTEECTKKDEGDRHREGYGWKQPVHHHTHHHSIKSPNT HTGERLKTRSHPIVNLPTSPNISPLNCSRVVTPLTSNTEPVFSVLPPPALKTTSDAMT ALQDAAKATKKKKITIPFTNTSNSLAELFESSFFSAVLLVQWSNVVGPKTEKVWSAEE MDERLEKTIGRQILNGEMGRNLNQDEVEAKWVVLHRQAIVCTGFLYNDQIVGSLCGLV LVVPTRYLRNFSQYFGVLQERVPIELVKPLVQLRKAYKRHPGMTWATALDYFTWRRLI PFVRSIMNLESVSLPTECIKISHTILNNESRQMLDSPFIAKVITSHLQTGGSTVLIGN TLTTMNMVINTLALFLSSEERSRSSHARKNHPYMADLYLQEQKQRKWKKEIDLVSETI TSKDMEDTNTKLETKVLQSSLPTTLVDMTRLVVKQTPMFPSYNQHQNQYLAYINSKLD EELCIFASGQTRSSAPSADSGWKRHNIFNRTEAIAPLVQTLLDETRKVPTRMREAYIQ QWRHLLIRKALALIKWVEEEARCFPLAQSNSGGSSDAPVTKDIVTHLMDALELNNWTD FSIILTQAEKLKKGMVMFLSTYSKRL PHYBLDRAFT_97210 MKFGVELAAKIHEPWRYSYLQYNELKVELKRRQLEQGWRERDER EFVEFLQRELIKVFNFVNEKLEGIQKRIEQSECTIQELMKSEKINTQSYELAADELAE ILFAVNDLSKFHQLNYTGFEKIVKKHDRWTKLDLRSRFYGQMLETWPLDQQRFDALIV RISNIHDLCRLRGQPRATNAYSQGGDQTAFERETAKYWIHPDYITEVKSIIMMHLPVH VFNQKKTYEDGDAAISSVYFDNASFDLYSERLERIEGAEAIRFRWYGDSTDKDNNSVY VERKTHHAPWLNGHSVKDRFRLDEKYIDAYMTGSYTADTYASDLRLKGKMDEAKIEEN HFVAKGVQDSLRNRQLQPMCRVFYNRTAFQLPGDQRVRVSLDNNMTFVREDGLDGVRR QQPEGDMWRRGDVGIQYPFRNVKDSDILRFPYAILETKIQSHLGQETPAWLTELVTSH LVHEVPRFSKYLHGASQLFKDRVPVVPWWLSELNIDIRKTPVADVGLSRSMSFKPLFN GRHRRSIVDVNYQQVLPLTVSRSAPHVSIQLTNDQPEHAFYNLSEAPKDKRDAFTRFW SGSLPNFDKKQTSSRDKSGQPVGILPIAVQRRAPLKKIDPKAFFANERTFISWLQFCA LLLTVSLNLLNYGDSVSRIIGAFFIIISSFMSIYALVRFQLRAWQMRTGNTSLRYDDI YGPVVLCVLMITALGVNFYL PHYBLDRAFT_184079 MLKDRLIPSHTKNATEIEPASAHDDLQHVHQNTHLGLDTTFWTS DDIDPLYIPRDVTYGHVPPRSDSLRRTPMASRKPRKPKVRVESMLAEDPYMEGPPILP AAPMIPEIKMDPAEMISSDFFFEHFGPLTEEEMVDEEQRIERVTRVMSRFDLSAFAQQ LNENRLSVMQPRQSHIVMTEEDEMEFIKLMAQQKIRLKKVSPVPTEVPKTERNNMNSV ELKDNKKLEFEEELENIKSGSKEPAIINKEEIIVPTGPKDSLDEPEEVEDVEEPEELN IIPRKPATEKMMIMDDINAYEEYDGTDESDYMEEIELIREFNNESHTLEVIEESADNE ELEIIDDNEKIKGKEEATVKDEDMHETPVTSPDKSQPPTSLTQVHLIIPKENPEYKST PPPTEMCNTSPLLGTSTMDEKLEVSDHIYQASQNALLADHTQDQKIPRLRRLLNSKGV SGNTRFRELLDMNAPPPQVSVTMSISSPLKQGSQEPASETLPKEPKPTRSLGLFGSLR QATRSRSQSTTSIRGLMRSWGSTGNLRRADRHSSPLGDTKEENMHGNDGRLLKPGDKS TMSRAAMAVVQHSVATKHGAPVLDEYKQGNLGLGLGPEKKAPLHEPKGSRLLSNLLSK ATVSRKITKIVNMKQDRDGKETSSERAKVIRRTIIYVPPDSANFMKILRDSPVPPLPK LNLPGASQSKPISMAGSDISEGEYGQATVITRQPSTKRYVSKPSATATGAATATGAAS DAPTANVSKPPLEGLELREMSDGSVVWGIVQKHGNRKSFYAPKNPMEAGKGESYEYVE EEDEETEEEVEERVLALMGLQPIDSPSEPEPVHSTYHGSASTSSSSSSLPPPLPRRSS RRRAASVDRTITNQRYPVSQPYKQDSHAEHVSTIARQDNSTTDIYYAPEMTLNNLVQI MASKRTNTEEVSIEEQLDQVMESFRCH PHYBLDRAFT_184080 MNTYPAEYLLHPVPVLAVYGLSADQTSTENEKIPTQKDSEESVA VISSSPQTRPSSGRQTPGNNALNLRSSLASTLHSLLHNKHDFTLYEATRYLHQNSANG AQGIPPFRVLSISKDYTLPTPSSSVHSPLSPLTPQSPLYPDGIMSPLWITKYLETPSV IVGFYELWDWSSEPGQGSKPVRETGPLGAHVMMDPTERENDVALAQEINDKRKYFQDK GIKFSAVILLKNRHTEDPSVEERLNLIRKQSGLDAKNSFFTVAPGSHHDLQEFVNTLY RCLYESALQYYSNVIKKLRKKKSKLPSPASSARPSMADLTSNEPQPLSSQGWQLRYDL KIAFFQELKQDIEAALKTCVVAYGLLGDVLAPTSSVTQGLVSLPARGKRWTEARALAD CINIKICRFHLFLNDHTAAFSQLNGHLHLFQTYSSAWGMGEQSFEYWEWLSKQYRIFA DVIDSAIQAGFKIPLPTAYLTNNGTANVPGSPLLGGSNNNLSSNSQVVGCNPGAIIQH PGFYYHLAAMCCAERRRRFLDIERSEAAKAAAANKESVAPSQLEVLLSKERQVDHSSL TIELLTKSYEQFKKYRNGRMTLYLAAEIAGTYYETGKFEMALKFFERIGKTYRKENWH MVLTSILRWSLRCAKELGSWERAVECLIELMAEELPMAKQKRADIQRELFDVLYHNEA SNTIKHAPLTIAMDQINSFLKCSVQFLNQTSFVDTPELYQISLSTDKNSPVLPFRFNA MRVLFSEPQYNCYLEDAGLDEEMKKLDLIDFSKDMTKATTGDYVDWFTKKVDLRVTEH QTRVFQGQIISKDCDGIKIVGVCLDLISPQWNVALSYSFDKLSDDQTVTRRKWLEPSG DPSGRPKFRFISGRGELSAIKITQRPPRIQLSIHQFSPALLDEDFEMCVTVTSEETER IDVTLCMEIKNAEGQAGDYAWFKEAGDKSLESARTFVIGVIEPGQSVSTVVYIHGTGS VGPRLVNLKAEYVLSSASGKAHVPKVEKSESVTIPFVSPFETTFELCAQGEDQGKTVP FDLKRTEKWLMVAAIQCCSTWNLDIESVRLEQEAFEHPYTFLKLLSKMDNFKNQVWKT SHVYNANYLFRLSTMDLTEAMPSVPVGNIVVQWKRHGQEGAYSKTVLKAPALEFQQLS LVVIADIPSELYMGEPFTLTYTIHNPTINLAEYTASIELSDAFVFSGYKQLKGRVLPL SRASYQYTCYPLVAGKVRLPRLKVIAKQQGTEKEVPVELLAVGVVVTLDNALDQQVRQ TTVEQQPTLVFVGAKRHY PHYBLDRAFT_160771 MEDDELQAIRARRMAELQAGGSSAPQSGFGGGASIPSAAGGGAS KEDEAKKGQMEEMRRTMLFQILDNSARERLARIQMVKADKARAVEDLLIRMAQSNQLR NKVTEQQLIDLLGQINQQEPSPSKTRIVYNRRRFDDDSDEEYDL PHYBLDRAFT_153185 MNKNNEAVLVNVVYTPQLSEIQTTQSRTDTAIANEPVRVTSQEH NRHQALYLLFPFKYYSYTSARNRNANKVDRFDLRYQPRQLRSKFQYPSTYLFCRAPSI FANGMWSQPYSIFTLYEAPDTGHESAAKIASKLFLSIAETVQSNTHPTLPKTFVNTLL TKCQDGQVKSILQQIVELYHGENAVDILENHFLNEKLMSLANLMSASLVSANKSVAEN VKSRVLNGY PHYBLDRAFT_153186 MRLQTDLNAPFARRHGLPVQALALIFIDTLGNAAILLNLSLFSE SVDSPQRSIDESILRALRQSGGYTCERNKALWIADSLKLQPFALLGDNQVEEIALTHC DNLMPLIAKSQDHRFCVKHVTAGKERVWDNSLISTNANSTTNIEANLMSIQNYGPYAQ KLSKRCYKQLDINSCQLLNDD PHYBLDRAFT_119848 MHTSAVRGAITRFNLPAMSPTMTEGVIHKWMKKEGESFTAGEVL IELETDKAQIDVEAQDDGVLAKIILKEGQKAPVNTLIGLLAEESDDISNVQIPESEDA PAKAEEAAPVPPPTQTKQPEAPTKSIDHGAIDTKQLKKPLSPAVLSLVLKHHITDVSA IKATGPGGRILKGDVLAHIGQIAFRPAPKFNNSAAPPRDQIVFAKVK PHYBLDRAFT_175885 MTATVLDEDFDNYSYIAGIDFGTTFSGCSCVYTKDSTDEIFDIT DWPNRGGYIYPKVPTVLLYEQNSKRVLAWGNDAIWRAKRPNCTAVLIDNFKLLLDHSQ KHSTLPNGLTVIEVISDYLREFKLYIHTYFESKLGAVYDASKFRYCLTVPAIWDDEAK AIMREAAILAGIINRRDHPDRLILTSEPEAASLYCEKKLGQFNLTHGQRFMICDAGGG TVDLIVFEIDASSGPKFLREVTKGSGSTCGSTFLDKNMRDLMKKRFGNYADSSKDVID NLLEHFVSSTKPQFDNEDDEFFILPISLNPTEIMLSDIGIVDGRIQVTVDELREDVFK PVVKQVLDLISDQIDQSQAHIDAIFLVGGFGQSRYLEKCVKDTFKTKVGSIYIPSRGE LAVVRGAVISGVDPNKITHRILRRTYGICVDSIFDPFKDPHEKRYVDHDGVPRCKDCF SIFARKGEVMPINKCVSKTYFIFYPSVIGLDLFVYDFDGSSPRYTTDVGVRKAVRSSG EAPIFPGVEQGEKVYFTTNMYFGRTEIMIEILIKDKKIIHTSDFVSHELEKLIG PHYBLDRAFT_175886 MYQWLLRAWYCCRTAKGRGIILAIILHDCLHIGGDILVILEAIV YEFITKKDVCPREFMCACVDLRTWTWNSGGTNIKRGKLNIYTLSEIKRATKVAMFNFR PHYBLDRAFT_80346 MSFNQMLSYVTESVSKNMAPIQISGEQVNQVANQAMSSIDHLVK QLPDAATIHQQSINVINYSKPKIAALSENAPSLATISGHSKHALECISSSANFAYSNL PSNEQMSSVAKTVIENVKNTEGMQNTVKGVLDHVPNSVAIGAAGAIAGGIVVPLAVAA PPLLLGFWPGGILAGTPAAAWMASFGGAVPAGSLLAAMQSLGALGLTAPIIPIAAAIG SAGGAISGAVFGYKTEPNNTN PHYBLDRAFT_175888 MHVCILNIKFPCGIQGNTGDSIIVDRISSKIKPKEEKDSKALIT INIHITTTITPTTPTTSISYLLSIMSHLPELQLQQHQHQQSDSALEKNISVKKPCKLF DLPVWIYLVCIALILGIFLTTSVTLLLNKSKNEANRYEDNIITMAIDGHSQDPGLDQV NAALQQFTVSSITTTVTKTLQLSPSPTPLSLSLSLFLLHSPSPTSIHIKQTNT PHYBLDRAFT_189513 MALDQTPQTPTWRDLLPRLGLFVAIALISLGFTATLANQVLGIT LPRTLEDAQTTATQLEKLASSSWQDSLSVAGCFSVLYLWQQAFSVPGSVLLNLLASYL YGIGIATVWTSLLTAGGATLAYALALLVGKPFMHIGWVSKRADSMTRQMKTNKTKAGL FWWLLFIRLFPFSPYWFINMASPLLGVPALPFFWSTFLGSMPYNFVCAQAGDVLGDLT STSDILSVSLVLKLLGVSIISLVPVLWGKAIQKAIRRSMGLPPSSEDNGEIEKIEGLE LGDAGYTRLPLHDRE PHYBLDRAFT_153193 MPILNILNDKDDETTITESRPPCQICQKQFSQYVCPRCNLKYCS LGCYKDLGHADCTESFYRDSVTAEIQSRNDTEQSKQKMLQLLQRFEEENKNSLDGEDE DDEDEDEDKDDLAQRLGDLNIETADAGQLWNKLSSQERDDFEMMLKQLENEDTAWSSL ELRPYEPWWEINQSLVQEIGQDNVAQENTSTKSKQSGAPEVPKVPSYSRLIGSDKAPN PAVMWTMLHTGMTYCYLMRHCMGDLNDGNVLDTLDTLEHLSRSVLFSTDPPPFSREMD VVVDLVEQILSLDSRDSKKNKKDPKRRSQLMVLCLSDGLRLLEANHMVRALGGLWQFL KDLSSIKSMDKIQKRRVALAVRKVYFHIAATDYIITRDSQSGRITLLKQALLTAQEKI RSDDRAFEREYSAAIAARQHSTATKQVKIIEL PHYBLDRAFT_189514 MFYLVPTSQHIIPVSPRTPGYDTQRLAVDKHVFDSLKPTRCRFA FLWSHSNGFHKESLHVQMKAHLDKLRQDSSYDDVEIHYVSWDARNHGESARLNENTFS NRYTWMDNAMDTLQVIEEMGLNTDYQSFIGIGHSFGATSMILAEFLYPKSFDGLCVIE PVMTIGVVDADAWNQAPVLGSLKRRDTWSSREEALETLKKRKFWKLFHPDVLNSYVQY GMYDTKEGTIKLKCPREQEYHVFQCSYYDCATAYASLRALSIPVHFLYALQSNLCCCT FKSTDTYSVLPEDARSVTGQSTMVTLKFVKGTHMAPNEDPEDIAIEAKAFTDKNNSIL HTCVREHEHEHEHEHEHEN PHYBLDRAFT_175893 MKVPALVPHVFTTKPSWWKRFSKNVHTKPNNPNQHDWRTLSPNQ PPLPKLATPNTTPNTTTNTNRRLSKGFFSNDIQYHTFDPISLSLSSTSSSNSTSFQQT PTNTYVIRRLYPILEADEEFMSTSIESSSISLPPPLPLHSETIDNQLTKILQASPPLD SQTSSPLSPSPSSSPRSLSPQPPIQLIQSIQSIQSVSPLSPPQPPPHHNIPLSISSAS SLSSSSYSPPVQWPTQQKCEPQFNPSSVNNEDQCKRKFVKMIQAAYEYHETNHQPPTE IEIIFNSKDDVNHIRLFHYINGQKADFHVFCGYGLYSDRNPQYIPPEASLGATYRNGL ADVWVLGISLYRMLVGKYPFTATTDRKLFKKMLQGDFSIPTHLSQDVKDLLRRMLAPD ATRASLDLVVFHPWLKPYSVVVPDLPVESPSRFAAVKPSSSSLSTAPPAAVIAAAGVS SASASASASASASASASASASASASTAASPQSKSKSKLKLKPSTSTPTSTATPRHIQT SNSPSSTSSSTSNPNKAKSKNKNKKKKKPKRLLRKFLLLVLQGPCPPPKQPYRDLANF GRMPTENNGLNQTNTKLTLN PHYBLDRAFT_128879 MGGHGYIGTWGNMGGPTQRGVVTYILSPFEQKPFAGAAYNAVFN TSRRVASQIPYVGTAFALGYYIYTSMNAKHAYLTSKAGHAEHGDH PHYBLDRAFT_119881 MSNYEELRRELTQQSRRKSVSAAELGASDDFDLTSFLTEQHEQG AAAGFHPKHMGVVWKDLVVQGLGADAKTISTNYTWIASTLQFWKWGRKSGHDFTILKG NDGFCKDGEMLLVLGRPGAGCTTLLRIIANMRASYTKIEGEVAYGGIEATEFSKYFRG EVCYNEEEDLHYPTLTTGQTLRFALKNKTPGKRLPNESRNEFINKILYMLGNMLGLTK QMNTMVGNAFVRGLSGGERKRLSIAEQMTTRSSINCWDCSTRGLDASSALDYVRSLRI MTDITHKTTISTLYQASDSIFNLFDKVMVLDEGRCIYFGPTETAKSFFQDMGFHCPSR KSTPDFLTGLCNANEREFRAGFEGKTPINSVQFEKAYKESDVYRSMMQERDEYEAKIN QDRPAEQFRQAMLDAHQKHASKRSPFTATYYAQVKSLTVRQFQLIMGDKGALISRYGG VVIKGLIMASVFYMMPTDGSGAFSRGGAFLFSLLFNALIAQSELSAFMQGRRVLEKHK GFALYHPSAFYISQVIVDIPLAIVQVLIFELCVYFMMGLVLDAGKFFTFFVILVVTNI CMNGFFRFWGAVSPNFFTASQLSSILLIACLVYCGYQIPYKLMHPWLFWIYWINPLAY GYKALISNELTGMQFSCEGPNSVPYGPSYTDQAYKSCVLPGSKPGASSVLGDDYLSLS YGYETWQRWIDFVAVLLFFFFFTILTALAMEYVDLQKEGSITKVYKKGRAPKEISEEE LLKQTNTINDNAMEAVSDGTTFSWHHMDYTVPIKGGELKLLNNIGGIVKPGNLTALMG SSGAGKTTLLDVLSMRKTIGKIEGRIYMNGEPLDIDFERITGYCEQMDVHNPNATVRE ALKFSAYLRQEASVPKEEKDAYVEQIIQLMEMDRIADALVGDLETGIGISVEERKRLT IATELVGKPKLLFLDEPTSGLDAQSSYNIVRFIRKLADAGWPVLCTIHQPSATLFEHF DHLLLLVRGGRTAYFGEIGKDSRTMIEYFQSNGGPQCSPSANPAEYILECVGAGTAGK ATRDWADVWAGSNEAKALEAELEKIHGSINPNHSRKATTYSLSFWQQFWFVYKRMNVS WWRSPNYNMGRFFNVCFIGLISGFSFWKLGNSPADMQNRMFSVFTTLLMSNALIILAQ PRFMQERMWFRREHAAKYYGWAPFALSCIFVEIPYIIFLATIFLFCFYWTAGLATDSD RIGFFYVHFIVFLLYSVSLGFTIAAFSNSPPMAAVINPFFTSILILFAGIMQPPSQMP YFWRSWMYWLDPYHYVIEGLVVNALDSVPVVCGSDDFIKVNAPPGQTCGSYFSEYFAS GGLGYIGNPGATDSCDYCQYTVGNDFYETRIGWSFDNRWRDFGILCAYYIFNIVAFMF FVFLFRKAKR PHYBLDRAFT_72362 MPPCNILFVQELLDKMYTSPYCRFARYPSNFSPSETQRSRQNRI LSRNPRLLVALTFVRFANLLSRGRWRQVIELSLEKLLRVIPDLDLLSIESYRWAPGIN QHKLVLLLWSIELADFMEYSISLVTEIDNRALNPKLAGTLYKMFI PHYBLDRAFT_119836 MVSSLASDQESVVAPHQLKRGLKARHIQMIALGGTIGTGLFMAS GKAINTGGPGGALVGYSIVGLLVFAVMMSLGEMAAHIPIAGSFAHFATRFVDPSLGFC VGWVYWANWAVGVAVELTGVAIIMEYWVKSVNSVVWSVICLVILVAINVFSVKGYGEI EYWISFVKILTVIIFIIVGLCVDTGAAGGVNIGTSNFHLPGGAFPGGFLGVFNVFLTA AFSFNGVEIVGITAGESVNPHKTVPAAVKQVFYRIVLFYVLSILVIGLVIPYTDPRLL KGANDITVSPFTLVFQKAGAAWAADLMNAVILITMISAGNSGVYSSSRTMLSLAEQGY APRFFTRVNRWGIPIWSVLISCAIGCLAFLTSLFGSGIVFTWLTNLTSVAGLITWVVI SITHIRFRQGYLAQGRSLSALPYVAPFFPICDIFVIVVGTIIIFGQGYSTFMAPIKGS NIVASYIGLIFAFVLYVGHKAFRRPSFVKAVDMDFETGTIKEGVFDIRDAEKVDINGV PLPLWKRVGNKIFSIFA PHYBLDRAFT_72360 MSLYFFHLLIFSEYDSTNCENLLKPTVQKNQVTAINETVATWMC RTRVLAMNWRMILLRFHTWYRFHRYVITSDKRLWLSKELKLMKKLLYNQDTNLSKSEL IDDDNTSMTLNSDNQESNYYQESVHTPVPVYYGLHSSSLVTTDNVNSINDSASADMAI HNDADPLDLPEHQTSPELPFHVNSSAKWMFKGTNISALFMLFQQSVAAIASSTLLHME TSIHEVLALSHILLLAPQQHSQLMIDTFTEVISDALTKNLVHQSLTLKLNNCDVIYRK VFLIIDSVQMHQLYNDEASVKLLQLCKHVDKHAVCVIRGIAKAIGELPLQAIKNKQSI SEYELTTTYFHPILACILSSPKKRVLLLWTNIESDASGDKRPDATLTNLTQLSYEPSL GFGEAKVAQPNTNDNYLCYDFLRLKVFCKEAIGTHHWKACFVFQIHGFTIVFYLM PHYBLDRAFT_72359 MIQASIKLPGRTPLSLSANILQDKRKYKIARFLMFESSKFNGIA IAMSRELWDDIRIGLIKVDFFYMLKMSVAPPSLCSPSWGDPESYSQLPLYLIQCFSKS KSLLSFVIYYQFYTVIGNLKNTRPIASMDSVVYTPQLSEKQTPQPNTGSFFLFFLVGQ THEEKSFLLANEPGKTFRMTGKALLSFGRHVLTCLSNSTSHIFFDKSSKLFNCAKL PHYBLDRAFT_72358 MVHRSILSHPNLNNTLLNPHILIETYDNVSNPLTPTLIPVFRDS PQHQGYKTYSPVLSPSISIPEAVPVLSPDPSISSGSKYQAGFIDDIVARSEYAYIIPI SGSRNVPLWQCINIKETFLHENQALTTDKYTFDDTMYISEPNALSNNPRMELYQDTYL PSVLKVSQQIDSISTSNLSRKQTRFVNFLPESFSEDRNHRIGQNTKSRKNQSLFRPYS QYSMNKKKRKLREECKRIYDCDKCNYWSDRRGNLKRHSAHHDKSVKKAKCPTCKKKFS SKFNVQRHVSSSFNTFVVIGEHYVDKYVKF PHYBLDRAFT_34470 MQTIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAVTV MIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVKEKWFPEVH HHCPGVPCLIVGTQIDLRDDPTVLEKLTRQKQRPIGFEAGERLARELGAVKYVECSAL TQKGLKNVFDEAIVAALEPPVKKKSKKCFLL PHYBLDRAFT_80340 MSQVSGSITNRFIQKVSSKEFRSYFLSTHFWGPVANWGIPIAAI SDWKKDPELISGNMTAALCIYSGLFMRFALAVQPVNYLLFACHATNEVAQLVQGYRYI DYHKLGGKAKLLEQQNQEKAVALD PHYBLDRAFT_184091 MTSERGRPDRQLRQPDSSRDKLMRLKRLSPQEELRAMAEATAAV IRVRRREPESMLIWGDRRKAVIKRFPDGGVEIIVPQNLTPEQEQYEFPPRSQFLKQLS TAEKRKMSAQTEPTPPSSSTSSSSGSSVMTVPFQQSQSVDLDQATISEWLNAQQKEKA ERQQIVPILLTPSTSKRSKRNPLPPKPSPKCVQPVRTQTDQQSPEWLPDGTLHLQSQA GKRWTTPAEPPPQPAPSKHYVSKKLLISARTIIPPPSRQSITSPRTQPVNVRTSRAPS ITSPRVQPFNSCARPITSPRVQPATSRTPSITSPRVQPFNSRPPPLTSPRISAITVRT SPPVPTPRLRPRQPSDAKPTPKPSTPTPLSSMVERTQSAKKTIVHQHMRISERGNHLA RLQQQQQAVTATATATATATATTTTKINESQDLCTKKDASFSSGYLAKDMYIMKASA PHYBLDRAFT_72354 MAGSILEGWLHRMVRKTLRKSWKRCYAILSHTRLSFYKKPTDTK PLGTINLGQHNVHPHCTKRSPFAFSIESHSDRYIFYCETRLEAGMWKEACQQLHESSY QLPDDPYFTTYGSVLDKWLARLDTHSLSPPNILSSRGNTLQLRTDDPPDTFQTQNTPM SRFSSIWPFNFGKILFNKRRTTYDEVVNTIETDSGYTSL PHYBLDRAFT_184092 MLKDYLHRLYPWQAAKLLFSPPSARLVLRPPRQPTTQAQVENLL LTIETTNPESVSGFSVHKQPSVIANAGKYNLYRFLMMRKVESICLGVFLKGRCKTGDI VCLYPGTVYLPFEPLLFVSISNRYILKCYDGVFVDGKSTGLSGKVFNSLYHRENWPGA IQTSDKTWMTQSPKNPFAIGQYVNNGTQINPSNVRYQELDLLPSFPVGLRQYLPNIYW ASMDPMSTPFRIVALVAERDIKDEELFSTYMDNSGERQESI PHYBLDRAFT_137656 MRQLKHHEQKLLKKVDFLQWKKDDSIREIKVIRKYHLQKREDYQ KYNKLAGSIKKLANKISLLDSRDPFRAEQEKALLNKLYTMGIITSVSKFSDCNKVTVS AFCRRRLPIVMCRLKMAETVKEAITFIEQGHVRVGPQPITDPAFLVTRTMEDFVTWVD TSKIKRKILKYNDKLDDFDLL PHYBLDRAFT_184094 MNLKEKVKPPRSLRSTRLSVVKNKISTPINFQQSAITPKIVAKA LFDYKAQTQREISFHQGDYFHVDKTDNPHWFEAFNPVTHAKGIVPVNYFQILEKNDTP NHLGDPTRTETQLPPVKKMQPLYGVVLYDFQAERFDELDARAGESILVIAQSNVEWFV AKPIGRLGGPGLIPVSFVEIRDALTGHTITSSQPSNPLPRVEEWKRMTMGHDNPEKLH NPSSSALYYAPNTIDTFSRIPTHNSVNTLLGSSNAGSGAGGSASASASAGAIITTAEQ IAQEIGNLSIGPRDNSRDNTRSRVVAATVDSYVPEGDQFWFIVFAQMSDRRHRVLYRL YEDFYDFQVTLLQDYPIEAGKTGKPRILPFMPGPQTEVDERLTAKRQTELNIYCQELF QLPRYLVESPLVQDVLFVLHEGDVEIDYDPRTGAPRPESVELSHSILIPEAFGSSTMN TFTPFGRNSNPIKDISQTNTATATATATASTTTTAAKNAIGSSNLIKVKIAYKEEMFA IKVPIDCSFQKLHDRVQERLGGSNVRLRYKDQTQGESLPLETESDIAEAFESSLKIGK LILYATPL PHYBLDRAFT_34462 MLRLSSKFIAPAVNVTRQSVRASASVPVIARRSYTTEQPIPTPG ASAAVDPKISAIVDQIETLTLLQTSSLVSLLKTRLNIQDIAMPVAVPGAGAGAGAAAP VEEEKAAEKTEFNVKIEKVDAASKAKIIREVKNLAGLNLVEAKKFVESVPKVLKESLP KEDAIKLQKALEALGATVVLE PHYBLDRAFT_153211 MRSETIDYKKHVYAKLLKKAAESNVYSNVHSLPNEWQMINSEEA KSYIPNPNPRKVTFGITKRDTRTIDLFEVISLDETIKHKRGFIVNTGGSIWGLDFVPK RPSVDSRPLVEYLAVSGYRGANEEHHNINEIQKDYQNCIQIWECDRTVSEDTPTKKPR LRMCMVHNFGVCHDLKWCPYGAYEEIPTEKGTWAKLGILAMACGDNTVRLLVVPHPNT FREHKETVYLRIQDSRYKFSLPRVYCKTISWGGHSLLACGYTSGGIVLQNVKMALSAD DLPDQKDTIIHHIIHHEDSVESIAWLGSLESQYFVSTGRSGILLAHDLQDIRTRIEVN RVAGTRHAVTWTGDNLISIINSENNVHGISACNGSCFQFVKHGKYTSHFGLVWTIAAS EHHGIIVSGCSDGYVCMINSYKRPNRLRHGVLYHLIWNEETDTLRYVDGQKITVKETL RPQWSYVLDPIVSIQKAAWNCNKEGSNWVASGGSSGLCRIEFVWYDK PHYBLDRAFT_119900 MAPSECTEILSPEEEKMRTEENSLNITQTLRNSKEWHEVVAYGH LAGSARAHSLTATTLRGPGMIVRRPLKFFNADKTECIIIAHLGTNLCGHDGIIHGGML ATLLDEHLAYVTLPSLPNFTGFTANLNVDYRKPVHSNQWIVVRGKLTKLEGRKAYATA YLESADGETVYTEARALYISPRSAGPQTNF PHYBLDRAFT_160780 MNSAAYLSGEVDKLVAIPGIPFPQYYEFFMDWKTPIAIASTYAL TVSLLNPPPSASKLSRVEAKNRGVQDTSKSSGLMTAFVFLHNLSLSIYSMITFYNMAK GMHRSFNRGQTLHDAYCDKDSFLWNDSLAYWGYIFYLSKFYEVIDTIIILLKGRRSSL LQTYHHSGAMITMWAGIRYQAQPIWIFVVFNSFVHSVMYLYYAMTCVGIHPPGKRYIT TMQITQFLVGTTTAVSYFFIPSCLTTPGQHFGVAVNVAYLFPLTYLFVDFARKTYGKR KLAAKKTN PHYBLDRAFT_175910 MTSPWSTFPLSLKRTLTVKTKRATLGLYRSLLRTAQHFDNDIHQ VFLWNTIRERFRFEMYNSSRAQTLTLLLQGEKALDLLRKAQGGNRTYLERIDAMATAK IGPLKHVTQNIRKIQHPLKRALAATDARSHASRIRQTGRSNRIPLSKSLCRKLRIDTD LPLTRRQRLEYKTRIYKKKKKLRVRTFYQQAITTRNSCGYVFKRVRGWVQPLRTSMML RNLVKKKQQRFDLNYKYAWHLELVRQERIFLSNLGIKDELGDCIKGLSDALNENRNAL YNPRRTVYESKVVEIDE PHYBLDRAFT_175911 MSDQTSPPSPSSSNSYAHNAHNADNSYNTTTLKDQAVVDPSDTN ANSDQIDVIAIATELDTSRKNASTELLKVLENPYSSPDELNEAQKLVDSTKARWVAFL AAKKTLDDMNGETALQMLTAKKNTDDYRSNPDSFVPPNLPVLQLKGGSIRQPDKKIYD SIRSFLNDFEVQLIAHSLPLDKNWERLFRLTCDDMQRLSFERTNAGKGLTWKEIREKL ELEYGYPQYVWAKRFALKSITQRHDESVKEFSERYRGAAHAADLHNEDELVWLFISSL VKPVRDIALQAIVRQFGLKMPHDMKEVIQLIINSVEGESANLFLNKYTTSSKRRQHEE HRPTGSSKKYRGDPRSTRGNSNSKDMRQTHPKGRHMREGFVQMQNLMDKDNIFLSNVA KQPSLCRHCRKVPFFPGHHCPEFPQHKPRSNLTLINPNPNPNPNPSPNPKPNNETTEN TVNSRRI PHYBLDRAFT_80334 MAIFNKLTGLAQRAKDGAMTKVVLAKDKRLEQSFSEALDLDKIR LGKMSTYGLPEVISTVAYDSVASLLAVAGGSTQYSIRVFGKGMSARLQLHTLAPVKYM QFKIGSPMLIVIDRDNRISLMDLKTKQPSHVLEGDGIITCFEYCVGTDWLYLGYADGY VKVLDLKTASLSPYRIPDLWHQQMPPATHDRFCSIVTAIQIHPTDLDLLLVGYPSAVF LWSIRENSVKRTFKLPSQARLTCLSWCPEGDRWMGGYDDGCINMWDMRSDTPIFSRKV FQAPAPSSACEPVYSLAWYIDDTLQKSFVIVAGGSEIPGLFGLHVLEIDTNTKECRKQ TIVPSPVDISSFVLMPRDPYFLGARHPLGVLVLGCDGTLRAHSLAHGYPLLTLPPALQ FLDPPVSHACPVLVKPAAFELLRPPHIPTQYLPLAGGVVGSEHTYRISSNDILITLHP DSSISFYDASYTALRPLPHLTRNCRHDLQDPLANIHAFNLDPDSATLSVGFDTGAVLI YHLVEAAVPEDNIVTQCDSTLKEISDLLQDMDMLPDEQIPFEPLETPDFPVDITTFEF NQPNVNKYLPVTLIKLQDTSIKYLVSAGKDIIALATTDGKVALIDTKTSRVMFSSDMR IHVFKENIPKESVSKEPLSKENPQKENITKENAPKDTTWDDILNVGKESPNLPNITVT YLGFFTSYSQFNMIEPTLQLYVALSNGSTYHYAVNAGPSDRTWIPAIAPSIYHYGPVI DLFVLNLRGHLQTVDTTTKPIDLTQTAKSTASVTPPLPPTVDSSSPDSNVPAVGTMRP DPAASIHTTLSTSSIPASTGSVVETPPITPQSALVTEPEFISESPTKSSPASPVLPPK SSRSRSGSLNLLRKSSRKSNKQTAQSNENVPPVPKLPTGRSNDGRPDYKVQPDPHFIV LVNSNTVRVALSGYQVRLFKTAIDELEGWKTGDWIIRGQVIELKVGTCLSLLLQSGKI VLYSLPTLRPLVYLEPPPRLLVDRLHEATLTADGRITLWTGRFELEQYTYMLQNEISF GESVMLYDPGRMLGPRPVTVTPAPKKTWLGTVTNAFQKEPLSIEELDDQMGRHPPPSV EENTHKHDVKGKGVFEELGDQMNERGDRLNQLDKKFQDMSDASGDFLKAIQEYNERQA KKKWWEF PHYBLDRAFT_26281 VTYFLWRIVYHWQTFTTVQMVLYVLSLGVSLFFYSVLEGSAESR YDASGALVASGDDLNAEGLTAYMFDIIYITWATHLLTAFISNKGWYLYLVIPAYAAYK IFPMAMSYFGSKEPQTEKAETDGKSKRQAKMEKRQNKGQVKYKR PHYBLDRAFT_119860 MPVRTEEEKTVSEVLVETSNWWINMMSPTDDEMRSLGKLFRIHP LTTEDIQAQESREKCEIFQNYIFINYRTFESDYVSPNYLEPINFYILLFKNGVLTFHF QPVPHPYNVRKRIHHLKDFIHITPEWINYAIIDDITDSFGPLIQQTELEVDSIDDLVL VLSGSEQNDMLRRIGSCRKIVMHLLRLLGTKADVIRSLIKRHDDKAVELGYEVLLYFG DIQDHIITMVQNVTHYDLILGRAHRNYLGQISIELSQAGATTNDVVNRLTFLATIAVP LNLVAGLFGMNVKVPGSSEDDLVWFFWIVLGMGFFSAAMVGYGKRAGFL PHYBLDRAFT_119920 MNRDAKTVRLRDKVSFVIGVSNACVTPALAVRVPLWLPLFYTIQ VIILIALRYIIYRSKRWHYFVFDVCYYVNILVMLFLWSAPENPLLFVIVFCLTNGPVA WAIITWRNSLVFHSLDKVTSVCIHMFPPLITYVIRWMPTILCSDGDADCLTAFETQRD TRFPALAQLPHISFAQAMIYSNAAYIVWQTLYFLFIMVGRREKVESGLRLTSYSWLLN DTNGKKGFIQKAAFMFGEKYKLYMFMLLQLAYNILTTIPTCFLYSHFWVHTIFLISMF AASVWNGANYYIEVFSRRYNLEVEKMDKKNLKAD PHYBLDRAFT_95422 FTIMELRPDASITAIKKRYYELAKRLHPDAPGGDLERFRELSKA YEFLSNPIKRDTFTKTGL PHYBLDRAFT_137670 MPPNITYSSTSNETAVMNSTDRLIEATPYIDVEVLISDLFEGAF KVISGVFPKWKRQDIKFVQCKDGITNQLVKVTHQPTDMSVLVRAYGKGSDKIIDRKQE IVNIVTLSTQKMCPPLYGRFKNGLVYGYIKGRVASVEDLGRPKTARWIAQRLAKWHQV QLDDANKQGTERKQTLWDTMWNWLEQVPEKYTDPKAHNIFTSRFNKKALSTDLKNLME RLEKLDSPIVFSHNDLLYGNIIFDDQKEEASFIDYEYGCYAFRGFDIGNHFNEFAGFE CDYKRYPDRAFQLQWFEWYLVESTGKKPTPETLEHLYREVNGFSLASHFYWGLWAMIQ ATISDIDFDYMSYAVLRFDEYEKRKNQVFSL PHYBLDRAFT_175918 MAYFNTIKRSFADVDLSNGIDTNEFLEATEGMVKIFDVIGSTAF GFVQNDMNGNIKRLRLRYESNPEKNDTLEHLLEGESDESKRLATEGLLWLIRALDFTA KGLTRSLDNPDEELTYSFSVAYETTLRQYHNFLVRPVFAVSRYALYK PHYBLDRAFT_72336 MVAIFKSRTCCGFIPIKTGVFIITIFGILNKICAPFGLFMLQYV SADALLVYIYTLGALGAFVAGLYGYKKENYKLIQWYTIFYWVDCLVSTATTLMFAIKW FVYTDHSLPSNASDTLTQEDYDAMFRMEGMVSVTILAILRVVHIYFAIVLSIYYRTLS KTQYSKLAAAVDEDLNYTEA PHYBLDRAFT_178504 MPSQNLPGNQANTDRLQVWKTRLQNLTDLLVPTDYPRPLPARTV EEKATIDLPEQTLLSILQISMGTATKDGQHPTPFTILLSAFAVLLQRYTGDEEFAVGT SSLSGNPLVLKLNVDPTQSFEEIIKMVHTVEKEALDEEVPFEKLSELMDTHTDPDSRR PLFRVRFYNETDTPSQKQLSSTSANADLTIFITSPEASTSLRTSFLRPISIRVVYNQI LFSPRRIQYLLSQIKTVLETSSKQNLPVGQIPISDLGESDCPLPDPKADLHWSLWRGA ISDIFSENAKRHPQRACIVESLEDGGRVSYSYQNIHHASNLVARYLVEQGIQREDVVM IYAYRGVDLVVAIMGVLKAGATFSVIDPAYPPARQEVYLSVARPRGLIIIDRACSIAE SVREYIKKEQNVICEIPALRIDANGLLSGGVLPGDAKDVFDPIRSKAADNINIVIGPD SIGTLSFTSGSTGIPKGVRGRHFALTHFYPWMAQEFGITENDKFTMLSGIAHDPIQRD IFTPLFFGAELHIPTAEDIGIPGRLAEWMNHTKVTITHLTPAMGQLLSSHAQTDIPTL RNAFFVGDILTKRDAARIQQFAPNVAVINMYGTTETQRSVSYFVVPSRASHPAFLSSQ KEVIPAGKGMVNVQLLVVNRQDRTKLCGVGEIGEIYVRAPGLAEGYLGLPEATAEKFI PNWFVADPNQEIKSKAVVQGDAWKEFWKGKRDRMYRSGDLGRYRPDGDVECTGRADDQ VKIRGFRIELGEIDTHLSQHPMIRENVTLVRRDKNEEQTLVAYFVPVQNMSSEFASAA EDDEDKGESADVRSRHRYRRLIKNVRDYLKQKLPSYAIPAVFVPLIRMPLTPNGKVDK NALPFPDTAQFNGNAVPVKAPSADSVASGLSSYTANERIIHSIWQTLLPSPDPVIALD DNFFDLGGHSLIATRLVFEIRQKFQVEAPLGLVFAEPTIRGLAREVTALQRNDTLLAE DSLDNETVKPKEVINYAADVDVLAADYLQKSYPPLAVNKGVRTFVLTGATGFLGAFIL AKILEHKNTRVVCVVRAKNSAGAYERVKKAAIGHLVWDDSWETDKRVEGICGDLGSER LGLSEATWNDLATRADCVIHNGALVHWVYPYQQLRAPNVLGTLWGMRLASEKKQKSFH FVSSTSVLDTAYYVGFGENQKVPESDSLEGSRTGLDSGYGQSKWVAEKLIMEARKRGM PATIIRPGYILGDSHTGVTNTDDFIWRLIKGCVELKYIPSISNNVNLCSVDYVASVVA SAALYDQDSASRGIFQVTHPANFTFNNLFQTLLTFGYECKQTEYIDWRNKLMEYTLQS QDHSLFPLLHFVLDDLPTSTKSAQLDDTNTQAILAHEKVKCPLMGDNLLGLYYAYLIK AGYMPKPTLPGKALPVLDGHVTLLKRSGV PHYBLDRAFT_156790 MSLWGDKYRPTTLDKMSYHNGLSKHLSQLASHDNMPHLLFYGPP GAGKKTRLTAVLRAIYGPAVEKLKIEQRTFVTTASRKLNFTVVSSNFHIELNPSDLGI YDQVIMQDVIKDVAQSQQIDTNAKHQFKVIVIDQADELTREAQAALRRTMEKYTSNMR LIMCCTSLSKIIAPVRSRCLLLRVSRPTVENVTKVLQKVAKEEGVNLPGNLAVKIAEH SKCNLRAALLSFESMASQHPDLTLINRVDTLDWEHAISNIADGILQEQAVSRVLSVRG ALYDLLSHCIEGTAIIKYLTLNLIKHLDEEMKRVTIDLAAKHQYRLRLGKKEIFHLEA FVIEFMHEYKTYVKE PHYBLDRAFT_160783 MSDDSDHKDIESLSNESASEETEEVYEVEALLDHRVSAKKQNTV EYLIKWHNYGDDQNTWENESNIFSETLVDEYWTSKGSSRDKFLKAESEKRKKRVKKPK TNVEVKDSKRQKAISTSSDTKLSESRKKKPNVNGFPNSVREPPPGLTWNDVSVVQEVF SDQYKKLFSQVKWSNGQITFCTNESLRKNCPIPLLKYYEAHLEFQAY PHYBLDRAFT_72332 MDRSWFMNSFRENAMISVTGFIAVGLASKLVYEVFNWNYKAFLN KDEWNKKGFKKIPVPPKKYLYFGHMLSLSEDPTAQVNQWLKTYGPILHLTMGVQHWVV IADPLLASEIFVRNASKSSGRQRHIFTHTIYADGAKGLSFTDSGKKWQKSRKIAQSML SPERIEKFSGVIESITDNVVDELAKASNRDGSVCPLSFVKLSTFSIMLKSLFGKTVDS IDDPLLQDVICIADGIVKYISPEQDIGSFIPSFSWITKFSKQRKGMANVVKLRNVVLP KLVSEAAHGEVDCLVKQAYALKEEYGLDDDDLVSMMSDLLAAGGDPTSVSLTWLLLIL AQYKDVQQRVCYEIDAFVEQNGRLPSFSDRENVPYIRAVLLECIRFRSITNFGIPRFV TEDIECLGYFIPKNTVVMTSMHAMHMYPNNQTDADQFIPERFLGRTKTWAANSRANVQ ERDMYVFGWGRRICVGIHLVEVEVFNMCVRALGRYNIEIPMRQDGLVDDIISKGSVSR GLNCMPHDYTLKFIKRCNTPLDAHATKKD PHYBLDRAFT_153229 MYKGAFRAMSPCRSRKKWGPIWELNAQRDKEICWFYLLMPVFPR RQNLLNSDKGSVEKDNQCSGSAARLEKNARHLGTKRPELIFLHAKGSVESLYQPSCSA AHSRAINGHTTRAQSAVRNRKNCQCYSNKDCRHDTKERACATIFLHVESGVESKFKPE GSAARQPTPSSKLHSLDSEPLKTQYERIRAIPLVGLAWKLLYNGNETSV PHYBLDRAFT_153230 MYKGAFRAMSPCRSRKKWGPIWELNAQRDKEICWFYLLMPVFPR RQNLLNSDKGSVEKDNQCSGSAARLEKNARHLGTKRPELIFLHAKGSVESLYQPSCSA AHSRAINGHTTRAQSAVRNRKNCQCYSNKDCRHDTKERACATIFLHVESGVESKFKPE GSAARQPTPSSKLHSLDSEPLKTQYERIRAIPLVGLAWKLLYNGNETSV PHYBLDRAFT_153231 MYKGAFRAMSPCRSRKKWGPIWELNAQRDKGICWFYLLTPVFPR RQNLLNSDKGSVEKDNQCSGSAARLEKNARHLGTKRPELIFLHAKGSVESLYQPSCSA AHSRAINGHTTRAQSAVRNRKNRQCYSNKDCRHDTKERACATIFLHVESGVESKFKPE GSAARQPTPSSKLHSLDSEPLKTQYERIRAIPLVGLAWKLLYNGNETSV PHYBLDRAFT_73817 CSAIDIRRQGCDLPAKCSLIQVNQQDSDLPSMCSANHVKRPGSH LPAMVIDCSVIQVKHLGSDRQSMVIAIQDKHQGSDLPAMAIVIQVKHLGSDRQSMVKG IKQHYGRILTSFNLVLVSSHPGQASRFYSPVHGVFQIKHQDSDLPAMVIDLIYLQSPA IQIKHQDSDLPAMVIDLIYLQSPAIQVKHQDSHLPAMGIVLVSDHPGRASRC PHYBLDRAFT_160784 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGRRYTRIFKQFTKKLDFPSVYIVKAK PHYBLDRAFT_160785 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_184104 MTATPLNIDEGTFSISNHPIASMVQSYIHMQPEVEYVLSSVVEE KARRHLSYKIHSAKSMSEKLAGRNRFSRCRTRKIRECHFLFRIPNC PHYBLDRAFT_160787 MFQQVVNSLWYNVIGIKTSPPTEYFSFCGVGSISLGENVLVNIC IQKMKNISLLGQEFFSEQKKYRSSIVRVMFFLVG PHYBLDRAFT_160788 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYPLYTLGIIHGDYNGSKNKTQYS PHYBLDRAFT_102113 NLSRSVRFKKENVILVGLMPGLKEAKKSEINLYLRPLVDELEKL YKGVRVQTYQCPNSTTIHAALFMVACDIPAAQKVCGFMSNTSTNACHKCNHQFSRLAG TSLVDYSGFDFSKWLLRTKNDNCKDAEVWRNATTEAERHHFEVENDVCWSELHCLQYF DVVRCMLIDPMHNLFLGTAK PHYBLDRAFT_153242 MAAINMMYDCTVKANTGIRFLVDAHNQAIAQQALVASSVTQRVT AANLSTNRHTKGEMRAIVLLVAENKSRRRWNTDERINHPDNVEVINYLQQYIVAQPHT AGFWEDMIVQKIKNNYKTCFRAVNTTPEQASSKRRNNPIDTEMGYKPGNPDEMAYLHL LEKSVMSDGESEDEDVTPIIRVRVLQLNMLIQFIDFLAAENDKKIATP PHYBLDRAFT_74017 MRHKVVFQDDGGHLLLEYYFLQPAFCQECIYCLTSVGVTVMTSS HKLALGLPTKLAPYRSDTVYPAPLLLISIESHHLLIEHDVMSNIMHEDNVSLFKDYWY CLDNSEEYVYINFMSSLLRLHSRY PHYBLDRAFT_153243 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNKFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMDDDLDLGAKHHPLISQLINSYIKKP NFVSTDLLKVAENNNRSAWSMTGTYGDKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMVRNKAGRRRNRKKTLLDRRIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVPAYRPSWRTDELQTFISTIDELTVIHLKKNSESLKKR IPYEKEVSIPKNLAVTLPDWCFSK PHYBLDRAFT_73942 MPTVQCPSGALVRAALLLVACNIPAARKTCGFTSHSSTCACNKC NRQFPRLPDSNAVDYSGFVFSEWVPRTDAENRRDAELWRMPSSDAQRKRLERENGVRW SELHDLVYFNLVECTVIDPMHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLAD EWKSWCLVYSPVLLRGRLPEAHLGNWTMFVNACQYLSMPSISMAHLDEAHQSLEAFCR ECEKLYKAPFLSPNMHLHLHLRETVLNFGLVYGYWLFSFERCNGILKNYATNRKDGFE GTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTASTANSIATSTSTATSIQFDIN AFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYEHLLEYYRETYNDQTLVHY RQAGHSDNFVNNRIQKFESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAGQI QYLFVNTAVNSFA PHYBLDRAFT_160789 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_160790 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLQINEELKKKYDHKNILIDIPKGIHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGEREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_184106 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEDNVDAEDQVEAEDLLLFGIDSLFDSESEDEDVIEATI LDISDDKSDDVREHFSSSNTPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSMSSLNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFKRLSG RECGNALFFATSRALTIPKKIYMYNSIIKTLSIVVVVEVEEVFKRKNSRFEIRNEDS PHYBLDRAFT_175941 MSRLFFQVILVCLLLQTRVYNISKQTYSPRKYQGFHFQLKKCKT KKCTEYMKPISCVAAGQIEQHEIATKVSPLAAGPSGAEAPGMTVESLTQVHWKNRPCR RVNSCRKLKPNDIF PHYBLDRAFT_73895 MSRNINNNSVNNDFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTILKNTSSEKAEATASNAV EQDMLPGRQPTLDQLLCDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTDYLCRQEEGK KVDLPTLRTKIVQHIGNRKLQEKKTGEKKQEENRRACLRQRRVKSCERRQSALKANRA HFVNSFGENVDSILHADYMSDLDSDDEREEEEQDSSSEKSFFWRFRPSWRSEEGDRFV DELDADYEAAHDKKNNICPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_160791 MHLHLHLGECVHDFGPIYAFWLFSFKRYNGLLKNIETNQKGGFE STMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISNSQDQL PHYBLDRAFT_160793 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPRSERLKSENVILVG MMPGLKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_184108 MNPSAENYPAAIIPAHDEDAMSVMTEEDLIASLSDNISFASSTP GQFVAPSPLRPLVANAATTVLSLSEKLRLELQQHVEAVSAAHAMNDEQAAELALNKVR RVKEMIDIEIACSQYLSPSVKVVEKSSRTGGLTLNRRDLPKFQLADDVIRSFPNEEVF HSVDHFLRTFQKVIESSLQDIELVWKRFLPLCLPHSDDGWVEMDLKKCVDWNAAKICF TARHGSRLVTSRSVKEVFTMVMLPSESIGDYSKKFLQAVYDAGLPKNDARVADRFLAS LTRQVQTLLRLTMTRLDFNGETKRDWT PHYBLDRAFT_175945 MIFVFWPFGPLVFCSFCFFFISKFSLFSLFPTFIIFTDFLDTRV LLPSDASPSQCSSGLAKAISPKLLSTIKHSYEHDEPPSHEHIANQELSFHTSVIDMTI SAPPMYSLGLQINPFASGSILSTMVRIHDAFSFCLCACGKNPYTENANTSYYPAVLTF SYVRKLVLPPMNLYQY PHYBLDRAFT_153251 MEESLKTIDSNIGIVIKGNKDSLEILDSIANASGELLAVIAPIT IPASASYTTPSETFFGISSAAPSVGPVVLTGANTGELSKQDRTRVLALSQGELKKHNF KSNKPELVATNDSKRSWDVNVDYRLPPNRQPMHDLHAYLAPKVVGTSVQQTNISNCIY TNFCGTRRRHFDHRELTYHTFKAEIDVKVGKSCNGLLQKEAMSEGESEDNMPGVLSNH AICTVHPSWRSDEYNHLLAVVNDFMRNRMDFNSCQMLKRSFGRDAVLAVPPRLMSLLP HWAFRYEFQ PHYBLDRAFT_175947 MHNLFLGTPKRLMDHWIKDKDIQDGDFVAMQKTAEMMIVPDALA KDRFENWINFDNVCCLLIKPTITFDEVNTAHQFLQTFCTRCDEPYNAKILTCNMHLHL HLRDTIRDFGPVYSYWLFGFERFNRLLKNLKTNRKIRFEETFMKKFIEDVHKDDLVNS FLQSIRQTSAFPLLTKLTSSFTPATIPSICQRTFRIQSFVEASEDQNVLVKGNEPLPP SAFPLSLKSATTMSDIHYVHLLQYYKVASTRVKVNLEVVALLYKQSSLEVLVSISLHT RVKYSTFSPILSLLHPPLHPLLPCSAPIATQHNFFTILNIFLHLSNGTHPKMINREST NTLKPAFQHFLLTISNVYCQCIELC PHYBLDRAFT_175948 MSQQSAVNSTIVSGEETARVNSGVIPPPSAAGSADHDGDTVMTP LCSEDGAFDSEEGSSGSDAGRSGYEGANSPRIYLGNSGVRVNNNLVATVEMLLASAEE DLNTKKGNYYAALGHYLGVSKRDPTSTAAKSASKGAKEAQVLFAEAEQILKDLKASTA PASKPHDKRSTLVPSNLPFLQLRSEGPLVKANRDVFDSVYDFCQEFTTVLEAHSLSLD SCWERLLPTCLNKEERSWFEDKLKGKAYNWKKAESILLDHYDMPFCKFLNMGRVWCMK QGKGESARSFGAKFQKFCRQASLDDGVQLVLCFWWNLRPEVQEACLIPLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSHKGKKRSFS CERGSQSPKKAWDFKKAMKDGICFSCKAPWVKGHSCPEWEKYLTKVSRMAVRSSAGRP ARASTVVEGSPSLSWSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFSILA NNSIRTISLLDCGATFSSVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFIRLADSN TQIKRIGTCVISVTCNSKTIQCEFEVMNLTNSHEYDFSIGTDYMSSLGIGIYGLPLSY DDADSSEERREANRRFNNKSDLLESIERENERKENNPAVGPKQFEDAMDYIQPFIKDN QDIPKGSFCTIPESVVCPDTPENATAFRSPYPIPYKMQGVVDEQVKEWLDNEYWQRIS EAPEGIKDINKANKKLLKDMKVAYSAPKENSGTKRKNYAKTAYQKKKRSKV PHYBLDRAFT_153253 MPGLKEPKSKEINHYLQPMVDDLIRLYEGLAIPTFECPSGVHIR ATLMMVACDILAARKTSGFTSHNSTCACYKCNRHFPCLENGVNVDFCGFDFSRWVLRD GIENRLHAEEWESASNPSERHWLEVKNGVRWSVVIQTLGCWIYHI PHYBLDRAFT_175949 MEKRNKAWVDFYKQASLLKKFLRGRIEDLRLETKIRENLYRFCL LRKDFVSKFDQVPKLNYYCYLYYFGLENYMTGISPNEVLTSKKMTKCIPTAPRRPNLR INAVLNSTNAGVVVPIDTPTPEVAVDIASEVQVAVTPMDHVLTLLAANNVLMQSLQQN AKGVTDAITHLKNGLDLSNKTNEFLKNSAMTSQNSVMPSAVPADSSSSMDDDLDLGAK HHPLISQLINSYIKKPNFVSTDPLKVAENNNRSAWSMTGMYGNKYNKTLALALFKYLR PQRCCTNVSKSIIINIIKNHYQNQVRVFRTSAEKIMARNKAGRRHNRKKTLLDHCIIT YQTYTEAIHEGMNRYDCRNILSIEMMSEGKSDGDNKVWAYRPSWRTDELQTFISIIDE LTVIHLKKNSESLKKRIPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_175950 MYLGTAKRIMEKWRSSGLITDAHLAKMQLDADKLVLPEDCTPLG TKIGHDFPFMKADKWKSWCLVYSPVLLRGCLPEAHLGNWTTFVNACQYLSMPSISMAH LDEAHQSLEAFCRECEKLYKAPFLLPNMHLHLHLQETVLNFCPVYGYWLFSFERCNGI LKNYATNRKDGFEGTYMKKYLEEAYQGDLIHQTLPIIRPEHLAIILELTASTANSIAT STSTATSIQFDINAFLDSPEINFDIIKGNEPLPPSTLPLALKGEISMDESKYEHLLEY YCETYDDQTLVHYRQAGHSDNFVNNRIQKFESIDLLGQIYKSKTKN PHYBLDRAFT_175951 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GYSSSVVEVMSNDNDMEIDFEDKVDAKDQVEAEDLPLFDIDSLFDSESEDEGVIEATI LDISDGESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNKVLA HFGQSFCLPLSINDVNSMTGLSNMT PHYBLDRAFT_153256 MSTIPELYNEKCHCAGCSQNNLGYSFVARRTAQHHNKRARLNAI RCERDMSTQRNMIEVDNEAILTHQPRALEELYTQTNSPVWEGASMSDTEDVSVTNDAI SNSDNDDSGRNSNEISEDESEDDVVELNNNELNSEDSFATPDMLQNPVHRFIATFVVM FASHYVVDKGAVVLIEFINKLLTIYKQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYKESASVPSHCDFVKLGAHSSCNCQLTKTSALGALVAKRSYLYQSVVNALQMF FLHSGFEDKIRHWNHELKMVDTMCDIYNGAMWKELKDKDGVQFTKDSRSLMLTLNINW FQPFDNVTHSMGAIYTGKIG PHYBLDRAFT_153257 MSQTTQYSHSCRCGSNTHIRTMHRDCPLNSAVSYVQAQNYYCPS CELTGHRQSTHCSCPNNAININENMSTNNEERIKEDTSSRVVQSVPEQTVIGTCTCSS CGSNTHLRISHHDCPFNPKRVNGMNENISATLQYRIARMVPFIPENIIGPNICLHEGD QYRRHVFPPMERTCLYCNARMWIDECLQASSSTSPRFGLCCSNRKITVPLPLVPPQAL LDFVTNYDQSNQQAENFHTNIRAFNNAFAFASIKANVDKNLASGRNGVFTFCINGTMY HNIGSLRSQDPTTA PHYBLDRAFT_153259 MSVNNEVNVNFNNIDFEYESNENAEDTVGIAVEETCFQTAVCLR ILCTDLLQHSLCYLHYYVVNKGMVIFIEFINKLLKIYKQGFQLPTSLPGLQYMTEFCE LSKGIRQFVHCNNCYAIYEENQSAPSHCVFLKTDAFINNLPCSNQLKAENLILIKFIS APKKSKTDKIKNYLELIVDELIQLYCGVRIPTFVSPTDQVICAALMIVACNIPAARKT SGFTAHDSTCACFKCNHHFTHLDSTKKLYCLGYFDLVCGTIIDPMHNLFLGTAKRMMD QWIECDFFAFERYNDQLKNISINGKNGFEATFMRCFVEDIYKSNFVNSVLTCLTQALF LSVLSKLICLSTSVFTLLSASFTIIQSPFILQAYVDSSETIRISILDNESLSPTFFSL SVSKSFSMGDIDYPHSLEYYKLAYLTPNVVHYKNAATSPFFVNNQIIKLKSINILGQV YYGNNGTTGRRSYVQSLFLGSDRSIEPIFTYQIKYIFIH PHYBLDRAFT_153260 MTEICQIASNSSDKYKKLLAKIKEMEKFMINVRGKLITMQKVIC AGFGQGNEYLTYASVSLDNFSVAVSSIIRIPPGIASEISCKNKNKVLSTTCKDRENSR MQAVTNAPRRQAARETEHFDHCIIAYVLNKDVIDALIKRNCSRLMIKSAISEGESEDE FPERPCKRILKFNNIIFNIDEIVRANLGNSICQLLDRNLISLSEKPVPDDFDGKGVEP QISTPSFSCDLSPSKGSQLTNANTC PHYBLDRAFT_175956 MAIWISQGCIAKKYSIQLNMTIKMMNNPLSNMLYIINYHLMLPL LTCPNKNASAAITQHTLKKIIKMLSNSKLFAFEKNYDIYKYFASIWKLKLQQKKLMIR KAQDKISKGCYFFKGQNKTKKLVTQKERLDFLLALDERGFLEEF PHYBLDRAFT_175957 MPTSNNSLEFVMDKHCEMVSHSGSADQTQFSGIAFDPLCDFNQE IVDEMHGEVVLRSVTDVFVNHADMEWTRTSAGNPAVTNLLSLKSFLTTEVNEATTKTI VAQKPKTNLPEKVDPDSVPTAESIGRGSYRKYNQNQVNKLFSLVFSENQTAAAAARET GINVRTAQNYVRLAREKIQADFDAATVETDESNGLETMEVEEVSAPKERKHGNQKLFQ AHSAFFLKFFENKPDATLEQARIAVMEEFSGLQITKSAIQKHLVKKCALTMKKLEKLP EKRDDANTIEMRRDRILEWQQLADFNYLSNCVFIDEAGFNMHIKRTFGRSVSGTPAKT TVPTQRGVSITILGAMCERGIVSLSLKKPTAVATKKKRKLDIYTNVEVNGRIGTRTQH YLDFLSHTMDLYTKNLKGRGQIQMAIGANSVGNECKFNFYGSQSDVNGF PHYBLDRAFT_160796 MAIVESICTKEKLPFHVKSSNDKGALHIRNESQFIGGASFCLQI KGFYTLYTLGIIHGDYNGSKNKTQYS PHYBLDRAFT_175958 MACLKQFNLWFYSVLSFKCFIWFKQGGSIILKLFQYFLVTYEKD TDPHVTVDVSKIMSGFDLMTKTVFKYTICIKRVVIYLPEYHQSNCSSEKHIPPHLSMT VILCMPVQQLRIQSLSWYFLTAY PHYBLDRAFT_160797 MFQQVVNSLWYNVIGTKTSLPTEYFSFCGVGSISLGENVLVNIC IQKMKNISLLGQEFFSEQKKYRSSIVRVTFFFGRI PHYBLDRAFT_184109 MTATPLNIDEGTFSISNRPIASMVQSYIHMQPEVDYVLSSVVEE KARRHLSYKIHSAKSMSEKLAGRNRFSRCRTRKIRECHFFYLEFLIVDIITQIKEYFS KLKGRRNDQKE PHYBLDRAFT_160799 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_160800 YTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQAFNAQLYIKG RRYTRIFKQFTKKLDFPSVYIVKAK PHYBLDRAFT_70692 MLLVPSVQVKHQGSDQSPWLSVSIPSRSSFKVQVKVHGFQIKVH GFQVYQTSMSSYSFVFVYLNYPFHPGQASRFKSRSTVSVSIPSRSSFKVQVKVHGFQC SAIDIRRQGCDLPAKCSIIQVNQQYSDLPSMCSANHVKRPGSHLPAMVIDCSVIQVKH LGSDRQSMVKAIQVKHQGSTRPSMVCSVIHVKHQGSDHPSMHPEIQVKRQGPDHPSMV ISIKQHYGHILTSCNILLVFSRPDLIYLQSPAIQVKHQDSDLPAMVIGITKIDEQSHS ALFISSVESTKIMLHGSGFSPWL PHYBLDRAFT_137679 MKITDKLRKAEGTGKISYSLEYFPPKTEQGLVNLFDRLDRMAKL NPAFVSCTWGAGGSTQDRSIELCSTVQSIHGLDTLMHLTCTNMEKEKIDKALEAAKAA GIQNILALRGDPPRGHEYWTPCENGFSHAIDLVKYIRERYGDYFCIGVAGYPEGHVDA VSKSQDLQYLKEKVDAGADFVLTQLFYDVDGFAQWIKECRRLGIQVPIIPGIMPIPTY QTFRRMINLCKLHVPPSMLAELESIKSDDEKVKEFGVRLAVSMISELHTKHKISTFHI STLNLERSTRLILQQLNLVPKEKALSGENLLGLPDIKATSWGSKLVEVNLAESWDEFP NGRYGDSRSPAFGENTFGQGHVQQTSEATRKWGYPKTEEDVTNLFTKYILGKLSSLPW CEDALQTESDVISQRLVDINKRGYWTVSSQPAVNGVSSQDPVHGWGPKGGYVYQKAFI EFFVSDKQVKDLLKCLGENDEITYYAINRAGELLSNVADPDAQNAVTWGVFPGKEIVQ PTLIERANFEAWKEEAYLLWTEWEQQYPRESDTAKLLQYIGDHYWLVNVVHNDFQQPD SLFDVMISC PHYBLDRAFT_189537 MPKESSKTKRTSKVVDDGKKRRTKKDPSAPKRGLSAYMFFSQDQ RHVVKENNPDASFGQIGKILGERWKKMTDADKKPYNQKAELDKKRYEDEKAIAAEKHS DDE PHYBLDRAFT_70695 MRLSRHSKLKSIRKRLELTPPPLWKIIHKDKFFNSEVYYLYAGE RGGANSDRFLTDLDFECLENIQLNKYNTIKSKQGISGPCRSDQICICTSNNKIKIKIK IEQSLSDL PHYBLDRAFT_189538 MGALAGPDRKDINIEPGCKPDWACKPMLGFSTWSTQILDDVPGY GGRTESPWFNETNIKEISNVMRQKMPDYEYINLDSGWSQECDENGRWMFRSELFPNGL KALSSHLATNGHKLGLYMLPGIRKDAADANLLVKGTSHRLGDLVTMKRQGNGFKDTTY MPDVHNEVVQAYYDSIADLFAEWGVSYVKVDGCGPGGGDQMYPYQSPDNRACLYMLAT SFKQRNIWMELSWYLDHSYADEWAYIANGARIFIDIESYSTKTMTSSFRVFQRITQAS RWADKVVVGKKYGFFLDLDVVVVGMTVDGNCIDGLDNDDIRLSYISFWALLSSVFCIG ADPRKIPEKYLRMLCHPGIIAIHQSGIMARPIQSGNVWTNRKQIWWKKMENGKICVGL FNAHVYMLILGMNQGFVLNLTDVGITAADIEDVWTGQNLGTHKDTYKVYLRPGQCQIL MLTPKTSSPLS PHYBLDRAFT_175967 MSPQLTVVETPKQYIKSKASQLRQETYHGIALTTVTVMAFFITF YKIWYPAQVVFDEVHFGKFAGFYLKRSYFFDVHPPLAKLMIAGAGYLLDYDGHYNFDK IGESYIENDVPYIGLRALPAMLNVFSASFMYSIMKQSGYSVVTCTLVAGMYILDNSLV AQHRLILLDSMLIFYMLGTIYAYIRFHKQRHRAFSMGWWSWLILSGVMMAMTLSVKMV GLFIVATVGVSVLVDLWGLLDLQRGLSMGQFMKHFLARAFALIIIPAAIYTFWFYIHF VILDHSGPGDAMMSPLFQSTLKSSPLLMKTLDIHYYDSISIMHKDTSAYLHSHNLTYP LRYPDGRISSQGQQVTGIKAPNENSYWRIKPTKEIAADTKIPVRHGDVIQLEHIGTGT NLLTHNVASTHMPTNQEVRTVPLGERNEETLFVVYLSEHESGNVWQTHRKPFRLLHKS TAVAIWTHQKPLPDWGLGHQEVNGNKKNSESSNYWIADEILGLNATEINSKKKGELGH LPFWRKFAELQLKMLSHNSRLTKPHPYQTYPYQWPLMLRGISYWTNTATKEQIYMTGN VAGWWLSITCVFFFAGLLILDALAKRRNYEPILSAVHHRLTQSGGFFFLTFALHYFPF YIMGRTLFLHHYLPAVTASYLLLGTVFQYIFVDGIDLPISSCGSISKHKKETFITARP SFKSYIAAIIIITMQLSVFIFLSPITYGTQGLTSEEVTRHKVLKSWDLAFENK PHYBLDRAFT_160803 MMKIWSLKAKEAAAEKKKPKISAAQIRVQKDLSELAIPKTIKME FPDPEDILNFNVIITPDEGFYKDGNFSFTFAINNNYPHEPPKVHCTQKIYHPNLDLQG NVCLNILREDWKPVLSLHSVLVGLQYLFLEPNADDPLNKEAAEDFRANRHVFENNVRK TLQGGWLNGVTYDNVMA PHYBLDRAFT_160804 MSRYETLGQDVNFDYECIIDTYPDMIITVSLHVRPDQHVRSKAP ITRLFTSTRKRKETLSGYVSQYDGAIGQTRFALVHMLHACYQKPYEANFDCFNAWYDR SSREKQREKKNGPDQDILKVVGSLSIETLYLPVMDPLQPVPLTLKECNMALQAYQWEE KQQSGRDN PHYBLDRAFT_153271 MATPLDTTEQRIYYQKPDAIDSPIYDKPNAYDDDDVNLPPPARY STEIAVDNLAIFQTKDDTKVADVHSLSEITPVDIPSESQESAESLNDSQNITSALLSH APSISLQSTVSEDVVYSLSTMSTMSLPEPGSPSTSTNSVGSTASLGTKQMLLDKLRRK TKQDMLVFDIKKQSLLNSSEHEIYLAGSNKLAYRKVQPHSYSWGFQNTLSRAPGHNSG YGEPTEKECKVAEARRRAFQKEITVEYGDYHTEEDSIHGPDTLISHDLINKTNSHLLF VYETEFSSYCLRWRRPSILSHDMLCEILLTRKSRGLGSFIGKEDTPEWRVIASFKSRI MGYLLQVGQLSIDRQALVMVDRPDHMEADLLITCCTLIDLMREVVEKAVGLGNGGVAS SD PHYBLDRAFT_175972 MALPAKSPPPLPRDPSPPPGSPTPSLNTPSTPTGSPPLSPSYVA AAVKSVDNSCTSRIIDSIAGNGAPRIWKEGSSPFSVFYEVPAEGNPLRPLFFEALNTA FLLGVGRGLTYASRTSRTSFEFHLVGQEACSRACQVGFPFNGRTVFASPAIPSTFKLL RLRVSRLPLHGYADFDELAENLRRCLAIYGQVQEISLNLKYNYPDGTGTIHMLRPPNP DLHMRHLEHEIKYNETTTFLATWARMGTHCTFCKEMGHEKEACTKRPKETRTCFRCGK VGHLAHQCPRNEEAESKRPRKTARSPTHAPAPPAAQMYHGLLPSETIYGSQHAPQNIP LPPLATESLSRPRAAPGAIPGVLPANHPDFLIEAAASTGMDDNVQLVKTSDGELGNEE TKKSDDEEYYSDDDIDEVAKYFAQMENDPMDGENDGGQDPPNPALTL PHYBLDRAFT_184118 MSATSGTEVCTTNCHPAPCCIAYFLAPSPPTLAPFVKPLQTHPT ISSSPAPSKLTFGLHSGKMSLDLILLFLYSMMHFTTCLSPIPAPLIFTPPLSLAEPFW RSGDIIGPRCSTIHLLYLPPSYLPLPAWLPYLRLKNLLMTLPVLLLLSSTFSFPSYIY TSKKIKNPKTNFVTYVLFFS PHYBLDRAFT_25132 MGCCASVESNDNGSRLRNDEIEKQLRSERQNIQNEVKLLLLGTG ESGKSTVLKQMKLIHEGGFSMSERLAYREIIISNTIQSMQAILEAMDTMDIKVADPEN QMYADTVKHIPGHADQLSVMSPDVARAIQALWEDQGVQTAYHRNNEYQLIDSASYYFN TIELIGDPNYVPTDQDLLQSRIKTTGITETKFVWNGLKYRMFDVGGQRSERKKWIHCF ENVTAIIFLVAVSEYDQVLIEDESVNRMQEALVLFDSIWKSRWFDRTSIILFLNKIDI LKAKLPQSPIQRYFSDYTGGDDYNAACEFFKWRFLSLNPASADKQVYTHFTCATDTNQ IRFVMTAVNDIVMQTSIRDMGLV PHYBLDRAFT_119994 LVLLLDTGSTAAVRRTAAQQLGEIQKQHPGELYNLLSRVVVHLR SKAWDTRWAAGLALEAIAANVPVWDPKESAEGCDETLEDTHKLSFHQFDLASVLTHGK LLLGSAGKEYDIDFSDMTPQERLELQRRNLRERLGLGSQFMDVDLVDDCDLETRIKPK VTQKKPTGSPTPSPSSSSSSLSSAPITPAAAEVNMAGLSARERNMLKRKMKQDAKLNK SKDKVRVLDLKGKSEFAVPKPVKVEEKEGNYNKSLHLLLLSSHLVASNKFVVETKKPA HSHETLAERPSSEWPFKMVCEHLCMDLFDPAWEIRHGAGIGIRSIVKAHGGGAGKIEG FSVQKNEELHNAWLEDVAIRLLCVFALDRFADFVSDQVVCPVRETCSQTLGVVLQSMR PESVAKVHATLLQLITQDGPAFHERSIWEVRHAGLLGLKYAVAVRKDLVEMLVEGTTG AVILGLRDHDDDVRAVSAATLLPITAEFVRMSTEDRVSNVITTLWDCLIDLKDDLTAS TGAVMDLIAKMFEQPGVMEIVRRKCSLGDLVPRLHPFFRHTITGVRLAVLNTLLTFLE CGTSSEWVDERVYRLVFQNLVVEEKPEVLKKTLDVWRGLTIGGHVEQQLVLQGTGNWL GSWFEIAMTPVGQPLDVATHFYKPPGAFGLGGLSKADKSKKTNVTSKKGIKTSDTGPN MLLGGDDDDSGHNLDAGMISQDLSLITTEQVMRCRIACTTALGMAMSTWPDESMELSY QEVLLTLLTSQWALKRQLGAMAIEEWAKAVLKTRYQADCITMINNIQNAASHTTGFYF ELVHQLKRIRSECQSLLNTFVEAQLPAKIIPILPTYVLGEASPEHETLFGIETASWVA GEAFNVLLERLPKNKNRSATVTSLQERQRRVVAALGYYEELKQKVEINVYASTAGAIV ELGVLPSTLSSLVRSVMNSIKASAFCYTENAELQQRSAATLADLVALCARTVGRSNPN EKVVKNLCAFLCSDTTKTPVLEDNQTTLGILSIHKEEVTKPLANAKEITLTPEQKEAQ LMKRGAETALKELCDQFGRHVFEIVPKLWECMSLKLKEVFQGRSGVSEADALIARDLQ LGQEVIDALTIVNTVVPCLSQDLRDQMVSIVPDICTALMSRYAVIRQVSARCLATVAD VLTTETMQIIIERVLPELGNQMHVHHRQGASELVYHLVQMLDTKILPYTIFLIVPILG CMSDADDSVRLICTNCFALLIKLVPLEAGIPDPPGMSAELLSHRDEERRFLAQLLDSS KVENFEIPVKIKAELRKYQQEGVNWLAFLNKFHLHGILCDDMGLGKTLQSICILSSDH YLRAKRYAATKAPDSIVCPSLVICPPTLTGHWYHEVLNYTDHLKPLLYTGGPAERKRL RSTLHNYDVIIMSYDIIRNDIDDLSNVQWNYCILDEGHIIKNGKTKITRAIKTIKSNH RLILSGTPIQNNVLELWSLFDFLMPGFLGTEKIFNERFGKPILASRDSKSSSKDQEAG ALALEALHKQVLPFLLRRLKEDVLHDLPPKIIQDYYCELSDLQKNLYEEFAKSQTKNE VEQDLESDGTKSNSEGKTATHIFQALQYLRKLCNHPLLVVNDKHPSYDRVQKQLTRTN TSLHDIQNAPKLLALRQLLGECGIGATTTESESDPAAMAIGAVSQHRALIFCQLKTML DIIENDLFRRLMPTVSYLRLDGSVDASKRHELVQKFNADPSIDVLLLTTHVGGLGLNL TGADTVIFVEHDWNPMKDLQAMDRAHRIGQKKVVNVYRLITRGTLEEKIMGLQKFKLN IANSVVNQQNSGLQSMDTDQILDLFSVSTEAAESRKKKKKADEAAAAGVDGMGKAKAV LENLENLWDDKDYEEEYNIDSFISGLN PHYBLDRAFT_79908 MSTSWPTSLSSHPIFRKSDKSLLYTANILATDVPKQSLIAVIFD IYLLVAVSNEIRILNLYKFNKQQETAEEPIKYNVVSLPLIDFEIVQILPCSETGVLVV AGEYKLAAVQLQKAILDQDKDHTDPFTCFIGQPTYYTGFNNVRIIKMEWHPLSTTSLH LMILDNQNILRMFDIGTNLNEPEQTFDLSPPNTSSKSLVSDFKKNMRVTSFTTGGESS ASKQWEPLTVFYTLDNGHIYALCPVLPNHSKIKARNWLRILDLTETKLMNNKKEAFLL TESFYIKENLIRLQLEWLQRYTLPCSLYDLEDNDIYIIYDAAAVKHEFKLQKQGPFVI TGATRAASPTTDILFTHKPAINVLAIAYAHGAVGVHFLSKDIDAQWNFSAENINTCSP YKVQSRPDLFFAKNLPTATLYETIHFEPTFTSNVKLSVRLIKDPLSVYKIYCQHSGGI HALSFKPWMHKIQELDDQYEANVLRDEIMSLVESWPVEEYPTIVSRLIYSGDSKRRVP MLGAVITTKAIGYSLIGYFWDSRSAVLPLTAATVPSTGFETTDEDENEDESNLTYVAA LTSHLENIKLFNNQNELNENCRLAFPLFRISDDLADCLASNILPIYVLPKDIGTSKPE CYLDKLTANAAKTLSVIQKLDNTATEIEKRVAAQKLVYENQTNTLEKLSSKLDNILSV ETVKVYREKLENAVKNYSHLVERVKTLSRSMADIHSPDVTVEELELFKQISILEYEID KRQNDKDEFKKAIAKLHWIYSVESKKASKSKESRNLWFSSKN PHYBLDRAFT_175977 MGIRPKIPFSVLSVSFSPCVSLVYEYEYKYKYEYEYEYEYEFVF VFVRSNTTDLRKLVCSIPINWPCNNNGYFRFGIVVSLINEKTKNCLYKPPFSFQPLFL SIILSTETTNTTKIPQINSLSFYSTRNTMKPTTKITSTSAISTQTTQSSTPLSFELYY SFCPKCGVDVSQFSHEDFCPCIRQGNNKS PHYBLDRAFT_184120 MATTKEEQVSNLYSELKKTTDEYEDERSLSICDSIIQLDPDDQV ALHCKVVTFIRLDKYTEALSLITRKFKKSDIDLNFEKLYCYYRTNQLQPALELLNELK KTKKDDVGLQYMEAQLLYAQDNYEASIKVYEKLLKSTNKNDRLYEEIQVNLLAAKAGL LFANPKAKDDVKEPLYDVSAPGAYEVAYNAASVHLARSELDLAREQLESARKQCNERM TEAGLSHEEIDEELAVIAAQLAYTYQVQGRTEEAKELYESVLNSKASDAGVTAIVSNN IVAMQETKDLFDAAKKLKVATGKEAENKLKRYQKRVIAMNESLLQLYMNKHAACRDSA QRLIAKYPDSDTLYLILAAATYHQSKGEKAVEELKKYAEKKPESLAIRFATIQLQLLQ SQPAEALSTLESYLKVIDEKNKKGFYHQQPAVVALLVWLYEQTNQSEKAMETLDKAST LWKSDSSFLKSAPASIIKQTAAFKLKAGRYSEAAADYEQLVKADPTDSQTIAGLISAY AEIDPAKAEQYGDALPAIAMHHIDVDTLERVVPGVKRGYVKKDPKGTHVKKVKTKKKR QPLLPKNCDPSRTPDPERWLPKRERSTYRAKGKNKKAALRGPQGSAVEGGGIGGTGSA NIGGRGAPVVVEKEVSEPKPQASTPSSTAAPSKAAANKKKNKKKGGKSKW PHYBLDRAFT_70709 MAKKLMESYLLRVVEYAEPRYPKAIDILEDDIYDTSEKKTNYRL SGGKYVFVNNIQVPIHVKSIVITYVAVYHLIYPNKINKNGQNSPLGLPYFLPVSPSTL PHYBLDRAFT_25229 MTVSDISRRSSSTSQTTKGESVNHITSARLDDKHSLEEIVPVIS VEEETDEKKKKEKKKKEPSVSIFSLFRFATPVDKLLIITAIVCSAGIGAIQPISVIIF GSFVSELQDAIFHPETLMDKTHGLIMAFVYMGTGVLVAAYAANTSWVLTGENQTRRIR QAYVHSILRQDMGWFDKAGDGSLNTRLATDTQLIQDGISEKFGLFVMAISQFVTGFVV AFVKGWNLAVVMLATMPLMAGVGGAMGYLITLYTLKTQNSYAEAGSVAEQVFSGIRTV YSFSLQARFTDLYNIKLEKAMETGVKRGIILGLGFGSFMFILFGTYGLSFWYGAQLVI KGTFDGPTVLIVFFAMIMGAMALLQLPPNLSAVSSACGAAYKIYSTIDRIPDIDPDSI EGLNPSQVVGDIEFRHVKFTYPTRPDVPILKNLHLKIRAGQTVAFVGPSGSGKSTSVQ LLQRFYDPIDGQVILDGQDLKSYNVAWLRTQIGVVSQEPVLFNMTIRQNLLMGTTNDV SKEEMIEACQKANCHSFISELPQGYNTLVGEHGGMLSGGQKQRIAIARAILKNPSILL LDEATSALDTQSERLVQKALDAAAADRTTIVIAHRLSTIRNADLIVVMQQGDLIEQGT HNELLALGGVYSELVAKQQIATTQVGHIEEDIDEEDLLKQETLELKREQEAQRANAEF RVVSEKADNLELTRTNTNVSIDAFQIKLNKEKELKKAAKKQSAPIKKVLQMMRPEWPL LAMGVFGAAIAGAVFPCFALIFAEVITIISFGTNIAPGPFKGANLYAFLFVVIGIAAF FAFAAQVICFEVAGERFTKRLRGDVFRAFMRQEIGYFDEPEHNLGALTSKLAIDSKNV NELVTKTWGDITQIIVTGITGLSIAFSKSWLLTLIVLLMTPFIMGATAYESKIHRGFE DKTKKANEQSGEVAGEAIKEIRTVAALNKQIYFEDKYYKATDYPHQLAQRKALTSSVG YALQQGISLYTSAVTFYAGMRLMTDGRIDFGQMFTTMMAIMITAQSIGRGSVFASTFA KAKYSAIAAFAVLERIPSIDPELEGIEPTSSQIAGDIKFEDITFRYPARPDISIFNGE FNLTGKAGQTIALVGPSGCGKSTTIGMLQRWYDPISGAVRLDESNVKNYSLGNLRSHM ALVGQEPVLFDMTIGENIRFGVDDSKTITQEMVEDACRAANIHKFITGLPLGYDTRVG DKGSQLSGGQKQRIAIARALIRKPRVLLLDEATSALDSESEKLVQAAIDNILEEGGRT TITIAHRLSTIQGADLICVVKDGRIAEQGTHWELLKLNGAYSALVHQQSLNAN PHYBLDRAFT_25149 MAFTSRTIVRQNSFTRASRPWALLRNHAHSDRTLPVKSLPKPQK PFLDAYDAKIVEKDWYEWWESQGFFTASSADYYPPSGKENPFTMITPPPNVTGSLHIG HALTVSVEDAVARWRRMSGYDVRWIPGTDHAGIGTQSVVEKMLMRDRGLSRHDLGRKE FVDEVWAWRQKYGDRILHQMRRMGASVDWDNLFFTMDKPRYEAVENAFIRLYKDGMIY RDTRLVNWCCELETVISDIEASLIIVDYKDIDGRTLLQLPGRTKGVEFGVLHHFSYPI HNPDPNGIKEITVATTRIETMLGDCAVAIHPNDTRYTSLHGKQIYHPILKKTLPIVCD ESLVEMEFGTGVVKVTPAHDPNDYACAKRHGLPITSMFDKLGKLNPSCGIPSLGNDRF EARDIVVDMLKASGHYKGRDDKHVMRVAICSRSGDVIEPLLQPQWYIRCKEMADMARK QVESGEMNIMPKHHVQEWYRWLDSIQDWCISRQLWWGHEIPAYKIRLNTIDKKGKTHF TEFWVVGSTETEARQEAIALLAKEGLETENFDLVKDDDVLDTWFSSGLLPLSALGWKG RIGEPIPARYPLQLMETGYDILFFWVARMAMLASHFTKKPAFEDIYLHAMVRDGQGRK MSKSLGNVIDPLHVIEGVDLETMKNNLLVSNLAPKEITTSSLNLEKEYPTGIPACGTD SLRFALVAYTQQTRQINLEMSNVIQTAHFCNKLWNLFKFGLGRLGPADGRPMSQLSPA GLSLVNRYILSRMAETVRQCQTGFESYRLFDATDALRRFIVEDVCDVYVEFSKAALNR PKHGEDQVETLDTRTILHACMDVSLRLAHPFMPFVTEELWQHLKHNIPPKEQALQSPT LMLEQYPTPNQFECFYDSEVENHFKVVLSIIHASRSLRQGHQISVAKELPFTILCDNP DLMDPKGPLYQYLDEIKGFIKASELNLVSSDVCEREI PHYBLDRAFT_156799 MTVKVGINGFGRIGRLVLRASIEKEGVQVVSVNDPFIDLEYMVY MFKYDSTHGIFNGTVEAKDGHLVVNGHKISVHTEMDPTKIPWGKNGADYVIESTGVFT KTEKANAHLAGGAKKVIITAPSPDAPMFVCGVNLDAYKSEYKIISNASCTTNCLAPLA KVINDKFGIVEGLMTTVHATTATQKTVDGPSHKDWRAGRGAGANIIPASTGAAKAVGK VIPALNGKLTGMAFRVPTPDVSVVDLTVRLEKAASYDEIKKAIKDASEGELKGILGYT EDAVVSTDFLGDKRSSIFDAKAGISLSSNFVKLVSWYDNEVGYSNRVIDLLLHVAKVD GNA PHYBLDRAFT_189545 MTSSVSVYVSGYTSGEGKGIYLYDFDTNTGQLTAKSLVAESRDP SYIVMHPSGQYIYTTNEVGDYNGIPSGYISAYACNKEDGSLNLVNEQPSCGGHPCHAV LDASSKHLLVANYTGGSIASFPIISTDSCFAELGSAVSIKEHCALGYKATHSNPSRQE KPHAHSIDLDPATQTWAFSMDLGCDLAIVYRFNKQTGLLEPHSTFKFEDGTGPRHIKF APNNDGLCYVVGELSNMIYMLEFNIHEGKFYEVQRIPILPEDFKGESIGAEIDITPNG KFLYASTRGCDILAIYLIDELSGKLCLVEHQSTGGKHPRHFVIDPTGKFLLVGNKDSH NIVVFKIDIEHGHLKPIQTIEHPDPTCIQFRP PHYBLDRAFT_153286 MQQPPQPGFQHPGMVQPGMIPQPGIPAGNVQFRKRPSETDSLAK HIKKKRPTDRNMPPKIEAFVPESKLYTELSEFEKTLDATIMRKRLDVQEALGKPTKVR RTLRIFLSNTSADQVSSHQNTDDEHAFDLNSGNAPSWTLKVEGRLLDPPVPTKKAQPI QKFTSFFRSITVDLIRDPNLYPEGNMIVWEKQPNAADFDGIEMKRKGDTNVQVRIVLD PEYNPQKFKLSPALSDMLDMKLETKPQIVMGLWNYVKHHKLQDAEDKRIIHCDPKMAQ LFGAPQIFFSQLPELINHHMTRPDPIVLNYTIRVDKEFHQSPKAYDVDVELDSVVRQK MLNIVSSTQAQKEIMGLDDKIVQCVQSINNSKIKRDFLMQFAQHPVEFINKWIASQAR DLEVILGETKVNLEEMRQTDFYKQPWVKEAVFHYLTAKTQQRMQELMNAQRGQ PHYBLDRAFT_92678 NGDNLQFYSSSYDDQYSSSYYNDGSAPPPAGDIRSSNYDYASGG SSIWSALGTGGFADEPPLLEELGINFGHIKTKSLTVLNPFRRVPDTIMDDADLAGPLL FLLLFGMCLLLSGKVHFGYIYGVGVLGVVSIYLILNLMSESGVDWTRTASVLGYCLLP MVMLSGFSVVLKLGSAVGVVLSVLSILWCTYSSSGMFTSVLHMSEQRYLVAYPVGLFY ASFALMTVF PHYBLDRAFT_153288 MTNATLQHVADEHLDLQKLARQKESYLVYPDTTYPELEPFDHAD PGRLGDPKKASLYDNATKIFDLTPGIGTEIHGLQLSKLTDEQKNDLGLLVAERGVVFF RDQDLTPHQGRKLGEYFGPLLIHSVLGHPPGYPEILTLLSGAEEDKIIKNLSNFGRTS DAWHSDVTYEFQPPGFTFLKIDTLPKVGGDTLWASGYSAYDKLSPALQTFLEGLEAVH SGAQQINAAKIAGATVRRHDAEHIHPVVRTHPVTGFKSIYVNPVFTRRIVGLSKRESD TLLDFLYEHVSGGHDFQVRFRWEENSIAIWDNRVTFHCPIPDYIGGGKRHGWRITAQA ERPVFDPNSKSRNEEFRKRAAEKNGSA PHYBLDRAFT_70717 MPSKPRIYLMYMLSVYSVSVAGQFNVSQPKPMPEGLPAINWDIL QMDPATRENLCQRQTAYCANNCGGPTEAPKNFCNVTSMAWNCGCSKKVPDFSPYLWPI VQAECSGRSQACQRECNEQQQHQTGNPNCAVLCNDYYTCDSPNAPPSYLQTDSSSDTP SYNGPKKVVTTTPQNNSTKNANQQQTQQGEGTGQVAITWWLIFGMISMIIFS PHYBLDRAFT_33844 MYSVSTHAPVDSISLNNKLYQTNSSRKLRIPNAWHQYKISLQTF VPTKDFTYAPSTSHQPANITALHQCPVCHHAFHRPCTLPCGYTVCHACIPAPSMPCVA PDCTRTHTKEPCLNIALQEIQSITSQLSTYDSASPDSLHTLLGSCLECPICCSRIEDP ITTQCGHTFCRHCITRVSHFFRTCPVCRTELAVLCGRNNQLLSRWLEILLPETKQTSV LIPVSQPVRLLIGSLAFSSVPCAFHIQDSSLKTQSEMVMCVSRIKRSSEEGSAPFSEY GTMLEIKYNETFVDGRSMVEAVGSFRFRIRNYCIIDGCHAGQFELINDVDPETERVLE YQQIEAARTKRASMHTRNTPRRPRSVIFGHSSLVDSVAMAPELSSSSSSSSSSSSSSS SSSSSCSSASASASASASASASTSSSTFSSLPLSSSSSIISPTTSSFPTISLTTPYST YGTSVHRRSWAVQGVVQEHPSRSPWFKIYQIRQLSHTQSEISNLDRQCLSTEALLDYL DDFVCALKGGQERCPTDPFSRWLKSIGEPPVSRGRDRDGVAFSWWVANMMPLVEEEKV ELLSMQTLRERVLRIVSWIDRFQDQWAFWLHATSNLKPSFL PHYBLDRAFT_153291 MAMTTTTLSLWTAAIGRMKNTYKRTWWVRRVVPVFQTFANQTGM LSFDWCECEVRHHALADEDPENCKQKTRFANGLGYVWTGMERLVMEGSSEQYKERIPK TIDDSFKQIHSMFNMLKCIANTHLNSSFQTFLQTRVYDIQSARETIALSKVQMNDQVK YIHQQVLTTTIPTRPQERNKWLGVFNVVAYLLTALEAQVDNLVLLDDEQEGKIDVEAE EKVHVKLCHIIPDMQ PHYBLDRAFT_175989 MYKLVVKITRMLIRDLGSFRESPGICLLVFQKKYTDSYMIYGTV YFLRLRMINHLPTESSKAQANNVSKSVANLSPANSMDPTRKRSFPAAVSVLTNKRIAT FSSNNYLTAPNTPIIPEHMINAL PHYBLDRAFT_79914 MASPPPLSPSLVPKQPKPAFSAPQSPEHAASGFSEEKYKKLKRK LREIMEINESMNRDYTRTKKKIRTLTFERNLLLDNIARMEGQSSEESNSDLSEISDFE SESEDVLASTSSKSTRVARRVTIHKDKRDPSSVLSSPNLSPTRHRDLATVASAPPKRS KLSRIAVKTRRVQPIERDETGHPKLPQQIGVLTVLDLGKIVSDRDTFHNERYIFPVGY TVSRTYPSMSDPTSNTVITSTILDGGDGPRFHVVAADAPEPIIANSATGAWTVVVRKS NEIRNREHSNSASGPDYYGFKHPTIAKMIQDLPGAENLKHYVWQNFEEMEPRAAKGVM AAAEKKRGNLEQMGNANRRPPLMDGIHDDESMEDEQEDIPAIASSSDPLIMADAELAM ADDDEFDEDEEFPARHHIQHQNQHQHHQHQHHHQHQPQHHQHHNPYQAQIPINNVNED MDEEVDQLNASDED PHYBLDRAFT_70721 MANYDNPKAYYNQSGIYCQNLQSPSLILRPLDYVPAPPMTGSSN STSNSRPPINNSYSSPARMLKPNGSSGDLPPPPYSPSSPQPSAPPMPGYPSYGTTQPG LLSPALPFPVPQPETPPSTQQQMPIPHPISSPSPNPHIPRDWPTYRTPPPPPPPAWPE RRRRSGSCCQSWCKYLFLSILIWLLVLKYIGVYQSPSNIPATLCDDNSADYWEQLPPS FLITQNVKVVVEGRVTGGTIRVNRLPTNAQGTIKPTVRVSANHRNLLKEMSFRYTPGP ETLLELIMPTLLPADGCVYVDMVIDLADDAIALDINVTNLSIVVVDPFVINRVNLRTT NAKITFDAPWEGNSLSLQSSNAALSVSGLEASNNINIVTTNGNVLLTTAVAGSAINVR STNGQIIGSSLTAPLVQLKSTNGRISPGIVVGQNVVVESSNGALLLEVTANKINTHTT NDKINLTVNDVEGSIVRAVTSNSQARLIMNGFKGQFKIKTSHRNSARIKNDSDYPISY TYTSSFSKEGVISSGTGTIYVATSNSDDEITFRN PHYBLDRAFT_156804 MVALDLDACLERLYKKQLLAECVLREICEKTKELLIRESNVVHI SAPVTVVGDIHGQYYDLIEIFRIGGYCPDTNYLFLGDYVDRGLYSVETISLLTCLKLR YPDRVQLVRGNHESRAVTQTYGFYTECMRKYGSQQVWQYFTDMFDFLTLSVVIDNNIF CVHGGLSPTIHVLDQIMVIDRFREIPHEGAMADLVWSDPDPEKEEFAISARGAGYTFG SLVVDRFLHMNNMSHILRAHQLCNAGYQVLFDNKLSTVWSAPNYCYRCGNLASILEVG VNGERYFNIFDAAPENERNPQQAQLKTQIEYFL PHYBLDRAFT_2604 KQGDVRMLYNIGGVKQVAIVGLGKKSGEDQAKIQDAVRTAAATG VRALQGQGAKYIGVDVSVDAHGASEGASLAQFSFDKLKVEKARRKEIVVGPFSESSVE DITWESGQIYGASQNLARMLMTSPANLMTPKLFSDEVAYLLAGLENTDVLVHDEEWAA RNNMNAFLSVSKGSQEPLRFLEIHYKGGKESDPTHALVGKGVTFDAGGISLKPSNNMA LMKGDMGGAATVAGALYGIIKLGLPVNVVAVTPLCENMPSGDATKPGDVVKAMNGKSI EVLDTDAEGRLILADALYYVTSKYKPSSVIDLATLTGAMDVALGEVFAGVFTNSDDLW KKLDKAGQTVSDPFWRMPLNAGYLKEMQTSLVADLNNLGKGRSGGACSAAAFLKEFVN GLETPVESPGPRDTTEVVETTTNDSIAWAHIDIAGVMDSQSTHGYHIKGMSGRPTRAL IEYIKSVSN PHYBLDRAFT_153297 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVVRDASL PHYBLDRAFT_175995 MFDFFLHLQFSISLLFQTCVKDLRFLVTEPKKWIKSPSCSFVVK EYTLTLLVSGQFETLSRKKFAPCGTSLQPRQDILLNSIHNGRRLAICPHQLPPKNLFL VIRSPIFSPKFPPFLVNPLHLCPLEIVPRRTDLVWYRCVFWIHKWIVRVSKRNPSLEL LSLLKSVPNSGALSSGSQYAKMRKA PHYBLDRAFT_184128 MSASNLPFEILNRIAFLLKKSDQVTCSTVCQSWYSPFLLPMWTE VKITSRRPLLSLIKTISSSNKHGQLIRSLSVLGNARISEKELNIFQRACPGLQCLSIE KYAYDAREYDFPLDWHLWRSLTELSLRLDHWDMDEMVLIVSGLPGLKSLSIQRDSRIN TDIFNLDNMEIIHSCLPMLETLSLDCGLKVLSNSELAYIFTLPVSKSITSLTATLKTS NHAWIYYWTHKYPNLTNIKWRTESDHVGQLSYDYLLIEDTETDNAIREAFRLAGRCLS NLETVSFHERVCPYLPYYELFKGLSETGTSLKNIEYSLNLNENIPTPSTILLKTICQS SLQTLEHFKFTITGPVSDALTIPSLLHFRNLRSLSLKGFGAITPISFDALLNACPCVE TLDLKSVVLSLQSSVPLVSERHVLRSLVLDYLDTDSNMFSYISRRCRQLTYMRLTYVK VTDPLFSDTHIICIDMPYTHFKMLLLDEVFFLSHKEYHPTARARVNLFAISQVNVRSE PPPSSSLHPFNPSTRWYHSYTVYRAYRNGIRYRLLDPSDVSWIQTYLESIESVDDYPI DRYNGSDEDNRPKDDNGCVHKENWERDIPHGYVDLRCGSVGIFRTDEYFSLGDPLKEN IYNIQY PHYBLDRAFT_189553 MATTSTQTSPVSLTPDNNVTKRIIRSGQGQCPKADSIVSVHYES FLEDGSLFDSSRQRNAAYEFVPNGGKVIKAWEIAIPIMHVGELAEIVCTYDYGYGKKG WPPIVPQKANLRFEVELLGTWESAESVKQKISVALAKKEEGNAFYKQGAIEQALFAYR KGREYVVDVWDCEPEDLIKAREVTIALHANVAACYMKMRDWDKAIEVCQKVLDREPRN IKACYRIGQAYYEKDDYENGIGFVTIGLRGNPDSQELKALLAALEAKRDQWIKTSKEL CKKMLS PHYBLDRAFT_137701 MLVLAGSSSLSDFKSKRVLKAIQKQVPGASAVAALHTHFVSPRD AATLATLKDTSSEQAKILKNLLRYDSNPISAEHAKQIENFLSGNGQPTNATVVLVVPR PGTISPWSSKATNIAHLCKLYPFVERIERGAAYLVVKADGSKLTSNELTAMSNAIHDR MTQSISTEIPAYESIFKHGSPGHLTVVDLLSGQASKDTKVAREKLVKANSELGLALAN DEIDYLIDAFVGSSSGDALRRNPTDVELFMFAQVNSEHCRHKIFGADWTIDGELKPHS LFGMIKHTHQLNPQYTLSAYSDNAAVLEGSKATRFAPSSSTGNLYEHYEEDVHFIAKV ETHNHPTAVSPFPGAATGSGGEIRDEGAVGQGSKPKAGLVGFTVSNLLIPDNVQPWET DFGKPGHVASALDIMIEAPLGGAAFNNEFGRPALAGYFRTFAETVPVSETTSEVRGYH KPIMIAGGLGTIRPAHVLKKVISPGAHLVVFGGPSMLIGLGGGAASSMASGQSSAALD FASVQRDNPEMERRAQQVIDFCTALGDANPIESVHDVGAGGISNALPEIVHDSDLGAE IDLRKVPCDDASLSPMEIWCNESQERYVAAISPEKIKVFEDFCARERCPYAIVGKATA EKRLVVKDPLLKSTPIDLPMPVLFGKPPKMSRTTTTLKPFRQPFDSSLRTYLPAAKTT ADALKDAASRVLQLPAVASKSFLITIGDRSITALVARDQFVGPWQVPVADVAVTITSL GTDIVTGEAMAMGERTPLALLSPAASARMAIGESITNLAAADIADIGRIRLSANWMCA ADHAGEGAGLYSAVQAIGLDLCPALGVAIPVGKDSMSMKMKWEKDGKNTEVTAPLSVI ITGFAPVVNTHKTFTPQLKNSAQTTLVFIDLAKGKQRLGGSSIAQVYKQIGQEAPDVE DAALLKNFFTAMQAVKEGDVKKSLVLAYHDRSDGGLFSTITEMCFAGHVGAQVTLDPI VKNGDIVAALYNEELGAVVQVEESRLEEFIGVATRAGLPKEALIKIGVVTEHDDKDTI AFKYNNEAVFADSRINLFRTWSKTSFLMQAARDNAGCAQQEYDNALDAKDPGLHYDLT FDPTKDVTAPFKSSTKRPRVAILRDQGVNGQIEMAFAFHLAGFDAVDVHMTDIMAGKT TLRDFVGIAACGGFSYGDVLGAGAGWAKSILLNPHARAEFTDFFNRNNTFALGICNGC QFLSNFAELIPGAESWPTFQRNESEQFEGRTSMVEIEDSSSIFFSGMEGSKFPIAVAH GEGRASFRSQEDFSKFKKQNLASVRFVDNYGKPTQRYPYNPNGTPDAIAGIRTPNGRV LAMMPHPERVVLKESNSWYPNEENWGQVGPWLRMFRNARVWVGTNF PHYBLDRAFT_160812 MFRNQYDNDVSTWSPQGRIHQVEYAVEAVKQGSAAIGLKNKKYA ILLALKRSSGELASYQKKLIKIDDHMGIGIAGLTSDARVLSNFMRTEAMRSKMLYDRP LPVQRIVAAIADKAQVNTQQYGRRPYGVGLLVIGHDETGPHLFECSPSGTCFEYHAMS IGARSQSAKTYLESHYQEFANESLNELVRHGLQALRDTLQQDSELNIHNTSLGIVGED RDFEIIEGEALQVYLDLLGEETGRTRRSAAGVSASDSVAAEGQRTGEASGVTPMDTDA PHYBLDRAFT_153303 MTPSSLSPHYAAQSPSGRKPDLVAKEVLEWWQTNGKHPFIQPHI SSPSNVGANSPENLPLGDKVQLIRLPVASPSAVKEFSQVSSEEPAHLTTETMPHDFSE LTELASNNFHFPMLVAWKNLPATVVRDASL PHYBLDRAFT_70731 MIKHTLNNTSCKHIQMLVYTVISGSSTLQHFLVNKIVTLCLGVF VFRPSQTYYPLISSSHLTFTRISQHFPYFHFSHCTVQEFYNIFIILIPQFQDFTILLI FSLTTVFLKLTLITSSNNFKTTYILKIESSVIYDQIKMNFFKATWCLYSIYKLSLKYF YYPFIEILLSIFINTCLQIYISEVENKDLTAKVFCILVRPLNRLGESGEYVSQYLKCK GRKIVYGF PHYBLDRAFT_70732 MIRILVFEAIYHSRSLKTNRYTGLLLNIPILIIAMPTLMPTLDI FTYFVFVFLMVVKRRTNIQNKIQFFFLASLLVRLINYTLKFIEIWNHAPLPFLVLINL LPFSPLSVSLSLTTAIATAPPPPPPIATTKHDNSFFTLFF PHYBLDRAFT_70733 MDTLTTTTFSSPIPIRHPAVIIHLVFITLSFLGCYPIALIQGTR CHPNRHFVAIGLGTIFALIGSIAGLWMPSTSSGSLVPILVAIVGGLLMVLVLMQIVVG LNMTRRSLELHRLPPYSHTSWLPTQTPTRWCATNLPEYTHLIIGWSVLIVASIYLILT VVLFTESCAGFPYRQCFLPLVMGGGLLGYGTMALLHLVGVLSLPRTSTPEYYEGLLIT LWGLFNLFMADTPLLGSNWLAGNLGLLWFTGGLFSITISIQTWMPAMRERNIMNSVIV CLTGRAIMGSLTMEAYSTQVQVALGYVCIVGSVGRALQVTFRKSPSDNLPRLLPCASG ELEDEDLDSQPDAYSATQPCRHKSVFASITIVCGMLSCFMAMAAGILLMGATPGWIQH VSSIVSDPSTYVNLLFAATFLWATYILAMLTVYKTTRMMPQYECIGLDDVSHGHVQSK WTAALSSVAVAVSLSATGGVGGGGAGIGTGTGTGTGTGGSSNNGNQSPDEMTRAEIEQ SKLYEERRDVRRSRSLPLRASRSWSVRPEEAEPPLRPSQYRAKRRSLLIQSPPPQPQL PSQPQLQSQVQSHADNPTTRSRSSSAFGVGGVLSDNLFELTKDLHIRPSSWHNNNTIN MTPGSSVVSVGSNSGSSISFDTPTHSTPDSKRTVIFSLEDSPDTAETVDYKSIRKTES GKRKDRQKGKRRRTPDTQGHDGDASNSCCSSSEERSVAKYYSSERNSSDSHVP PHYBLDRAFT_57884 MAARLENFNAGDDYKIVDVIGEGAYGVVCSAIQQSTGRKVAIKR ILPFDHAMFCLRTLREIKLLKYFQHENIVSILDIVKPATLEDFTEVYLIQELMETDMH RVIRTQELSEDHCQYFTYQTLRALKAMHSANILHRDLKPSNLLLNANCDLKICDLGLA RSANSADENSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAELMSGKPLFP GRDYHHQLTLILDVLGTPTMDDFYGIKSRRARDYIRSLPFKRRIPFSRLFPTASPMAV DLLEKLLTFNPEKRITVAEALEHPYLEPYHDPNDEPDAPPINESFFDFDKYKDQLTKE QLKQLLYQEIVN PHYBLDRAFT_184132 MDSFSSYPQPAEAQKPFPGHQTQFQDVPSHPFFDVVQEQSQQMV SKAGDPQVDFDLQPGTPVPAPTTTAPLSGFYSSSGFDMIGILSRLVNRPNPQINVGPI DMSCSFLVTDARQYDHPIVYCSPTFEHLTGYKGSEILGRNCRFLQAPDGRVTSGSRRQ HTDNQAVYHLKAQMLQSNEHQASIINYRKGGQAFVNLITVIPICNEFNEVAFFVGLQV DLVEQPNAILEKMKDGTYLVNYQQMNMVPYIPGANTASEPVDDYFREIPAVASPASEG LNNQEILDLVQCSGENEQQLQQEWNKLLLEHSEDFIHVLSLKGFFLYCSRSSSDLLEH DPEELVGHSLSSICHPSDIVPVMREIKEAAGNSDKVVNLIYRVRRKYSGYMWMECQGK LHVDQSKGRKCLILAGRERPMYSLPRKELMQYGGAGVGSEFWVKASLSGLYLHVSGTS EDVVGYSSDFLVGASIFRYVLDKEVHEITRALASVKKGEICNLEHTMQNNKGQYVSVV STFYPGDGSSGNKAPEFALIQIRAKDAAVLAAIKSAADDVAMSDMTEEEVAENMFSEL ETVRGTSWQYELHQLEVANQKLREQLENLSNPKRRKQKKKKASSAPDVQKMCAQCQSK DSPEWRKGPNGPKELCNACGLRYAKSISAKTTAMET PHYBLDRAFT_153307 MEDYRHPANAINSIDASQYHTSPPIKDTTQTSLFNYPATQATAM ENEIDYGDDVEVTEPSYLNGYYKKMKGTIQQIAGIILQDGDLEGEGEQSQINGEKEIE AVSKRQSCTT PHYBLDRAFT_79921 MTNSFTESSSFTASSSYVEENGEVIHRSTNFQSSHSQSTTLTIV AHGASELINVETMGKQDPFLQFSLDYTNAKSYQKTFTHKKAGAEATWNQTFVLPLQGQ PDLYIEVMDEESTFAEVIGFAAIPINQVVHAQGATFNGIFEIFAVNGKRAGQVHLTLS AQGFPNSVTQSFEQQVIRGQSYIKEEHLKRVKSLRNKALGTDIAMGVLGSALAVGVGF LGKKTYSDHQKAEEEEAQKEAQEKEEEARRVEERETFEREKREFEEQRVAAETERKQR EEREESHRVQQTNTRRQESESHNSSERREESSSEHRESSSKSKSKKREERRNRGSGSD SNSDSDSGSDSDSDSGSGSDEEKRCRRKEKKEKKEKKRCERKEKRNNSCERKEKRGSG KEWDPVGTYSTGDRVKYHGHTYICLQGHSSNPTWKPDVAHSLWESA PHYBLDRAFT_176007 MSLLQRLPIGCLRATSIPSLGLRTQNACRPVPSTTRTFQTLSRP TVFTKHTTNHTISAVYPGDFRRRPILQTTRYFQSSKEAAPTPTKPGGTSVIPPKLDPS VTPKAVDILAETPKQRNATDWAIIRQLMKYIWPKDDYSVKARVVIALSLLLGGKLLNV QVPFYFKDVIDSLNIPLDADTTLWTVCGAAIIGYGLARLGASAFQELRNAVFAAVAQK AIRRVALNVFSHLLHLDIGFHLSRQTGGLNRAIDRGTKGISFMVSSMVFHVLPTALEI GMVSGILAYQFGTPYALVTLCTITTYTVFTVATTAWRTKFRKQANMADNEAASKAVDS LINFEAVKYFNNEKFEVEQYSVPLKKYEKASLKIASSLALLNAGQNAIFSTSLTVIML LSANSVMKGTMTVGDVVMVNQLVFQLSMPLNFLGSVYRELRQSLIDMDTMFSLENQKA LIQDAPNAKDYIFKGGNIRFENVVFGYHTERPILDGVSFTIPAGNKAAFVGPSGCGKS TILRLLFRFYQPQQGDIYIDDQNVKDLKIDSLRRAIGVVAQDTSLFNNSIYYNIHYGS IESSREDVYRAAKRAHIHDVIMSLPDKYETSVGERGLMLSGGEKQRISLARTILKNPQ MLLLDEATSALDTHTEQSLLANFRTILRDTGMTSVHIAHRLRTIADADQIFVLKQGKI VETGRHDNLLKKEGSVYKEMWTKQENPHYFSSRDESEFLPDDPNPTKKD PHYBLDRAFT_176008 MAQSKRILEYPNEPDRQSLSALLVRKNAPFNAEPTPPDLVKNYI TPIQYFFCRSHGPIPDLQDDHTFVINGLGVRGKEQTWSVKDIKQKYDKKYVMMAMQGN RRDGLHRVKHTKGVIWGPCAIGNGVYAGCRLKDVLESVGVTKDLPDLGRLHCEEDVCY GSSIPLTKALGVSLGLALINTATTYRDPFGDVLLAYEMNGEPLTRDHGHPLRVVVPGY IGARSVKFLKSITIQGFESEAFYQRRDYKILPQHAKKEDQAELFWSKMPSIGEYNVQS YVCDPADGLHSGQTKNQVVQGYALSDSLLDVSGNDGKTWVEASLYQPAADGKATHVWG WCTWTARVDTVDNVRIVCRAVDSSGNIQQEQPVWNYRGVMNNSWRTADKRPDAVL PHYBLDRAFT_153311 MNGEQLIQGFMTTPNASIRKRTVTIVPMKFFDKLRSIMGQFLRQ KMFLMAGLIPLCFPRAQGELALTMRWLKPVMDPSESGSCVTPWLQHALDPAQTHGDIR LPLLFQRLLHHHLRSSLNALHSVLYAINSIPRNSFVTATYHTCLSLSISVIVVFSDPS GRTITGWRRLLVSGAFIYDEKLGDVRPRLPTERTPSPYLVFKLFSTLSAYNHTFWSFP TQPTPRNIWHRDILNTLSFQVTLHHHAPTLFATTDRPICYTGVDTLRHFLYQCPQKLI A PHYBLDRAFT_88567 SNSLRILIATDNHLGYLERDPIRGQDSFNTFEEILLLAAEKDVD MIILGGDLFHDSTPSVSCLTKTTEILSRHCLGDRPNSVMIASDQSVNFEGSFKSANYY NPNINISYPIFTIHGNHDRPIGISNMSALNHLANAGLINYFGYCHDPERPVLSPILLQ KGDTKLALYGIGNIPDERMHRLWRTGKVVFQRAEDEGPNRWADAFSMLVLHQNRAQHG ATNHVREEDIHQFLDLVFWGHEHECRLRPENCQSFEITQPGSSVATSLCQGEAVPKHV GLMTLTGHKYALEEIPLKTVRPFEFQHIALALFSEIDPQNQEECEKFLENIVNAMIER SKKRWIRDHTEEEEDAMPLPLIRLRVDYSGGYSSFNVHRFGITFSDKVANPKDILQFH PHYBLDRAFT_184134 MALDFLSSDIIFPSDSNEPKLSASSLKKMPQPVDWALHDYYSTV LEKAHTPIQSLTDLCKLEPVTSDEMTEKAFYASVGSKVAALPFFSLSQKQDEKAIILA LASHLKPVAAALAKSATPAPMMVMPDPQSDLRAALCGALELVENDMQRNVHDTFLPLP QVLDTKQKRDSWNWKTEMLQTKLHSPVNLKQTREPQSWENDSDFGFADYTNHNLLHIN PNSPSLGFAKIDEDPVLLDSSISSAMSSEISTSDHDDHDDDQENDFIPPQTLISSRSS VSAVFPVTQEPKKEVMAPIVAQTLESTPEPIAIQKATLLTTASTASTASTASTTKVNA WKNFVDKVKNIAKPNNSKQSPSTTKKCLRFFQRRSY PHYBLDRAFT_160813 MDNHAPLAKRIKLSLEPGIEQPAVDITNSGHEIYKTEQSLPEKL MQNVDRIWMERGDWRDLSESDLKKAIESKDTEEDQAQTHENEADQTTQPTLVPTPGFD IVKLRESVLNKLFHAKSEIDVALDVINILATQSRPGAAVKDLVLPPGSLTATYVSKPK TTLKAQLEAVQLTLGLKRKQQKTASAYLKASASNLRKLVENENSFWEEALDLRRNHWL MQANSSPPIPGMTGTGPTYLVQYGFTDVGSDFNESSLGDLDRASTAESEEGSAKMALS LPHSEPKKIVVHVSQRQMGSLGLNFDVFSEGILGMTTSSRSQSQKDTAGPFNFHSPSN QIQKQLLDAQATIFDAELFADVRRFYQKHKHLTATFEWQTTK PHYBLDRAFT_70744 MTTAKFIFVSNDCFLCEKGDFITAQKFRRHLIGHDIYLPGRTLK TRYRNTSTTIYVSYEKKQSRKDIETHHACPSCLEHFVELDALKSHVAVHLPQVTAEEP EDHEEKSSTSKRENQVHETPKKEENTNTYKRLKTISLTYGQSTLDFHPATMKSYNPDK PRLPLETITELSPVVTILADYNTTLPDSLRKIVNQELEDQANLKTLNKYPGALRFLCS ALDNSLKDLPKFLWSHPLSNSWSEDEKKLVRMIQFLLTDFSSKCCRSPIFQSKSDLKF LIDQVIPIFQVLESQTDLIGFEWCDVAVDEQSLDNEKDSEEPEEIDSETTPYHDGLGY DTAGNPVLVINNTSREDIDIGPHARDDILKNMHSSIGFLDNTLRNNQFARFATLCRAE TFSIQVISKVITLSTTSLNPEEPGAYIHKERRSAEIPGSFSGRMGWIKMFELLATLFD ILNFQQNVAKTIAIENSGVDPIDKAETVREFLINLIN PHYBLDRAFT_153318 MTGASGYHDIVFVIDFGTTHSGYMWKCVHTNCAQNYGRGEFDCY LKEKEHPSTMFYKKVDYSKACFGINADVASKKTPDSGYYVSRVKLWLDRTIKEDLPPL PPNMTLVQVIAGYLKQIGLEIREQIPKRHPKCNEPSRYKYCLAVPTMWSEQSKDIMRN AAVLAGLIEKYDGPKKLSIIDETVAAALYAERVSPELKLAHGSLYMICDAGGGTVDLA VFEKDDSPGKNGLKEVTMGTGHSCGSSFLDTRFEALLRERTCRHPEYSEMDLLNIMWK FKYELKPYLYVDDDERSGHIKKINDIYIRKHPNPSKAKKFTVAEIFTKVFEPVVNKVL AMIEIQLTQLRGRVLDVMFITGGFGSSPYLLARIKDICGSRVKNIKVVKNGNRAVMEG MILFEKESRIITRRILRRTYGIKLCSPTDELDDNNKTSTQDKFHVYIRKGYPVNDKVW ITRNLTWKKDSLPIISLYAYDGDEPVPEYPTTEDIDLVAIFDTQFPIAENKTTTHQLM AMEMRFDIDKIDVKVNIAGREFKYFTAWDVIEKKQTLAYTELISPAGKMRKWWRIDGA VNCLP PHYBLDRAFT_70747 MYFCHLRNKNSIKSNYYSLLIEEGILNTSTKKIKNADNLSVAHC EFFENFLVQQGDPTFIIGWPPMEIAHKPTFENTRIEDGSDWAYALVNWSDESRLTVNG IDERARATRRVDEIYSKKSHRGNQVERGALERSVNNESASIRDVCDLKVSVQRERGRI YFDLTSTLTGSMEQQC PHYBLDRAFT_176016 MAQEPPNTHLNKLQVSLESSFAQIDSRVATSSISTNEAKTTTEF STSTIPTSSQSSGPGKNGSSVDQTSVYNEITKEESSMYTENDVNESSENQGNFLLTKK QLRIMFIKRFGFIIVVDALLPIGLYYILKSHMLAVWALVVSTAPVVASVIIQAIFLRR IDPIGLAVVFGFILSVILAVVDKDPKLLLMRESFVLAGVGGICALTLIPIRYKSFELR PFTYYIAIDIIPMRQVTFQDPDKEPQKRIAFYWVNSPFCRFHVRVLTAVIIVFLEAEF GLKLFYIFTFDIDKIVILSNSTLPAIAISVAAFAGFYIYWIGKKLQKLEPEMMKAAGA IH PHYBLDRAFT_176017 MTICKSNCLVFKLQVKNPIVNINASLQDLVTTSSSSIREGYVTW RLQRLYPGLLRHTEYGEINEPSLNIMNQDWKNKYYIRIACGQLLTGSIPVKGNEAFLI NCIQLSNCKLALDPHYKCFGSRYIVVSCPSMNNKYNSINMLLKADVN PHYBLDRAFT_120045 QLTEALNPTAFEAINESHLHSHHAAMKGVTEKETHFRVTVVSEE FAGKSMMQRHRMIYKLLGEEFGQGLHALSLKTKTMAELEKSK PHYBLDRAFT_176020 MSNQDLASYLAFAIKLARDSGVIIKNALDSRMAGTGARIEAKYD NPTDLVTETDQAVEQFIKERLASTYPDHKFIGEETMAAGAQTEFTAHPTWIVDPIGMV KIPFVVPYSNVTRVCLLDGTTNFIHGFPFVAVSIGLTIDRESVLGVVYNPLLDELYSA VKGQGAYLNETVRLPLFQPAPPLVDLGHCLVATEVGSDRTPEVLTAKMETLHALMRKK RSVQDKGSAEAHSIRATGSAALNMCCVAKGVVDVYWEVGCWEWDVAAAIVILREAGGL VLSGHNDRQEQDVGLFGRKFLAIRPAADKPCQLKIAHEMWDIIPAIHAPRPHVPGTEL A PHYBLDRAFT_137708 MTRLMRLCVFLVVLLCLVGMVPADRLQKRVPQEAAAPAASSAAV PDVPASSAPAAVASSAAAPAASGAGSVAAAPSGVVSGVASQATSAQNTAPNTAPNPVQ SGASGVVDGSVAALPTSASYGNSIYPGQATFIAPTGSKSIKPLYRIASNENVTFIWGY TYLSVRPVQLTLAAVGPQSATIPITVLDGAATSAVWQLKDVPQGTPMMNGYYKIQLYD QRGISAIGQPGWLSPCTTLTIAFYNPETYVPLTDSNYCPTCFYSAARHLRDSFGPLGI AFGLACLTSALFIYGLQ PHYBLDRAFT_153323 MPKTIPSIKSSELPYEILSTIAFHLSIEDTLSCTVVSRAWNGPF QDSLWRCISIKRPKTLATILNQFTSGEATYRSSDTCVRSLYIQENACPNDQHMHVLQQ RFQKLKDLYIRTHDPDFLHAKIPIDWGLWKSLTNLSIVWMAADGQSVVNEFLKVISHL PQLTQLDLSITVDVESHVFTWKDMEALHDYLPLLEDLTLTAKFGEIKDEDIRQIFFAN QASTLRKVCFGYGSWKPFWVLYILRKYPCMHTLEWRAYSNRALSHMDQFELMLILPSL PHAAQYLEVINIQTCSDILYEPVSNGVMLKVLRRDGKDIKEAYFSTVGEGYQNDFYIL NRELLRQFLFSNSAALEGFTLDICNTRNTPISWSAFHSCKNLLELNVHALENVSLNDI LDHCVSLKKLKLRSPFATSENFVSGNHTIHGLEVLVIEDANISNESMKYLVFRCRQLI ELKLRGVRIKTVIPLDNRSISFDVSCLCLQSLELTDVRFYKHTTTFYETTGTRINYIA LCLQSQITLPEADITAIATFPSTPDCTKCIWILQCTCSGAGNKHNCTRILRDDEASYC HEYFTMCQKSTMNNNNIQQLHLPKDKPAFIKANRNFNLSTGYVNMLYRHIDRQAEYSQ LRIAYQCFATSMEGKDSVSFKGQHAGFVGVLYRALRNRRMGGDLLVADVGEFRKSKPI LNHLYSRIEHGSLYAGI PHYBLDRAFT_70755 MSTIQRLIAVTDAYLYYISKLIVDEGTGRALVYQSEFDPISYPF RRQGYMDISTLPDEECKYLFKFTFSQIQSLAVLLELGEKVCFREGSPSQFFIPSTEAL TIMLRRMTFPARVANLTLFFGRSECTLITIFNEMIEKLYERFHTGLEFDTYQFREENL VRFSEAISKTAPTSECVGFIDGLFNRSAKPDCDQEVISNEYSSEYGLKYQAVVTPDGI TSSIMGPEIGNPKDMNFYENSGLENSMREAFDFKSSNGPCYYVYGDKNYTESALIMAP FHKLPLDEVELDINKTMAGLHRVVGNEFTHVGNLWEFLRYSQTQRSDQSSFELYYTVG TFLKNIHVCYNGGNHTSRMFGVTPPTPDDYIYGLLCQ PHYBLDRAFT_70757 MFMFEGGKLSSIFNKDLVMKWSEKLENSRFFTRQQVLYLIWKDS FWRLLITCKDHENCMMDSSVNIKSNGSIEIGVSSQSVDTTGPRLEVSQSVYICETYIV ERIK PHYBLDRAFT_176025 MIVVNGIIGINSAVFGMWYYANESARSFGDPSWLCFMRRNFTAS PEGIREGRIHTLFTACISHSSVVHFGVNMLLLHSFGTALALSLGAMRFLTLYAGAGVA GSIASVFNNAYIRPAVERRLGYEHKKRASLGSSSSGMGIAAIYALAYPGSQFLLLFVY PIQATVCVGLLATFDIVSCCFMPNNFVDSAAHLGGLAYGLGYWFLRIRPQVRLGRIRF PHYBLDRAFT_70759 MAYTKKAKNFTKAGVKAKPRKTATSVVSVTVATKVEKPNKKHKQ RERISSEAINPKYAKKVGSKVDLSGMELMPKFIKDFMMEVIAKAAGKEQEKEDAKIAR KVAVQKYLESKKEKKEDKKSLKQDKLEQTKQKLVEAQKSKKSDGRKAKAQAKTEASRP KKAEPEKPTKRVRFA PHYBLDRAFT_79926 MSSDPTQTLYITNLSGKTHTPGKWTSGRISHVHKLKASLYGLFS TYGVILAINAINTEKMREQAFIAFDNVASATSALRSLNGFTFYDRPLKIVYAKTKSDV VAKLDGTYRPKVADKKASSSNRTLLGKRAVGDDLDDAPMKSRKIESDEDDDSE PHYBLDRAFT_137713 MPSSYIIIGGNGFLGRHVQEQIRLRNDNSTIAVFDIAMPAQPEK DVKYIVGDLRKYEDVLAALDGIDAVIHTASPPHVNSSNPPRDLYFSINVDGTKNIIRA CQEKGIQVLVVTSSGSVISTGEPMVNVDESAPYPPQAIDVYTESKIECEKVVLAANGI EGIRTCTIRPSAIFGPGDRQLIPGMVEVCQKGQHRFQIGDNTSMMDFTYVGNVAYAHV LAAEKLSDPNSPAAGQAFNLTNGTPVPFWDFASKVWEVYGMHMPKSKKIILSYNASMV IAAISETIYEIKALFWDKSELKEGMSRSRIKQAMSSRYFDITKAKTLLGYEPQIGLDE GIRLGVKWYKENNEKK PHYBLDRAFT_120007 MDPVARKKLNLKVLQKHDPAINDILDQSAHAVVYKFEPENKSWD KLGFEGVLFLTRRQVAPYFGLYMLNRLVTENFSLFLTDFEEIELKDNVIMYQTKQGRL KMMMIVCLI PHYBLDRAFT_184142 MVQLTLEIAGENNKSLVFCYYVSGHGWGHATRANQIISDILKLP ANHTVYVISNASEFIFRGVTAVGAIYRQADIDAGVVQPLAYTVDRSQTIANLKHFIER RPKILAAEIDWLKQVKADCVVCDAPFLPCAAATGARIPSAIASNFTFDEVYTGLCEGD EIDDEIYDLVEKVVADYRNADLLVRLPGAIRIPSFNDTEPLVPATPITGTFSEYKSVV NGMINIPSVSISNVPSSKPTVNLPSYERTIVDVPLVFRKYHNDRETVLSDLNIPKHIY ETHKVLLLSFGGQNLGEGDWSKTLPDKWICIVCGTPASVNLPPGFYRAPRDAYVPDLT NAADVVLGKLGYGTCSECIGHRTPFVYVSRPQFVEECGLLKLMKDQGSVVELSRQDFE AGIWAETIEEASKLSGHCSDPSRSVTYNGGIVVAKLLEKFAHNWEILAQSRNSISEVN DTLQQIQQSSASNNIRAQ PHYBLDRAFT_153332 MYNEILSLKADQENFKLEMKTQIEELNEDLVIEVTSNEKATLNM CTKLICDGMAAHPSVIALGPNPSWGLLYPT PHYBLDRAFT_70765 MQRIINYPKNSRVVVSAPKGPGQHNFAFDNIGKTCSLCGKDFDR VWNLQRHLTKYHKQTTNTAKPTAPDHNDDSVNKDLHVESDLEDDNSSDVDDMNSDGDD NVSEIELNASESIIEMDEDTSPFESPSPDNHLYMHIRNSMLSSASNTSSSLDADLNLL REATRSHTTWNQYISDTHLFPDLQSMVLLAFVDGNNNMISRRILKKILFTISLVLKLH EEAIQKKSLFKLPRLDALLNYQTRKKSKIPVFPSTKVDIQLPENNIMSAYINLPSDHV PHYBLDRAFT_176032 MFTHNGVDFWSGDIVNFMNGSTSARFLVESFHTMDNSAVFVQGY MVYILEGGQFIGIEVESTSIKLETLLGVDSTPVDVALCYSVSPGKVFHLIPRYKSLLE EPHFLKWHVLDETGKPIDPKLFYKVRILPIILFTNDTSGNRSKQYNPYESWSMKFAAL SYEERSSIENIHFLSAIPKKKGASGMSLLPKIVEDFKRLENGLVMFSAKDNENVLVAS PLLWIEADTPCHSELCGLRVPTSLYPCRKCYVRLQRFMPNLQSSSYYTGRHTARTKAH YLAAASTSGRGSTIPDAPLTGNALTASDLCFANRATDALLELQSFDPSTDTPVEVLHN ILLGVAKYLVNYLVKIVLKKNPNQMARLSKALKDYENSQGMSRKFTREAVRYDYNMYI NEVEKAVTSLIQELHHYDITCEVEGHNLYSSKPKVHLLTHLPNNLRRFGTALYYETEK DEQFNKHIHEHLMHTNRLNTSRDQKHSDNTFVVFMLKESRDQHAHPFIGKVSSLGVEH Y PHYBLDRAFT_176033 MFSNSILDSYQCNFCKEHHPSLKKINNCRVQYFKNCCRRHNDIQ TSQITYVPEQVSIVLNTVSNKSIIRENDTTNDDQTVNSFNNSDNNESMYSIEYDNTIE ESVAMKDIEDVTTPMVYDFSQPLPTPSYNDAKNLKIIQIIKDFDISCKAHEKIISHFN KILATSTDITYRVCTPYLGKKLLKRFSRIEEKKYNVCCNSCMLFNDEHETKYSNCSKD CYKSTDDNNALVPARTMVQLPLVTVIRTLGSFCDFHEADESSQRELIGQSPLATLDAF SEPYFFALDEMHSICHGVAKQVWGLITGKYRKKHLLVLSVEVQKEIGAAMTLTRKTIP KSFYGAWRDVSKNTEYFKAVDWTHFLLELVEWNVYLETLLAKDKVDLNVFTINQNLLQ HYPDMIEAFGLPRSYCAILVERAIDEYSNAIKREECSMIEAAIQTSHKVFDGCVIDSA LNQNYVREAHNIRLQIQVDEKYNNGQMKPMVVHLADVKELVGLVKLGATINTITTTAT TYVV PHYBLDRAFT_153337 MSTYFFYENGQGKIYDEQGNDAMEWSEEVDPFYLKTLTTLREYQ QAQPSEQEQMRGELDENMNEVSLRVNEEDNNLFKAAKAARFSGVSERTGQQWAKRIRD EPEWNIFEKQTNKNKRKTGQLQEEHKEFIIDLFNKNPQTCVEDVVVSLERLFANFSLK ETSVQRSSGKTLQKRFEWVEQWTTTDMDFLSNCVFVDESGFDINMRPPSAWSKVGTPA IVETKSTKGDSHSILGAISSIGVVDIELRVTEKPKQRKVDGVGQQRKQTSNQKRREGA KTGHYLKFIGKTLDEMDKNSCMSNFYIVMDNAPIHASKTIDELIASRGYRCIHLPRYS AKLNLIEQFWFVVKNKVKRGVFTDEKDLTTRIADACNDVPIKYLKAFIQHSCNQFNKC KNKEPL PHYBLDRAFT_70770 MSSSDTKNSNKEEKTVILLKKTCPYCDKSYQSNQKAVNHIATTH KKKVERLFSGNRNFGVYNQMNVQKYKRLGYTIVVQFGCVSCKEAFVMKKELEKHCDLK HVVTSQPEIGIITAPDDITKLDWIFCCPDNDHLIFQNNNITKGFHDFCNYVKTVIDEL HLLMYESHVQHVLALSSILLLKPARTNSDLHKFIGREICEDLIEYLLGEYGIQSCEFD QYTWLAAEQIVKPIPNGRNCPYSIANVFKRTSTFYYTSRPIDINFLSLLELVR PHYBLDRAFT_153339 MSTYFFYENGQGKIYDEQGNDAMEWSEEVDPFYLKTLTTLREYQ QAQPSEQEQMRGELDENMNEVSLRVNEEDNNLFKAAKAARFSGVSERTGQQWAKRIRD EPEWNIFEKQTNKNKRKTGQLQEEHKEFIIDLFNKNPQTCVEDVVVSLERLFANFSLK ETSVQRSSGKTLQKRFEWVEQWTTTDMDFLSNCVFVDESGFDINMRPPSAWSKVGTPA IVETKSTKGDSHSILGAISSIGVVDIELRVTEKPKQRKVDGVGQQRKQTSNQKRREGA KTGHYLKFIGKTLDEMDKNSCMSNFYIVMDNAPIHASKTIDELIASRGYRCIHLPRYS AKLNLIEQFWFVVKNKVKRGVFTDEKDLTTRIADACNDVPIKYLKAFIQHSCNQFNKC KNKEPL PHYBLDRAFT_176037 MICISTIDDIVLLLKMIYHKNCEDIGSIIYIFGTFDSDEITLFF QCIQTSCKAFVNGFIQIDENHNINSAYSLVYKNVFGKLLVFFEHKLNNKSHQLQSTFT KNVKSVVEAYFCLSGDLILGGLAANSSKIARCLCGVTVVIQCVSIATCYIIGHPIHQV IVPCHFLQTRNTYRKSEILSVMFKYCIDERDQDHSAVIYN PHYBLDRAFT_176038 MRYKAVFQDDNGHLLLEYYFLQPAFCQAQYQICVSLFGYTLSDI SRMYLYSKTTGIVLIVLKNMFISILCQVFSVFISAIKVCIPCLLPEGFKLYIVSKKY PHYBLDRAFT_153340 MTKHIPTAPRRPNLCMNAVLNSTIAGVVAPIDTPTPEVAVDTAP KVQVAVTPMDHVLTLLAANNVLMQSLQENAKGVTDAITHLKNGLDLSNKTNEFWKNSV LQLMTENAEIKKAMTSQNSVIPSAVPVDFSSSMDDDLDLGAKHHPSISQLINSYIKKP NFVSTDLLKVAENNNRSAWSITGTYGDKYNKTFALALFKYQRPQRCCTNVSKSVIMNI IKNHYQNQVRVFQISAEKIMARNKAGRRRNRKKTLLDRCIITYQTYREAIHEGMNQYD SRNILSIDVMSDGKSDKDNKLQTFIITIDKLTVICLKKNSESLKKRIRYEKEVSIPKN LAVTLPD PHYBLDRAFT_102328 NLPRLERYKKENVVLIGLMPGPKEAKTSEINHYLCPLVAELNQL YGGVVMPTIQCPSGALVRSVLLPIACDIPATCKNCGFTSHSSTCACNKCNQQFPCLSD SNAADYSAFVLSEWVPRTDAKNCCDVKLWRMASSDAQRKRLERENGVRWSELHDLVYF NLVECTVINPIHNLYL PHYBLDRAFT_73170 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEDNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVRG PHYBLDRAFT_153343 MPSISMAHLDEAHQSLEAFCRECEKLYKAPFLSPNMHLHLHLFE RCNGILKNYATNRKDRFEGTYMKKYLEEAYQGDLIRQTLPIIRSEHSAIILELTASTA NSIATSTSTATSIQFDINAFLDSPEINFDIVKGNEPLPPSALPLALKGEISMDESEYE HLLEYYRETYDDQTLVHYRQASHSDNFVNNQIQKFESINLLGQIYKSKTKNQRGSFMQ ALFETSDDRSTKPYAGQIQYLFVNTAVNSFAGHASQHVFAYV PHYBLDRAFT_153344 MTKHIPTAPRRPNLCMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNS PHYBLDRAFT_184143 MNPSAENYPAAIIPAHDEDAMSVMTEEDLIASLSDNISFASSTP GQFVAPSPLRPLVANAATTVLSLSEKLRLELQQHVEAVSAAHAMNDEQAAELALNKVR RVKEMIDIEIACSQYLSPSVKVVEKSSRTGGLTLNRRDLPKFQLADDVIRSFPNEEVF HSVDHFLRTFQKVIESSLQDIELVWKRFLPLCLPHSDDGWVEMDLKKCVDWNAAKICF TARHGSRLVTSRSVKEVFTMVMLPSESIGDYSKKFLQAVYDAGLPKNDARVADRFLAS LTRQVQTLLRLTMTRLDFNGETKRDWTVEQLTQIGRDILGDDNRMYAEATQLIP PHYBLDRAFT_160815 MAIVESICTKEKLPFHVRLSNDKGALHTRNESQFIGEASFCLQI KGFYPLYMLGIIHSDYNGSKNKTQYS PHYBLDRAFT_153346 MLHKKLEEYNSAFEKIMEELEEPEMPEDPKSSAPSTTDETPKKS RGQYQKPTDKDIKKLLYLYFIRGLTIKKASKIVNMKQTTAGGYILKWRKSPVVFFIKN NKEATSDEKMNLLFETYSAIKKEYK PHYBLDRAFT_153347 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGTLKESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEGQLV PHYBLDRAFT_153348 MSDIHYVHLLQYYKVAYNNEQLVHFQQASESSYFVDNTITLLKY INILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFTHSFTPPPTSSSLT PLLRTHRRPTQLLHNSQHTFAFIKWYTPENDKSREYEHVETCFPTFSPDDFQCVLPVH RIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_176045 MYNILLDSFQTMVNNHQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVANASGELLAVIAPTTIPASASVPFAASSVGSTLDWYT TPSEAFFGISSAAPSVAPSVAPSVAPSVGPVVLTGANAGELSKQNRTRVLALIRGELK KHNFKSNKPELVAANDSKCSWDFNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADIS DCIYTNFCGTRRRVKESYEARKKTNSWSRKAGRETDHFDRRELTYHTFKAEIDMKVGK SCDGLLQKEAMSEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDF NSHQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_176046 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHKHIANQELSFHTSVIDMTILASSMYSLGLQINPFASGSVLS TMVRIHDAFSFCLCACGKNPYTENANTSYYPAVLTFSYVRKLVLPPMTINLILMLTST IKYYSDNENTA PHYBLDRAFT_176047 MEWTRTSAGNPAVTNLLSLKSFLPTEVNEATTKTIVAQKPKTNL PEKVDPDSVPTAESIGQGSYQKYNQNQVNKLFSLVFSENQTAAAAARETGINVRTAQN YVRLAREKIQADFDAATVKTDKSNGLETMEVEEFFENKPDATLEQARIAVMEEFSGLQ ITKSAIQKHLVKKLEKLPEKRDNVNTIEMRRDRILEWQQLADFNYLLNCVFIDEAGFN MHIKRTFGRSVSGTPAKTTVPMQRGVSITILGAMRKRGIVSLSLKKPTAVATKKKRKL DIYTNVEVNGQIGTRTQHYLNFLSHTMDIGISEGLWVLKLRIQTPPFAYSVLKQSVDH EFNSHKRHINIYLFSLSILRRLVTCIYLKQCREYNQSSLSVHSLSIPPLSYVMTSIYT SEVAKRYLIAQGALYPRNHIIFTCSGGSSMNYVFEKDRGYSYRYSGVNSMGATYCKRE KKSERLDSFFAQRHLFYDVVMQGIQSHQLNERKF PHYBLDRAFT_176049 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTNTISAIDSLARTPLAAPVRAELTVAAPVVISNHEPTREES NAVYAHIHNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAERLLSNLERR FGSSSMRRSDLRKRLHTNFTSRTRRERMSDDEIAETNALTRRAARADDNECRRVLAYK DNKEAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKHVIHIVQPGWRSDECNRFIA LVDTYAVQAMGSSANQRIRRITTSVSNSAVPDNISPNFPRWALRDGL PHYBLDRAFT_176050 MKETHSLSHSDAPSSQQSSGLARVNEHPSYERAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCPFVLGTSNKIFHPKYNKLAEQEVAED IE PHYBLDRAFT_176051 MTIEVILGVSPETIRYLIARGIDANGQSIIVEIDESKFEKKKYH RGHQVEGVWVLGVPHRDATTLLQVIKKFSNNRPWIVGIWSGHLIQTFDIGSLFCILGF GFLILGTAILL PHYBLDRAFT_176052 MPSNATRKSGRKGKQNARGTLNRVAAGWIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDRTVEANTGIRFLVNAHNQAIAQQALVASSVTQ GVTATNVSTNRHTKGEMCAIVLNLINGRMWARNFRSNDPELVAENESRRRWNTDERID HPDNVEYIVAQPHTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNNRINSCHI EIHLRRVDTYINNWLAIDTKMGYKPGNPDEMAYLHLLEKSVMSDGESEDEDVTPIIRV RVLQLNRLIQFIDFLAAENDKKIATPQSKQRMSRYLKTIAVTPVPGHLTAILPVWAMQ NQ PHYBLDRAFT_176053 MAPTRKSNKTKECKCSVCKTRFRGSDTVSVQTFNFHKRRDDAGM NIFRNRLIIKRSVETTVSYVPEVINNDEQNSVAIDNDYDMDYDFDEMDTSIEIDMETQ EPIRVLPLSESDAVFGYENEEFNSDLDSDGCKDDSSEDDMLDSEDNFPEFNSELSFIH RFIVQVLALFVSLYVVDEGAILLIAIMNKILELFRDPFCLPVSIPGLKSMAGFNTFTD GIKKYVSCSECHSIYENNESTPRFCIFDKFGNNSMCVENGIRWSELHRLQYFDVVHCT IIDPMHNLFLGTAKRMMEKWVADGLIDNKKLVAMQKIVENMTLPPDYTMLRSKISKEF PFMKADEWKSWCLVYSPVVLQGVLPKQKFENWMFFVNACRFLTKPNVSEDDVQSAHIA LEKFSKGCERLYSKDLLSPNMHLHLHLRDTIKDFGPVYGYWLFSFERYNSVLKNINTN RRSGFEMTYMKTFIEDTCKGDFVRNFLKTSGPFNFSGIFDKLVTGYSPADSTTSTALY NWFSLPDFLDAAENPNLSIRAYEMMPRQEYDCLVGYYQAVYNDPTISSCKDMIQDTAF VNDWIEMLKSVNLLGQTFKGSRGTNGRGSYIQAMFIEGRNGAKYAYVREIQYLFVHSF SPLVSTPHHRTPQSSQHTFAYVKWYKASKETSRKIAGVEIWDVAFSLPDFQSILPVHR ILLPVAIVDHTTLRNISKKLIVPLPRKLYF PHYBLDRAFT_176054 MGIGHFFAVTVNHNVEYVISDGIHINTIEGLRNGIKMNCKTRLR TKQMRKKYENRLWQGMLKKLAEVSFGSIVDNPIYTNYEYLEDDEDEEYTDSTMSRSGI SFLALVTKSQNRYHWGSSSL PHYBLDRAFT_73868 MSYHRSGAISTMATLNPVGAYHSVFFLLLSSRLYKTFVCPKFEY GLAISVLCKKGLNEELTLVNKSGSYKQPMFKMVNILEDITGQQASKTYYRSYSFSQKS IIILYK PHYBLDRAFT_160817 MTGVTDEYGDKAKFSLPKNTVTIDELEKQIEYMDKIIFPAINER VLRINEELKKKYDHKNILIDIPKGTHVMVRLPHRPNKLAPIYEGPYTVVRRNKGGSYE LKDEQGELLHRNYTPSELKMVTIDESTIENELYEVEDIRDHRGAAGDREYQSHNNTKV LEEGQRNRTFRE PHYBLDRAFT_160818 MYAEATQLIPGANMHTEKRMEKKRMEAYPRKKVHSSNNQQHRIS KPERSFFCSHHGKNTTHESSKCFTLANNKAKVAAPTKRNPCRRCGENYFRGHVCKDSE PVLMVSQVPAKEKSEQVLKAIQDSVDLELEDMSFDC PHYBLDRAFT_153358 MGVSVLISPSCPYPVTQIPMSSNYALAVKIGSLRIVCLYLLPTM STHDALAVLSSIPLTNDTIICSNFNSCLGSLTEECALTVVNGQLSPCTSTFISFHQNV EISSIIDLLITNMSLTNATLNIHTNLSLNSDHQHPPPSRLPIDTLTDELNSLIYNYLT SSIGNRPPCPPHWKKFWNSVLQAAAGHRNFCYKKWHRVCGIDRIHWWDKHLKVQAEF PHYBLDRAFT_153359 MTESQANFKSSLNIEFKKISVGVKGIASKSIATHRRSRTLATMA TLNSFEYDLAISTLLKQDIKVLESIQDKWLCMIVGGHATSSTIVLKHICNLPSMKFCT DVLIAKFCIRSRFLPAQCLLSLLHHHHTIYSTLVSLGKTNLLSHLPPTLKLQSPSAIK NHFESIIEAGFTTFLQSNTQVLIQACHPVLGVDPILFLLASHVKRGRLIRWRMGWLLG KPKECPCGSDHTSRPHLLDCPLVCVALFEQLPQPDQDQIHRIDFAITSLPLSSQEPRP AYWIPLLTILWDIYIICNPDGDYSHETEHAEKWRAGSAIEATTVTQDITKKWVHPYKS FINPFYILFKTIHPLSKTLHPLPLLPYLSAEKISADSDNFSFLQSQMSTLLPSSVMQG MSPDLASFLGNMQTQFISLQQCTNELESPAATNARLTAQLVNAEKLITDLRSQLASQG NYQITTNASTSSAPTTPKEPGTEASTWATTAAAAHNSVVVPTALSVRKTPRPPSVCQV TASARIFVIPTGSKGYQYVYIPRSRCLTHREVCNSLKTLGVDTGCILDINFPAKDVVG ILVHNQYAEKFQTTLTTVAIEILDVFDPLDPKNIADHKYKSLSDSELEEVAAELHSDR CLKALKYLCPHVAVSVGHFFCDQGWISKEDIPVHSVSGPGADIHDFQSPSRCTSVAMS E PHYBLDRAFT_176058 MGEYEKLLKRRPAMFDGPSSSASTATVTTTATTNLNSNNGPAPM EFIIENPQDTYGHEISDEYEYSDDHILSDSSDDYDEITDDEDTNTRVEYDSQNHIARM AAEMRTFQSLSHAMNAYSNEDSSRQTLYRPDDLADIFTGPTRPFKLKVEFILHALFYS DEDLASERSIKKIMFAMKMVLDVCEESGVALDFPTPNAVINYHKQKKNQIPVFPIASF DVVNQDNERHVLWMNKPSDYIMFTMTCPGKLSQILALPDFTENQQLNLDQGEKWKENP LLQHPMITSNGIDYWVGDVVEVQGSLNQYLLEKFFTKDGSILANAFQVYGGHDPRLNH PDDTHFLRFGNSTNFAVSILKYTIEVDRIMSTVQKDSDLFLGRDFSVSYCPAKIVTYA LTEFKRRLPGSGLMKVVVCPLNLYSNNTSSNSIKQYNKYDSYLMYFAALLLETRNKRE NALFICTSNHTLNAIEMLPPIVDDLIRLEKDIEMYSEDYGEVVLVIAPLLLFMGDNPR QSQLAMHKGTSAKKFCQKCLIPSPRIEQGSIPDTPPYSLVDHCGSEERTRDFLCAFAN ADIQSELYLNGCKLSYIKNGSEEFLRLEAFDPTKDMPVKILHIIPHGLTKYLMTFLWK QKMLTTSEKGRLQEALNSYKSFLPRIMSKIFSDKPLASLFIKALHALGRLLSLVYMRG VDQCFDYYIAQIKHAVTDVTDLLFQLDVQILQKDFLSKTSRSSQRSTFCIISLTIFFV SVPCCNTKLKTVNSSISSSTNIYSRPTAIPPLETLLQDLASNSSVGIFKPAGNGTRSV RSSISDFVKLAPVNFPGFNLHFFGSCVNSDNSGLSTPTLCDTLAGVFQSNGQLFLGQV KIVQARDSADRMRKVFFMQKYQIVLNSNINCIYTPAVVTENYNNIVVLPLGGLVEVNK DDINIVQAVDIHLSVGSSNNQKFLNVAKFSMFWWMLMNIAKIY PHYBLDRAFT_176059 MSNNNINNTIYDISTIQQVLINSPLEGIKMLPLNSTILVKHKYS GKGLVFGETKKCHRAGQYITNRQLRLAQKDTKACSCTAALKIIQHLDNPNVVTFCQIR AHVNHVPGDWDEVRTLLLPSEAIKIIEDQLKSGSSCRSTRISVLRQIDSWGVGVRKPN YEEIYNRMRKSVFTAYTGKIG PHYBLDRAFT_176060 MRHKVVFQDDGGHLLLEYYFLQPAFCQARYQICVSLFDYTLSDI SRMYLYSKTTGIVLIILKNMFISILCQVFSAFIPTIKRARSISDYNSYSIKTVAKLGF LSRKDFPIK PHYBLDRAFT_153362 MTKRIPTAPRRPNLCMNAVLNSTIAGVVAPIDTPTPEVAADTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLNLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSMDDDLDLGAKHHPLISQLINSYIKKPN FVSTDPLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKTEKIMAR NKAGRRRNRKKTLLDHRIITYQTYTEAIHEGMNRYDCGNILSIDVMSDGESDGDNKVQ AYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKRIPYEKEVSIPENLAVTLPDWCF SK PHYBLDRAFT_176062 MGFVLVSTQTLRRHAQQDIVRQYQSGSSSSVIEVMSNDNDMEID FEYNVDAEDQVEAEDLPLFGIDFLFDSESEDEGVIEATILDISDDESDDVREHFSSSN MPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNKVLAHFGQSFRLPLSISGVNSMT GLSDVTRGVQWFVACGDCNKVYEESDVVPECCNFERLSGRECGNALFFATSRALTIPK KIYISDAQRKRLERENGVRWSELHDLVYFNLVECTVIDPMHNLYLGTAKRIMEKWRSS GLITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMKADKWKSWCLVYSPVLLRGR LPGAHLGNWTTFVNACQYLSMPSISMAHLDEAHQSLEAFCRECEKLYKAPFLSPNMHL HLHLRETVLNFGPVYGYWLFSFERCNGILKNYATNRKDGFEGTYMKKYLEEAYQGDLI RQTLPIIRPEHLAIILELTASTANSIATSTSTATSIQFDINAFLDSPEINFDIVKGNE PLPPSALPLALKGEISMDESEYEHLLEYYRETYDDQTLVHYRQAGHFNNFVNNRIQKF ESINLLGQIYKSKTKNQRGSFMQALFETSDGRSTKPYAGQIEYLFVNTAVNSFAGHAS QHVFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAVGEHLGLEG EVQMCVVPLPQKIYI PHYBLDRAFT_153364 MSNQNESYPTRRTPAEREIANSLAILRCDMTTVMKDSQPMALVH AVAPVSMEMNVAGSSTMASDAKSVNKTKTYRLLWEHLWDPKFKSKHLAEIQANNGKSR WNMAVNFNQLPNTKLTENLVAYLERNFVGAGLRKSDVRDFVYTNVTSRKHAANKSQAK KKSDNARNRRSSREKEHLKRCKTAYQSNKTAIDDEMKRDCSGLIIEEAMSVGESDDGT SPHVSYSGLRLRRPDWRSDEYNHFITLVDNKVVADLGLNSHQLLSCAFGETIEGPVPD AIASQFPQWALRNGP PHYBLDRAFT_153365 MSNIDYPQLLDYYKIAYAMPNPISYHDTRLSQYFPPHSNHRAST LHQDQHVFAYIRWYNSTNDNEHRDEGIAICLPEFSADNYHSILPVHCIHLEVATAVDV TDMNEKRMLVIPMPKKYYA PHYBLDRAFT_102227 NLPRNEWFKAENTILVGLMPGPKEPKSEEINHYLKPLVDEMIQL YLGIQIPTYQQTDGTTVCAALLMVACDIPAARKTSGFTAHNSTCACYKCNNQFYHLPG TSSVDFRGFDCDQWRHRSDRANRVHAEEWNSASTRSERQQLEVEYGVRWSQLYCHGYF DLVRGTIIDPMHNLFLG PHYBLDRAFT_153367 MSSTSELYNKKCYCTKCSNNQQGYSFVSTRTLQHHNKRARYEDM ERSERNVSVQRNLMDIDFETTSNQQTGPMEAMGGQTNSPVWEGAPISDDEIAFSNELK GENSDGDENDNDKESNGDEESEDNEENIVEIEV PHYBLDRAFT_72318 MQVSIMRISQSFCLNATHSISSRSDEVLYTLVTRHFQTGKGFPV AYMVTNNQTAIPIKLWLDHLRIKSSFVPMNITIDCSIMEVNAIKEALPHAISHYCDFH VLCTWQHNLDSKIKLNASYTSEQLGNYKTALKYYLRHILIKSNEDVFLRAIEGFKLMV QDQPQFLKYFEKKWTKNKELLQRWGRPYVSQQHQRYVTNNYVESWHNQLKTIYFGCAR IRRLNRLIFILTNDVKFYFEQEVECIHFNNGKMGPIDNELARNSFVASKIQNDMLPSM ILNPLGETGNSMDDYNGEWQIRSFLHLPELSDLPDPMQAFTFVEALL PHYBLDRAFT_72317 MANLQIGNMQGLPTKLTSFLTTLQAQIMHVQNKTDQLERLAAEN ARLTTELDQARTTIANLQKQLGSQSASEKNFSEILLSNPAGAVGAPDKNKEPGLEAST WASKASVSLPVTAPKMSAVPSARRIAASVHMFALPSEPSGYEYVYIPRSRRLKHKEVH SSLCTLGVDSSRLLDINFPARGVIGVLVHVQYADAFKAKLTTASVEILDAFDPLDPDN VADPKYAPLSTHELANTAAMLHHDRCLQALQFLRPHVAIPVGHFFCEEGWISEDKIPT RTTFTNATAGTYRGSMGVSVLISPHCPYAVTQIPMPSKYALAVKIGSLRIVCLYLPPT MPTHDVLHILSSIPLTHDTILCGDFNARLGSVTGDYASNSCGLALCSWIEERFLSVVN ADLAPCIPTYIPFRNNYEISSIIDLFITNMPLINPSLHIATDLSLGSDHRLLSLSFTY DLQHSTNMPPPLRKTWNLSHLNEPDVHALYAHIFTQNSTSLLSTLQEIVLNPLLTKPD IDAITDEFNSLIYNSLNSSIGHRPSRPNHWKSFWNVALQTAADHRNKCYKKWRLAIGI DKVVWWTKHKHAQTEFRSQVQQAKRQSWHVFCQSMERDFSKATSKIKQLKRRRQP PHYBLDRAFT_153371 MGNLTGVLGARFPKKPGGPLLSLETRHSVNSLSTSTPTSPVPPV TAHHRTKPLKESTVQYPPPETGRSRTCPQHM PHYBLDRAFT_72316 MAPRTNINQNARTNGLKSRPLINAVNTGHIESSNPMIAPRPENM SISVSEFNDVVSLLATLNNKMTAVSSDVSEWKVQCQVGTQSTGMQAVLDSDIDPQDII SSSRHPKISSIVRGRLRDINLKTDDLELIRENDDKPTWDVNVGLSDEFNKNLASDLML YIRHQPVAAMVPPKELCGISVNSYYNRLAASKLTEEDRQTNTTSNRIGNRKTALNKRR KRTYTKHKDAIIEKFNWDYNGVFYRDAMSGDKTETDTSVVASRPDWRSDELNTVFDFL DELARDDLGKRATQLKSQSHVLVHETIPRGLVTKMPA PHYBLDRAFT_120079 NLPREERNKAENVILVGLMPGPKEASTDEINNYLCPLVDELMLL YKGITIDTYNCSGALVRAALLMVACDIPAARKTCGFTSHNSTCACYKCNRQFARIDGT TAVNYFGLKFLEWVGCTKEENRRHANLWKNAKTLTERKRLEIENGVRLSELHRLVYFE PVRAAITNPMHNLFLGTAKRMMDIWIANNLLNDKDFVEMQEEANRMVLPVGYTTLKIK IGKKFPFMKADE PHYBLDRAFT_176070 MATLNAVGACQSGFSLLLSSRLYRTFIRPKFEYGLAILPLKRTD TIQLEKIQDKCLCMIVGGHRTSSTTVLKHICHLPSMSFYADVLIIKGKALKHHFETFQ QFKTDQLRLSSNQVLFLACRPLLEVNPILFLPATKVERSRLVRWRMGWLPGTPKDCSC GTDHTSRRHLAVCSLVPAHLLACLPIPSDQNCNQIHVAITALPNSSQASCPSYWVALL TILWHFDKLCNPDSDYTHETHFGTLWAGLS PHYBLDRAFT_72313 MLFITETWLLSQARLPTSWSQFHLYGSPVAGNYCGSMGLSLLVS PSCPYAITQMPMPNNYALAVKIGTLRLICLYLPPSMPTHEALNILPAISLTDDTIICG DFNARLGSVTGDYASNPRGVALEQWLEERSLTVLNGVLSPCTPTYISFRNEVEISSII DLFIANTNFANPSLHIATELSLGSDHRLLLLSFAYDLQHSPPAHSPMHQTWNLSRLYE DDVRSLYVTTFVTKSASLLTTLQDLVQNPPTICPPIDALTNSFDTLIYDSLSSSIASR PPRLSHWKSFWTPALQAAADHRDSCYKQWR PHYBLDRAFT_176072 MSTLLPSSVMQGMSPDLASFLGNMQAQFMSLQQRTNELESLAAT NARLTAQLVNAEKLIADLRSQLASQGNCQITTNASTSSAPITPKEPGTEASTWATTAA AAHNSVVVPTALSVRKTPRPPSVHRVAASARMFAIPTGPKGYQYVYIPRSRRLTHREV RSSLKTLGVDTGRILDINFPVKNVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDCCLKALKYLHPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGIHDFQSPSRRTSLLPPSRLPTSWSQIHLYGSPVAGSYRGSMGVSVLIS PSCPYPVTQIPMSSNYALAIKIGSLRIVCLYLPPSMFTHDALAVLSSIPLTNDTIICG DFNSRLGSLTGLALCQWLEERALTVVNDQLSLCTPTFISFRQNVKISSIIDLFITNTS LTNATLNIHTDLSLNSDHRLLSLSFTYAINSTSHAPPPSCKTCNLSRLQEPDVLKLYA YTFVTNFTNLKSTLQSTFEHPPSSRPPIDALTDEFNSLIYNSLILQAAAEHHNFCYKK WRHACGIDRIHWWDKHLKAQAEFRHQVQSSKRQSWHAFCKSMEQDFSKATSKTKQLKR RQQSQHMFQHSDGPATAATITCEHLASVYSGSILPDQRPPPPLHSTSLPFASANSPFV SSVVEGCMQFMPNRKAPGPDHIRAEMLKVIRPQIAPLLSLLFTIYIFGPSVVTHRCSG ALAAMATLTAVGACRSDFSLLLSSCLFKTFIRPKFEYGLAITCLLQKDVLLLEKIQDK CLRMIVGGHATSSTAVLKHICNLPSMTFCVDILKTKFCLRAHTLPSACLLSLLYSHHL QASTLSTLHTNLLFTSIPSDLNCSSRIKLSKHFEFFRQEKFAHFRLTNTKILIQACHP LLEVDPVLFLPATRIEHGCLVRWRMDWLPDAISNSASQSHLSCSLNFQHHPQMKTTSL TLLYQHYQSRLHIQALYIGKLFLQYYGILTCYEILMTTTHMKPIMFASIQFYHQSIRN IASTIASIVKHDASTLPTTASIVKKKFSIVPVILAPIFTFSLPIAAISRSSPNMLQLL PANCIQSLPAELVTFLTSMQSQFNALNERTAYLESLAAENVQLHAQLANSTADLGTAA STWATKTSLILPAKTPQVPSARRVAASQRLFSDKTGPDGFEYVYIPCSRHITHSKVRR SLRTLGVNTGRLLDINFPARGVISILVHVQYLEEFKSQLASAKVSLVNNFDPFHPKNV ADPKFANLSVSGLKTQTLFLRSHLVLLVAHFFVQSGWIGIEEIPAQPVAEHFGDAPNK KRALDALTAMIE PHYBLDRAFT_153378 MSTLLPSSVMQGMSPDLASFLGNMQAQFMSLQQRTNELESLAAT NARLTAQLVNAEKLIADLRSQLASQGNCQITTNASTSSAPTTPKEPGTEASTWATTAA AAHNSVVVPTALSVCKTPRPPSVRQVAASARMFAIPTGPKGYQYVYIPRSRRLTHREV RNSLKTLGVDTGRILDINFPAKDVVGILVHNQYAEKFQTTLTTVAIEILDAFDPLDPK NIADPKYKSLSDSELEEVAAELHSDRCMKALKYLRPHVAVPVGHFFCDQGWISKEDIP VHSVSGPGAGIHDFQSPSRRTSVAMSE PHYBLDRAFT_74082 MFSIANPNEVHCKCTRCNRNPLGYTMTDKRTAKRHAQNDNDRNM DKTINEQIVLTAEVNTGEADMDVDQIEEHIEYDNYSNGAPSPEQYVNTHLPLLVEESL FETEEYTSEYESEYESLDEFEQEEQNREQEQESTENLPENIWHRVIAVFTVIFISSFI VDEGAVILITFINTILEHYGEDFRLPTSIPGLRKMTGYNDLTNGVSKYVACTTTHIHP AISKELVVRRIVRMISTSLV PHYBLDRAFT_153380 MAPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIAPRPENM SIPVSEFNDVVSLLATLNDKMTAVSSDVSELKVQCQVGAQLTGMQAVLDSDMDPQDII SSSRHPKISSIIRGRLRDINLKTDDLELIRENDDKPTWDVNVGFSDEFNKNLASDLML YIRRQPVAAMVPPKELCGIIVNSYYNRLAASKLTEEDRQTNTTSNRRGNRKTALNKRR KRTYTKHKDAVTEKFNRDYNGVFYRDAMSGDETETDTSVVASRPDWRSDELNTVFDFL DELARDDLGKRATQLKSQSHVLVHKTIPRGLVTKMPTWSKRV PHYBLDRAFT_176076 MPTPNRRLAPSLASTDVQLLQALNAMKEEMTAMKDKITLMDTRI GVVITGNATAINGIDVLSALPVSAHVPTSVASTSAALPITESSDTNTVFVRIHSWLYV EPKAKIQANAIKPKELVKQLLYYLEKKFAGTDLRTRDLRKCMYTNFCSRRCQQRELPE TRRALNTNSRRSGRETDNYTRHHLAYDAYKADIDLKMGQNCSGLIQKSVMSEGKSDDD MSPPQPRNEIRVARPSWRSDKLNKFITEVDSFVVKQLGANSRQLLKRVYGRTVESTVP IDLDPALPQWALKYGS PHYBLDRAFT_176077 MNDITILQQMAEILLGFDQKNGGYGPTFGPTAIHSAVVSVGANK GYSLVTSRTAEHHIRKDELERIERLDMAERLANTVQEEQMMDVDTQYDQANSPDLNAA TMADNVSVDNEISEVNGNDSDIERDMNSDSGSGEEEGVETDVEEFVNEDPFDAPNMPE NPVHWFIATFAVLFISRYVVNKGAAILIEFINQLLKIYGKDFQLPTSLIGLQRMTGFS NYANGIKKSVVCEDCHKVYKQDVPLPTHCDFKKHGSQSACNCELMKVSSSGAMVAKQS YVYNSIQRSLQLYRLGYFDLVRGMIIDPMHNLFLGTPKRMMERWIKEGLIDNRKLATM QTMAETMVVPMDYVVLKSKIGKGFPYMKADEWKSWVLVYSPILLKAVLPIEMFRNWIS FVDACRQLVKPSITFSDIDNGHKFLQEFCTECQRIYTPTILTCNMHLHLHLRETIRDF GPVYGYWLFGFERYNGLLKNVNTNRKDSFEVTYMNSFVQDTFKGDFVHAALTCPSQVP FLPLLAKLTVTAQPSTSKNTITFPQRPFRLSAFIQAYSNPSLPVLGNEPLPPSAFPLH IEPPSAMSDVDYPHLLDYYKVAYCMPNLEGNNWLAYTGQIQYLFLHSFTPPVDNTELQ TRVVYQDKHVFAFVKWFQIEHDHSRELESVDICSADFIACNFECILPVHRISSVVATC DYKTSTNNKKILVNALPHKQYN PHYBLDRAFT_176078 MSSSNASHQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESSMELDNTISYKCACNFEDSEGEAHIYNSS QISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFINTVIRDHDNIMMEPGAKISH GETVDALLKSKSSVKSHEYDESCVDCGKPQYKTDPNQSQTPAASMKLMSVGDMLSQML ADPATRELLCYRANRESVAGQLTDIFDGDNYKQLVQQGLFSNPDDIAIGLYTDGFVNQ KKGKNSYTIIHCIIFSLDPSIRQNGMYFDDISARLRPLEDFKVGNSSKNIYQPSIYTQ LSTFSGSSFFALDELHLIARGIGKLVYDLITVTLTKETKFYYTHPDNTLNTTEYPFHI PRADLVTIGNCITSSQKYIPTSFQGSFDNIFAKIDGTHAVDWLDFLLYLVPTLVVPYL PNRAVKTALLSLVKGCALALQWTLTSELLDEMESYFKHWHSFLYQQQQGPLRCYFTRS MERVIGVFLKLIKSKSKGGRNASFLVEQFAIHNYTSMAISICDEINLIWLKLYGRESY MDLPNDPSGAQLWELFHQFVNLNDDLVEGVGGPSVKEALLKYYWRTTGLTGHKFGDSV VVVAARLWMDLTVYSSCMYRRKKNETSRGNHYVMFTCPYRNNRNVIVHSWLISTVQFY FQHVDFYGFPHFLAFVEVMKEHDADGHDSSVPIVKQQSQSTHTLGHQTQPTYAVISVN DICHQVGLVQYPPNGNQFYVIVPYYIFNNNMRITKGNLSIL PHYBLDRAFT_153384 MKFHANALMAKFCIRSRFLPAQCLLSLLHRHHTIYSSLVSLGKT HLLSNLPPTLKLRSPSAVKNHFESIREAGFAIFLQSNTQVLIQACRPVLGVDPILFLP ASRMERDNHTSRRHLLDCPLVPVALFEQLPQPDQDQIHRIDFAITSLPLSSQEL PHYBLDRAFT_153385 MSQLLPANCMQSLPAELVTFLTSMQSQFNALNERTTYLKSLAAK NVQLHAQLANVRQENANLRSQLLQNNVTGPVPSSASLPAPQSTADLGTAASTWATKTS LILPAKTPQVPSACRVAASQRLFSDKTGPDGFEYVYIPRSRCIMHSEVHRSLRTLGVD TGRLLDINFPAHGVIGILVHVQYLEEFKSQLASAKVSLVNNFDPLHPKNVADPKFANL SVSGLETQALVLQNACCLQALKFLRSHLVLPVAHFFVQSEWIGLEEITVRPVAEHFGD APNKKRVLDALTAIIE PHYBLDRAFT_153386 MLFITETWLLSPARLPTSWSQFHLYGSPVAGNYCGSMGVSLLVS PSCPYAVTQIPMPNNYALAVKIGTLRLIGLYLPPSMPTHEALDILSAIPLTDDTIICG DFNARLSSVTGDYASDPRDVALEQWLEERSLTVLNGVLSLCTPTYISFRNEVEISSII DLFITNTNFANPSLHIATELSLGSDHRLLSLSFTYDLQHSPPAPPPMRQTWNLSRLYE EDVRSLYVTTFVTKSASILTTLQDLVQNPPTICPPIDTLTNSFNALIYDSLSSSIGSR PSRPSHWKSFWTPALQAAADHRDGCYKQ PHYBLDRAFT_176081 MNSTTKTYTVMCTCSSCTKNAIGRILQNAQTFKRHNNADKLLDI GPKNRVTTEVVEEETDVEMVDVSETSIDYEDNYSIVSAETTVQSVPFLREDEIFQFEE SDVETTSLASDNDDPDSSDESEDESEVEVASVEDFEDMVASEILAFVVASLKIHEMSQ TSQFMALFGVIFQAFYLVQAGGTAMLNFFRHLLVAFDKDTDLPLTIDALKTMTGFNFM IKSIVKYTVCNKCFAIYLPGNRQPNCTFKKYTTTPPTYCGNPLFSDTKADRAVPLMVF PYNSLKNALAQHFAKPGFEHQIENATKAEMWFCTESDAERAVLEKQHGTRFSELHCLH YFDPIRCTIVDPMHNLFLGTAKHMISVWKDLRYLPTAVLICMQRLADGILVPPGYAVL STKIESGFPYMKADEWQSWCLIYSLVVLKDALPEDDYKNWTLFVKACRKLTGPSVTYS EIDSAHQLLGEFGKECETLYGESSITPNMHLHESMLNFGPVYAFWLYNFERYNGKLKN IKTNRRNGLEVTFMRVFLEKAFIGSFLRAYSTNLSSPLIEFLEGVAQVKSNSDSSSPL NLDAGHPPALPFSLAMFQQAATNPWYNVTGRRIPIGEDVFVNNRIQKVKKISLLGQEY CSGEKKKRGSFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRVSTFAFIKWFPA YHSSSHQPLADQGLQLWDKGLMEEDASCIVPVHRLHSCFALTTHKMQSGTQKHLVIPL PRKVVT PHYBLDRAFT_153388 MSSNTQQSKKTKKTTTKKSVQQTAGTAASTRQQEILPSLTVSAE LDGTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNHSPIAVLATMPLTVNEG AFLTSNRPIADVVQSYTHQQAEVKSVSSAVVEEKTRRHISYMLQRAKALPEKIAQQNR ISRRRSRKRNILADYKAIHLADKANLESKFGETVVDLLDYDMLSDIESDEEKNKTRYT PRNRHPLVDEYFTVLKKQRLANKGPDVIGNSVYPIILRNTELSNEKKARVAAWIHTRQ Q PHYBLDRAFT_176083 MSCLNRDGLNDFQFAPLILSVSQDFSGPLTKTYTLGGFTKCHWS LSRSSFFDYTPTLILYRQTNNVIVFCVCQLFCFLNCLAKKACRSKQWNTVGVDCTRGG PMDVRKE PHYBLDRAFT_72307 MPFASVDSPFTLSVVETFMQFMPNCKAPGPDHIHAEMLKPIWSH ISSLLACLFTICWQWSYTPSLWRHAQVFSMFKKGDPLDAANYHPISLTSVVSPELHRY SPPLDVAQGGFRPRHGALDQALTAKTS PHYBLDRAFT_72305 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFGFETNQKSTFKNT YMKRFLENSYNGDFCQAHLSNVTSPLLLSLFLKLSGHKIYNPALSPHPLILSFFHLPT FLQSTEKPSKQTFGNKPLSLSALPLCLKPPTTMRKSKYDCLFNFYKIEYDNDSLCSAK TTIRNCWFVNDQIQKISSINLLGQVYTGGEGLVVRGSHIQAKFIEKSGDSEKRYAGCI KYLFSHDFTSNLMHTNLSPCHNPQHVFVFVEWYKISCHQPRIKQGIELYEPEFLKYDY NNILPVHRILLPIAIGSHVSGSSAAKVVVIPLPRKLYA PHYBLDRAFT_72303 MPSYFQAKDISTTPDFEEIHKITESKKASAIYLKENNIFYEQIG IIVERSFTGSAIPETAATEMTLNTIVYLIWCFILNHLLNMIEEAIKLSAKTIDTFMAD IQKAIC PHYBLDRAFT_153393 MATYNSVGACRSGFSLLLSSRLYKTFVRPKFEYGLAICALLKKD YIILEWIQNKCLRMIVGGNAISSTMVLKHICNFPQMHFRANILITKFCIQAHSLPSGC LLSFVHQHHPQASTFRSLTSNFLLHSIPNNIHCTSQTQLAKHFESVRQKLFNQFCLST TQVLIHVYCLVLEVDPILFLPATRTERSRLIR PHYBLDRAFT_176086 MAMLNAVGACWSEFSLLLSSHLYRTFIRPKFEYGLAILPLKRTD TIQLEKIQDKCLRMIVGGHQTSSTTVLKHICHLPSMSFRADVLITKFCIRAHYLPSGC LLSLLHCHHSQSSSLVSLHHNTLLQSISIDLNVHSGKALKRHFETFRQFKTDQLCLLS TQVLFLACRPLLEVDPILFLPATRVECSRLIRWRMGWLPGTPKDCLCGTDHTSCCHLV LCSLVPAHLLACLPHLPDSSCNPIDAAITALPSSSTAPCPSYWIALLIILWHFDKLCN PDGDYTHETHFGTLWAGLS PHYBLDRAFT_72301 MTCNTHHQHLHLCTKLGICHVFMKMMSDHYMSPPLSPNPPPFSP HCKTLFRIHQLYVHPLMLLLTLSIHSFMTLLVAPLVLAPLAPHIGNRFGPLHCKLQPT IEIVVTSNGIELVTLTRSIGGAGTNMHIRSFVSKFKLQSICLGMLFVTQ PHYBLDRAFT_176088 MFPFTQIHTLDCHCIKCHNSHQKSSYAAKCTETRRNKRARVEAA MRNMNVDTEVILTSCSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEVNEIK IEDFNSEDPFTASDMLENEVHQFIAIFMVLFASRHVVDKGAAVLIEFINNLLRIYNQD FQLPTSLAGLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPPRCVSSKLGARSACN LHGTIIDPMHNLFLGTSKRLMDWWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKI GKGFSYMKADKWKSWVLVYSPVLLHGILPPLQFKNWMYFVDACQYYVKPSITFDEITT AHSLLEKFCNACNVDYTATILTCNMHLHLHLHECIRDFGPVYGYWLFGFEQYNSILKN FKTNGKDGFEATYMKNFVQNTYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTT LSSRPFRLQAFVQGYTDPYNPPKGNEPLPPSTFPLKYKKPSSIDILGQHYRGTNNSTI SRRSLIQAKFVGSNGNIILGFAGQIQYLFTHSFQLPPTHNLHLTRMVHDHQHVFAFIK WFRTSSDRSREDDSVEFCLPTFSPDSYHSIIPVHRILLEVATATIVTSRNVSKMLVIP LPKKLYA PHYBLDRAFT_72299 MTNVSQPAFNAPSEFSKKASNDVYAHIRNLMWDPKLKTRNQADI LANESKPRWNTNVFFYKSPNKELVVRLLENLKRKFTHERFREADLRARLHKNFTSWVS KARRTEEEIKATNTRSRRAGRARDNHTRRLLAYTDNKEAIDLQMKRDCDFTIQMAAMS DGESADEDFENRTKSIIKIVRPGWRSDEIYYFILIIMSYYKKYKFNTLIKLVDEYVIE AMGSSASQMKERVFPSVSNTAVPDDITPKFPQWALRDGY PHYBLDRAFT_176090 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHEHIANQELSFHISVIDITILASPMYSLGLQINPFASGRTTI YKQATHNWAFLWEILLGEYLFYQNIGHFKLYEE PHYBLDRAFT_72297 MYNILLDSFQTMVNNRQSIAPAPSPEYTELLRRLTAMEESLKTM DSNIGIVIKGNKDSLEILDSVANASGELLAVIAPTTIPASASVPFAASSISSTLDWYT TPSEAFFGISSAAPSVAPSVAPSVAPSVAPSVAPSVAPSVAPSVAPSVGPVVLTGANA GELSKQDRTRVLALIQGELKKHNFKSNKLELVAANDSKCSWDVNVDYRLPPNRQLMHN LHAYLAPKVVGTSVRQADISDCIYTNFCGTRRRVKESYEARKKTNSWSRKAGRETDLT YHTFKAEIDMKVGKSCDGLLQKEAMSEGKSEDDMSGVSSNHAIRTVRPSWRSDEYNHF LAVVDDFMRNRMDFNSRQMLKRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_176092 MSTISELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRASLNTI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSITNDAI SNGNNDDSGSNSNEISEDESEDDVIELDNNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQHMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGARLSCNCQLMKTSASGALVAKRSYLYQSILHRLGYL DLVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGHFAAMQKTAETMIVPGGYTSLNS KIGKQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFENWINFVDACHLLIKPMITFDEV NTAHQFLQTFCIRCDELYNTEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLL KNLKTNRKIGFEETFMKKFIEDVHKDDLVNSFLQSTCQTSAFPLLTKLTSSFTPATIP SIRQHTFRIQSFVEASEDPNVLVKGNEPLPPSTFPLSLKSATTMSDIHYVHLLQYYKV AYNNEQLVHFQQASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGST GEHIIAYTGQIQYIFTHSFTPPPTSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTSE NDKSQEYEHVETCFPTFSPDDFQCVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQ YI PHYBLDRAFT_153399 MEGVPYTSWVHADRLKIVKSDDFNRTWYHPTPAHAQMHRDLAID SSSTLPFSLVTTSMVDRGLSTVLEGGDVGHYFDESVKSEDGQPSASLPAPQSTADLET ATFTWATKTSLILPAKTLQVPSARRVAASQRLFSDKTGPDGFEYIYILLSRRITHSEV RRSPRTLGVDTDCLLDINFPAHGVIGILVHVQYLEKFKSQLASAKVSLVNNFDSLDPK NVADLKFANLFVSVAHFFVQSRWIGLEEIPARPVAEHFGLWNANGLQPRAIKDVLNHS RLPTSWSQFHLYGSPVAGNYRRSMGVSLLVFPSCPYAVTQIPMPNNYALAVKIGTLRL ICLYLPLSMLTHEALDILSAIPLTDDTIICGDFNARLDSVHWKN PHYBLDRAFT_72293 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRMIMLQHSQGTKLD TMNDVLNTVLKNTSSEKAEATASNAVEQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTN ENKNSEGNRLVLKSVTNYLCHQEEGKKVDLPTLRTKIVWHIGNRKLQEKKTGEKKQEE NRRACLRQQRVKLCERKQSALKANRAHFVNSFGENVDSILHADYMSDLESDDKREEEE QDSSSEKSFFLEIPPKLEKRRGIGDRFVDELDADYEAAHDKKNNTRPFEHKFKGIRDK QLSKTKANKLPSWSNKQ PHYBLDRAFT_153402 MNALDSEFPLAKKLLYGWHMISNIAKMAKKIMKPGKEKELCTHL VNKMIFGDKRNVFDKNLELFKLLSSQKDFQSKEQLEDNDDDSTKVVEKRTLEKYFEDE WMPCKEKWAGYLTSQLKHFDCVTTQRVESGHNALKRKISALQSLNSSFEQICSYLLQF EGDYQDLELNEATITDARIYHEPRLCRLIHHVSRIGLITIRAELLEEVVPGELCNCRV KVVFGLPCLHDLPRDCMLLLSDIPERWILSSSLGERLKQLECDVSLQKIDVEKSAPWV KCITKLEQLFRQCEGNQQVQNLMAMVDELVDNAGEIIDHPNVVFPLASEVKAPGRPKH VKRKTALPKDFVRHKHRHLLVQKNKNDIRSILKEGLKEVMKEFLEEEPLKKIIKEIKK ETQFAEKQEPLEEAKTTNFAKKQEPLEEAEKYSSGIKRPKHLQDDYWYDLPSPKKQNK NVHDFALPAQIDQAAISLTFNLKSDGWCGFRVFAHLKEGGEDQFPLVKKKMLATMATH GKLYEHNFGMDVAKVTEVIAFGSKIDPALGENISSCPSSMWFSAPDCAQIIADTYNEP VCVYSDDQSVLPVTFLPLHDWKPLKRKPLLMVLHHVHGCHWTTIKVKPHVHRSWSEVN VLYFDAIRRGSIIDCFSTSWNHWGQFPKNKSYLLLSTTTTTTITTTATNSPTNSPVNS SDIIDLTHI PHYBLDRAFT_72291 MSTCACHKCQRQFMTIKKTSKLDYSGFKYSNWVRRTKTMNLEHA VSWANALNNTERTCLEKENGTRWSELHRLSYFDPVHFTVIDLMHNLYLGTAKRMIQIW RKCNYINEKNQLTMQELANGIVIPCGYARITKKIADGFSFMKADEWKSWCVIYSPFVL KHVLPAKNLENWILFVDTYRLLTKPLINNKEIDEAHSKLQLFCTRFQTLYGKSAVTPN MHLHLHLGDRGFLDDGDRVLHKLIKYETIRQNIQALAFELRQKSIKSYASFLFYIFYS KIHVCDWMKILIRLLINAQTLYSKK PHYBLDRAFT_176098 MSNNQKKDSYVICKCPDCTKLDSCGKKQKSQNAQQHYEKHIVSV AKDNAMDVPEKHFDNMEVDSIDSDNDNDYDYGNKGEGEYEDENEEQNIEFDQEVDLLL SQEESIFTAKDTITGAFVVDGNEIEEGDTSFDFEQEENFDETSGTSIVESDMN PHYBLDRAFT_153405 MPKHEYDCLVGYYQAAYKNPQISGCKDIEMVKSIDLPGQSYKGC IGTNGHRSYIQAYFTERTGSEHAYVGEIQYLFVHNFRSAVSSLTYRNSHSSQHVFAFV EWFKSTSDKTRELERVELLQDEFYKQDFQSILPVHPILLTVAIVDYKTTKNVNKKLAI PLPKKIYY PHYBLDRAFT_176101 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIAPSFSS ATIQDQRYVEIVEMLNKTRMASLEPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWK FESDDPALVAENESKKKWNLNKKINHRDNVAVINYLKSYISAQTRLAGTHPRVISDKI KNRYKHSHCTFHESPEQKAKKNSKGRANSRTLQLTYMDNWVAIDAAMGYKTGNPVEKA YLKLFQKDAMSDVQQIVDNG PHYBLDRAFT_153408 MDKNKKLIIKTYQQQKFIRTASISMYLIEWLVFENWFSLNNSQC AICLVLTKVLPSLTSEIP PHYBLDRAFT_176102 MQKNTRQETYKIVKTRPPKRQDELNFSINSGVFTCSECEKEFEK PWLLKRHSKVHHISNQMATNTVLDEPEQAELIVENTIPDANAFDYSSDEDDSSSIGDE EDNIVDEENDIVDNFFDIEMNSNPVFNAFSDMFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDPTSCYPFRDLQTMILFAFIDGDNDMISQQMLKKILLAMNLIIKIQQETPIGR TFKLPRLDALLNYQARKKSKMPVFPSQRISVPGSNGNAFAHINLPSDHLRFLMANPKK SKLISSMPDRTPNQSICLEQDVWFGNIVYLKTNDCSIRFLVESFHTANKNIFARGYLV RAISIVCYGVEVAVTNLRVEQISHVDTTPVERDHYYSISSSLTRLSPAHDFLLFGVHP MKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGNRSKQYNPFESWLMRCAALPF KDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMFSAEDNEYVLVVAPI LWIEADTPCHSELCGLLGPATTFPCRRCYIELRRAKDFVKDLSYFCECHERRTREHYV LANSSPGRDTEIPNTPKIGINTPANKISFRDRSTGRLLELQSFDPEKDTPVEILHTIL LGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTRSTGLSRKFTRNLRHSGSFLGRDF KVLLQILPVILITEFSGNHELDLVIPCFVELGRLCSLVFVRQVTSDFDNYIIRVDNAV KRLIRALFDYNKGTKNELHKAYCTKPKVHYLTHLKEDIIRFGPALNYETEKGEQFNKH IREHLFHTNRQNTSRDVCLKFAKQVALQHVIDGGSWINSSGNREKSGTGIERFIKDNN ESLFYYTFFGSSRELKDNNDTGDIEDDAVQNNSFGAFVFKDDPISRPRIGLVSGSVVK FLSIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCKLDLHIFRNPFYIVNLSKFGSYW FIFNNILFDE PHYBLDRAFT_153410 MSSNCTNFSINEINDDHMIHIAPNYKSANPTATETSANEEAFSF WFENSAKRHSNWNMTSTHVFQATSTASPKDVVTAVYLSVTTKDDCKAKITKKILQNGN VVVDYLWQHVTHQPEKVQDMVRSRLPAEVKQWIVFHVDNNMDWKAIKMLFRIDSKCLE ELEAGLGISSFPMSLHINYHDVQNVINACLNKLSKKMSLIRQVSSNGSSS PHYBLDRAFT_153411 MDKNKKLIIKTYQQQKFIRTASISMYLIEWLVFENWFSLNNSQC AICLVLTKVLPSLTSEIP PHYBLDRAFT_176104 MPSNSSRKTDRKGKGKASASISTSANRVLAGRVGPREIAPSFSS ATIQDQRYVEIVEMLNKTRMASLEPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWK FESDDPALVAENESKKKWNLNKKINHRDNVAVINYLKSYISAQTRLAGTHPRVISDKI KNRYKHSHCTFHESPEQKAKKNSKGRANSRTLQLTYMDNWVAIDAAMGYKTGNPVEKA YLKLFQKDAMSDVQQIVDNG PHYBLDRAFT_176105 MTPICKPTVRKECRCSISHEVTCNIISSAVSEPVNQEEDSFEFE QKDVEMNSELRNLNATNDILDIWTRNQPFSQTDCVFGLEDNVQYTSDTYEEEEEYEDE SNVEMDNDEDSSLESISELNLIHQFIVISVALFVSLYVVDEGAVILIAIINKILQFLF DPFRLPVSIADLKRLAGFEALTSGVKKYVACSKCHAIYDNEAAPLCCTSPNFGTAKRM LERWVADGLIDSKKLIAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWCLVYS PVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLYDLDL LSPNMHLHLHLRQTMIDFGPIYGYWVFSFERYNSVLKNIKTNRRNRFELTFMRQFIEE SWKGDFNLSITICGNEPLPLSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGCK DVIDDSPFVGDWIEIVKSVDLLGQSYKGCIGMNGRGSYIQAYFTERTGSEHAYVGEIQ YLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTLDKTRELEGVELLQDEFYKQDFQ SILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_153416 MVFIGKPLENPLKVKTVVYLCDHAEKPQVKKTSQSAKKCVKTIK SIKIGCSASIYKHIMTDGTVCIKYNWQHPNHDPFKIEEISPSRLPDELKQWVEELVSQ NMDWKSIKNMLRMSDDRLLELEQAVHKNGPFLILWVSEWQKEFLENSEEWYIDSTHKT SKSFNTVAGKGPEDCFLFTIVVQNPITNKESLVCFFIADYEYTSTLSEYPIEIGAIEE VFGNSVNILLCHWHIKRAWEVNVKKHIKVQNSTHASNIARNSIHAAATFYTNNLIESY YNQLKTFYLGHARSLRVDRLIYLLAKVLTLDYRQKNIKIYTAMEMVEKLSDTIFTCRS FTVDFVIYNIELQNNFLQNCTCPDTSKLCKHIFLINHMLDITYSLCQSLSSSSAVHVS NTDTKPVVDTSLLSDEIKADIMKYCQLYSVELDSKIAEYKRMPKDMSQFLDTLKFAYN KLKEYSSFSQSLLLQDAVNPVFLSPDVGAQG PHYBLDRAFT_72281 MTIRHYIIFLDSIGKHTLTLPLLHFYIPPFFFDTRVLTSDASSS QWPSGLAKTILPKILSVIKHSHENDEQPSLKHDMHQELSSHVTVIDMSKKIGTNAMFN ISSVFLLNQFVSSVFNYTIKTM PHYBLDRAFT_72280 MTGIRQIASNSSDEYKNLLAKMKEMEKSMVDVCGELTTMHKAIC AGFGQGIGSQTSASVPFDNPSVAASSIVRIPAGIASEISCENKDKVFYMRRDKFTSND PALVSANEAKPRWKTDVFFNRSPNKEIVANLLGYLLPKFVGQGIKTSEFHTMVHTNFR STTHKNREDPMHFNCRIMAYVLNKDVIDTLMKRNCSRLMIRSAMSEGESEDEFPGRPC KHIVKVTRPFWRSDEFNNLIFNIDGIVKENLGNNICQLLDRNLASLSEKPVPNDVALC FPPWTLRDGPQ PHYBLDRAFT_176109 MPTSNNSLESVMDKHCEMVSHSGSADQTQFSGIAFNPLCNFNQE IVNEMHGEVVLRSVTDVFVNHANMEWTCTLDRNPAVTSLLSLRSFLPTEVNEATTKTI VAQKPKTNLLEKVDLNSVSNAESIGRGKQASMSGLPREKIQADFDAAMVETDESNGLE TMEVEEVSASKERKHGNQKCYLETSKDSCHGRVLWGADYQISNPEALGEEVCPDNEEV GEAA PHYBLDRAFT_153421 MHIKRTFGRSVSGTPAKTTVPMQRGVSITILAAMCERGIVSLSL KKPTAVITKKKRKLNIYTNVEVNGQIDTRTQHYLNFLSHTMDVLDSQSMQECYLIIDN TSIHKADEMKDFISSRGYKWAYLSVYSPFLNPIEKMWSKIKLDVCREEIAESDDLILR ITESAKTVTLSDCLGWINHAISFFSCCLNREQKL PHYBLDRAFT_176110 MSSNSSRKTDHKGKGKASASISTSANRVLAGHVGPQEIAPSFSS TTIQDQQYAEIVEMFSKVNNSINGVKDDIAAVNSNMTAFKNRMGVVVDTSGKTYTAFA DFATAYTNNQTGMASLGPSLMPSYVPQTSLSDAKVSVIILFLQVYEAGLLIGGKTNFR T PHYBLDRAFT_72277 MKKKQKEQKTKGPKGRKTEIIYWIELKKACSKPICTPLIPGSIN RDTRIKEILSIYSNKYSFQTMVNNRQSIAPAPSPEYTKLLRRLTTMEESLKTMDSNIG IVIKGNKDSLEILDSVANASGELLAVIAPTTISASASVPFAASSIGSTLDWYTTPSEA FFGISSAAPSVAPSVAPSVAPSVAPSVNPSVAPSVAPSVGPVVLTGANAGELSKQDRT RVLALIRGELKKHNFKSNKPELVAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKA DISDCIYTNFCGMRRRVKESYEACKKTNSQSRKAGRETNHFDRCELTYHTFKAEIDVN VGKSCDRLLQKEAMSEGKSEDNMPRVSSNRAIRTMRPSWRIVDDFMRNRMDFNSRQML KRSFGRDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_176113 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSAQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQCMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCNFVKLGARSSCNCQLTKTSASGALVAKRSYLYQSLHRLGYLD LVRGTIIDPMHNLFLGTPKRLMDRWIKDEDIQDGDFAAMQKTAETMIVPSGYTSLNSK IGKQFSYMKANEWKSWVLVYSPVLLKDVLAKDRFENWINFVDACRLLIKPTITFDEIN TAHQFLQTFCTRCDELYNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLKI RFEETFMKKFIEDVHKDDLVNSFLQSTLQTSAFPLLTKLTSSFTPATIPSIHQRTFHI QSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKVAYNNEQLVH FQQASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYMG QIQYIFTHSFTPPPTSSSLIPLLHTHCRPTQLLHNSQHTFTFIKWYTPKMINRESTNM LKPAFQHFLLTISNVYCQCIELC PHYBLDRAFT_153425 MKKYPRFSIEIFAEKLWDWKFESDDPALVAENETVINYLKSYIS TQTRLAGTHPWVISDKVKNRYKHSHCTFHESPEQKAKKNSKGRANSRTLQSTYMDNWV AIDAAMGYKTGNSVEKTYLKLFQKDAMSDGESDIEIVDNLPRRCLHVACPTWRSEEFN RLLTMVDDIDHTHHVSNAGVGTKPRMNRYLATLLPCSVPATLSQSLSRWAINNK PHYBLDRAFT_153427 MLTLNIDWFQSFDGVIYSCGAIYLAINNLSRSEWFKKENVILVR LMPGPKEASTSDINNYLKLLVDELMELYKGIKIKTHQCPNGTSIQAALLMVACDIPAA RKVCGFTFHTSTNACHKCKHQFSRLAGTSSIDYSGFDFSKWLLRTKNDNHKDAEIWRN ATKPTERQCLEVAHGVRWSELHCTAKRMLERWVADGLIDGKKLIAMQKAVEKVVLPPD YTSLGTKIAKGFPYMKADEWKDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGYKC LEEFCKGCETLYDLDLLSPNMHLHLHLHQTMIDFGPVYGYWLFSFERYNSVLRNIKTN PHYBLDRAFT_153428 MAPIRKPTVRKESHADTCDIISSAVSEPVNQEKDNFEFEQEDVE MNSELRNLNDTNDILDIWTRNQPFSETDCVDTYEEEEEYEDESDVEMDNDEVALFVSL YVVDEGAVILIAIINKILQFLFDSFHLPVSVADLKRLAGFEVLTSSVKKYVACSKCHA IYDNEAAPLCCTSPNFDKTSLCGNSLFKSGPKSKAPKKTYVFHSVKKALKTFFQCPDF ENKINSWNCGPKMNSTFV PHYBLDRAFT_64596 MSLGRLTININSHKSGTTCHGSPPDQTTKGIHHPVSLTRNWPKP DMSTTHMTVWLLLCSLMVRHCAWTSEHPCSIQAYCYFFVPNYIRLYFFCNNVVSDLGW SSFYYNKVKSHYTLVNAQEDVTAHKEVLWLIIFKLYGFIKIMSDNFFFL PHYBLDRAFT_176117 MNVNSFLNDAANLPTKAVEKLRLELQHRKEFEISFAIEFNLQQK VVENMAEAAYNFSNTVLGPKKIKKNLFNLYTKEMNNKRKLDNNTINCIDYKVNYIHKE IIDLYNSMGPLEKSRYYKMTKEDSATLHQESSVSDVKRGAKSILTIIDTMKLLMNYDS LFLFWESGTSFSRKIGRLLSGDTSSIFFKCIEEDGTLKSFLEAMGNVERCPKETGETR KSFPWKAIKNKKGTIRAIGWPEKVPFVPLSLMKDIEKEMLSSVIQ PHYBLDRAFT_153431 MPTSYSENEWFHSENEWKKWLEITEVRLLPALREKKWGFRWGFS WRFSLRLSWRFSRIAVESLVPF PHYBLDRAFT_176118 MTGTAFVRGDLCDSNHILKNNSVIQLFQGLQDALISLKNGQEAL EKKQDAMQLEITSLCNELKDRELPNNTIVASVNMIEQDLGISPTAEVKGAINTCTKHI CDQLAALLSVQILRPNPSWTSIPQEDQTRMCVSHSHALKNYGIDFTRCHKNWASITKV SQLWRSRRKQHLSANIINE PHYBLDRAFT_176119 MISDENMDIVDRTENDEPMYDADMEHDNTIEESTAIEEIEDETA PLEFDFSQPLPTPSTNDAKNLEFIQIVKEFGISCNAHEKIVSHFNEILASSTSTYRAC TPYLGQELLKCFSRIEEKKYDVCCNGCMLFDANETECLHCSEDHYKSAQDNATALRTL GSFHDFREADKSSQRGLTGQSPLATLDAFSGPYFFALDEMHSICHGVAKQVWGLVTGK YGKKHLLVLSVGVQKEIGAAMVSTRKTIPTLFHGAWRDISKNAGYFKAVDWADFLLFV VLTLVAERIRNISARKTLLGLVQVCNLLMSWELSAEEQASIKRELVEWNVYLETLLAE DKVDLKVVTINQHLLQHYPDMIEAFGPPRSDSARLVERAIGEYSNAIKSNSAIRTNTG NIMLGLAQTRQVEHTKAYIAARPRAARLLAYEDSSAGWPMMGEDFYESKGEECSMIEA AIQTSCKAFVNGCVIDSAFDQNCVREAHNIRLQIQVDENRNIGQNYSPIYKDFFGKVV VFFEHKLNNKRWPLALVQVYAIHETNGIPVVTNVPAKPKVVHLADVKELVSLMVSTAT NGCYQLQSTSTKNTEKKVTNSKIPSFFP PHYBLDRAFT_103719 AVPIFHAYVHVQHCQVKLNPKYRDGFGLTDGECLERLWSYLNRF VTMTRKMG PHYBLDRAFT_64601 MSSTQRRCAACHMLGHSRSTHKQCLMNPKNISLHIPQKRTNVDE YPAESSQTAALRIRSEPVQDQNLDIETSTFISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEVTGREEEVEEISTVNRGSILPHCPHCNGTDHHRITSRFCPNNNSSRARGS RNRGRGLNNIARLPAISEPAVDNRGDMDIECRFCGAMMWAHEKNSRSSLRSPTFSMCC NKGKHVLPQIEPTPTGIAKLLNYRTRDGKKFLENIRSYNSTMSFTSLGAKIDTSVSNN INGAYNFQIHGTICHRIGSILPVTESDIAHPKFAQCCSDRSKTVPFPTIGEKCFGEDS VHSYGNKSIPEGPRDQRRYNAPTASEIAVLIMNNEEGTSRDIVLHTRANFQQNINEYH RSYDALHYVLLFPHGEDGWTVDASSLSGEHVTVMQWYSNRLMYRRNTQHLLHLFGRLF QQYIVDMYAKVEHDRLHFITSNQNRLRVDLYSGIQDAVIHNDCNLANLGKRVILPSSF IGSPRYMAQLYQDSMSIVRRFGKPDLFITFTCNSKWPEITNSLLAGQKA PHYBLDRAFT_176122 MQDSVSLTVAYLLGGLTLFPALSVVALVVLSIQRRKLIVPLFRS SSGLGPTRNEQTVMASGPFFQTGWVEATSSPPQTPSLTIKYMLKKYLFNNDNDHDKPS NSSSDYSQHYYAVLKFDTLFLYDSERQLDCRQVFVIHKHSVRMYPPGLKDHELFSRPT RILLTHDGKSLYINCSRNVDKEDWYLAFVNASKLDHKPHRTSRRLDSTRFDQTAMNQL LATIHSDEHHFHTQWLNSILGRIFFGLYKTDSLKTFFHDKICKKIEKLNARRPPFLDT IRVRSVNPGHALPYFTQPRLLNLSPAGEMTMEADVVYGGGFRFDIEAVLRWKYLDRLR PLTVNIVLAMTLKQIKGKVLLKIKEPPTNRIWVGFYEMPKMEWIVEPLVWDKRAGFSV VVKAIETKIQEIIAETMVLPNMDDFVFFPSNNEGGLFGTCRGYNNTHDENDEGEGKDE DEDGANVNNSTNGVVPVDHSEQFTPTEAIEDPDISTFSVTSAFSFNTAISITGNRNTN DKSKTAKTARNTPTNTMIAKNETIPKNILNHKQQKQQSRFKDESLWLMPEKHFFSDLF SSTPEMQTQYEFLDPRLVPNVPFNPSKPRTAGRVRRWFSLSKEGDSAKPSEKFNKVLA GNKLENATATSALPKDHPLSPREDLSSTASSIHMSEDGKDGRIVLLPHKRSFQVPKTM DIHIEADSGSVVAFARDETLEPESPQQNTRRFRSKSVALTVTTQVNISRRISTLVSSN DNLVPDKN PHYBLDRAFT_176123 MITFIPFPHHTHSIPETIWTDAKQVWITQLNTLLDTSDEEFSDE WINNTSLSTLLTSILDVQMDKTPIDPALSRAVFVLYVRAASLLSKGRIELAASPLFSS GQLTSFAAVYNSSNPVTVRQIFSQLLDNSPMISGHIKESLTLLTDCLVGLPKAISTQP KRQTLERAFVVCRLLDVLVSATLYIDGFFIRHDIEKRVLECYHSLETIYQSVSAESKD HPFFYHLKYALVSTFNSLMDRRFFLLLGFVTDTHDPTQLIPLTPSSSSFGKTIENLSS DKDAIVDHWSKYILELIENDGLEGGKGIFEDAPMVMDWEVAFCASAKLDIVNSSLWGG EDERIEFLKMSMQQVRDMSQGISPWHSAIPKKPKKNKQQTKSRTTNHSNNTIESAEGD NHVYADADADANVEQMTFVSQVLDVFPDLGIGFIEACLEENQNDPERVVMQLLEDTLP PSLATLDRSMKRQTPKEKKSAQVEASPHGAADYEKENLLDSRRNIFDNDEFDMLRHSV DKTKIHLGKKTDVTAKSVIDDKSFVDSQKSNLLQRVVNMYEEDYDDTYDDVDYVGGAV GSGAVEDNEDVAKPKKEIVDPNILNESDLVHWFTSDPESFARSPVVRRSAKRTSMREK THMTDEQLEGWAVMFARNPRKQRILDKYALFDGSQAQVSSQVSEAQKKEQLKNKKDNR PPPTEAKDRSYKDKNKARFGNHNRKKMHDKKLVKAGGAGPIA PHYBLDRAFT_64604 MSQSVTTKELDDIHDHCIKAKLDPLDDALGESLIKRGLGRLDRV LVKINELRKKSTKSALPNNDKDLVIKDWGFVDKYYEDHGSLNWETIFKLGKAKGLFAN YSKWTSASICVHLRNFNILAQTNKAYLMTQYDLIPFLVTTADWYQCIHLSIYQRRLIY VVSTTSNNRSLKFYQTYWQQDQLQTLGPSGPIPLKNRP PHYBLDRAFT_120073 MVRNAESRSTAFDEQEPILEVDKTQQDAAATGHKDNSRKAYYRE FRKVLENADVVLEILDARDPIGTRTLQVERMIMDSGVSKRVILVLNKIDLVPKENVDK WLKYLRNEYPTIAFKASTQNQRRNLGHSSVATDAATEDLLKSSECLGADMLVSLLKNY CRSVNMKTSITVGIIGYPNVGKSSVINSLKRSKVCGVGSTPGFTKVAQQITLDKNIKL LDCPGIVFAQQGQDGRTDAEIALRNCIKVELLEDPITPVEVIVARCPTEQLMTMYDVP YFESANEFLISLAQKWGKLRKGGIPDTHLVARTVLNDWNGGKIKFWTEPPAADKSHIG ASVVTSWGEALDMNFA PHYBLDRAFT_64606 MEEERGSKEVIDIAPCFRLQEYGVSCHTGLLHIGKSNALAVDHL TVRICAPRKKSCLIINMRLDSEVLGNSPMSIYSLNYGSIKYLLYRAFQVMIKTDIALF CNSHVEIFNMRKNCAN PHYBLDRAFT_153440 MSLTNSGYSYSNMSDFDYTFANTACSYQCSIQDGDTVLEAAQYF SAACVTEWLQRNPSAKATLMLDGDRIDLPVYALRRRNAVVADLPDAPIAA PHYBLDRAFT_160827 MARIRNKKPRSDKTDSEANQSSADNPIDLTSPEHGPEITNEEDF ISLELGDPRSRQEKRFLERSKRKREDMEQSQDDPEIDDSLYPWMESMRNTCLQPNITA HQLYERELNCLLQYLEPTDVEVQMRRFLVHRIQKVIEKTVSKDAKVEVFGSFATNLFL PDSDIDLVVISSKHIMLRKLGMALDVAGLCKDLKIISKATVPVIKFEDSLTGLKVDII LDSTTGIHSAEVIGDMMRAAPALRPLTMLIKLFLASRSLNEVFTGGLGGYAIVCLVMS FLQNHPKIQSGSIVPERNLATLLLDFFQLYGFGFNMRLTGVCVEGQGSYHYKNISVSR TGNPVFNIMDPRDRGNDIGSKSYNAMTIVRCFRAAYLTLTSNIYKKQELLDHLDRTHP SFKERVCESSILAPTFFVLDTTAQQRRFLQSVYRLGRWKNEEAAKTFKFENYNKK PHYBLDRAFT_153442 MVEESKTHQNHYPYSVDSSEIDDISAHAAGSTGQPPIVLSGTRF VIVFVSLLLGLFMATLDQTILTTALPLISSEFNASDQLGWVGSAYLLTSSGFQPIYGI FADIIGHKTVYLISLSIFLFGSILCGASKTMFMLIMSRAVQGVGAAGLITILLIIICD LVSPRERPKYMGGLGVAIGVATLAGPLLGGYFADRRIWRWAFYMNGFVGIVVVAAIVV FFKLPTHRVSDEPMRVQMKRADYAPVFLMMPGTICILAALQVGGANTSWSSTNCIVLF TVGFALFAIFIACEIWVVPYPFIPRRFVMSRTNMAICLANFMGGATDYAIMFFVPLYF QLVHGDSATSSALELLPFFISAVIASFITGIIISMTGRYRLILWLGCAMTVVGTALLQ TTTVDMPRSLQYFYLGILGFGTGLCKQTFIVAGQVAADPKDLSLATSISQFFRIFGGA CGISVAETIFSFRTKAGLADLSKKMHQNFSIQNIALIKFLPAAARRQVQIVSVDAIDM IYRFTIGCCAAGLLASFLVKHYDIWTDESKVQPTAANGNGTTHNKASDGSNA PHYBLDRAFT_153443 MTTLQGKNYTIGTRKSQLAMVQTYLVRDLLQRAYPQHTFAIESM STSGDRILDKALSKIGEKALFTKELEIALEDGRVDLVVHSLKDLPTVLPEGMYLGAVL ERENPHDAVVLAPRFKGLTLATLPKGSVVGTSSLRRVAQLKRRYPHLIFDDVRGNLNT RLAKLDADDHHYSAIILAVAGLVRLGKEDRISQIIEPSDSLHAVSQGALGIECRENDS EARQLLEVLNHTPTRIRCLSERSLMRALEGGCSVPIGVNTTLVLGDESKMSLSLRGLV ASLDGQQVVEYEDTISLQGADDAKKFALAESLGTRVAAKLVEMGADKILDALRH PHYBLDRAFT_120088 MAENFDSDFKNHPLPLARIKKVMKTDQDVKMISAEAPILFAKGC EIFIAELTRRAWVHAEENKRRTLQRSDIATAISKTDMCDFLIDIVPREEAAKTTDAVR DFIIINIIY PHYBLDRAFT_184149 MSEPLQEVQDIEIESNWDEIVDNFDNMNLKTELLRGVYAYGFER PSTIQQRAIMPVIKGHDVIAQAQSGTGKTATFSISILQSIDVSLKHTQALILAPTREL ALQIQKVVLALGDFMGIECHACIGGTNVRDDITKLQSGVHIVVGTPGRVYDMINTRGA LKTEHIKMFVLDEADEMLSRGFKDQIYDVFQLLPETTQVVLLSATMPSDVMEVTTKFM RDPIRILVKRDELTLEGIKQFYVAVDKEEWKLDTLCDLYETVTITQAVIFCNTRRKVD WLTDKLHAREFTVSAMHGEMDQSQRDLIMKEFRSGSSRVLITTDLLARGIDVQQVSLV INYDLPSNRENYIHRIGRGGRFGRKGVAINFVSNDDVRMMRDIEQFYNTQIEEMPMNV ADLI PHYBLDRAFT_153446 MNRFIAKTSALQPTQLILHGPLTSMRIRITSAKIQTALDCTMNA IWSAYFRLVLHDTPLNANRTARWLESSFYIPPTLHPVIPFKDPHSFSGSPFI PHYBLDRAFT_176132 MLCNLELQAVKDYVLNIYIGINLVTWLCKTIGYQNYVGHSKVSN TALFTLSFLSFFKACNICFYRDAETVQLLVIIHYKNKSILHMEKWLLFANQLLEKSVN ALSVKARH PHYBLDRAFT_153447 MIQQSLAEKVLVEGLKETLDKIAESGLLSLEDCPTNESDSLYER QCTHRVVNENEIADLVMEEYDADENAANNSNEETAEVESAVSFKRTYSASEKFECVCT LLDILEDKDIDRDLVSKVEGLRNKFRKTANSKQTKVTSFFKSF PHYBLDRAFT_103546 IKMNRIHGEAGSTDIELLQIDKAAIKEKIEGYSAHDIYNFDETA LFYAVPPRTTISHQKFSGWKDNKKRLTVSLLCNADRMDKWSDVLMIGHARRPNCFNKN NKKQEASDHGFSMYHYNSNALMTRSIF PHYBLDRAFT_176134 MFKCVCNKCVTHEDICDTISSAVSEPVNQEEDSFKFEQQDVEMN SELRNLSDTNDILDIWTRNQPFSETNCVFGPEDNAQYTSGTYKEEGEYKDESNVEVEN DEVALFVSLYVVDEGAVILIAIINKILQFLFNTFHLPVSVAGLKRLARFEALTSGVRK YVAYSKCHSIYDNEAAHLCCPSSNFACLSLALNQKHTKRHMYYTLSRKP PHYBLDRAFT_120085 NATKPTERQHLEVAHDVHWSELYNLWYFDIIHCAIINPIHNLFL GTSKRMLERMVAD PHYBLDRAFT_64617 MHLHLHLHLRQTMIAFGPVYSYWLFSFKRYNSALKNIKTNRRNG FETTFMRQFIEDTSINTNTNNNNNNNTTYLSHSFSISKCLETSQNLSMTICGNKPLPS SVLPFKTRPLSFMLKHEYDCLLEYYQVAYKNPQISGYKDVIDDSPFVNDWIEMVRSID LLGQNYKGCISTNDRGSYIQAYFTERAGSEHAYVGEIQYFFVHKFRPTVSFLTHRDPH SSQNVFAFVKWFKPTSEKTRESEGVELLHNELYK PHYBLDRAFT_64618 MSIRHKSTYMDNWVAIDAAIGYKTGNPVEKVYLKLFQKDAMSDG ESDIEIVDNLSRWCLHVARSTWRSEEFNRLLTMVDDIDRIYHVSNADVGTKPRMNRYP ATLLPCSVSATLSQSLPRWAISNE PHYBLDRAFT_153453 MPSNSSRKTDRKGKGKASASISTSANHVLAGHVAPREIAPSFSS STIQDQQYVEIVEMFNKVNNSINGVKDDIAAVNSNMTAFKNRMGVVVDTSGKTYTAFA DFATVYANDQTHMASLALSPMSSYVPQISLSDAEVSVIILKIFVERLWDWKFESDDPA LVAENESKKKWNLNEKINYHNNIAVINYLKSYISARLA PHYBLDRAFT_153454 MNNISENINLSTVRSVVCEELSDIQASVAKIVATVTSQQQLVRE DISHSFHAGKQSATQARMSYLVNHPDDREKQQGTDVNVSAEEQKLIRLQFHMYTRQCQ RIMCEDGIFALHEYRGQWAAALFLQEVMNAGPQTDKRQIAKLSGEVQEEDSLGGAESS VASLPPRRNMRSRRI PHYBLDRAFT_176138 MNLSNKRARSSNNISSYICDVCNLDCYTSKQLWNHKRIHKKNTS SAFSAGSSTYISLDDEDLLSLIDDNITEDIPFDPFQPRTYKASRNFEAGDEGHVYGDN IFTENIFTTSQLLSIELYDIVTSFNVSTEYNYQLFNLMNMVFQDYDKLSKEYSSEIIQ AEPVNTLLKKKTAIKAHIYDICKNVCKLYNNTQCKEECPHCGSKSFLEPTGDAPAPLV SVKTMKMMSLGDQLARLLGNKKSKQQLTIVHVMILNSDPLIRYINKYLIQLAIILGKP VDLDSFLLPIIDEVISLGKYGLIIKKFDGERIVEKVHMVTKYCHHKGHNSRYGCHVCE VLGQVSLRGRGMYFKNYSAPLRPKIDFVNVNTNTNIQEPNIFARLSTFTGSSFYGLHE MHLIGHDIGKLVHKLIIVKIIISYCRVNCVRSIWS PHYBLDRAFT_176139 MSQQSAVNSTIVSGEETARVNSGVIPSPSATGTANHDGDTVMTP LGSEDGAFDSEEGSSGSDAGRSGYEGANSPRIYLGNSGVRVNNNLVATVEMLLASAEE DLNAKKGNYYAALGHYLGVSKRDPTSTAAKSASKGSKEAQELFAEAEQILKDLKASTA PASKPHDKRCTLVPSNLPFLQLCSEGPLVKANHDVFDSVYDFCQEFTTVLEAHSLFLD SCWERLLPTCLNKKERSWFEDKLKGKAYNWKKAESILLDHYDTPFHKFLNMDRVWCMK QGKRESTRSFGAKFQKFRHQASLDDGVQLVLCFWWNLRPEVREACLISLSANYGTKMP SKIEDIISLVSVSTSDSTALLHNPANGTAASSWSSFAAHNGASSLSAGSHKGKKRSFS RERGSQSPKKSWDFKKVMKDSICFSCKAPWVKGHSCPEREKYLTKVSRMAVCSSAGRP ARASTVVEGSPSLSSSEYQDNTSALAKMALDCKYNLKDMVIKRDFKNMSTNITFPILA NNSIRTISLLDCEAMFSSVDKNFCLKNGIAISYINHINKDLLNKNNVHKYFICLADSN TQIKRISTCVISVTCNSKTIQREFEVMNLTNSHEYDFSIGADYMSSLGIGIYGLPLSY DDADSSEERREADRRFNNKSDLLESIERENEQKENNPAVGPKQFEDAMDYIRPFIKDN QDIPKGSFCTIPESVKILQRSEALIPFLTKCKV PHYBLDRAFT_64623 MNDITFDPRTSTIYRFMPTYENKTEEFVFEAEEEYQLWVTNNPA CHANWVVKNSNKSKRKVPVVALIDPNLIKVTQYIKCNHSGTKAESLKKQAVENDELPV VKRRNTTGNSIKVGCLAALVVKFFNGSKINEHVEKNLDWNAIKGLLRLEDVKLEEMEN STSMTSIPSAMMIQYKDVHNAIVARINNSARKHYKDEISTERWIAFLQEKGYQTMFDT YNSVGPLLSFLNNKDCYLMSVVVYNPVTNKGVPVAFFVISIECSYTIARWLNWLKDTN SLKVKRIMIDCSPIEQKAIWDTFGPSVQILLCHWHIKRVLESHVKKVTIPNAHLETKN VRANIRAALNLIMHSNNEADCNAHWQKFRLDYGMQFPVLMSYMEDAVCHTNNLIESYY NQLKSFYLGQSCDCRIDKIVYILSQLAERDYRQDTLETYFVIKSIRLSVADTEQKRKA SVIAIERANGLVEEVELQELQAYKMYSCKYFGEGCELVYFIKFTTHLHDCSCPDSARL CKHIFLDSRVFDLPVTVRRNVVLDSAALFGLGENDSNIISEDNIALLENQMSKDEQKA NLLLMNESL PHYBLDRAFT_153458 MYKGAFRAMSPLTKVVWRKITSAQAALHVSLHLYNQLAFSRVSA DTATASRTQEPTRLDTYAI PHYBLDRAFT_153459 MVAGVSFDSILKLVPIPVDFVVVFVPKGPGQHNFAFDDIGKTCS LCDQGRSARQCSWSVFVTLDDVVDSIPDRNLFHNDDSVNEDLHVESDLEDDDSSDVDD MNSDGDHNVSEFELDSGESIIEMDEDTSPFESPSSGNHLYMHIRNCMLSSASNTSSSL DADLDLLREATGSHTTRNQYTSDTHPFPDLQSMVLLAFVDGDNDMVSRRILKKILFTI SLVLKLHEEAIRKKSPFKLPRLDALLNYQTRKKSKIPVFPSTKVDIQLPENKTTSAYI NLPSDHVRFLAASPKKARNMFSLPDRTPNQSICLQQGEKWRTHWYYQQPMFTHNGADF WSGDIVNFMNGSTLARFLVESFHTMDNSAVFVQGYMVYILEGGQFIGIEVESTSIKLE TLFGVDSTPVDVALCYSVSPGKFFHLIPRHKSLLEEPHFLKRHVLDETGKPIDPKLFY KVRISPIILFTDDTSGNRSKQYNPYESWSMKFAALSYEERSSIENIYFLSAIPKKKGA SGMSLLPKIVEDFKRLENGLVMFSAKDNENVLIASPLLWIEADTPCHSELCGLRAPTS LYPCRKYYVRLQRSMPNLQSSSYYTDALLLENALTASDLCFANRATDALLELQSFDPS TDTPVEVLHNILLGVAKYLVNDLVKVVLKKNPNQMARLSKALKDYENSQGMSRKFTRE LRHCGSFLGRDYKVLLQILPAILVTEFANDSILSLITPSFVRLGRICSLVFVRAVRYD YNMYIDEVEKAVTSLIQELHYYDITCEIEGHNPYSSKPKVHLLTHLPDDLRRFGTALH YETEKGEQFNKHIREHLMHTNRLNTSRDVCLKFAKQSAMRHIIDGGSWVSKDKMRDKY GNSTAEFLKENFNDNVKNILFGGSRDFADNNNTDDITAKALCDNTFAVFMLKKSRDQH AHPFIGKVSSLRVEHYRVESSPHAQVNNYLLAQRVSNDASTPLDQLKIVCKLDMHTEF NNKLVINLSKFGSYWFFVFLFSNRQY PHYBLDRAFT_14134 YDPKTHAMAGGLAGMVASSVTTPLNVEKTLLQTRGQSHDSRIRA ATGLRDAARIIKERYGLWGFFCGFKPRVLTHMPSAEISWSVYEYFKWFVTKND PHYBLDRAFT_72484 MSESSSNQTAKQDPKKMNENTLICDQESMFDLEMKQSYENNEEE NKGTATAVTEAEVVAVAESVTAEETLEDIEIKKPQVKAKNHNLETQKLNQKPKERWVD KDPVSGIRLKSRTSSVVEQEMQLLDLEFIPVHSLEAHASLFYSTRIGTKPLTKWGTKG VVERIICSQKFSVAKVTNLRGNYFHLFLFGQAFKTHTKRITVGSVLCVCNPVVTRPTQ LNSAVGLYVSDSKQISVVGMSMDLAQCNAFVSDTKQCDSMIDSRAGEFCDVHIKRAFG MSRNTRMELASGTTGLDVCWIQKQQSIQGTLFKATTERKKRDRKEDSYIIEGKGTFTS DGIETKVKSTPTEKPQPQNEADLREFLKGKSDPGAEMIRRLRGIKETTTASALSKEAM AKMGMSRRDVELTNEDNAAKKRAIDALMASQSKTIKKDPKKPRYIDL PHYBLDRAFT_189572 MGIGCIIADPWVDSDFTPCFREIVLDSVFPLILLISSLVGISKA WLEYRKSNSSLYTPLKDSLPTFSYGATRSPDCVNHNSSSNDNDNDDDASSSTSSSASA STLAPDYEKKPLRWTVVSWIRLLAGFQAAMYISSLVQLVQDTYPIDIVEGTKSQLLIS HISLATFWLIAFILAVVNLITSARPNEFSPALCTRLNALYAGAFLFGLVDLRSFYNAH GVEDIGLGYSVTLYSATLNLIMLIFVFHEEPLAPSSPVLTESGRTLSSENWASIYSKF MFSWVNVMMKEGYKRTLDDKDLVELPVENRAKHTLADYRKYKRPNMAVALFYNFKWPL IHQFVYCMFWSIVMFGPPYFLNKIIKYIEYSDPVYQVPALTAFLYVFGLFVTSTIQSL SMQQALYIGRTLGIRVQSILIGEVFSKSLRRRDQTGSQEADSEDKKGNVNNLLSVDTQ KIAEFIAYLFYIYSYPVQIIISICGLYKLLGNASLWGVLVMVISQPLTYMISRHFENL QDAVMTATDKRLKLMNELLSAIRIVKFFAWEKQFKNRVVEAREVELKAIRGRLMAFMW MANIWFFIPIMIMVTVFYIYTMTSVLTASVAFTALALFNTFRSALDELPMFISFILQA MVSLRRIEAFLAEEEVETVETKATQGVYTGFVNNASFSWEKSSTKTENDDTNNNSTSS KPALRNLNISFPANKLSIVCGPTGSGKTTLLASLLGETHCVSGAAILPRQHVSRSNTL GGAVSGIAYVAQTAWLQNCSIRDNILFGLPYDEHRYQDVLYMTALTRDIDILEFGDST EVGEKGITLSGGQKQRVAIARAVYSQATTVILDDCLSAVDAHTAKHLFDYCLTGRLMK DRTVILVTHHVGLCLKAAAYIVALKDGEVAGSGDPASVLKSGVLGEELAQAEEEKAAE EEEAALDGPIRSVHELEKKDKVKKLDGAGKLTQEESRAVGSVALSVYASYFYASGGFF FWISVLILFCLAQACVLGQDYWIKVWASAYSANPSPSNLTATIADENASSSSSSFVGI FSIASFGSIGSDMMAASYSHPVGISSGLGSYAVGQDGTDEVDVKYYLGVYFLIGMIAL VVSSLRTYILYQGSLTASRNIHVQLLDRILRAKVRFFDTTPMGRIVNRFSSDLATIDQ EVGPSLSFLLFATAATLCVVILISCITPAFLVPGTVITLLFWLIGSYYLKTSRDMKRL NSVSRSPIYVQFGETVNGVATIRAFGSQNRFLEENYKKIDSNNRPFLWMWATNRWLHC RVDTLGAFVSFCTGFVLVSSRNWVDPGLAGLSLSYALTFTNHVLWVVRMYAVNEMNMN AIERVDEYMAIEEEPAVHIPETTPPPSWPATGSLKVENLVMQYSPENPPVLRDISFSV KPMEKVGIVGRTGSGKSTLALSLFRFMEPTSGRILVDGVDIHTIGLEDLRSRLTIIPQ DPVLFSGTLRSNLDPFGQHNDLELWAAIKRAHLTNTGADNNNENESSSDSNKKNNSEA ENAISLDAVVTENGNNWSQGQRQLIALARALVKKSSLIVLDEATSSVDFDTDHKIQET IRSEFVNSALLCIAHRIRTVADYDRILVLDHGKLVEYDTPYALMTREGGVFQSMCHRS GEYNELLAIAKAKHDRT PHYBLDRAFT_72482 MGACLLSSDNELFNVDKEIAERSILIKNKLEEMEESDATIPLPS VTAKTLQKVIEWCEHHREDPVTQDSQERRNTDIEEWDEKLPDYLKIELLLSICCKTVA NMIRGRNAEDMMRIFDIPNNFTPQELVLINRENEWAEDR PHYBLDRAFT_176146 MLKAAYVQKRPQSSINIEVDESVFADLNKNKTRRLSEKRRFDKI LSVEERLALSSIKISNQVKLPEYQLPNNSHSLIKNWKKALNDKVDVFLQKPPPTNREE MIIANILSTLDTSSFSLLEGVPKEYLTTRPDIIIICYERVEVGCGEVKPPKKSRDLID IDRARIAEICKRQLHLRLQTSSSTKEHCTFGILVGEIGMLLMWYFEIEKKYFIDYDTE RLSLNLFGVGHLGALEIG PHYBLDRAFT_153465 MVYQRQSLSQTTTLLEIFFCLPSDTSNPNDAGEPYRYSPIISQI VKYMSEVQDSSKIPIPQTMHNL PHYBLDRAFT_26314 MSSFDHVQYILLAEFDIDKGASLKYQYPNETGTDEHILSELMLP DGAHLRAEDWTIFCLNQMIPDPETEQDVLRSDNEKPLLYVLNLVRTKHDVTARRGARV KAMAICTHHQFLHIYKPVLLLAMEKYFENPSREILESLHKAVNSMDLSNMPRLTWHER QILRASDNKSMFEEMFSENRTLEDEEGKCRRGTYIDLASGQMREISAALGKDRRFFDT RIEYEGIKLPIRIPLTVNAEEVGDFSLIKLISTFSPAAHSGSPNPHHPHLDSPGAYTH PIMILLNALLTQKRIVFLGYGHPSGEVANYVLAACALGSGCGTVLRGFTERAFPYTNL TSVDDLLKCPGFVAGVTNPTYEEHTGWWDVLCNISTGKITVSKDMAPAIIRKNIHTDE PSFSTLSINRASTAASLNLRMDDKSNREREMDNDFMAEVLAAIHAHYGETSIRSKFQE YVMRFVRLAGLYEEEVYHQTKIGGSQREDAFDPTGLLGYGPVFPDEATKQREFAANAQ RIEGWRQTISYKYYQKDFVALSQKSSLKNIDVYRQIYKLRQLRNLPDTEIIAIYEAFL DTTTTDRQMIEFLSFLPQHQGGLAPLGLGFFHSSPTVRRCTIELFKRLQKNPTGDKFI QGLSKFQRLAYERLCRSTSVDEHENTPPVSVV PHYBLDRAFT_128968 MSVHLLSSDSDSFTVDKEVAERSVLIKNMLEDIGESDAPIPLPN VTAKVLKKVIEWCEHHREDPVTQDDQERRNTDIEEWDQKYMEVDQETLFDIILAANYL DIKPLLDVGCKTVANMIKGKSAEEIRKTFNIPNDFTPEEEAQIKKENEWAEDR PHYBLDRAFT_160832 MGLPNLQRQRRRGFLDVPEGSWDDVISPSAQQQPNQEEESESES EDEASSDEVVSSGRRIPRVVHLKTDPKIPNAGAEISEQKKGEKKKKREVGEYLVFTTA KDVYLLNTTRPRITTIRVEHEAISKIDVRSDRMLLTMDRINMVEWIPELELLVAASQK GTVALTRVLQVELDDGRQTCLFNNECYLPLGGLQPTPLYGMTVQRIARDNLSPPIFYI YLFYYDGAVLGYKLSRNKTEVDVNSFIV PHYBLDRAFT_137734 MISLYFYNYYCYFYNCCCYYYYYYYYYYYYYYYYYYYYYYYYYY YYYYYYLEEVLYIPIEESTIDAFQSEWRKGKPVVIRGVRTNSDVSWTPSYFIKNYKKE IIEVINCKTKEVDESTVKKYFSGFIKHSRRPGYNKSTGGSDILKIKDWPPTENFSDHS PKLYKDFMDMLPVKEYCTADGYFNFSNRLPEEYIPSDLGPKMFIAYGSANGEKGVGTT NLHCDMADAVNVMCYADKTDENSAAVWDIYAYEDLAEIKNFVDKIAKEMGVKGILDPV HSQWIYLNDSLQQRLLEEHNITSWRIYQNPGDAVYIPAGCAHQVSNYHSAIKCAFDFI SPENIDRCIDVTNQFRHSKRVDALQLNNILLFAWRSIYDENNSQNTSLYTDK PHYBLDRAFT_153470 MSKKPWTFNPEDFIMPDVPTQTKRRKNRQPETRPTKLKARLVDN INITSNKESENKKAEANQLWADKYEPQIEENLAVDPRRINAIKECIISAAGRIPHNRC KILTLTGNAGCGKSTTIRLLAKTMPYTLSEWINDTTNDYDLNHYDPSEYVSGIDDFED FMRRAIQLDTLNGSMAPKNTKMPRKVILLDDIPDLTTAAIKDRFHRILRACLVNNNPV LVVIVVSEAWMETDLSWKIQSGDRLIGLRDIIPEDIKNSPFYKELKLNGVTKKRISTF LHQIHDAETKSFLSQRAKSIGNVTENMDQLVCMSSAEIESISEASQGDIRFAINTLQS NFFSLASTLKTNRNSRNRHGTQTERYSFSERTEIPGLFHSLGKALYRKRDLAGKLETS PKNLIETLPDNLDNFLSYLHENTPYFCDDISQLNTAMQCFSEGDLLASLGDWRDTAPL MYQAASTIGGIMSIPPPKKKGSMFCMKKPTIISKWDIIEKKKENRQFIFPKSVMDACS SSRYGLPSSEWEEEIEEFTSDEDNEFDSDDGGIDWCEVAKLADAMEAESEDKPEAKED VNEEDEDEYMFEDGIDWDEAAKIADAEEAKYLLDIVP PHYBLDRAFT_137735 MPLGLSHVVLNAPSLEELNKAVAFYNNLGFQTLSPTKEGDVWLK LPAKCSQNATDLTLRILISTVDLSPRPTLEEDWSRLSGGLVLLSNDLEKRKSHLNSID AKYQEYAEDGELYTLDPLNNVIVFTDRPALPNLLDLPQIDSNSSKKDHAVPRKIAVLT SGGDAAGMNASVRAVVRYGISKGCHVYGVYEGYQGLVDGGDRIRRMDWKSVRGWLSVG GTSIGTARCMPFKTREGRLRAAENLVHHGIDSLIVCGGDGSLTGADVFRSEWPGLLDE LKKANRITEEDATTYGHLTIVGLVGSIDNDMAGTDITIGAVTSLHRICESVDSIGTTA LSHSRAFVIEVMGRHCGWLALMAGIATGADFVFIPERPPMEDDWESTMCAVAHRHRML GKRKTVIIVAEGAIDKSLKPIRPEYIKDILTDRLGLDTRVTTLGHTQRGGSPAAFDRI LATIQGVASVDAVLRSTPETPSPLIGMTSNKVTCGPLMKAVELTHEVAKAIGEKNFAR AMELRDPQFAEEFNAYTASTILDDESDLLPAHLRLRIGIVHMGAPAGGMNAATRTAVR YALNRGHTPFAIFNGFPGLVHGSIEELTWIGVDGWISHGGSELGTNRAVPGEDVDMGM VAYQLQKFNIQALMIVGGFEAFSGLIKLNEAREKYPSLCIPIGLIPATVSNNVPGTDF SLGSDTSLNSIINACDSIMQSARSSRRRVFVVEVQGGMSGYLAVEGGLATGANTVYIP EEGIKLERLQSDVRHLMALYLDDDADKSEGRIILRNEKASKTYTTDVISNILKDEGRG LFDSRTAVLGHIQQGNTPSPMDRIHATRLAMRCIDFIEQHTGAALEKAHLLVAVVGLT GAEVHFPSVKELVPKADLANRKPNKAWWFEHRDLVDLLSGRGLFTPEAQESLKQQ PHYBLDRAFT_153472 MTRREGGKFCAKSPIITIDIEKEAGEKKPVEDQPVEEYDWELLD LDTDTMIAAYYNSFLTWRPDTEKNLRGLYKEDSRSSIMRNKRKMKEELETNKDKKVRT LADFSFSVPVAPVSPVTEALTVYKQSKDEELEEIREAYEKTSEMIKPPVSSDSELGKF ASFEVSKYIVVKKYFRRLLNNCKKIEASEKAAEIFWTTPSKYRGEAVCGWAKEFLQFG KISEHQQGKHAKWSSIVDNEDLKKKAIVWLRAQKAERQTVVDLKKYLDEMLFPSYLGV KGNVAISTAWKCMRAWGYVHHKNNQNVYYDGHKRQDINQKKLVIVTHDESTFYAHDGK VDMWLEEGESHIRKKGQGRSLMVSEFQEDMLDQLKNHAIPLFESLHEGCTGVFIFDQS SNHKAYATDALVATRMVLKPKVVSENDLVIFKDTTFLRDGRIIPQSFYETVFEAGREG KGPVEKRQFVSVQQILQERGLRWRMDCNEEEAENHCCCARHLLASQPDFSGQKSAIQE VGAAKRVACLNCDYSFKSLEKNLLSFLDSASPVAGSPSMIRRFYKKTWRYIEAYSKFL DAKDADAEVKKFISRISKSHCSIGIHD PHYBLDRAFT_153473 MAEKNKTQRDISDSSLSSSDMSETGDVESPIMADVLSPPPTASV KPARKRSRRS PHYBLDRAFT_176155 MSDINTTLLNSIQKIEVSLAEIKQALHELQRQFSNQFAPAVSVE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLSKEVINTGGKFKGKNKAQKYNLLL QILHEQGWKARCKEVAQGQPLPPLVPLSDHDLIVKRLHLKTLGRTVKHDIIDKDYPAA SKEWKNVPEKNREYYMMHLERLAKNG PHYBLDRAFT_176156 MTEIDQSILDDVDMYHDENDTSNEDESVSNSEYTMESMELDNTI SYKCACNFEDSEGEAHIYNSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQF INTVIRGHDNIMMEPGAKISHGETVDALLKSKSSVKGHDKPRYKTDSNQSQTPAASMK LMLVGDMLSQMLANPATRELLCYRANRKSVAGQLTNIFDGDNYKQLVQQGLFSNPDDI AIGLYTDGFVNQKKGKNSYTIIHCIIFNLDPSIR PHYBLDRAFT_176157 MYFDDISAWLRPLEDFKVGNPSKNIYQPSIYTQLSTFSESSFFA LDELHLIARGIGKLVYDLITVTLTKETKFYYTHPDNTLNTIEYPFHIPRADLVTIGNC ITSSQKYIPTSFQGSFDNVFAKINGIHAVDWLDFLLYLVPTLVVPYLPNRAVKTALLS LVKGCALALQWTLTSELLDEMESVFRLVQHYLVHIPYIIKQQGPLRCYSTCSMERVIG IFLKLIKSKSKGGQNASFLVERFAIHNYTSTAISICDEINLIWPKPYGRESYMDLPND PSGAQLWEPFHQFVNLNDDSVEGVGGPSVKEALLKYYQRTTDLTGHEFGDSVVVVAAR LWMDSTVYSSCMYQRKKNKTSRSNHYVMFTCPYRNNRNVIVHSWLVGTVQFYFQHVDF YGFPHFLAFVEVMKEHDVADHDSSVPIIKQQSQSTRTLGH PHYBLDRAFT_153476 MYNEILSLKAGQEKAKLEMKAQIEELKLEMKTSIEDLNLEITAL QSQLENRNISNQHTLPSVSAISSANTIRKPVSIFREITLKHIFKMISEDLDIEVTSNE KATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCARHANIMKDSGIDFTR CLGNWASTARVAHLWRDRHKRLQSRK PHYBLDRAFT_176159 MSSNSILDSYQCNQCKERHTNLKKAKSCRAQCFKNRHRRHNDIQ TSQTTPVPGQVSVVLNTVSNDTIDREHTDAIEDQIMDTLNSKDNDDPIMNIFSNDDND ESMYDAELGNDMDIIENETSPLVFDFSQSAPTPDKDDAKNLEFLKIIKDFGISRNAHE MIVKHFNSILETLTCITYRACTPHLGKKLLKRFSGVEETVHDICQRGCMLFTSPSQTE CSNCGQSRYKTRRGETEGGDLVAAATMIQLPLARQLALATLPSTNIHTLESFQNFSQA SASSHKGLNGQSPLATLKVFSGPLFFALDEMHGLCHGISKQIWGLVSGTYRTDHCFAL SSGVQKEIGTAMYKTRNTIPTSFHGDWRDVYKNPRSFKAVDWADFLLFVIPTLVAEHI GDATARNALLGLVQACNLLMSWELSAEEQTSIKSKLEIWNMYLESLLTSGKIKINIFT INQHLLQHYPLMIDAYGPPCAYSARSVERAIGEYSRTIKSNSAINVNAGNIMLGLAQI RQAEAGATVMITEARTAQHLQYEDSTAGWPLTDEGERVGAGSDIEFWEPLRNRTIRDS FEGISCLSKLLEDFYKSKGEECSMIEAAIQTSHKAFVNGCVIDSALDQNCVREAHSIR LQIQVDENRNINSAYSPVYKDFFGKVVVFFEHKLNNKRWPLALVEIAAVRLVNGIPVV NNGQMKPKVVHLADVKELVGLVKSDATINTTTTTTYIVWPELNRGPKLSLGSLADL PHYBLDRAFT_72469 MTSTEENDYEAFVAAGEVQNTGDPMAIEFESNYIKSNEYGSNNY DSDSSNDYSSEHAHGYLHICNPLNSRNIPIESEVTENGNEETQVFFYKANTNAEAATL ELFSIFVKNNISHNVFDKCVKILINILATTSSFMTYYKKNMLLKEEYTVHTDVYDMYK KGCVCFCVVKAGHQIFKIVTLSQQLKFKLSHSQKQARMAYGRSCIMADHVSEFLDVFN GNVVYRLCESSIVGQDNILITIFIDQFNSFDDTKMSATIIHIVNFNINLEERYKSDNM IQLAIISESKHPKNIGSFLESIIQDLQILTTSDIWIQAFTEQVNRYGFKGLNVFRDLP TLTSYVFFGLDEMHLLGYDIGKQLYTALGGKFQISSEITHNENQ PHYBLDRAFT_176161 MSHLPGVLFFWKDLERPIDMILLQSDQSKSFGKEEHLCYWEIRL TGIAEDIILSFSLTLRFSSNQYSFTTQTMKEEMSSLRAYICCLIEHTIGSYRTAIESR KEPEKNIENVLFRKTEICHYLCGQSAVLKPTNRRTSNFEVVSDDVASSQLWSSLTRIS LGTVARETGIDHESSPYLTADIFEPMILLCLEACGSMDLFEDSSLILSWER PHYBLDRAFT_176162 MKVFEKNITSILFSTLPASPSHVWRKSTTPHSIFFNPPVGTPQE DAFWDSLLGSVPANKIIGVSFPFKQSTMHEMHLTDSTTCLDICSKGFLVNNEWFFPSQ GIPTGTKILRLYLTKLPFLPRQDLEQLIKNSLVKYGIVHEIGIYLCHSCFDSTGYAYV KRPPTPTTSLLPLLYKIPTSDSTHFLAMWTRMGSHCTYCRAMGHDIKACPIRPQDSRK CFTCHKTSHLQNTCPRTPTTEFRPSKCSRKLPRTILSAQDPKTHLPPPPASHPDSAAQ SKMANPLTLSLSETTQPKRTYATHTSPGIETANPFAVLAEIFKAAESHMAQTQEKSDT NPANPPFTPLYHNLQTAFIPEGSGSSPTILDEEFHKSAFDILALQETHAHTEDLQQTF TQQFQAKDSLWSPHCRLVSLSPFLSFTDPLFSLCGQCITATVVHSSNLFSPFRVCVLY APATVRPCYDFLTSLLSSPHLVPSKPSQFLMLGDFNYASHTPPPRARLAPKPWLQFVS NTLVDCITPLLLAPMPTFHRDMSSSTIDYIYVSTDLAHCYDSSTITYVQPLWIDHCLV QTCLRFPTLSNIGKGLWRANLHLAHNPSFCSALSRCLTSFVPTLLYNSSPQIQWDLLK QKVAHFTRSFSRKSHPSLATLEVKLQKYHIHHSRSLSIRRRATILNTLVLSKLWHVLR VTTVPMLFFHRLCSIMSKFLQYCTFPSISLATLCQPLSRGGLGILNPQVQQAALQLHW LRPLILFPQRQSGLVSPWFSFLLRLHSHSEHLLLPLLFPPLHSPHQRDYNSPLHTIFA AIDILPHDFTVVTVNLPTCLSLPLSVMSLVPPNCPTFPSTWQDLRVSDAYEISIPYAN STLVPLVPLSPLSLPALSIAGGRSPSPTAHATCDTALGIRRYPAILTYTPEYCWLSLT PLVPCAPGTWIRKLTFCSTTLPSLRSGPPSGPLSLPFPSLPTQFTLVSTFSTFHPPRT PVSHLPLSLGAHYWLYGDTTGSLYLIKFLLSHLPPCLPLISSLTDSRTRLLLTMGQFS FCPPPILLPHIKQNHKNN PHYBLDRAFT_176163 MQKIVKLEALFRSCEGSQQVANLLQKIKKVTSEFEGKTGHPSIN FQASEKIKYPGRRKGGDVPNTFPKTLVGQTGGRSVFCLAGLKAMVRLRARTRDGKPAA TQKTKNNKKQNKSKKEPLDLINATKEIGFKRPATAQEDYQYDYCTSVGKRIVNDVKGR FNPTADGWCGFRVLAHLIYKDQEKFPLVKRDMLATLPKYSSIYSSTFGTNVKQLEDII KHGSDLCIINTNFIPACLDASMWFITSDCAQLAADTYTQPVCVYSDNPNTPFVLFLPF TLSKNISKHQQPLIFNHVNNNH PHYBLDRAFT_72465 MKLSRKHVDHYESVKLWVQELNESENTTLFTVYKNDPFLVFFWR IQKSDIKVQNSTYAFNIACNSVCAVLSNIMHATTSVAYDILYNEFLAATFHTNNLIES YHNQLKTFYLGRTRSLRVDRLIYLLAKVFTLDYRQENGKTLYGFQSVRLICQEEQKRR NTYMLDSNAAIEMVKKLNDTAFTCRSFTVDLFIYNIELQNDFLKNCTCPDTSKLCKHI FLINRMLDIPYSLRQSLFSSSSAVHVSNTDTKAVVDTSLLSDKIEADIMKYCQLYSVG LDSKIADYKRISEDMSQFLDTLKFAYNKLKEHGSLSQSHPPRQT PHYBLDRAFT_72464 MDSHTPMLIRYMVAMDLCSIILMTCTSDCVKTAQILLYLFQNSN FFLGSDISVSSCSTEIVNRVLVGAQLRLWTNATIVKRFKRRLSGSGLMKVIICLIKIY SDDTSRNDSKQYNVYNSFLMYFAVMTLEKQNKQENTLFVCICNHVLNVVNMLGPLVND LVTLEKDIQIYSQDLGKHVLSVTCFSHVAHYDWPQQSKAFLSAFASSDKQSDIYKSGQ SLSYVKNRSKDFLRLKAFDPIKDIPNEILHMISLGLIKYLPILTVIQKDSLQHELSSY QFSFHTVFRLASLIYICRVYEGFDSYLLKIRSDVDEVTRVFLVLNTFIISNLKTFQQQ DFSFKLKLRLLHHLTKDMFCFGCVLQYETENSKQFNKFICKHLFKTNCQATSRDVAKN LQINLSAVTFAMEALTM PHYBLDRAFT_72463 MDYYDSCSSDEENQCKNNPRLTDIHVDSILFEERINQSKAETSD ADNEITGSTIHIETHMRVLKSFSTSMNDYAARVTASESSHQSIEDIFILTRNISPFKS KAEFILHALFHGDEDLASEILIKKIMYTMEMLLEHIMTCLEKTSQLSALPDFTENQRL HLNQGNK PHYBLDRAFT_72462 MIGSKIRFPSDELTRHLVVENMTEAAYNFSNTVLGPKEIKKNPF NLYTKEMKNKRKLDRENYRREEIIDLYNLMGPLEKGRYYEMAKEDSATLHQESSVPDV NRSAKSILTIIDTMKLSMNYNSISSSQKIGRLLSGDTSSIFFKCIEEDGALESFLEAM GNIERRPMQEIQPVTIAANDFRNKMNKRLISLFNEETRETRKSFPWKAIENKKGIIRA VGWPEKMSFVPLSLMKDIVKEMLVDALDKGLISFSCSLE PHYBLDRAFT_153485 MYNPSKHTIKRRQRTAVPQFLLDYFSEDAPISGLNYIPTKKPSV SEVEFNSLRLQYTYARKKRARTMAVAPIEMPSKNIAASQIDLDVKIDFDTYEASQTQK RSLYNKWVDLLSQLADSFLRYLGRCKDGCLDKKVIALLSHFLSSGTFEVVFCRCKSIP KQLVEMGMLPASLNNVQYAIHFGLLEFMRDMRDVLAISGQGLANLYNKINLGAERQIS NAYYQNLLHVFIRLMMIVEAKVEKLSSGFRESNCCPACPDVDSNVAVDDCQYVAMDGN FSLKCERKKDEAQLKQVWIGDDVMKMYEKERAEEGISQFDSNFHASSGGLAKSIKYSI KGLFAASCARHESVIKLVDMETGEGFKYPLSIINQLFGDSGSDDQSADNSPNINVIYD VVCKLAKSLKANFPGLMEKSKLAVPTFHVYAHVQHCQVKLNPKCRDGFGLTDGECLER LWSYLNRFVTMTRKMGQANRKLVLYRAIKFCNETKKVELGLMLESKYVKAKRIIEKSK KALEGFDCVVIEQEWKQHVNKVEKSENYVDIADLMESSHKVQGNIALLANDANGNHVK DEINRLKYEMEKLKMKIQKEVEGFQESDEENTNLIIYIEENVQLGYNEFLAYKKNIQR CTKKSKQHQTSLNKQAKQIIYLLQAFNLEFNESLTFDSIINKKNIFWDAEIRPEVHLF LAKKRAEEEVALLKCDAFWLQHCATKERTLREQGLKALDNAVGTLDKESLLRPVSFWN SRPLPPPFLLLPPPPFLLPPSPPFLLPPSPPFLLPPSPPFLLPPSPPHKPPDDGEASI AFVVEGDDDDDNDDDNNDDDDDDANDDANEDE PHYBLDRAFT_176169 MQKNTRQETYKIVKTHLPKRQGKLNFSINSGVFTCSECKKEFEK PWLLKRHSKVHHISNQMATNTVLNKPEQTKLIVKNTIPDANVFDYSSDKDDSSSIGDE ENNIVDEENNIVDNFFDIEMNSNPVFNAFFDIFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDLTSCYPFCDLQTMILFAFINGDNDMISQQMLKKILLAMNLISKIQQETPIGR TFKLPCLDALLNYQARKKSKMPVFPSQRISVPGSNRNAFAHINLPPNHLRFRMANPKK SKLISFMPDCTPNQSICLEQDVWFGNIVYLKTNDCSICFLVESFHTANKNIFARGYLV RAISIVCYGIEVAVTNLRVEQISHVDTTPVKRDHYYSISSSLTRLSPAHDFLLFGVHS MKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGNRSKQYNPFESWLMRCAALPF KDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMFSAEDNEYVLVVAPI LWIEADTPCHSELCGLLGLAITFPCRRCYIKLRRAKDFVKDLSYFCECHERQTREHYV LANSSPGRDTEIPNALKIGMNTPANKISFRDRLTSHLLELQSFDPEKDTPVEILHTIL LGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTQSTGLSRKFTQNLRHSSSFLGRDF KVLLQILPVILITEFSGNHELELVIPCFVELDRLCSLVFICQVTSDFDNYIIRVDNTV KRLIRALFDYNKGTKNELHKAYCTKPKVHYLTHLKEDIIRFGPALNYETEKGEQFNKH ICEHLFHTNRQNTSMDVCLKFAKQIALQHAIDSGLELKDNNDTGDIEDDAVQNNSFVA FVFKDDPISCPRIGLVSGSVVKFLNIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVCK LDLHIFRNLFYIVNLSKFGSYWFIFNNILFDE PHYBLDRAFT_176170 MQHIINYPKNSRVVVSAPKGPGQHNFALDNIGKPCSLCGKDFNC VWNLQCHLTKYHKLATHIANDISSQYANRNLVSQRQTMNTAELTAPDHNDDSVNKDLH VESDLEDDNSSNVDNMNSDGNNNVSEIELDASESIIEMDEDTSPFESPSSGNHLYMHI RNCMLSSASNTSSSLDADLDLLREATGLHTTWNQYTSDTHLFLDLQSMVLLAFVDGNN DMVSRRILKKILFTISLVLKLHKKAIQKKFPFKLPRLDALLNYQTRKKSKIPVFLFTK VDIQLPENKATSAYINLPSDHVRFLAANPKKARNMFSLPDCTPNQSICLQQDEKWRIH WYYQQPMFIHNGVDFWSGDIVNFMNGSTPACFLVESFHTMDNSAVFVQGYMVYILEGG QFIGIKVESTSIKFETLLSIDSTPVDVALCYNVSPGKVFHLIPRHKFLLEEAHFLKQH ILDKTRKPIDPKLFYKVRILPIILFTNNTSGNQSKQYNLYESWSMKFAALSYEEKLL PHYBLDRAFT_153488 MSFLPKIVEDFKRLKNRLVMFSAKDNENVLVASPLLWIEADTPC HSEPCGLRAPTSLYPCRKCYVHLQRSMPNLQSSLYYTDAPLTGNALTASDLCFANRAT DALLELQSFDPSTDTPVEVLHNILLEVAKYLVNDLVKVVLKKNPNQMARLSKALKDYE NSQGMSRKFGTALYYNTKKGEQFNKHIRKHLMHTNRLNTSRDVCLKFAKQSAMRHIID AEFLKENFNNNVKNILFSELRDFADNNNTDDITEKVLCDNTFAVFMLKESRD PHYBLDRAFT_153489 MQKNTRQETYKIVKTHLPKRQGKLNFSINSGVFTCSECKKEFEK PWLLKRHSKVHHISNQMATNTVLNKPEQTKLIVKNTIPDANVFDYSSDKDDSSSIGDE ENNIVDEENNIVDNFFDIEMNSNPVFNAFFDIFSSAAAADEVSMTDDDSEIPEEVFET IGAVNDLTSCYPFCDLQTMILFAFINGDNDMISQQMLKKILLAMNLISKIQQETPIGR TFKLPCLDALLNYQARKKSKMPVFPSQRISVPGSNRNAFAHINLPPNHLRFRMANPKK SKLISFMPDCTPNQSICLEQDVWFGNIVYLKTNDCSICFLVESFHTANKNIFARGYLV RAISIVCYGIEVAVTNLRVEQISHVDTTPVKRDHYYSISSSLTRLSPAHDFLLFGVHS MKKPMPLSVLPGNVDRDAVFYKVRIVSIILFTDDTSGNRSKQYNPFESWLMRCAALPF KDRNSIANIQFLSTIPKKDGANGMSLLPAIVDDFKKLEKGVKMFSAEDNEYVLVVAPI LWIEADTPCHSELCGLLGLAITFPCRRCYIKLRRAKDFVKDLSYFCECHERQTREHYV LANSSPGRDTEIPNALKIGMNTPANKISFRDRLTSHLLELQSFDPEKDTPVEILHTIL LGVAKYMVIDLVKVVLKNDTATIARLSEFLTDYTQSTGLSRKFTQNLRHSSSFLGRDF KVLLQILPVILITEFSGNHELELVIPCFVELDRLCSLVFICQVTSDFDNYIIRVDNTV KRLIRALFDYNKGTKNELHKAYCTKPKVHYLTHLKEDIIRFGPALNYETEKGEQFNKH ICEHLFHTNRQNTSMDVCLKFAKQIALQHAIDSGLELKDNNDTGDIEDDAVQNNSFVA FVFKDDPISCPRIGLVSGSVVKFLNIVPRTDNDRNNNYAKAVMTGEHSDVANMNLVYH STIQNATPVPPNNNRIRSPNPKFAYDFELVYKRFNNAQSKQEQITVYQLIKKTIRTNQ HPKT PHYBLDRAFT_176174 MTSSHKLALGLPTKLAPYRSDTVYPAMIATTLSQYEYVLICDKS NIRVSGSPQPPCNPTLLKNLTIAPKHISIIFSPEAGGNCVYRMVEIEVHQGQEEWLKV KDKILETFLKHQHNYYQRRMEHIHMPASSNPLICSLQDKLSPLPQLHWFDTFDHPQLA ADTFNRTVAVYCNTPIETGACFFVPLASLPEKVEPISIILDVNHFLLAKRKNTRNFCW PNINPFHKRIIQKHGLEGYSLMY PHYBLDRAFT_189578 MAEPATVINESSTSGTRQRPRAGSQSVKTSSDNKYTPEQAMAVK EILACGTDYYKVLRLKRDCTEIEIKKSYRKLALQFHPDKNSAPGADEAFKLISKAFTV LSDPRKKAIHDSSGGDPEQRGNAGASFASAFSNARGFQRGGMGEEISPEDLFNTFFNG GFGESFGGPGFSSATFVGPGFRTQTFYTGGGAGGRTTQTFAQQTTYPWWTSLIQLLPL IILVGYAFISGLFNASER PHYBLDRAFT_153492 MPPNIVVIKLIHTEAQLPCYFLLVGEGIGNRKQISNIPSKLPII AFGTGLKGENPVKFEGYRKGSVVFFTEK PHYBLDRAFT_128975 MAKKRTNVVPIIEDARHPHKYRMLVPMVDVIFADVAQPDQARII TLNAHHFLKNDGHIVISIKASCIDSTVDAATVFAREVKKLQEERVKPQEQLTLEPYER DHAVVVGKYVRNK PHYBLDRAFT_120119 MRLSFISGVALLQLGIAVTNAATSHQQSNTKGFPSCDSPIYCEG PLLRAVQLAELFPDSKTFPTSKPVDKVLSIFDSIGGSNATKDELRVFVNENFLSAGSD LNKLNMTIPKPLPWVNEIQDDEYKNWIEYLHQAWGDLIFEFNTAGLCDGCVSSTLPAK LPFVVPGGRFREFYYWDSFFVIKGLLLSNQNELAKGMIENFLDFIQEYGFIPNGARIY YLNRSQPPFLVEMVRAYYETTGDDSFVKKALPTLDKEYTFWIGNTTVSIADSVSGKRY QLNRYNVENTSPRPESYAEDYKTAYDGTNFTTTQVIDLFSDLAAGAESGWDYSSRWSK NRIPEVGDKNGYAILRTLNTRNIVPVDLNALLWSMESNLAEWHTKYGGRSSRSAKRAN YYKKQANKRLDAMEKILWNDEEHMFCDYNLTSKTQAIEFSPASMYPFWLNAIPERVKS PKHLTHVFDQVRDALSKYPGILTTSLFNTTMQWDWPNGWPPLQYITMQAMLNVDNLLN KQNHKDDTILPMMYTLAERNVATAFCSWYKTGGKIPGLLSKYPGATDDGHMFEKFDVT NIGGAGSGGEYTVQIGFGWTNGVTMWLFELFPNMTAPDCESSVTYNLS PHYBLDRAFT_156821 MIHTIRSTRFNLSISKLVKPHVIRAYATVPPGAPAFQVFDRHVK QMQKDRAAISVESSREVDYIKDEVAARVADRLLDIKRNFSQVVDLGSGCGHIVKHLDP TMVKKLIMCDLSEKALLRDADQPCSIEIERHVVDEEHLPFKEDSLEAVLSSLSLNWVN DLPGALIQIRKALKPDGVFIGAMFGGDTLFELRTSLQLAETERESGVSPRVSPMTDSS DISRLLTRAGFTLTTVDVDEIQVNYPSAFELMQDLRSMGESNAVLSRRPLLQRDTLLA AASIYKELHGNPDGSIPATFQIIYMIGWKPSPDTPKPKKRGSANASLKDVL PHYBLDRAFT_160839 MGNRIRPVLKPGDIVWARVPGYPSHPAKIVDKTQNNIPPHVLRV KHRRNHNNLVHFIDVIRTEVWGWVPDANIEMFGDKDIDELMLRKVKKEKQIHKRKEVQ AGFRSAYKLKHIDPEPLLSLVFSPSE PHYBLDRAFT_128978 MEEIETGELGEPKFEERVESLERELDTTSICNSSQPPRNSVELQ GLVTQDRKRIDEQLGVNLGMLPKPLFSKIVEDKKDDQLEDEDEVEDEDGEIGGFRRPE RHYIRYTEPSEGELFETVEYDMDEQDEAWLQLLNTERNSENLGEVSGDLFESIVDQLE KEWFDLIKTLPKQTSDEPTLPEDSTCAICDDGECENSNAIVFCDGCNLAVHQDCYGIP YIPEGQWLCRKCMVSPENPVSCIFCPNEGGAFKQTNTNKWGHLLCAIWIPEVGLSNSV YMEPIDNIDKVPRSRWKLTCYICRRREGACIQCDHKQCFVAFHVTCARWARLCMRMKS HSLHCDGVVLKAFCDRHTPRDYREEKGSQRRIPRRRHVDEDLEDERLLNISSSLPSEE ETESTNENNKKRKRFTQDENGDPRLNSGGNTVAQLLPSSKAARAHQHHYSAGAPIAPE SIISKLEELRCVKQASHLRKKPQLITSICRYWSLKRESRRGAPLLKRLHLEPWTASFS QHKQTEVEKARRNKATQKLRGDLEKLRMLSEQVRKREKQKLERMRKQKAYIETILFPV EYIIKPILVQLMELDKKDLFHYPVTAEVAPDYNDIIKTPMSFFDIRERLSAHKYISLD QFEGDIKLIWKNSMTYNKPDTMYFKIAQKLEKLAKELMEQARVDYNGLKLTKETGILD IPIDPEIFTYKYTEPPTPEEIAAEKLEDERIANEAKVKKEAELAEDLARQKEEKQVVV DPINAGQEAQEAQEAQEAQEAQEAKETQIIESIESVHENHTISVAQVAQEIQKIQVVK ETRDIPNTDGSPLLEVVEVVEDLRKPESSSADVSQVSEESPLSEGLLISEASSLSEDA HLSEESPPEEHQSTDRAQIITEKDHDVLEGVDVVEPKLLKTSEKKGLSSVEASSCLSE ETHVSDELHV PHYBLDRAFT_156822 MEPSIFSAALVKGLTDKIYDKRKSAALEIERLVKDNAKAPERIK QIVNELVQDFVYSNNPNARYGGLIGLAATAIALGPAIAQYLDIIVPPILSCFNNQDQK VRYYACESMYNIAKVAKGEVLRFFNSIFDALCKLSADSELTVKNGAELLDRLIKDIVA ELSTTYVSPHQVPLNPAEEGQEALVIPSSLPRNTAFSLPRFIPLLSQRIYVLNSSSRN YLVSWISALDSIPDLELVSFLPEFLDGLIKCLSDPSNDIRVGVSALLTDFLDEIKQAS TVREQQQQSEYRRTLEANRKKRQEEQRLKQKQKQEQSSRLEKQQHNDSVSEAKEGDEG DKEVKKEEKVEDHPVPSENDAVENDDDDNEATDVESDGHGKGSYVPGQGVIVQYGKII EILLPHLSSEEEDIQRTALQWINEFIVIAKDIVIQYTPKIIKAVLPSLAHPVSVIRMY ALETNQNLQKLVLETTMSYPEPSASMSDPSGSPHSMAATDLDDFSHNSGNNLSILRRT NRLDFGYGIHSISGDPFDYQATVSNLCLQFLNEHEETRVASLEWLLMLHKKAPSKILP SDDGIFPALLKTLSDSSEEVVRRDLQLLAQISFHSDHEYFRSFMVNLLLLFSTDRRLL ESRGSLIIRQLCMSLDPERIYCTIADILENDEDLEFASIMIQQLNIILITSSELSDLR KRLRNLDNKDNQRLFIALYKSWCHNAVATFSLCLLAQAYEHAAIMLQVFAEMDITVSM LVQVDKLVQLLESPVFTYLRLQLLEPEKHPYLYKCLYGILMLLPQSSAFSTLRNRLSS VSSLGFLHGMPKNPPTVSTEVPKRQNIKASSSASSKQSEEPVIKYQELLQHFKNVQAR HERQRRQALHSISNTNAFRSRKLRSGIASGSSSIAPTGPSRQGSIREDITMGFRRISI GGSGGSNGTISGPNAARPSTSTGRPTPTTSAASRMMPSRKGRKA PHYBLDRAFT_184159 MFAKSALRISSRSIVARRGIQTTRVAKTEGAINTSRGGFSDKEK AVENQWAYTHDAEKLKKLRETLALTEKHTAELKKHLDEFEQSIVKK PHYBLDRAFT_4549 IDLGAGVSGLTTAIMLLRNGHKNVVVVGKHIPGDMSHEYTSPWA GASVLSFATSTDKRLQAIDRYTLKEFKRLANEDFSAGVMYCPGLQYNDLPDMPGQDSL WVRDHYAEFKEIPKDLLPEGTAFGFRFVSFTTSVPTYLAWLVRTLESLGGRLERGSFE SLQEVMEKYKDADTLINCTAMGARDLKDVLDDNMYTLRGQTVLVRAPHVKQQFYRDGD GYFTYIIPRADGNVICGGTMDLVNKDPKPDAELTKTILKNCCNLYPDITHGKGPEAFD IVSVNVGFRPGRKGGIRIE PHYBLDRAFT_160840 MSAADHTDDLIDYDEEEEHIVQPKTTHNEPETTGADDESKKDKK GSYVGIHSTGFRDFLLKPELLRSIVDCGFEHPSEVQQECIPQSILGMDVICQAKSGMG KTAVFVLATLQQIEPVDGDVSVVVLCHTRELAFQIKNEYARFSKYLPEIRTEVFYGGV PMTKDVETLKDKTKCPHILVGTPGRVLALVRDKYLKLGNVKHFVLDECDKMLEQLDMR RDVQDIFRSTPHHKQVMMFSATLAKEMRPVCKKFMQNPLEIYVDDEAKLTLHGLQQFY IKMDEREKNRKLNELLDTLEFNQVCIFVRSVPRANELNRILTDCNFPSICIHSQMSQD ERIKRYKSFKDFEKRIMVATDIFGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFG TKGLGITFVSDDKDSEILNAVQSRFEINIVPLPEDIDINTYMTS PHYBLDRAFT_153500 MSSTDGNPKSRVGCTPKTQFDCGGIIWLNRSGMKVPQISNLMKI PKSTVRDVIKRMEKTGTTELKPRTGRPKKIVEKYQSRPGLRIKKNIIGSSSSDTTSTT SSNTSKTNSKTDDEAQGKDWMYNTRPKKITRLKTNSTPFHSHSHSHLNPDSNQTQPNP TQPLKSQGKARRSKAKQGKASQARPGQARPGQVRSGQVRSFGLMTQCSWTSLTSGISM ELQKQAHWASVMDEQSFLPGMIATEALVEFVQELVRKVDYTNKVVYVGALFVNFLFIK MPADGLLIPTIDQSLFASVFADSVQETVFQLEKTIQKKKEAPAQKQPADFINDIDKGC QIWAVDPGVCDIITAIDTSGRQRTTSVNEYYHLRGFNDAPHKYKNKFFLPASTDHTEA PVKTSIAFGDGVMNMEMYMLYEYLTSQVCNEFKKRNVENLVNSKSKRRVHAILQLQET TCNIVWNRDIMAVHNILDIFLFSSRNNNQRPDVSVRQTETNPGHQPSSV PHYBLDRAFT_128985 MSHPPAHIAPLPSAILNSLQSSTSNANNSFAANLTPSETKSMET LLESQALPSSTTQVISNPHQTQRAKMQNVPAFLNKLYNMVEDPGSDDLIRWATDGTSF IVARHVDFAKRVLPRFFKHSNFSSFVRQLNMYGFHKVPHLQQGVLQADDESEQWEFSN PHFQRNQPDLLLLVTRKKGRDSDEKEHGN PHYBLDRAFT_176186 MTKLTMFSVYFVEKLFLNKVNRKDIQFCHFRQHFLGLEKNDRTF FLSSYTISNIIISQTQLQRYKGGSLICYKALSQIREGLKRLTCKHGSMTLCLSQYLWV TKNFLLCWANKMKETVRQIRPDDIVFIIVQFKKKDNHPIFSSRVNATGFRLILFVNQE YDRCFHTMLEIGQFNVPNSGITLFVHHA PHYBLDRAFT_153502 MANYHLSEPPPASPIGLTGTSVPLVSAAISISILQSQLPLIPDR LVLTPNKDEFDSLAPVSIIDPSPRNSDSSSTWQSTF PHYBLDRAFT_153504 MKWPIIFNFLLFILLSFIVPTRSIDNPNPNTLELVEDFRVSKNI VFSCQAGGSSHINWVLLILEELATRGHNITYITRDDHLKFGKPYPKIKSVSIGKRLVQ SWLPTTSGKRGDWIERMKVVEGPIRSETEKEVAFYRSYFTENNVDVALCDMFTTICVL VAREMKIPFIITSSIDISPDSKAPYINNGIMDMEDPITLYQSYFKRLYTTTILPYTTI YRTMGYAKEFSRKLDSVGISGFNGNEIGLWKDSLKMVNTVFGFEPARPVGPLVEFVGP IIPRNHQPLTDSLKSYLDTHQRIAYIAFGQHATPELHDTRLILTAMFENIENGALDGF VWATVHLTKDFPTSITTSSNTTYYYSDLIKDKIPSSRLVRWAPQYAVLNHPSTIMFMT HGGAGSLHEALFAGKRLVGYPFCGDQPGTARNIERSKLGAFVDYKKSQLEANKAIERV VRDKGGVIQQTVNRYKALVQIHTQTGVRRGADLVEEVAFVNVDGALPHRYEVARKMSF VKANNLDVHATWILAVLGLFYLIVLGVKSAILSIYTSVHRSRKLKTL PHYBLDRAFT_184163 MSHSIWAEVITPEERCRVDIDGVLCLSMATLMPHAKKGRTTLLL EVNGLEYAICSLIADNVENQPLRIFLTEEDEATIRVSGANSISLTGTVERDEDFTPEE DEDADSHGSLQFRDPSDNTFLGGFELRHFGLAQQNSMFDKVDLRKEETSLEEGALNDR IVIGLINSMKNSGDKAKIKMAKTLRSKLNQSIAERNTKQKSLK PHYBLDRAFT_128992 MSSVDKKLFKTEFAVEMTCQSCVNDITKVLQKIPDIKEFDVSLE NQRVTVQGSAPPSQISRALKDTGRTVIVRGQGVANGQGHSGAAVCIFDTFGDNPLNPV PQDTQGLARFVQIDQDTCLIDLTVEGLKPGLHGVHIHECGDISQGWMSVGDHFNPTNV DHGDFESGHVGDLGNIEVDENGWGDLVIESKRVKVWDIIGRSMVITENRDDLGKGEST SSSSSTTKWDGNSGPGLLCGIIARSAGAFENVKKVCACSGKTLWE PHYBLDRAFT_184165 MLSHTPKPKHFISTADFSAEELLEFVNRAIQLKVEAKYNHPHAR TDRPLTGNTLALLFSKRSTRTRVATETAMAYLGGHAMFLGGQDIQLGVNESLLDTSRV ISSMVNGIMARVNGHEEIELLAKESTVPVINALSSKYHPTQILADLMTMHEHFHNHRN SNNGNAKGQYSAHTQHPSETLPGLRVAWVGDANNILQEMLVSFPKLGISIAAACPPNY VCDKDVVEIAKADAKKTGATVFFTTSPEEAIKDADVLVTDTWVSMGQEDEKAIRLKEF AGYQVTMDLAKRGKAKPDWVFMHCLPRKQEEVDDEVFYSDRSLVFPEAENRKWTILSV IETLMVKK PHYBLDRAFT_176193 MSMILLKFSLSQGDLNKMLLAEVIEFCQERGLPTEGTKSELISN IMFWKQNTSPIPAPSTPKLICSVLPESLPQTVQEERLELNSREFTALFLDDSAPGFDI AYKDLTIGDKLGSGGFKDCFKGVYKGEDVAIGELRLAHFSAMDLAEVKHEVNVLKQLR HENVIKFIGVCTNPRHLSIITEICEKGDLFDVIRKYKKPSFAQQVMYMYDIALGVSYL HTRRPSIIHRDLKSMNILISKDDRAKVNDFGLARIRPKAGAVMHTQCGTPNWQAPEFW SIKPSYTEKVDANMSEHALYVQVKQYDKRPPVSRLVSVYPRNLLILVTEMWEGNPSVR PSMSHVVERLSTYLA PHYBLDRAFT_189587 MQSLETTTDRMDSPPKDTPHIDEAGNLDSEKLPAEIPTKQPKPR GDKKSHSSDVEAGEEENNEEEEEEELDEFGRVKRRRHKFTSERKDTEDGEYDGEEDDR RHTRGDYGHDSGSDGEGRPRRYQSSHHSHHSQQQQQHHQQQHHSHHRRRRSSSPRRRY TPRSRHSDSEDEKDHDRSRRRRRSSHGYRGHSPDARDPYAAAARYIDTEFFPTKIYVG DLERVSRDELEQAFSRFGPIRQVRMVDGKEYGFITYEDKPSALAAIQSMHGALLGTRR IKVNRAKIPERNRVGFGNVPWTDEDGALAKEEMQSYSASRDISPITKPGGRRIKIKKV KAISRSRSSRSPSPARRRRSPAYSRSPSRSRSPSRSPSRSRSRSPRRRSYSRSSRAGR DRSLSPVRARSRSPVAAAKGNGVLVMNLTRNVTSEHVREIFSQYGTIVELEFPFNKRL VKHMCTLKLMRKQKRPLATWMEDNWTVNI PHYBLDRAFT_120120 MSIVTVIVSTSENIESERRFDKGLTLLDLKCKLEPITGIPAGTQ KIELFRGNNLIGPLDDDDRMLGSYPVENYMRLHITDLNPHRVKNQFTDVSLVEKFELT EEEYANRNDSVRAFKERNKLGRFSKEALEDMAELERQNNEAAMKIKVGERCEIQMGED STIKRRGWVRFLGTTEFQPGLWVGVQYDEPLGKNDGSVQGERYFLCPPKCGGFVRPNK VVTGDFPEEDILMSEEEDDDLEEM PHYBLDRAFT_160842 MLGSVHRFALERPVIFWSFVIGSAGPLMVWTVPTIRREYFGFKG VERLPITYPLPNRARNPPAGYED PHYBLDRAFT_95167 KPKRRRANAKQLEVLNRVFERTSFPTTQVRNELGQQLGMSPRTV QIWFQNRRQAIRMQEKKQPKE PHYBLDRAFT_90195 MTKDQIKYCGAIIRHLKKHRDAAPFLHPVDYISLNVPDYPKIVK HPMDLNTVDRKLSGQEYTDVEDMIADIRLVFSNCYKFNGPEAMISMLCQNVESAFEKS LHGRPKREIHPPPSKDYPETITKRRTMMMNPRKNDVETKFCSQTLRELKKSKYRDINF PFLAPVDIVALNIPDYPSIVKNPMDLSTIESKLAEGFYDSPEAFEADIRLMFKNCYLY NPPAVPVHRMGRELEK PHYBLDRAFT_160843 MGESRTELLAWLNDLLQLNYTKIEQAGTGAGYCQIMDSIFGDVH MSKVKFDTKHEYEYVGNFKILQHTFDKHKVDKIIPVDRLMKCKFQDNLEFMQWVKRFW DQNFPGGSYEAAQRRKGGPGGAGKPSGGPRATGAPAAARKPVGASSGVSARSASRNTT SGRVSSNSASANTLDNHSASMIIELNKQIAELKMTVDGLEKERDFYFGKLRDIEIIVQ EQMEAIEQEAADQEPLEVPVLKDIQAILYSTEEGFEVPPEGEDAHEEEFDDETF PHYBLDRAFT_58032 MIEERKGEIEIRVIGNDSSRESMIFLTGLKNIFQKQLPKMPKEY IARLVYDRNHRSIALVRKPMKVIGGICYRPFDAQEFAEIVFCAIASTEQVKGYGSFLM NHLKDYISSHTNMKHFLTYADNYAIGYFKKQASDRCVGFTTEITLDKRKWVGYIKDYE GGTIMQCTMVPKVKYLKVSEILAIQRRAVHEKMKEKSTSHYVYAGLNMPVDENGNRSI DPLQVPGVRESGWTPEMDALSNRPRHPSHYIIMRHLVSELNDNPSSWPFSDPVNADEV TDYYDVIKEPMDLTTLHNNVEAGVYMTLEDFTKDVQKIFDNCRLYNAESTNYTRLANK LEKFFEERLSVLTAEDSSR PHYBLDRAFT_80363 MNILRSSVTRTTPLARSIGRVPIRQARLGQRTYAQATPSPKNAG TNTPWFLGSLIVFGPLLFKLTSPPPKQKKIEHTPVAATATATAAADKPSEPVKNSAVI PTESTSDSNKSTSTQKPKVQKPFVLIGGGTASYAAAKAIKEKDPLANVIIIAEEAQAP YMRPPLSKELWFSEDPKVTQTLVFKDWQGHERETIYQDASLYEVLQDAAGSDTPSEKV KLLLNKRVVKLNIDTHTVTLDDGSEIYYNKVLLATGGSPKKFPGVQDANVTTFRSIED FKLLDSKAKEGAHIAVVGGGFLGSELAVALAHREGNIKVTQVFPEEGNMANVFPTYLT KWTTSKVRDLGVDVKSNKSIKSINSGKDGKVVLDLGDEKLSVDHVVVAIGLEPRVDLA RQAGLEIDEKRAGVVVNAELEARSDVFAAGDVVSFHDVQLGRRRIEHHDHAVLSGRHA GENMIGGSKPFTHQSMFWSDLGPEIGYEAVGLVDSQLSTVSVWAKATSKDTPAVGAGS EAESPRTAALGSDAPISAAPVSPIQVDLKDEKFGKGLVFYVRDQKIVGLLLFNVFGKV QEARDIINAGYTSDKIDGLLKQFDLHSESH PHYBLDRAFT_153519 MYCSGGNHYLRKPNQHGKGAALFKGDDRFTPVKYKTQHKIYLET FKSAGIHMLNGTHTNRKSPLSMIAQENLLFDTCSGSTPGFFTTNVFSDDRILETEICK WGCPRGHNWPGFYFGFTLMSSSDISIGYYLWTCTIFSSEEYNILKDMFMSEMVDIVRA GFGMVSVSINLMQFMIWTHLSSHVVSINRPNNNFTDFFAL PHYBLDRAFT_153520 MVLVNNFSYQHLLDAWWAATTTSKLTAMNYTRNIGNDDMYDVSA AEFTNGSLAPRKFAKDHKKVLREAKVIFDSIMNLEYAIKRDTQRLLMP PHYBLDRAFT_72427 MLIFFTLLDVLHMTPLPLDILHQVRSCGYQFLMHQMFPSIKNDY FSVPKKKQESLVSVGQGLGTSKGHSKVMLSDAFIYDNILCCLHHLLPSEVVRFCNHIS YFRKSHQRGLLLLIGSQLRDCIPDPSPTNTIQDIGRIDLSHVLDITPKIFRIIVFSVP SPTTFSPVISLAKCKFFWCMVIHHSSWNVWYRFLLDKLSCRFCLNEILPANFPYPKCR LCQNTSNIAAHFIFLCP PHYBLDRAFT_176203 MTGIRQIAPNPSDEYKNLLAKMKEMEKSMVDVRGELTTMHKAIC AGFGQGNGPQTSASVSLDNPSVAASSIVRIPAGIASEISCENKDKVFKLIRGYMRRDK FTSNDPALVSAMPLSPNKEIVANLLSYLLPKFVGQGIKTSEFSTMVHTNFWSITRKDR EDPMVRAATNARGRRAARETEHFNRRVMAYVSNKDSIDALMKRNCSGLMIESAMSEGE SEDEFLGRPCKRIFNSLIFNIDEIVRANLGNNIRQLLDRNLTSLSEKPVPDDVALCFP PWTLRDGPQ PHYBLDRAFT_102312 NLPRSDRFKAENVILVGLMPSPEVPKTDEINNYLEPIVDELIQL YRGVRIPTFESPAGEMIRAALMMVACDIPAARNTSRFTAHNSTCACFKCNRHFTRLDS TSKIDFRGFKESEWCRRNCEENRLHAEEWKNAVTISERQHLEIKNGVRWSQLHCLGYF DLVRGTIIDPMHNLFL PHYBLDRAFT_153524 MSSTIEQNFEECYCTECIKNYNGYTLVSKRTAQRHGKKAALKDA IRSELAIVVQESGSVEVLARQSDLPVLDISPMSVDNEVDVDFNDMDFEYESNENGENT VDIDVEEVDTECVFSNSSMPENPVHRFIATFTVLFASRYVVNKGAAVLIEFINELLKI YERDFQLPTSLPGIQRMTGLCELSKGIRRFVACEDCHAIYEENQSVPPCCVFVKKGAR ADCNCELIKKSSSGALVPKRSFHYQSIKNAFKILFNRPGFE PHYBLDRAFT_153525 MSPFSELTFNSPSHITKRTPPNKKSRDVNLSSLACAMNNLSSPP IKADPSSTGRRPVLTSWKRTEWGGDRERWGVRLAMGLKNKREGKLNYPTPTTPTTPTT PTTPPIHTTPTPIHPTRR PHYBLDRAFT_176205 MMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQMHKRRMA EKNKTQQDISDSSLSSPDMSETGDVELPIMADVLSPPPTASVEPARKRSRRSVNAYFT EQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFFEKW PHYBLDRAFT_153527 MSNPQLADWAKETYGLQKVSDASTISKILKRGDQGLIMQANQIE EAVILWINIAENNQIPITWELIKTKATIFAERIGVNDFNASQGWMEKFGKHHCIKMNR IHGEAGSTDIESLQIDKTAIKEKIEAYSACDIYNFDETVLFYAASPRTTISRQKFSGW KENKKRLTVGLLCNADGTDKWSDILMIGHARRPNCFNKNNKKQEAVDHGFSMYHYNSN AYMTQSIFQVFLHRFDCSMKAQNCKVLLILDNFSGHIVNYVPTNVELLFLPPNTTSHL QPLDSGIIWAFKAYFKRKQYAKAYQYIGMIQNDQQNKIGAIDKIFEIDQLWAMKEIRE V PHYBLDRAFT_153528 MIQQSLAEKVLVEGLEETLDKIAGSSILSLEDCPTSKSDPLYER QCTHRVINENEIADIVMKEYDADDNAANNNSNEEIAEVESAVPFKRTYSASEKFEYVC TLLDILEDEDIDRDFVSKVEGLRNKFQKTTNSKQTKVTSFFKSF PHYBLDRAFT_153529 MSLSYYLCALFESIIMLDTTKQYINNPEVVLICMTKDHTNHVSG DASEIRTLPLPSEAIKIIEDQLKGTASHNIDSKVKLDASYTSKQLGKYKYELKANLKN ILIESDEDEFLRKIQERIVEKMGRPYVANDYQRYLTNNYIESWHNQLKTIYFDRTRIR QLNCLVFILINDMEFFYDKEVERIHIQNEQIGPIENELAQHYFSANTIQDDMLSFMII NPLNEIGNSIEDSNGKWTVKSFTAQRENNYLQLQRLLASEHEVTVVNKKVENETNTVV VSGRNNSVWLQRIMAQNITLHHQTEDLEQLMDVLGIDEAKLQVISGLLGEIPKETTKV KAVTASTHPYNLKPLVT PHYBLDRAFT_153530 MEQFLQHHMFPPIKLATLCLPSISGGLGVFDPIIQQSALQLRWL LPLVCSPFGQSGLVLPWLSFLLWSFSGILDPRLPLLFPDLRPLPFRHIDSVFRNIFAA IDLLPHFFDSISINHPTYLSLPLPSICLPSSLIPRTWRHLLVTDAFVVDDSLQVLCHQ TRPKCPQSPRIITKFFHQVDNHSVLLQPFFFRSLLLHSLLDGLLPPPSSPIVLHVDPS PFLTSMFPIIPCKPFLPKTFHTLCTLSPDNPIPPLHTHQRCTFWSTSIHHSVCSLWFR TLHNKLLCCSVLHQTVPTIFPGGSCPICGDIKESTSHFLFTCSPKFSIWTMFWSTHFG NVPSMQDIHSALFFLCLPPSLTPDILAVSFVSCILLTIWCHYWSFMFDNAPFLSTSVL VTAASFVTSFHAKLSLPLSD PHYBLDRAFT_92117 SPGPDGIPYEILQLLIDHPMYTNLTYVIYNNTLHLGIFPTSWQL TCVILLPKKRDLPSLKNWRPIALINAGAKVFTHLVNNCMIPAASSLASAYQTGFIHG PHYBLDRAFT_153533 MALPATQQETTPQGSPHYGDNNFPSLSPNIHPSTQSNAPTHALF TTTPITFVSLVDPEKQRSLTCIERVLGLDDPYAIPTECPFGTSPHSVFYNLSQSDDSF MAAFWTAIHTTFSEEEAFAEVTSVHNNAIPTECWFGTSPHSVFYNLSQSDDSFMAAFW TAIHTAFSEDEAFAEVTSVHNNTHIVELYFESDTLCNRACAKPIVIKDSIILAHRAIS SSVMMMKLNITGLPHLSRPCLNELICSSLYSFGTIKEIIIYLENRFFTGSGYVYLERP PNQDRVYSPLVHKIPCEGYGHVFST PHYBLDRAFT_176209 MSTKNSAEVSASQKRGFTCWNNANIRDKPSSIKSLIDWLTYAVN YSCWKGGDSSRKTKETLCSEIKAILFENDITHQSNSDIRSKIQYLHDKFKDATDFING TGQGILNDVDKNGNPTAAAEKTLKVIEWFVINKSFMSLFDVMISRPSTVAPFPVSNRD PADVSNVFERASQYDKEATQVEVDGGKGGKGGKGGKGGKGVQDVPDVQDVQDFQDVLD VQDFEQFETTFPFHERELQHQKDIHDNKMMFERKRLEIKEKRVQNETQQAENEAKRLE NDGIQIKLQYIAKSESLGLSKECILKELNITL PHYBLDRAFT_176210 MPTLNDRQMVFRHLKEAILKKLIKRQLSLDTFLLNIVKDRPELV IYKIVLEKQEFHMNRDSFNYILNMIKGHQVFWSDPRKKQTEPMIQMMVALEWLGAYGN GASIGRIAQSMCISGKKNQFANILKGFSLPLCA PHYBLDRAFT_176211 MRNMDIDTEMIPTSCSDSVKAMDCQANSPFLDAVSMFDNDGNVN DFNNNVKDETFAAPDMSKNEVHQFIAIFTILFASRHVVDKGAAVLIEFINNLLRIYDQ DF PHYBLDRAFT_153537 MLTLNIDWFQPFDSVTHSSGAIYLTINNLPCDETFKPEDIIFVD LMSGPKEPKTNEMNGYLEPATRKTSGLTKPNSTCACYSNAESRLHAKEWKDTSTHSER HQLEFASIEKIAETMVLPRDYTMLTNKIGKGFSYMKANEWKLWVLVYSPVLLCDILSP IQFKNWMYFVDNFKTNGKDGFEATYMKNFVQNAYKSDYVNAVLKSSSQIPFIHTLSKL VTTSIPAATVTTLSSRPFRLQAFVQGYTDPYNPPKGNESLPSSTFPLKYKKPSDTPYN CLALDNHIIKLKSIDILSQHYRGKNNSTISCRSLVQSKIVDIHDHQHVFAFIKWFHIF SDRSHEDGSVEFCLPTFSPDGYHSIISQNASNFIGKEAVC PHYBLDRAFT_176213 MKEHDAASHDSSVPIVKQRSQNSSTGRQTQPTYAVISVDDICHQ VDLIQYPPNGNQFYSIPIVEDFLNCFIFKALLHSHAFDSFCAGCESRSATYKASNRKK SQKVNFKFEPAENKAVRQDMPEIDCDFMMQVAVMSDEQPADKDFKNRMKSIVKIVCKF NTLIKFVDDYVIEAIGSSASQMKERVLISVSNTAVPDDITPKFPQ PHYBLDRAFT_176214 MSIISEIKDLEVHGLVIKSNGVEVFRAKIHLLLASGDIPAVADM AHIGSHASLFGCQICKTKGKAPDNRRYGMYLEDSSAPLRPLEDFKTGNPIKNIYQPSI FSELSTFSRSSFFALNELHLVARGIGKHMYDLITVSLTKETKKIYTRPDDTLFTTEYP FFIPRTRLGTIGNCITSSRPYIPVSFQGSFDNIFSKIDGTRAVDWLDFLLYIVSTLVV LFLPNRAVKTVVLSLVKGCALAFQWTLTSELLDEMDVVFRPVQHYLVHISFIIKQLGP LRCYSIQSTERVIGVFSKLIKSKCKGGHNASFLVEQFILHNYVNTAISIQDEIDLIQP KPYGRESYMDLPNDPSGAQL PHYBLDRAFT_176215 MQHDENSTIDDNEFVSDSDYAMNAIEIDETISYKCGCSFEDSES EAHVYDSSRIGSNIFRKAELMSIHLSQLMLQHRISRAAYRDIVQFNLGLRSATVKLLM LCLSPSQVSRAMSMMSVLVVANCMGLMTIKNLVLTAANRDTKLIQSKLMSVGNMFSQM LADPATRELLHYRANPESVAGQLTNVFDGDSYKQLMQQNLFSNPDGIAIELYTNGFVN QKKGKSLYTIVHAVVFNLDPSIR PHYBLDRAFT_71032 MSDINTTLINSVRKIEIDIAEIKQMVHMLQDQFSKQFAPTVSAK DISTMQQNIIEQIHLLALLILWHIISSLVALERVAESVKRSQFTEYPDQLGKQVIGTG GNFKRKNEAQKYNLLLQLLHEQDWKACCKEIPEGQPLPQLVLLSDSNLTMKRLQLKTL SRSIKHNLIDKDFPAFSKEWKGILAKHQEYYMMQLERLAKDNGFTIYKCKSIFKSDNQ KQKRRIVEKNNAQQDANNSSLSSDNMSEMDGGKSPIMVDVLSPLAEMSVKPAHKRSQR S PHYBLDRAFT_153542 MNNTDNNSISLLHAMYNEILSLKAGQEKVKLEMKAQIEELKLEM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIHKPVSIFREITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWVSTARVAHLWRDRHKRLQSRK PHYBLDRAFT_153544 MFLDLQFCLLPFTVPTFYTAAKWRAAKKATHAISQVLQLLYNDI LPATDQFIYLGVPCANKGISSKSIATHRRSGTLVTMVTLNSVGACRSGFSLLPSSQLG HVASSTTVLKYICNLPMPPISFTPSSFYLFFTCLLGKNQSSVKSPFNTQTSKPYCTLF EQLPQSDHDQIHHIDFAITSLSLSSQESRPPYWISLLTILWHIDVICNADSDYSHETE HAAKWRADSAIEATETISADSNNFSFLQSQMSTLLPSSVMQGMLPNLASFLGNMQAQS ISQLASQGNCPIATNAPTSTAPSTPKKPDTEASTWATTAAAAHNSIVVSTVLSVCKHY G PHYBLDRAFT_176220 MSTLLPSSVMQGMLPNLASFLGNMQTQFMSLQQRTNKLESLAAT NARLTAQLVNAEKLIADLRSQLASQGNCPIATNALTSSAPTTPKEPGTEASTWATTAA ATHNSVVVSTDLSVCKTPRPPSVRWVAASARMFAIPTGPKGYQYVYILRSHCLTHREV RNSLKALGVDTGRILDINFPAKNVVGILVHNQYAEKFQATLTTVAIEILDTFDPLDPK NIADPKYKSLFDSELEEVAAELHSDHCLKALKYLRPHIAVPVSYFFCYQGWISKEDIP VHSDSGPGAGLWNANGLQPHAIYDVLQHCHSLHMLFITETWFLPPSRLPTSWSQIHLY GSPVAGNYRGFMGVSVLISPSCPYPVTQIPMSSNYALAVKIGLLRIVCLYLLPTMSTH YALAVLSSIPLTNDTIICGDLNSRLGSLTGDYATNSRGLAPCQWLEERALTVVNGQLS LYTPTFISFHQNMEINSIIDLFITNMSLKNATLNIHTNLSLNSDHCLLSLSFTYAINS TSHAPPPSRKTWNLSRLQEPDVLKLYAHTFVTNSANLKSTLQSTLEHSPSSRPPIDTL TDELNSLIYNSLTSSIGNRSPRPSHWKKFWTSSLQAAAEHCDLCYKKWRHACGIDRIH WWDKHLKAQIDLRYQVQLAKHQSWHSFCRSMERDFSKATSKIKKLKCQCQPQHVSQHS DRSAAAATIMCDHLASVYSGSILPDQRPPPPLHSTSLPFASANSPFVSSVVEGCMQFM PNCKAPGLDHIRAEMFKFKYGLAISVLRKKDHILLEGIQNKCLRMIVGGHATSSTAVL KHISNLPSMQFQSDVLITKFCLRARSLPSNCLLSLIFQHHPQASSIPSLCKNFLLCNI PATINITTCHPLLEVDPILFLPATRTERGRLVHWRMGWLPGKPKEYACGSDHTSRRHL QSYITIPSQLFSQLPAPLTDEDNIIDFAISALLISSTNPSPIY PHYBLDRAFT_153546 MTKHIPTAPHQPNLRMNAVLNSTIAGVFAPIDTLTPEVAVDTAP EVQVAVTPMDHILTLLAANNVSMQSLQENAKGVTDAITHLKNDLDLSNKTNEFLKNLV LQLITENAEIKKAMTSQNSVMPSAVPADSSSSIDYDLDLGAKHHPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGLYGNKYNKTLALALFKYLRPQRCCTNVLKSVIMNI IKNYYQNQVRVFRISAEKIMARNKAGRRRNRKKTLLDRRIITYQIYTEAIHEGINRYD CRNILFIDVMSDGKSDGDNKVWAYRPSWRTDEIITIDELTVICLKKNSESLKKRIPYE KEVSIPKNLAVILPDWCFSK PHYBLDRAFT_153548 MSNGNDIEIDFEYNVDAEDQVEAKDLPLFGIDSLFDSESEDEGV IEATILDISDNESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKCL NEVLAHFGQSFHLPLSVQRFVACGDCNKVYEESDVVPECCNFERLSGRECGNALFFAT SRALTIPKKIYMYNSIIKTLSILFCRPGFEDTINHWRIRVQVPGMMFDIYNGTK PHYBLDRAFT_176224 MSNNQKKDSYVICKCPDCTKLDSCGKKQKRQNAQRHYEKHIVPV AKDDAMDVPEEHFDDMEVDSIDSDNDNDYDYENEGEGEYEDENEEQNIEFDQEVDLPL SQEESIFTAEDTITGAFVVDGDEIEEGDTGFDFEQEENFDETSGTSIVESVRPSSFDN MPLYIRFVAVFIVIFHLIFLVESGGSILIEFCNTLLSLCDMSGALPLTINSLKHKTGF NMATDGMTVYIACSQCHSIYPPETSQRVCTFKKFSQSAICNNNLFKVSTGNRSLPAMV YPFNSLKYALQQKFSKPDFVSKINLWRKKENSTRWSELHRLSYFDPVRFTVIDPMHNL YLGTAKRMIQIWRECNYINEKNQLTMQELANGIVVPCGYAPKNLENWILFVDACRLLT KPSINDKEIDEAHSKLQLFCTRFQTLYGKSAVTPNMHLHLHLGECVHDFGPIYAFWLF IFERYNGLLKNIETNQKGGFESTMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHRISN SQDQLAALHPSSTASTFSLSDFVEYSLNPRHSALGCEPLPPSVFLIKLDQRITMCKGH YECLLEFYRHAYGSHDLFGHYSNCESNQIFVNNRIEKMKRISLLGQEYSSGSYFRAYY LENNSEDKAAFPGRILYLFQHLITINETVITHTFAFVEWYSSYSSGSYQPMLNEGIEL WNEPSSVLNYECIIPVHRLYSPIAIAKYRFTITSEFKRLVIPLPQKIEA PHYBLDRAFT_176225 MSRNLNNNSVNNAFGEEPSVGSPPRNTNDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRNIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGRQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLRRQEEGK KVDLPTLRTKIVRHIGNRKLQEKKTGEKKQEENRRACLCQRRVKSCERRQSALKANRA HFVNSFGENVDSILHADYMSDLESDYEREEEEQDSSSERVFFGDSAQAGEAKRYSTKN YFFGWCGDRFVDELDADYEAAHDKKNNIRPFEHKFKGIRDKQLSKTKANKLPSWSKKQ PHYBLDRAFT_71023 MRVCFCSFIVLFSAENCKTFAEAIYEKSWVYKHIVGFVDGTMQK ICCSQEKADQKIIYNGWKHIHCLKYQAIVTPDDITNSLLGPFTGFTHNVRMFDESRTL DCLILHLSTLTPERNSGLHYVIYGDQAYQKLLYVYRPFTVYEIKDHPSLKEVNRKMSQ TRVQVEMEFGKVSQYFKYCKYH PHYBLDRAFT_153551 MNHNTSSINSGAIKKKVSSRGKWDNKRKLALMQAYHEFHPYSQL YRNRTQEWNKIISAVNAMGDKSPKKLKKSCIKNKKNKMFREYQKVMSLSHSKRWTKLD SMLQTTLGRATYETMQEKDKFKEGKILKKVSDFAKTILAKNRGDEIMHIALYSHSEPE MLNTNSSSSRQQAVAETLTGNEEDEREDKRVERYDDSSDVLFENSDDVYSEAIINRKI LSLLKKQDHHLEKQDQHMEKQEAFFDKCLILLQQLSGDVLLNFWWWRPKNCRGC PHYBLDRAFT_153552 MLHSNATQLPVANRCIKQILESADKWCIDFTHKTCKSLVDSNKH FYLYTIVVRSNIKNKGVPVCFFVTNAELITILSKWLSRIKSNCSLHVKLVMIDCSPVK ISTLEEVFGQSVQVLLCHWHIKRAWEMHIKKDVKITGATYESKHERDAVRVALNLLMY AKTKEAFDQQYKEFVSKFAGHEKSVAYFAMHWHAKCDLWLMAWRLDPRFHTNSLIESY HHILKAYYLGRLRNFWVDRLVYMLSQKLVLTHVEKAKKKKAFDVDHEDALCMIETVED NLMYKCRSFTDNSVWYELLVKEEFLMMGLQYSLTKKLPIVQNPEVQVVERNEKSATAQ NELHLLELDEVSESLFKKLEEWFWDGHNIPDFERLLVSNGRNRCCSSAKV PHYBLDRAFT_153553 MVPIRKPTVRKECRCSICKSKTLGFDCVSVKTFKRHQEKDNHDI THVQTPHEDTCNTLSSTVSEPVNQEGDSFEFEQEDVEMNSELRNLNDTNTILDIRTRN QPFSETDCVFGPEDNVQYTSDTYKEEEEYEDESDVEIDNDEGRYTALDNIIS PHYBLDRAFT_176230 MSVAGLKSLAGFEALTSGVKKCVACSKCHAIYDNEAAPLCCISP NFGKTSLCGNSLFKSDPGSKAPKKTYAVEKVVLPPDYMSLGTKIAKGFPYMKADEWKS WCLVYSLVVLRDVLPLPEFKNWIEFINACRYFTKPSVSEEDIEKGHKCLEEFCKGCET LYDLDLLSPSMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFKSTFM RQFIEESWRGDYVRRLLKPMHALASSQNLSMTIRGNEPLPPYALPLKTRPLSFMPKHE YDCLVGYYQAAYKNPQISGCKNVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGHGS YIQAYLTEQTGSEHAYVGEIQYLFVHNFRPTVSSLTYGNSHSSQHVFAFVKWFKSTSD KTRELEEVELLQDEFYKQDFQSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKNYY PHYBLDRAFT_176231 MSSNSSRKTDCKGKGKASASISTSANRVLAGRVGPREIAPSFSS ATIQDQQYTEIIEMFNKVNNSINGVKDDIAAVNSNMTAFKNRMGVVVDTSGKTHTAFA DFATAYANNQTHMTSLEPSLMPSYVPQISLSDAGVSVIILEIFVEKLWDWKFESDDPA LVAENESKKKWNLNKKINHRNNIAVINYLKSYISAQTRLAGTHPRVISDKIKNRYKHS HSTFHESPEQKAKKNSKGRANSCTLQACIYYIRINWYITIFSSYNLYNQMSIQHKSTY MDNWVAIDAAMGYKTGNPVEKAYLKLFQKDAMSDGESDIEIVDNLPRRCLHVACPTWR SEEVNNI PHYBLDRAFT_153556 MSSNHTNFSINEINDNHMICIASNYNSANPKATETFAIEEAFSF WFENSAKRHSNWNMTNTHVSQATSTASPKDVVTAGYFVCDHQGLPKKIKLVKDAGNQK AKRVQTKLIKDGCKSKITKKTLRNSNIVIDYLWQHPTHQPEKVQDMVCSRLPAEAIKM LLCINPQCLEELEAGLGVSSFLVSAHQLP PHYBLDRAFT_153557 MPDKKQTLCQLSQEGTALSLKHLIYMVPQFIFDESTYKVYLSIN IFMQNDPSVTKNAPPIAKSSAVLVLAQSDNITPSAFSIPMVNLQIGNMQGLPTELTSF LTTLQAQIMHVQNRTDQLERLAAENAR PHYBLDRAFT_71016 MSNNNINNTIYDISTIQQVLINSPLEGIKMLPLNLTILVKASEW EKCLERINVLCSTKWNKKHKYSGKGLVFGETKKCHRAGQYITNCQLRLAQKDTKAYSC TAALKIIQHLDNPNVVTFCQTRAHVNHVPGDWDEVRTLPLPSEAVKIIEDQLKSASSC RSTRISVLRQIDSWGVGVRKPNYEEIYNRMRKMTTLLYMFASDENASISIWLNVKLAE QNYCIFEINLSVYNDSKKQFAFGFQSPMQVSIMRISQSFCLDATHSISSRSDEVLYTL VTCHPQTEKGFTVAYMVTNNQTAIPIKIWLDYLCIKSSFVPMNITIDCSIMEVNAIKE ALPHATIHYCDFHVFRAWQHNLDSKIKLNASYTSEQLGNYKTALKNYLRHILIESNED VFLRAIEDFKLMVQDQSQFLKYFEKKWTENKELLQRWGCPYVSQQHQRYVTNNYVESW HNQLKTIYFGRACIRRLDRLIFILTNDVEFYFEQEVERIHFNNARNSFVASKIQNDML PSMILNPLGETGNSMDDYNDAVNANKNEVEEEVEEELESGGTAEDRGVYVFDKIAAYS AMMHHGFVDFQTLKTIPGLDQTKADLIKRALADTLRLMDEYRSKNPSYFRNMNTQR PHYBLDRAFT_71015 MLALFDGYLIEQGSDSEGYALHKVQSSSVTYGIKYLVSAWLSSI FYSYPKNWSSSGETSLNPMHHGLFSFNFRRSFITPLAIFSDDISGNLTKTHGMYSNML VNFPAISYSMRNRRKNNFFVTAVSQQARFKLTHLMPIQATDLKALENGVDMYSSTYDE TITVCASLLFITANNAQHTELVGLKHLTSNFLCKRCYSQNLSRFGFDNFGISLFYPTE SLNFENPLR PHYBLDRAFT_71014 MWGPQICILVESYAVCLPHIGNYLIKKLWISQYVEKQECSTSYN LQQHIQTHDIAISSDSLTENAPSLNVRSIPNSEREFNVVKYNQEALFPADILIMIEEE QEEAMGENISSLRERIFNMTSLKINSNKESDISTLAFEDCQSLLDCSAASVLQAFVDG NDSMISVSMLTKVMYTINLLFELKKRADKTKVDFKLPKHNKFNKVPLFPTTIKKVTIK ATSNLGSGITGQVKTIDCHFNLPLNHLRVLLANTQKASYLSALLDYTKNQCLSVQQEE K PHYBLDRAFT_71013 MVHGHKFKRNDDDTPVPLGRKSIQAYIKIITDIYYKQVALDLNK NPHFRGPIVRQFLDTNTKKETKHKRVNQYFLEQNSTVRVRNRLCFLMSHAMFFRSETA LGTQYPDLFKMELKDQGTLTLNKFTYITKKKILRKSTHCCFYLFFHSSSQSNLFMSPK IIKQSRKKSPKLSGYMKGKIVDTYDFGISMTKIVCKYELPYTTVIATIERVKKTGTAL TKKT PHYBLDRAFT_71012 MVYSRAGNVRVWCTPAQIYRRGYVRPRVQGRGGSVVVWSAFGLV EWENLKDGEFIFQEDNAPCHKDILAKEYKEDVNLPVMTWPANSSDLSSIENIWAYLEC QLRARRITPMNSHLLARALQE PHYBLDRAFT_71011 MGQCISPCVTLVATITYRKTNKDRKIQYRSALCHANEELCPVDA LAQHFFPNFTMKTNRLLIFLYAKHVEDDIAGLNFLDLSAYLKIVFLQDSVVLQKKYPN HYFLYQEFEAQFSGAIGESTKKFQVSNHIQVVALEIAAAMLRFIYAIILLKSDNALEM FYRHNLPRPLATLLLLFSLLLLLPLVPQSCLSRLLP PHYBLDRAFT_153564 MNDSKALLVVASYLQAAAELWWDKIQNTRQQHDKSVNNFVYKLR ELFTLVKIDNESFKIHSLLQAIDPQVAYELEQRDNMPKTFESVSEKARKLELVKSKYA CNLVDWQLVIFGSSTSDDSNVSTLQDLVKEFKTLKVHVVKQPRSFFQTHTQSQPTSLG HSSGHSQGQFSQPQVKAKLKGVCWKCRSEDHMSNTCPQKNEQEKGSSQQQPSTRKKGK AVEIPIGPPLLLAMEGVERSDVSLVPPDYSIVPPGSSMGPSGVSMVSPGRSMTLYDIS PVPPGTSMVPPGWSAVSHGVGLTPPVVKRKRKLSHSLPVEFCWKDFWFQLKELDAGLS MADWVALDRSLGRDMRYELYYLYNDEEDSLDEVLEGSESENSDYLSDEGSFGTGTSDS KGYASNNTIYHYPYSHRIMSNSKPLKESVVINGQVVQAIFDSRASVSIISKKLANKLN LQANGNQILISALHKESCGPFEITTDVSIQIIGKLRPEHMCILNNNTE PHYBLDRAFT_176237 MATLNSVGACRSGFSLLLSSQLYKTFVCPKFEYDLAISILLKQD IKVLESIQDKCLCMIVGGHAMFSTIVLKHICNLPTCCPVLGVDPILFLSASRVERGRL IRWRMGWLPGKPKECSYRSDHTSCCHSLYCPLVPSALFEQLPQPDHVQIPRIDFAITS LPLSSQELRPVYWIPLMTILWHTDVICNPDGDYSYETEHGTLWI PHYBLDRAFT_153566 MLNHDRFLQALQFLHSHVAIPVGHFFCEEGWISEDEILTRTTLT NATGGSSFKSGTYRSSMGVSVLLPPHCPYSVTQIPMPLKYVLAVKIGSLRIVCLYLPP NMPTHNVLHVLSSIPLTHDTILCGDFNARFGSVTEERSLSVVNADLAPCIPTYTSFHN NYEISSMIDLFITNIPLINPSLHIATDLSLGSDHCLLSLSFTYDLQHSTNMLSLLHKT WNLSRLTEPDVHALYAHIFNQNSTSLLSTLQDIVQNPPLTRPNIDAITDEFISLIYNS LNSSIGHRPS PHYBLDRAFT_153567 MLAMKKYIKLGERGVLGARFPKKPGGPLLSLETRHSVDSPSTST PTSLVPPVTAHCQTKSLKESTVRYPSPEMAIPETMNIIQFTAEASEKTPKSPKADQNS KTGRKNRSFTEKRDLALVDALLAKHPWNPNLGRRGKL PHYBLDRAFT_176239 MLVGELINLERDLKDEDNKKDATKQVEIQKNKQAKTLVKNVAGG VHSITHQSAAPALKKRRVNVESTGPSNSGAEKQFTKGGNVLFFLKNFKDEMENVIDTE VKYKQLDSAYHMEDKLDSIEKSNNRIADTLEWLAGAVMNMNDMLQQLLAAITHQPSL PHYBLDRAFT_153569 MAYSARLNELAMVFGKDKDTLSIIFNSMLDKLMQKFGSGLYFDQ NQFCKENLQIFSEAIHTHNGTFHKTTRPGDFQQIVFMHMFVYRHHGLRYQAVVTPDGI TSSFYGPIVGRHHDLFVYKKSRLEDRMQEMFDFRGQSGSCYCLYGDRAYTNSQHIKCP FSWFTQDTYQRFVNDRMSKVHVVVENEFAHVANLFAFVKYPQSQQMFLSPVGTYYIVV ASPILEEYVIGLLRN PHYBLDRAFT_153570 MVLCPWFISCLEHRPFTDTPSPDLSSLLSLVQLDSTSLGKASTR SLQRHLHLQVPSSLNLSVQWRKFWSVAIPHHCRTVWCKMLWDRTPTRKRLNLFIPRRF LSSSCIFCQDATEDQYHFFFGCSINQQVWNVILSHFCLAWNLAEICLLLTRGSFPPRS SHQGLWIILSAVTAKAIWSAHWKFVFDDQPFLSGVVAQKASTVIEKHISTLA PHYBLDRAFT_153571 MPEKIYHEPCRQDGHQSPEKMHQKPELFSGSEKSQQRVARSSIL KLMGSVGPQLAAIASWMGEKLEEVRYKCDAVEEVKSGKLHYYRETKVQYWQKARESLE EGESSAIEELIVDDQDQSGPNRLICVADREAASQGYQNPVTETSEYECEWQQRKIAVQ ESRIAYAILS PHYBLDRAFT_153573 MNTRAMTTRNRTPHNDNPLIPFDTTMYDLTGHGLNASKHSPGAR GLHSHNDGINPAIYTDDKLRQSLFRATLRTRAQGAFPYTDLDGNTPMTDGTEKSDRSG TLNVRGLLSTINNPQSPKLLYIRHLRTLSPSLSLLALQETHLLPIYHSSIHLTFQAQA SLWTPHCGLVSFDPLLQLSQLHVSPDNCALVVKITHTNHCVNPFVVVVIYAPNIPSHR HTFFSSLARTLPTVIPSLPAFILGDFNCDLSHRCSHPPDWKGYIQSNCVDAITNFSSP PLPTFHSSRTRTRINYIFCPHSIVTKRPDVTFIKPLWTDHCLLAVSIPFSRPPGPGVW RFNTQYLLHDEFDALITDFLDKANSFLSPDMSPSSW PHYBLDRAFT_71004 MSTLEGLNPQDRAHTLWQGNPPYRAQSTTLRPKNKSLHIFTAPN FDKNMIVDLASTAWASTIHPMSVLFNLGKLVPARDMDDVLSDRVGWMTSLTLRYTCSK DLLAEAFFTDPSSRTKATSNGLTYQNTRIIATPRLPSNSHIVKVNLYHINTCDPSTDL LEPIENAFRPFGKIVQLRAYLSYRGTFRGKATIYLDTSRQDEVRSLPSRLYLGGSLSC LAELCSTNITPVCGYCQEEGHYLCSCTKRPPRAPCCQQCSVLGHVIDSCECPTHPDNI EAERAIQEQQQIALADTAPL PHYBLDRAFT_153575 MLVAERIGDATARNALLGLVQPCNLLMSWELSAEEQTSIKSKLE IWNMYLESLLTSGKIKINIFTINQHLLQHYPLMIDAYGPPHAYSARSVEQAIGEYLRA IKSNSAINVNTGNIMLGLAQIQQAEAGATVMITEERTARHLQYEDSTAGWPLTDEGER VGAGSDIEFWGPLRNRTIRDSFEGISCLSELLEDFYESKGEECSMIEAAIQTSRKAFV NGCVIDSALDQNCHKLNNKRWPLALVEIAAVRLVNGIPVVNNGQMKPKVVHLADVKEL VGLVKLDATINTTTTTTTTTTYIVWSELNCGSKLSLGSLADL PHYBLDRAFT_176244 MNNTDNNSISLLHAMYNEILSLKAGQEKTKLEMKAQIEELKLKM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKPVSIFREITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVIALGPNPSWGSIPVALKKEMCAR HANIMKDFGIDFTRCLGNWASTARVAHLWRDRHKILQSYFFML PHYBLDRAFT_176245 MSDINIALINSIRKIEIDIAKIKQMVRMLQDQFSKQFASTVSAE DLSIMQQSIIEQSALECVAKSVKRSHFTEYPDQLDKQLKTLSRMIKHDLIDKDFSALS KEWKGILAKHWEYYRMQLERMTKDNGFAIYNLLRESFKSDSQKQKRRMVEKNIAQQDA NDSLLSSDNISETDGSKSPIMVDVLFYILYIPLREKKWGFMAALWRFDRAALWRFFAK YPLCPLEFKYILQISAF PHYBLDRAFT_153581 MTYTFISFSNSEVIVYCNASAQPLTSDNTAVFTALFGKNRTTRV GTMYRRKSSQSTARVPSILEKMLSKLPWNNTDIYGLELVIQLPIVTSLVLGMKNGYSV VEKVSSGRV PHYBLDRAFT_70998 MYSSNQLVLAQNLDQRPTNMTKAYLAKQEEWRQWCLKKEFGDGE LVNDQKLSFFMMDHVMNRGHRKESRFFSRRKELYDAINERAELENISPEEAAQRMEND QVQLGISLDKYRA PHYBLDRAFT_71044 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVNHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQMHKRRM AEKNKTQQDISDSSLSSPDMSETGDVELPIMADVLSPPPTASVEPACKRSRRSVNAYF TEQVSILYKEINHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFFFEKW PHYBLDRAFT_176248 MTEIDQSILNDVDMYHDENDTSNEDESVSNSEYTMESMELDNTI SYKCACNFEDSEGEAHIYDSSQISTNTFIKAELMSIHLSQLMLQHRIARAAYRDIVQF INTVIRDHDDIMMEPGAKISHGETVDALLKSKSSVKGHEYDVCSSGCQFQTPAASMKL MSVGDMLSQMLADPATRELLCYRANRESVAGQLTDIFDGDNYKQLVQQGLFSNPNDIA IGLYTNGFVNQKKGKNSYTIIHCIIFNLDSSIRRHGMYFDDISARLRPLEDFKVGNPS KNIYQPSIYTQLSTFSGSSFFALDELHLIARGIGKLVYDLITVTLTKETKFYYTHPDN TLNTTEYPFHIPRADLVTIGNCITSSRKYIPTSFQGSFDNVFAKIDGTRAVDWLYFLL YLVPTLVVPYLPNRAVKTALLSLVKGCALALQWTLTSELLDEMESYFKHWHSFLYQQV QNNTLSRSVFQPVQHYLVHIPYIIKQQGPLQCYSTCSMERVIGVFSKLIKSKSKGGRN ASFLVKRFAIHNYTSTAISICDEINLIRPKPYGRESYMDLPNDPSGAQLWEPFHQFVN LNNDSVEGVGGPSVKEALLKYYRRTTGLTGHEFGDSVVVVVARLWMDSTVYSSCINCN VIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVMKEHDAAGHDSSVPIVKQWSQSTHTL GHQMQPTYAVISVNDICHQVGLVQYPPNGNQFYVIAPYYIFNNNMCITKGNLSIL PHYBLDRAFT_176249 MVMNMMNLPLMSTLQIKNFHFIQVPLICNHSLTPSESICASCRL SGHKRRSNMLCPLNNKNTRLYVPEKRTYKGISTNEEISAESSQSAALRLRHEVSSEET TQVQVQHVLESNGSNESDENDEDIESDDTESEDETDDSQSHGIARKNPLFSMCCNKGS VLLLDFEPTPSEMASLLVHGTAASNIFFQKIRAYNSTLSFTLLGAKINHSVANNRSGA YCFRIHGTICHNIGSVLPSTAEDLIKPRYAQLYIYDPVSQVNFQHNNASHLNQNIIEQ IQSMLIRVNPFVSLFKSMEQYCRTENQVVNLTLRLVADSQQDQRRYNAPTAEEVAVLI MNNEPGTSRDIVLHTQSNGLQHINEYHRSYDSLHYVLMFPFGKDGWTITSCGTSGKKV TAMQWYSSRLMYCKNSLHLLHLFGRLFQQYIVDMYAKIEHNRIIFIAKNQKRLRVDLY SGVQDAMNLNDGNLNNLGRRFLKAFFLYYIWLLECIYCLTSVGVTIMTSNGPGGTGKT FVFNALLCHVCHQGKIALAVATSGIAALLLVDFQCCQFPVRLAFAMTINKSQGQTLDH VGLYLPSPVFGHGQLYVALSRIRKPSGIKIMVDIPDASTTQNTVYTDNVIYHEVFDEP TD PHYBLDRAFT_153588 MANNCQSIALALFLEYAELLRRLIAIEESLKTNDLNIDIVIKGK TDSLKILDNIANTSGQLLAIIAPTTVPASATASVVPSVVSVVLTGVNAGKLSKQDRSR VLALIQRELKKHNFKSNKLELVAVNDSKHSWDINVDYRLTPNRQLIHDLHAYLAPKVV GTSTNSWSRKAGQETNHFDHCELTYHTFKAEIYVKMGKSCNRLLQKEAMSEDESEDDM PGDSCNHAIRTYNHFLAVVDDFMHNRMDFNLRQMLKRFFSKDTVLVVPPRLMSLFPHW AFRDKFQ PHYBLDRAFT_153589 MPLILFVQGVNLALPLAKPPTEKKPQKVNFKFELAENKAVPCDI PAARKTSGFTLYNSTCPCYKCNRHFPCLENGVNVDFCGFDFPQWVLRDSLQLHRLRYL DLVCKTIIDSMHNLFLETPKRLIDHWIKDEDIQDGDFAAMQKTAETMIVSGRYISLNS KIGEQFSYMKADEWKSWVLVYSPVLLKDVLAKDRFENWINFVDTCHLLVKPTITFDEV NTAHQCDELYNAEILTCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLLKNLKTNRK IGFEETFMKKFIEDVHKDDLVNSFLQSTRQTSAFPLLTKLTSSFTLATIPSIHQRTFR IQSFVEASEDPNVLVKGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKVAYKNEQLV HFQQASESPYFVDNTITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYT GQIQYIFTHSFTLSPSSSSLAPLLCTHRCPTQLLHNSQHTFAFIKWYTPKNNKWREYK HIEICFSTFSPDDFQFVLPMHKIILEVATAEHTTCKKVKKILIIPLPKKNNTFKK PHYBLDRAFT_71048 MTRDYNNHVPEDRSEIRTLALPFEAIKLIEDQLRSGSSCRSTRI SVLQQIDSWGVGSLYLTVLMTNLLYMFNSDEKAFIAIWMNKKLPERNYCIFTRDLRVN NIESNLFAFGFQLPAQVRVMRIATSFCLDATHNISARSGEVIYSLVTQHNITGKGFPV VYMVTNDQTVRPISQ PHYBLDRAFT_176253 MAPIHKPTVRKECRCSICKSKTLGFDRVSVKTFKRHQEKDNHDI THVQTPHEDTCDTISSAVSEPVNQEEDSFEFEQEDVEMNSELRNLNDTNDILDIRTRN QPFSETDCMFGPEDNVQYTSDTYEEEYEDESDVEMDNDEDSSLESISELNLIHRFIVI SVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVKKY VACSECHAIYDNEAAPLCCTSPNFVAHGVRWFELHRLQYFDIVCCMIIDPMHNLFLGT AKRMLERWVADGLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPSMHLHLYLRQTMIDFGPVYGYWLFSFEINGFESTFMRQFIEESWKGDFVRR LLKAMHALACFEIFDKFTNTNTNTNTYLSHSFSISKYLEASQNLSMTIRGNEPLPPSA LPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGCKDVIDDSPFVNDWIEMVKSVDLL GQSYKGCIGTNGHGSYIQAYFTERTGSEHAYVGEIQYLFVHNFRPTVSSLTYRNLHSS QHVFAFVKWFKSTSDKTRELEGVELLQDEFYKQDFQSILPVHRILLTVAIVDYKTTKN VNKKLTIPLPKKIYY PHYBLDRAFT_71050 MFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAF ADFATAYANNQTRMASLGPSLMPSYVPQTSLSDAEVSVIISEIFAEKLWDWKFESDNP ALVAENESKKKWNLNKKINHHDNIAVINYLKSYISAQTRLAGTHPWVISDKIKNRYKH SHRTFHESPEQKAKKNSKGRANSRTLQSTYMDNWVAIDAAMGYKTGNPVEKAYLKLFQ KDAMSDGESDIEIVDNLPRWCLHVAHPTWRSEEFNRLLTMVDDIDCTHHVLNAGVGTK PRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_176255 MCESYSLAMHNYPIKVFRFGRHLKEPYISIWIIISSEVIWTTHS IPKVNAITSAFPCVAIHYCEFHILCAWQTNLNNKVRLDASFTSAQLAAYKQELKNKLK YILTESNEELKTIYFGCARIGRLDCLMFVLTNDVEYFYEQEVDCIHLNNGKMGLIEDD ILPSMIISPLNVISTSMDDSDGEWQIKSFTNDTTWYSITVVNDLIECCLCPRYISQQV PCKHVFLLKRYHKINILYTIQRDVNHLAMQRPAVLAEEEEVVIVDEEDGREDVVGAQN NVDTSITGLITHTTLLHHQRLNLKHM PHYBLDRAFT_153594 MSTISELYNKKCLYVGCSQNNLGYSFVVRRTAQRHNKRARLNVI RCERDMFIQRNMMEVNDKSILTHQLRALKELYTQTYSSVWEVASMSDTEDVYITNDAI SNGDNDDSGSNSNEISEEKSEEDIIELDNNELNDPFATSDMPKNPVHRFIATFVFMFA SQYVVDKGTIVLIKFINRLLTIYKQNFQLPLSLPGLQCMTDFSVITKSIKKFVVCQDC HKVYKENVSVLSHCDFVKLAEDGRYDVCIYNGAMWKELKNKDGMQFTKESPGVIYLIV NNLPCNERYKPENMLLVELMLGLKEPKDKEIKHYLRLMVDDLMRFYKGLEIPTFECPG SVYV PHYBLDRAFT_153595 MITHIFYSLYTFFTSPKPKLVDTMCDMYNGAVWKELKNSNSISF VEQPCLLMLTLNIDWFQPFDKVTYSCVAIYLTINNLPCSDLFRAKNLILIELMPGPEK PKTNKINN PHYBLDRAFT_71053 MDCYPSIADIQYSPPPTVPIALQKFSTMQKSMHSMLQAIQYNST AVFCLLDVLSNELMTLIPITERDHIFAMLHAIRILAMHTCGRLNTACNNLAFKLSLPH KWLYQRPYRKSLLARVRRFFRSHTTQIWQSFHKHAIKPVLSPSLGFYSNLFVIPKKDR GARLVFNLKGLNQFLDASKFKMKTLCKVLGRSSVPVLHNAFWLLHNIMTLHKDHLSGF YLGSCSQNLGSHLTPTQEIDYLGYALDTVSMTVKLLGKKLHDLQKSIHGILLATSHTP RLIHSVAM PHYBLDRAFT_153597 MSNNRIKNDYVFCQCPECIASSPSGKRQRKQNARQHNKEHGLLV SAANTTDIQNEDIDIEDFIFDNDNADNVNSDDNDNEENTNLESLVIDSNKIEEGNASF DFEQGETLDVDTESSLDCESNLSFVFIVHEFSVNSMPIYIRFVAIFIVISHLIFLVDN CEYILIEFCNNLLSICNLAGSLPLTIDSLRHITGFDEATKGMTVYVTLMVYPYNLLKH ALQQKFFKPDFEQRINLWRNRLTIENTKLDIYDGSMWNELQDSNDAMFVDDFQSLMLT LNIDWFQPFDGQTHSSGAIYLSINNLPRSERLKPENIILVGMMPGLKEASTDSMNH PHYBLDRAFT_71055 MHNLYLGTAKQMINIWRDSKLISDKDFLTMQELANGVVVPSGYA RITKKIGDGFSFMKADEWKSCKCINDFGLVYAFWLFSFERYNGLLKNFETNQKGGFES TMMKQFLEKAYISSYIRAFSTSLDKFIITFLHSISNSQPHLPLQSDSSAFKLPQFVKF SSNPRKLSSGWESLPPATFPIKLEKMITMCKEHYNCLLEFYRHVYNSHNLFAHYSDHH SSQIFVNNRIEKIKRISLLRQEYSSGSYFQAFFLEHKGEDISAFPGHILYLFQHILNI NGKDVVHTFAFVEWYTSYASGSYQPLMTEKIELWNEPLTTLKYDCILPVHCLYSLIAV ARYKLNITSDFKRLVIPFLQKIEA PHYBLDRAFT_71056 MFIFTKSIVNIKVHCVPQENTLLLNMLRSKTNRSNKSNNSTSTN GYESAEQISPSNVGELLDLMEQRTRVPSSAPMAPSPKRARTNNENDPSESTHTIEDVY QKIDQVSTFLLSSAQNVASNTIATIASEVVENVLAPGRISTVDDYMFNESLYSQYNGS ETCRSDGNRQIIQSTSKRRLFAFASKKEYFVKTFGEDSNILLQADYTSDLDSDNDNDS GSSSCFWQFWPT PHYBLDRAFT_153601 MLVENSTAESSSSANLSTSVGSNVFVALQSSSSINVSEFELALK ALPMKVHASTAAIYRMPLEHWKYPYTVGPTEFVIASFKEFVFKQTYIKSVSVETDVRT QIGLRTEDNKERQLTLLQSREVGWPSSKKTKEAIELLKYKHDLVYDKVQTNIDRAAHY VIRDSYKSGKLIRVLKSLWTSDSKSGLCEMFSISSCHHILLRDQDLRNLNFAYCFCTI IPKKQHKEMQQALALVFSLDKGKTLKEGKVKFACAICHENVFRCPFGAFAFFMFSLLQ KTAKKALADEEIYTTQVIHGGRHAGSMEAEGLRISFDLIKQEGGWKDRLGRLETHYLG KLPSPFARGMAGFWEKPFSLARNGVSLPMELQKMKEMNEVDKNEDEDENIINLEIDEK ADSVEFVEEDGRLQRIILQDAAIYLYLNKENKHIHTRNLPFSSNSFRMFQEDIIAAIT SPSIGRLEEYKSLVPNIVNMNKEVANRVTEVNHRII PHYBLDRAFT_153602 MVPRISLRFSTSFVPKIFKHGKSLHNKEEHIPHTDGYRQLNICM HRLRNRKSYEKLLKRRPAMFDGPSSSASTATATATATTTATATATTTATTTATTTATT NLNSNNEPAPMEFIIENPQDTYGHEISDEDEYSDDHILSDSSDDYDETTDDEDMDTRV EYDSQDHIARMAAEMRTFQSLSHAMNAYSNEDSSRQTSYRPDDFADIFTGPTRPFKSK VEFILHALFYGDEDLASERSIKKIMFAMKMVLDVREESGVALDFPTPNAVINYHKQKK NQIPVFPTASFDVVNQDNERHVLWMNKPSDYIKFTMTCPGKSSQISALPDFTENQRLN LNQGEKWKENPLLQHPMITSNGMDYWVGDVVEVQGSPNRYLLEKFFTKDGSILANAFQ VYGGHDPWLNHPDDTHFLRFGNSTNFAVSTLKYTIEVDRIMSTVQKDSDLFLGRGFSV SYCPAKIVTYALTGVQSDLWLNKSRVEEFKRRLPGSGLMKVVVCPLNLYSDDTSGNST KQYNKYDSYLMYFAALPLETRNKRENALFICTSNHTLNAIEMLPPIVDDLVRLEKGIE MYSEDHGEVVLVVAPLLLFMGDNPRQSQLAMHKGTSAKKFCRKCLIPSPRIEQGSIPD APPYSPVDHRGSEERTRDFLCAFANADSQSELYLNGCELSYIKNGSEEFLRLEAFDPT KDMPVEILHIIPLGLTKYLMTFLWKQKMLTTSEKGRLQEALNSYKSCKSYSRTFRNKL RHTGSFVGRDFKELIQVLPGIMSKLFSDKPLASLFIKALHALGRLSSLVYMRGVDRCF DYYIAQIKHAVTDVTDLLFQLDVQILQKGFSKQDFTFKPKVHLLHHITDDIVRFGSVL QYETENGEQFNKFIREHLFKTNRHSTSRDVATRFGKQFICRHLCNGGSYVVEKPAGNG TRSVRSSIGDFVKLAPVNFPGFNLHFFGSRVNSDNSRLSTPTLCDTLAGVFQSNGQLF LGQVKIVQARDSADRMRKAFFMQKYQIVPNSNVNCIYTPAVVTDNYNNIVVLPLGGLV EVNKDDINIVQAVDIHLSVGSSNNQKFLNVAKFGMFWWMLMNIAKIY PHYBLDRAFT_176264 MTEIDQSILNDVDMYHDENDTSNEDESVSNSEYTMESMELDNTI SYKCACNFEDSEGEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQF INTVIRDHDDIMMEPGAKISHGETVDALLKSKSSVKGHEYDVCSSGCRLYGINDDQES CVDCGKPRYKTDPDQSQTPAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQL TDIFDGDNYKQLVQQGLFSNPDDIAIGLYTDGFVNQKKGKNSYTIIHCIIFNLDPSIR RHGMYFDDISARLRPLEDFKVGNPSKNIYQPSIYTQLSTFSGSSFFALDELHLIARGI GKFVYDLITVTLTKETKFYYTHPDNTLNTTEYPFHIPRADLVTIGNCITSSRKYIPTS FQGSFDNVFAKIDGTRAVDWLYFLLYLVPTLVVPYLPNRAVKTALLSLVKGCALALQW TLTSELLDEMELYFKHWHSFLYQQVQNNTLSRSVFRPVQHYLVHIPYIIKQQGPLRCY STRSMERVIGVFSKLIKSKSKGGRNASFLVERFAIHNYTSTAISICDEINLIWPKPYG RESYMDLPNDPSGAQLWEPFHQFVNLNDDSVEGVGGPSVKEALLKYYQRTTGLTGHEF GDSVVVVAARLWMDSTVYSSCINRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDSSVPIVKQWSQSTHTLGHQTQPTYAVISVNNICHQVGLVQYPPNGNQFY VIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_176265 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGCMVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQRDISDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPAHKRSQRSVNAYF TEQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKELKNGSYVTKVCMTDKRTAKCHA QNDNDRNMDKTINEQIVLTAEVNTGEADMDVDQIEEHIEYDNYSDGAPSPEQYVNTHL PLLVEESLFETEEYTSEYESEYESLDEFEQEEQNREQEQESTENLPENIWHQVIAVFT VIFISSFIVDEGAVILITFINTILEHYGEDFRLPTSIPGLRKMTGYNDLTNGVSKYVA CSNCHTLYDYSNNTHTSCNFKRVGSKTHCKNDLYKSSMKNAMIPKCTFVYNSLTTTLK KMFTRPSFEMRATIIDPMHNLFLGTAKRMMDIWIANNLLDDKDFVEMQEEANRMTRLR DDLLGNWIHFVNACRELTKPSITKNGIKKAHESLEKFCVGCEDFYKPDVFTQNMHLHL HLKETIEDFGPIYGFWLFSFERYNGVLKGFETNQKSGFENTYMKRFLESSYNGDFCQA HLRNVTSPLLLSLFLKLSGRKIYNPALSPHPLIPSFFHLPTFLQSAEKPSKQTFGNEP LPLSALPLCLKPPTTMRKSEYDCLLDFYKIEYDNDSLCSAKTTIRNCWFVNDRIQKIL SINLLGQVYTGGEGLVIRGSHIQAKFIEKSGDSEERYAGRIKYLFLHDFTPNLTHTNL SPCHNPQHVFAFVEWYKIPRHQPRIKQGIELYEPEFLKYDYDNILPVHRILSPIAIGS HMSGSGAAKVVVIPLPRKLYA PHYBLDRAFT_153606 MAPRTNINQNARTNGSTSRPLINAVNTGRIESSNPMIAPRPENM SIPVSEFNDVVSLLATLNNKMTAVSSDVSELKVQCQVGAQSTGMQAVLDSDMDPQDII SSARHPKISSIIRGRLRDINLKTDDLELIRENDDKPTWDVNVGLSDEFNKNLASDLML YIRRQPVAAMVPPKELCGIIVNSYYNRLAASKLTEEDRQTNTTSNRRGNRKTADAVTE KFNRDYNGVFYRDAMSGDETETDTSVVASRPDWRSDELNTVFDFLDELARDDLGKRAT QLKSRSHVLVHETIPRGLVTKMPTWSKRV PHYBLDRAFT_176267 MAIRISPDECSSAKHAMHQEPLSLGTIIDMVISTLDKNYTWRHA SNSQSFIFIFFSHYIVCILKLIYHSQHIAGLCTCNQISFGTSGNRQNPTVKCGIFTIV CLNFPMCIYCYFSSAYLDKTISKDPHVIANQTADQLENVGRAREAAELCMSVMSSKDK EKWDAVIKKVLFEIGNHVKLTHEGRFGLEPQFKGPFIVMNKNNKYGTYQLKTMEGKPL ASWVHVDWLYKTNGDAPNDTWYNPTAYCSAWLAAMTLLDTKIPNDAVDPVASPDDIGA HGQSQSQEGIMSELTLVTKIGSYKQPAMVDFLG PHYBLDRAFT_176268 MSNQNESYPTRRTPAEREMTNSLAILRRDMTTVMKDVADIKAKT LNTPVSAVLQSQPMALVHAVAPVSMEMNVAGSPTMASDVKSVNKTKAYVCIIHIRLLR EHLWDPKFKSKHLAEIQANNGKPRWNTAVNFNQSPNTELTENLVAYLERNFVGAGLRK SDVRDFVYTNFTSRKRAANKSQAKKKSDNARNRRSSREKEHLKRRKTAYQSNKTAIDD EMKRDCSGLIIEEAMSVGESDDGTSPHVSYSGLRLRRPGWRSDEYNHFITLVDKKVIA DLGSNSHQLLSHAFGKTIEGPVSDAIVSQFPQ PHYBLDRAFT_176269 MSSTSELYNKKCYCTKCSNNQQGYSFVSTQTLQRHNKRARYEDM ERSERNVSVQRNLTDIDFETTSNQQTGPMEAMGGQTNSPVWEGAPISDDEVAFSNESN GESSDGDENDNDKESNGGEESEDNEENIVEIEVEEFDTEDPFATPNMPENLVHRFIAT FVVMFASRYVVNKGAIVLIKFINKLLSIYEQDFQLPVSLSGLQSMTGFSAMTKGIKRF VMCQDCHKVYEESVPAPLNYDFVKLVAHTVCNCKLMVQSLSGGLVAKKSYLYRLGYFD LVHGTIIDLMYNLFLGTPKRMIETWTKIKKMKNNDLLAMQTVAATMILPSDYTKLKTN IGKGFSHMKADEWKSWVLVYSPVLLKPVIPSNMFNGWMHYVKACRILVKPSISFIEID QAHRYLQEFCQSCEDTYEPKALTCNMHLHLHLHDTIRDFGPVYGYWLFGFERYNDSSL SQAAPIKGNEPLPPSTFPLQSLKELTMSDIDYPQLLDYYKIAYAMSNLISYHDARLSQ YFINNRITKLKLIDLLGRTYIGNNSSGKRGSLVQAFFHSRNGQTSSLYTGQIQYLFIH SFTLPLHPNHRASTLHQDQHVFAYIQWYNSTNDNEHRDEGIAIYLPDFSADNYHSILP VHRIHLEVATAVDVTDMNEERMLVIPMPKNIMPEAFMNN PHYBLDRAFT_153611 MSGDETETDTSVVASRPDWHSDELNAVFDFLDNLARDDLGKRAT QLKLRSHVLVHKTIPCGLVTKMPAWSKRV PHYBLDRAFT_176270 MKKFIENSSKGDFYHTHLSTITNPSYISLFSKLTNSITGTIPFG NHQNSPSFFHLPSFLESATNPEQQTFGNEPLPPSALPLALKEATTMRKAEYDCLLKFY KIEYDDETLCSAKTMLRHRIFANDRIQKIASINLLRQVYKGGEGLIMRGSYIQAKYIE TNNNSKGIYAGCIKYLFTHNFTLNPIYTDLHACHNPKHVFAFIEWYQIPCHQPKINQG IKLYDAAFLKYDYDNILPVHRILSPIPIGSHVSGSGTANVIVIPLPRKLYA PHYBLDRAFT_176271 MNPVYKKITWQARLQQNRRVYQTPGFPFICLHKWKFFSNLCLEN CIPHWECYCISFLLHVCKVITVKQATCDPIVHHVLCCPKEKIVHGIDYCCTHWFKIDL TKIDLESV PHYBLDRAFT_73710 MSRNLNNNSVNNAFGEEPSVGSPPRNINDIRTIMLQHSQGTVSN QRPLAPKRARLNLEGDSSGRTRSIHDVYEKLDTMNGVLNTVLKNTSSEKAEATASNAV EQDMSPGHQPTLDQLLRDYLSEEKLYDQYNTNENKNSEGNRLVLKSVTNYLRCQEEGK KVDLPTLQTKIVRHIGNRKLQKKTGEKKQEENRRACLCQQCVKSCERRQSALKANRAH FVNSFGENVDSILHADYMSDLESDDEREEEEQDSSSEKSFFGDSTQAGEAKRYSTKNY FFGWCGDRFVDELDVDYEAAHDKKNNTRPFEHKFKRIRDKRLSKTKANKLPSWSKK PHYBLDRAFT_153614 MHNLYLGTAKRMIQIWRECNYINEKNQLTMQELANGIFVPCGYA HITKKIANGFSFMKADEWKSWCVIYSPFVLKHVLPAKNLENWILFVDTCCLLTKPSIN DKEIDEAHSKLQLFCTRFQTLYGKSAVTPNMHLYLHLGECVHDFGPIYAFWLFSFERY NSLLKNIKTNQKDGFESTMMKRFLERTYIGSFIQSFVNHLPQFAIDFLHCISNSQDQL AALHPSSTASTFSLSDFVEYSLNPHHSALGCEPLPPSVFPIKLDQRITMCKGHYECLL EFYRHAYGSHNLFGHYSNCESNQIFVNNRIEKMKRISLLGQEYSSGSYFRAYYLENNS EDKAAFPGRILYLFQHLITINETVITHTFAFVEWYSSYSLGSYQPMLNEGIELWNEPS SVLNYECIIPVHRLYSPIAIAKYRFTITSEFKRLVIPLP PHYBLDRAFT_160855 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPRSEHLKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_176274 MFAIANPNEVCYKYTRCNRNPLRYITTDKRTAKLLTAKVNTGET DMDIDQIEEYIEDDNHSVGAPSPEQYVHTDLPLLVEESLFGTEEYTSEYESEYELSDD IEPEEQDREEEQESTANLPENSWYRVIAIFTMIFILTFIVDDGAVILITFINTILEHY VEDFKLPISIPGLKHCKRPLILVPENLQKFYYNFRFLIFSNNFYFFLL PHYBLDRAFT_176275 MIVVFQFLKAFFLYYIWLLECIYCLTSVGVTIMTSSPKSALGLP TKLAPYRSDTVYPANALVNSSTEEIKLLALDVVVKWNKCRKLRVRELMYEKTRKCHQA RFYISDKNVCLAQKDTKLCYCEATIFIKQYVNNPEVVLICMTKDHTNYVSGDASEIRT LLLPSEAIKIIEDQLKGGRTCRNTRVSVLKQIEEWGIDIRKSNYKDIYNKMRKMCEEY YKIEVI PHYBLDRAFT_176276 MSELVAVRRVVAALTLVRFQKSHYTAINAQEDVTAHKEKECLIE IKEACAVKWVICNTNKQPTNITAEEAKATGIKLCFSQEYSCHHWGTYESKAALRVVQK QTKKNKYPALLLVKGFFKTPEFYEFVVTKDHAEHTPGDMRSDICTLPLANKYLHELTQ QLEQSSKSASQIRIDMLRAVNRYGRKSERKVNYYDIWNLINKTNTSYSPDPSAFAYGF MSPVQQEKMKTATSFCLNTTHAISSNVNEILYTFLVRDKDIGRGWPVAFMVTNDQGVS PIVQWRQFLKRSSLLVDPKQFTIDCCAAEVHVIQTTFPATSIQFCIFHVTQAWNQKLS DSVKIPGSLLSETQILRGVMMKSWQEIIYEEDIDEFHHKIVQFKEDFNDQESFLDYFE RNWCTEAKFKIWSRAYHE PHYBLDRAFT_73905 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDIEIDFEYNVDAEDQVEAKDLPLFGIDSLFDSESEDEGVIEVTI LDISDDESDDVRD PHYBLDRAFT_176278 MPVDPTHAFIASFAAFFISKYVVNSGGAVLLKCLNEVLAHFGQS FRLPLSINGVNFMTGLSDVTRGVQRFVACGNLHCHRSDAQPVPWKAKRIMEKWRSSGL ITDAHLAEMQLDADKLVLPEDYTPLGTKIGRGFPFMKADEWKSWCLVYSPVLLRGRLP EAHLGNWTAFVNACQYLSMPSISMAHLDEAHQSLEASCRECEKLYKAPFLSPNMHLHL HLQETVLNFGPVYGYWLFSFKRCNATSTSTATSIQFDINAFLDSPEINFDIVKGNEPL PPSALPLALKGEISMDESEYEHLLEYYRETYNDQTLVHYRHAGHSNNFVNNRIQKFES INLLGQIYKSKMKNQRGLFMQALFETSDGRSTKPYTGQIQYLFVNTAVNSFAGHASQH VFAYVRWYKEVLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYVVAVGKHLGLEGKV QMCVVPLPRKINI PHYBLDRAFT_176279 MTKRIPTAPRQPNLRMNAVLNSTIAGVVAPIDIPTPEVAVDTAP EVQVAGTPMDHVLTLLAANNVLMQSLQQNAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAMTSQNSVMPSAVPADSSSSMNDNLDLGAKHHPLISQLINSYIKKL NFVSTDPLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNH PHYBLDRAFT_176281 MSSSNASQQRDRISTQQYQCDQCVLFFDNYQKLQNHKRIHRGDS ATMTEIDQSILDDVDMYHDENDTSNEDESVSNSGNEKSCNCITEYTMESMELDNTISY KCACNFEDSEGEAHIYDSSRISTNTFTKAELMSIHLSQLMLQHRIARAAYRDIVQFIN TVIRDHDDIMMEPGAKISHGKTVDALLKSKSSVKGHEYDVCSSGCRLYDINDDQESCV DCGKPRYKTDPDQSQTLAASMKLMSVGDMLSQMLADPATRELLCYRANRESVAGQLTN IFDGDNYKQLVQQGLFSNPDDIAIGLYTDGFVNQKKGKNSYTIIHCIIFNLDPSIR PHYBLDRAFT_176282 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKQVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVNHYIIDKDYPAA SKEWKNIPEKNREYYMMHLESLAKNGGLHIHQCKRMWCARSLLRESFKSDNQTHKRRM AEKNKTQRDISDSLLSSPDMSETGDVESPIMADVL PHYBLDRAFT_120167 MVNLPDLFARRNQCLVVESVLHSIVVLTSHLQFKSNQLKSNQMK SNQIKSNQIKSNQIKSNQIKSNQIKSKLKEHCIGTWLWIEATLWIGPRLSITISCKIL FTYILAKRMKYFSKKKPDWTGLDRTIMDLTILYSI PHYBLDRAFT_72587 MTLHIMPTEILIPEEKRALLAQISYTSHVFISFYVPAAYSSARN YTARHTFFTDFLSFLTFYVQIFFTHTVTVFVQTTARNSKCSSRFSISVQSVPKSRAES SKKF PHYBLDRAFT_176284 MFDSFTAYIAISFIVNKDSSSLIDVGGTKLLHSQIWHNERFPGI VIFLEVIPHGFDSHCTEFTSAVQIGIHFPDHNNTICGAIFLTVDNQVQKLTLLRLPAV DKQEHIDDIREILAIYGRILDVGICQKPKYCHGDGYDCSNFNTHPTFQRGFWLCYENG HAKPNWFHGTVRHIHPQMYHKSQSPGSMDVDFVSHNAFNPNPIFVAVSVDTNSTET PHYBLDRAFT_176285 MSSRFYLPTGQYYCVQPSSTPSVSDQEWLDYGCLNGNSTHQQAY PFQNYEPRTQQDSTCLLSWDQFKNHIPGILAAPIPGANVEPHFQGSPSLPPLGPTSPG SSQGHDENDSDYQPETAQQQVQYDPHNPSSQNTRASGLSPTKRHICPKCLYKTDKKSN LARHLETHSGNRSLFCCPKCPKKYTKNYNLKRHIKQRKC PHYBLDRAFT_72585 MVTLQSLLQYHGLLNSISFKASVSKLIHCPSSHKLSTFALANMS GKVVFRSIASLTFSAAIHRSFSFVDAHMSIKIVFLGRFGLKVLEFGSGSPLLVYFKLF MIFKLYSLIKDTNSLENHQNLSFNIVSDIPYLDSYGDDAGESCSRGGIDESR PHYBLDRAFT_72586 MFDSFTAYIAISFIVNKDSSSLIDVGGTKLLHSQIWHNERFPGI VIFLEVIPHGFDSHCTEFTSAVQIGIHFPDHNNTICGAIFLTVDNQVQKLTLLRLPAV DKQEHIDDIREILAIYGRILDVGICQKPKYCHGDGYDCSNFNTHPTFQRGFWLCYENG HAKPNWFHGTVRHIHPQMYHKSQSPGSMDVDFVSHNAFNPNPIFVAVSVDTNSTET PHYBLDRAFT_176288 MVTLQSLLQYHGLLNSISFKASVSKLIHCPSSHKLSTFALANMS GKVVFRSIASLTFSAAIHRSFSFVDAHMSIKIVFLGRFGLKVLEFGSGSPLLVYFKLF MIFKLYSLIKDTNSLENHQNLSFNIVSDIPYLDSYGDDAGESCSRGGIDESR PHYBLDRAFT_176289 MSSRFYLPTGQYYCVQPSSTPSVSDQEWLDYGCLNGNSTHQQAY PFQNYEPRTQQDSTCLLSWDQFKNHIPGILAAPIPGANVEPHFQGSPSLPPLGPTSPG SSQGHDENDSDYQPETAQQQVQYDPHNPSSQNTRASGLSPTKRHICPKCLYKTDKKSN LARHLETHSGNRSLFCCPKCPKKYTKNYNLKRHIKQRKC PHYBLDRAFT_72583 MEKLEQLRDLRKGIKKIVKGTKLVVLVVFGVSIWGCKSKPICNH LGSDLPPFIPKMFCKNRIGTLRSKKTTPAIILGHIRDSEKPVVRSGNLTSDQCKSWIL ALLSISDSEFERAYE PHYBLDRAFT_176290 MRLPVNYREFLYLISLKNIYINKDARNVFKQLASRFFYEEEEKE KAAKIDWRIWKALLRLKLRLCKIKLEMNEFLEQLSSLTCLVHLAIYYRNHDIHHYDTS LTAIESIHSYFPRLQYISIDAVFKSISGKEIETIRNVEPAHTVTKIDLCFPYIDPSWI FYFAHKYPNLVTCNLSSYDDLDYNNSPPSDDKQKYQSQLQLLLSAKQFFPCLQKIDTS VYDINPWPQYLFYDALQCFNVKIKDVTIEFWEKTSKVANPNTCITYFSESVNNLLINY NHSSLAKHKEEKLLAHFNNLTVLKIEYGGYMNMENILDHFPDLQSLYIYYTEICSPKY SQSTLLPHPLQALEYSEIGIDTHVFRYISSRCRQLRYMTLDEIYYHHSDIEETGQVLV DMPFSQLKWLKTYDYGSTFESHPKNIIIEQMGNANSDQGRTPQLNWYHVCVDGMHEMY RLSAWELGRRDIKLAQRYLKCFRRIK PHYBLDRAFT_85899 NIRERLQAFVALEIALLVSLCSSDRHICSYAIRCLGYLCIEGEL TMEEEEPQLNQITLLCNLPIYTDLADEDKVFIGRKAQQKSIRKYMRMITRHTPGNLAA WEEAWKRWKVLTQTVSRFSEDGSEASNERKHVEWQNYTGFLAALGGCCLATDSDYGND PAGRTRSLEQSQMNARRISAPSEPSILADKFMKDMVDLLVSENVFIREGVKDTLGNDL SPALYAILFRYLEDAMAKCFDSTGEAICGAQSTLFVEQAVLVLKMILDRLAEPGDSLL NIDFSTLIHQFAKYLNKVPSNYVVLRIKIKICHLVEALMAKKEQIVIRTEIKLRNRLL EIIVEWTSDFSLVGFFLKQKGRSKPEGYIGLEDVLQNDKLNRDLDHACLKAIVGLLHQ LPLQPSENGRQGDPSQLKSRLFYKYFSFFLKLLNRYRLSEIETGSPENYHEWAQLKEY TILAMSNLLSANIDAGLKYSLSMGYHEDVRTRTAFMQVLTNILNQGTEFETLAENVMT DRYEKLVDMIVVDDMDLAMSLCDVSPATEASEIAEVLLLCFDSRQKTVPFLTALIKKE VDMTEQESTLFRGTTMPTRILSMYATMTCIDYIRITLQPAMEAINSLGDSELTWELDP QKMGPDDDASRNKRNIVNATELLLKAICSSVPNAPRAFRQELCLIGEAVRERYPESKY MAVGGFVFLRLFAPAILTPEHAGFSKQALPRNKNVAKIQLQATRVMQNLANNVLFGGK ETHMIVFNDFLTNNIYNVTNFLRLISTVSPDDGKEDQNNSIRMDEASFVRMHRVLADN LERMSRDLAGRKSSDSSNTFSILERKKTIDRLTNLLGQLGRPSEASHTEQFIARNYAF TNNNHYYSEFVRRNNHRDLASISSKNIFFQGGSSKGGHPVFYLIARNVDAETCDFELL IYYMLRVMEPYLNHPFELILEVTQFKSSNEIPPSWMTQFFKLVFSEMNDYLVTLYIYG PNQHLTRYLKKVPRALINKLVKRTRFILGLSELHEHITPSEVRLPKLTTDMEEEKTTV FFPVTRLTNLKSAVPVIVKVGQLYVHIITVRKQEIVYNLNSQMKEVYHISEFEDMIAT SSSGSENGGDISIKYDHGKATMVLSSPKRDSLLSLLRYRKQRYETSKPGGGNERAIRP SDVPGRLLNMALLNIGSDDPVLRLSAYNLLYSLSLSFRFDIGNQLLNVKDLCIPTNSS DFIISISESLASTESHLTLEFINECFVGFNKSNDGMRQLCLDYMAPWLKNLSIMGRGI SGEGSKNASKTRDVLRLLIEMTVKQVDMYKHIQAKVWKTLAQVDSMIDPILDAFVKYS VDHGVGSLQAESMADTFVTMTSVTVRGKVVSRLRKIIQYTSTDPCETLAKHPAWPEIS VLLRFVLMLSFNNVGPVKPYLPEIFHIVSLLAATGSNFVRASVHELVVNVIHTLCTGM PIGEERMKKLHFLLNDVCESKNRVYFGLTKHHAKAFTITQDTMHELSETINLSSLENI ARILLEALNIGAPSTDVANMWRARWMGLVVSTAFQFNPAIQPRALVILGCLAQDEVDD DLIYQILVALQGAFTIFNSLDSSLMISIMMCLRNIIDNLPADSRYLLPLFWLAVALVQ MSFPATFSTAVEFLQSVLRALDARKMFQNRGMVEVLLEARKPFADIAHQLDVMSGVSF ESHFSFAVAGILLKGIKNCDPKDIVFQCLTTFLEI PHYBLDRAFT_26386 SRLIVKNLPKDLTEEKFREHFASKGEVTDAKLMKTARFGFIGYR TEKAAEAAMKHFNNTFINMAKISVEIAIPVINETTKKNDTFEVEETDAFKAKQEKKSQ HVNELKNAEENDPKLKEYLSVMAARSKTKTWANDDVAPINGLGKANGGADDEAHKLAL AADPEDDLYEDLPPKKSQAADDDEDEDEEMEESVEDEDSKEKTKSKKTKSKKSEGSEG SAQKPDEDAVMEDAAEQKPKYDDDANVRGKPDEVDPKDQINDTGRLFVRNLSYSCTEQ DLRDLFSPFGPISEVYLPIAKDTKRSKGFAYILFLMPEHAIKAYEALDMKFFQGRLLH ILASKEKPPPKEDEFFGPNGTKLSSVKKDKEKKRRNMAGSDFNWNSLYMSSDAIAASI ADRLGVSKSDVLNPDADNMAVRLALAETQIVTETKEFFEKHGIILDTFGSKDRSENVI LVKNIPFGTSEEEIRGLFGKFGELGRVLIPPAKTMAVVEFIENNEARAAFRGLAYRRF KDSLIYLEKAPNGLFKDKYDPAAIKKRAEEHVAKKAAAAELMETSTKDDDSDVATLFV KNLSFSTTVESLKKVFSNLEGYRSSRINVKPDPKVPGKNLSMGFGFVEFNNKENASKA LAAMQGYTLDGHALELKFSHRNTDAKPSSTKAETTKLVVRNVPFEATSKDLTELFGTY GQLKSMRLPKKFNGGHRGFAFLDFMTKQEAKNVYDNMGSIHLYGRHLVLEWAQEEDGI DALREKTGKSYAKEESHNGRNAKRQRVDLDGVEDDMLE PHYBLDRAFT_160856 MILSLYIINKAGGLVYQKDFGDQLEKLSSNEYLVLAGTFHGVHA ITSKISPLYGSTGIEMLEADTFRLFCFQTLTGSKFLLFTDPQQVSVEPYMKKIYDLYS DFVMKNPFHTPEMPIRCDRFDQSLLKFIKSVNGG PHYBLDRAFT_176293 MENAMVFYLNFDKLAFTYGVCQNTEKTHPETGEYQALHNMVTEL YCVIIMIRCYSKYGTPKRYQFIEHEKEREITQGKRMNIILAGSADSNQSILFIFEDQK TFITTLWQGCCFRR PHYBLDRAFT_153629 MVSRNKKLPNSFEMWKKEKERQTELTFELANRNEIDLAQIIRKQ AEKKIYTMAGVKIEFPFRAYKSQIQMMSMIVKALKNRENALLESPTGSGKSLAILCAA LAWLDYEKKKENDIQAEKQKKATEIAKKVWEDTLMEATNVPISYVEINREETDDDFQP ANIRRKIGGGGSLPILNPVVNTNDTTTAVNMSESVPNTTAPKLPKIYVGSRTHKQLAQ LIGELKGNTFYRPKMSILGSRDQMCIDKKVSKSATKADDCGTLLDARHCIPGLQAGKL ISNPNIQRGGSMEIWDIEDIVRLGSQTRCCPYYATRGMADFAEIVFCPYNYLIDPIIR KVMRIDLKDAIVILDEAHNIEDSSRSAGSFEVTEVDLGMLQTELNQVIRNKQLPEEHN LLLYFTESLLEWIKDPSNMFTIKEFEQHVHLWSGKEIIAKLKDIGITKNTFEASLTPA YTAIAALAESVRRERERDANIESKKELTENEDGTPKVHNRKCLSNYALRLIEGIFMVL GFMYESNTNYEDDYRMVLIKKVNRTGDSSTSSEWVFKLGFWCLNPGVIFNQLSTLTHS IVLTSGTLSPLNIFASELNSPFPIRLEANHVIEESQVWVRVIPTGPNNCLLKGVYTQM ESFNYQDDVGEALCGIAETVPFGVLCFVPSYSTLNKLKNRWTATGILKRLEKRKRIFY EPSGQAKATFEKTLKDYYGYIKSIEEKGPNKGKDGAIYFAVFRGKVSEGIDFKDNNCR AVVTIGIPYPNIKDIQVNLKKAYNDLKWKPGVDSFKGSQWYSAQAYRAINQALGRCIR HQKDWGAIILLEERFREEEHQAGLSKWVAKSCKVETYGFEAAMNSLSEFMINRIEIDK ALSSKETKEQELTSSSTVDTVVTKELSLPPPTTKITKDETENHPVDHREPKSGEHKND PILIQEAQPILDSGFDQNNPIVVDSSITTTENTLNEDISVKNLGNLNSLALTDKPLAK ITIPTKRLRNNVQKNTSNPQDTYLIETETAETTPTLAAKTTATTTITKTNELTTDIRI QEQEQNLPNCADQSKPESSPTSTSEHKLQPAVLDCTPLVRAPQQKTGIVACRWHGEHL FSDMKKEFLVQKTSTDLDYFLELELDLSVKNRARKKSCKVLQVCYPTSWTMEQIQIAV FNPSAPVIMEENEADGLVYRVFGARCCKNSIGALIYDTTSTLSSNRRLVGNLFILHDT VQILDPEQLL PHYBLDRAFT_153630 MATKLPPNILTYLATHVDKKDRLNCAIVCKQWTEPFLNAYWAQV YFSGYMLDKLCDKFDLKEVYQWNAHRTWALNIRLFANDLKYIPVLQQTYSRIKYLKYS IYDEDIFAVKIDWSLWKTLSHLELDFQKVELTIDEFLEQLSPLTCLVHLTICQYIDNI NRPDTSLTDMESIHSYFPHLQYININTLFKPISEKEIETIRNVEPAHTVTKIVLYFPY IDPSWIFYFAHKYPNLVTCNLRSRERKPRFYLPPYDQKKYQIQPQLLLSSKQFFPCLQ TANIIVHDNNYWLFYLFYDTLQRCNVKIKHATIKFNENMSKENGLNSWIKYLSESVIS LNIYSSCCNQSIPVETNPVVLYKNLTVLKILVCPRVDMEIILNYCPVLRSLYITDSMI HSPEYPLSMHAPHPLQRLEIEMAKTSVSVFKYISFRCRQLKYMKLKNIFYKPSDMNET GQILVDMSFSQLKVLKTSESEIDDPEDIYGIISPFFLHIVIEQIGNVGTDQVQAPRSN WYHLCVDKTNRKSRLLAWELGRRDIEFCQRYLKDFKRRKGREKKRKDMKRSEYNYKLK RFWKRDLQHGVLIFRLKSVETYFLYEKDDRNFFLYLEPESEPESE PHYBLDRAFT_34547 MPASRIISNLHFGIITPEKLVQVFPNLATWGVAAGSAVCLLGAD VPIVRRDILSKVPIAGAYFALPAEDKEEN PHYBLDRAFT_153632 MHSCLTDFLFFLTIPSSLTFRIRIRIRIFIPAPTPTPTHPPAYL SFWPCYWALFY PHYBLDRAFT_72576 MVLVRSTIFALVTLQLLNSINCAPVNQSTSGTTTQSNSISTIKA IGTSTTTATTSPLTSSKTTTTLSSSTSKTTSKNHFQVYLQVYLQVYLQGYLQVYFQTT AKSSPTEKPDAATDKKTNADDGKNTADTSGTTGVASRVSVSTSKTACSNKKVDFTKNL GGWKEEYGSTNLYEITSQGLRMKLLAPNEYIPKIDNSTGLPYNLYEGTGPTFNPPNYM QYGKFTATIKAAPEGGAVTAIILIADNGDEIDYELIGADDQHAQTNYFWGQQPVVGVN GGIHDVSGSIYSQFHTYTINWTPEKIDWIIDGEKVRTKNMKDTCSGGVCKYPTHPARV QIGLWDGSRESGTAEWAHGPINWSKTKVISSYIKELTVECNPEYNTIS PHYBLDRAFT_87352 GGGGPPRSRYVPPHSRDQDPIRQSGQSSGANEGWSAWNGNDRGP SGPPRSEGGWNSSRSDYSRGGGGGGGYNNSNQNGRPPFVERGSYGGGGGGGYGGNRGR RNDEPSYTRRDDTRGYWRDGVHHLGSKNPRTERELFGVLEDVDRQHTGINFEKYDDIP VEASGTNCPEPVNKFTSPPLDAHLLSNIEMARYTSPTPVQKYSVPIVGAGRDLMACAQ TGSGKTGGFLFPVLSELFSKGPLGPPAEDPNAGYRSRKAYPSVLILAPTRELVSQIYE EAKKFAYRSWVHPCVVYGGADIGGQLRQIERGCDLLVATPGRLVDLIERARISLSQIR YLVLDEADRMLDMGFEPQIRRIVEKEDMPGVQSRVTLMFSATFPRDIQYLARDFLKDY IFLSVGRVGSTSENITQKVIYVEDEEKRSALLDILHSTDVSGLTLIFVETKRMADTLS DFLLTNRFPATAIHGDRTQRERERALDSFRTGRTPIMVATAVAARGLDIANVSHVISY DLPTDIDDYVHRIGRTGRAGNTGLATAFFNRGNKNIVNDLVDILKEANQEIPPFLESI SRESRSYGGGRGGGRGGRGGGRGGSFGGRDFRKYDGPSRSGGGGGYG PHYBLDRAFT_160858 MPCIENSPLKPRFLSQSTWRTMIMFPQSSTILKKNGFTNIKQQF GKSEQYKTNSHRMTFVNIVGTSNVRGNSRTTLMTFPIARAWVEHKTTANYLLVNFGVC AIPFVQMWVIAAVTAKRTTTTTVLPSVFTTDNKKALRNAITNEGR PHYBLDRAFT_160860 MNSKNQKAIDEEKKQSVDIQDHVSFEAFSVVDSADQSFKDIDRE GAGSSFLAYFNVVCVVAGTGTLGLPYALKQGGWIGVFVIFLAWAMSIYTGIILVRCLY ACGKHRLHTYKDIATAAFGFVGGWVTFFFNFILLLGAPVLYMVLSGSNLNQLCQGTVA ELGNTPWIIISCAIVAIPFVLVKSMKEVAFMSAFGAAATLIVVVIVLVMACLDQKNQT NIQHNPVIWDQFPIALSTISFSFGGNVVYPHVEASMKSPKQWPMVLAAGLSTCAIMYI VTAVPGYYIYGTTVLSPIYNSIPTGVPRTIAIVLMTIHVLTAAPILITSLSLDIEEMC NITVARLGKWGEFFVRAFLRIVILVILGVIGVSVPHFDDLMSLLGAFANCTLIFIFPV MFYLRLTGFRNKPFYELIWCGLVVILGIVGLIFGTKESIEALIEDFKSD PHYBLDRAFT_72572 MVTMLPPNILAYLATHVYKYDWRNCALVCKQWTEPFLNAYWAQV YFGGYMLNKLFDKFGLKEVYQWNAHRTWALNIRLFTKDLKYILVLQQTYSRIKYLIYL IYDEDIFAVKTDWSLWKTLSHLELDFRKVELTIDEFLEQLSPLTCLVHLTICQYNDNI NRPDTSLTDMESIHSYFPHLQYININTLFKPISEKEIETIRNVEPAHTVTKIVLYFPY IDPSWIFYFAHKYPNLVTCNLRSHELKPRFYLPPYDQKKYQIQPQLLLSSKQFFPCLQ TANIIVHDNNYWLFYLFYDTLQRCNVKIKHATIKFNENMSKENGLNSWIKYLSESVIS LNIYSSCCNQSIPVETNPVVLYKNLTVLKILVCPRVDMEIILNYCPVLQSLYITNSMI HSPEYPLSMHVPHPLQRLEIEMAQTSVSVFKYISFRCRQLKYMKLKNIFYKPSDMNET GQILVDMSFSQLKVLKTSESEIDDPEDIYGIISPFFLHIVIEQIGNVGTDQVQAPRSN WYHLCVDKTNRKSRLLAWELGRRDIEFCQRYLKDFKRRKGREKKRKDMKRSSYNYKLK RFWKRDLQHGVLIFRLKSVETYFLYEKNADNLILYSKPESEPEPE PHYBLDRAFT_102385 NIIKIAVQVYNDAIRGVFFPSWLKTALAILLKEGDMISLRNWQP ISLIKCDAKLFTKIITSRLRYVIGQLIAPFQTGFM PHYBLDRAFT_176300 MAPIASIALLFLCSSATFPTSLLPPHRANTSLLSALLPSNLRLQ PPVVQHFPLAFLWMYLPPFVEYYLSLKTLLVSDAFSIKEDSCFARKSRSTFSWACNYI GQFFWQLDSGNNARFGEACTGSLSRHIRSTSKPPPSLSIQWHKFWSVLIPHHCLTVWY VSATGGLMTDSLMMETLKMVPSNSQVFSIETFLMEALLVGKLLMDALPMEVLEMSGFV MFALTFQSLLLEVAGIMVFIWGVLMMEAFELEPFAIGVMFNLLVIFLKKIQFTVKNEV FEIVYELVSQYWER PHYBLDRAFT_176301 MTDAATHFYEKQYSVDPINDSPVEDLLSHVPSNQFFSEATRSSM TFSLAFDGICAAVGRSPHYSSPGPDSRATVLNTLILSRIWHALRIKTVLKRFFDELCS ILGRFLRRNMLSMAILSSMFSETAKRLRRVGLADSTESTDYAIATTDS PHYBLDRAFT_153642 MAEHGRQVVGGQGFPERRLWDEYQMSMGTVQIIIIFRVASHTVH FCGHCDKYGRSTVVYGVLTRQGVEYRAIAYVAGGVMGVESPKMNAIV PHYBLDRAFT_3542 FSANISVIAIQEYQLLKKEGVWTWDENNNAFKISKEKLTKLPQL EYPDSNFSYELHTGASDTGTEAEL PHYBLDRAFT_189594 MFYQSQPEQRSNKQPDYKQEYDTRAANVLSGDQTSPNFQGKLIL LEKGRVRWVITAALPRFDSNKIAHVLTLKVVLLYVVLKRFLTDNGTDFVSEAMRIGTT VIQEQLYPIEKKGLSPTYNLNAAIPPLVPRPRPSMIAIDVRPTLNTKPSNRKRQLGLD MFTIQKVTHLSEASPKFSPNYITLLQHLFRHTSSILTRHCTVIYPTPDIQLSDEFVMV DRRYTVREQAIPTFHRSDTRYTIDYSFGHVSFRPRLANTGIMHLSPCCTCRSFLTVDL AIQDHAPSYGTWRFNSFLSLKFRISTSSTGLTPQVQWNFFFKKRVIKLTAQEYDKQAS RTHQKTTNSHQTQRVTVPRLSHSEDRRIESENIDYETVPAIEERHRYLFLWSNTPRLE NDEQRKKYFFKVFCQRLISDNGWTIRILMTYLKALAFSSSTLDIMSLIAHRYVMSHTA CKTLIFPSDDSSWFLRAEARYIVSDIMMGHRGMSTAPGDTHSKWPEFLDFMSYEVGLL VISAGKIQDPATSLESETFCDILLTTVTG PHYBLDRAFT_153645 MQAPSAHQIKGKPAHKKGQRHAGPQERPKHVPEEKGKPPHKKGH SMCQQKGPTSPQERPQYVPAKMANYPTREAKVCARANVNAAHKKG PHYBLDRAFT_153646 MHPSIHPPPPISPNLLIDLEKNFRVFWFSFFPFFFVLAVSTGGD DGELLPRVNHGLSSKSTSARVACEPVVRIPVYYWLLSVVSSGGRGWYHLFWSVASASG TFLPVGLLLPTAASCRLPVASCQLPAAHFPSTGGSIYLTGRSHLSHWSDICFLLLPVA SAGELDGSISAAKVSAMSCPQDTSSKADKAHQKPNKGA PHYBLDRAFT_153647 MHPSIHPPPPISPNLLIDLEKNFRVFWFSFFPFFFVLAVSTGGD DGELLPRVNHGLSSKSTSARVACEPVVRIPVYYWLLSVVSSGGRGWYHLFWSVASASG TFLPVGLLLPTAASYRLPVASCQLPAAHFPSTGGSIYLTGRSHLSHWSDICFLLLPVA SAGELDGSISAAKVSAMSCPQDTSSKADKAHQKPNKGA PHYBLDRAFT_153648 MARRPTRKAKACARRKGQTAPQERPKYVPEEKGKPPHKKGQSMC QKKRANRPTRKATVCASKKGQLAHKKDHSMCQQKWLTILQERPKYAPEQTSMRPTRKA NAPNAHQRKKGFSETLKVTYNLQNSQAKVHCYVQVQVQIQFQVLSKSKTKCPKTVQVL F PHYBLDRAFT_160862 MFYQSQPEQRSNKQPDYKQEYDTRAANVLTGDQTSPNFQGKLIL LEKGRVR PHYBLDRAFT_160863 MEMMLVKGVIRPSNSLWSSPLILVPKPGNTHIDDLLHKLIYAKY FLTLDLKSGYWQIPMSPGDICNTTSSTEKSLFEFHLH PHYBLDRAFT_176304 MTDAATHFYEKQYSINPINDSSVGDLLSYVSSKQFLSEATQSSI TLPLTFNSIWEAVNCHPHHHSPGPEGMPYEILSLLFREDGQIVLWHAPVVITLGHMSS YCREHVDSLLCLACSLNKNCPQKVLRQTLFHFRPFPPAEYALYGDPVFYVFRNCKKA PHYBLDRAFT_184181 MTFVLTLLLDQEKAYDRVYPGYLCLVMARTRFTTMLVDSGLRQG DPLSPLLVNSAFKPLLRSILASLSIRNFQFLIPPSLSSSCPSLSLSLLRFLAYSDFYP DWGTVLDVADIHSLHDRHSTTKISYLGFSLGSYISQIEAFGAALLVQLGDRCTLLSQR CLSIRGKGIVTNSLFLSSVFHVLYATPAPLSFFGAIRTNICSLLRLGFGSSGWPFLCL PRKYGGLDLLDFDYQQRALRL PHYBLDRAFT_176306 MIHLSFRNAFFDVKQDSRRIDGTSPCSECKIHDYILVKFVSSES MQRFVLLMWCCGLGTVMWIILPEFLSVILISVKIFFTLWITVLSDAKTNVPAISVGIC GRVTFATIRYIHYHFGSIKIAVIYAPATVSRPRAFFTSILQLTQFGQPAPSSRFLVLK HFYYTYTSTSSHPCHTSLPWLHHVQETSIDCVTDEAHTERGLWRADSRLKIPSYFQER FSVSLQGILPSLTQLPYP PHYBLDRAFT_153657 MQFVESACHIYSQPSLLLLGRSTFLITLILSRMQRVLHVTIAHI KFFDKLGSIMRQFLHQKILPMASLASLCFPWTQDELGILNPQFQIPTKGLYHAMVKAL YISTSVLLLFHSTDTTQSSSCPNTRRYSTTFVVSTSATPSFFVLSTMKPIPRNFSFVT FLCYMPQPIQSSNLLLCHSPHDNGAPFGHFLSLTHLIIYGIELSSIPSHSKSPSTTMP LHYLTL PHYBLDRAFT_176308 MQLYEDVYIFDSLISGYRHYQSSFTSYNLLFWLYIYHIVGLFIN LGPIEYLITSNEVLQKTGSKRRSRKRLNLEDLSDAECKKGFCFTFPEIKRMSAFINLD KILSFRRSETYYIKFRCEFAFALVLYRYAFPRRYCSMERMWGINEKNLACTVNQFSVL LFDIFKHGFEFDSRKFSEENCESFLCCRVCKKRYIPKCNWTYRRHNAEGLSHNYKRGA ETHPLSEIPGDCHPRWNHNNDAKIFDQSKTLQRPIAHVDHMQPENDPDLKYALYGDHA YKKLLKMTAERNGILRNPVRNIIVDDLLEYCLAVNKEHGILPIVIAFGIHPTKENATN DLVESSQIIYERISFKERADETVQLLYSIAKQISVNEVTLEERTIDVLLNMCFHKIRK ALELEDVEEPRKRTREYTRRWVCIFGNTRDKVSTYIFVWTFRSTRRSKEKEKGKLLNK H PHYBLDRAFT_153661 MQFVESACHIYSQPSLLLLGRSTFLITLILSRMQRVLHVTIAHI KFFDKLGSIMRQFLHQKILPMASLASLCFPWTQDELGILNPQFQIPTKSLYQAMVKAL YISTSVLLLFHSTDTTQSSSCPNTRRYSTTFVVSTSATPSFFVLSTMKPIPRNFSFVT VGYFIFVILLDLEAVRPRSPNERNSSPYLISKLTLGTHSFCAICRNPFKALLASLPLT PRQWCTFWSFPIPHSSDNIWYRTILNTLSFQITLYHHASALFDTVVYTPQLSEVHTRR PNTGYLLLMNLTS PHYBLDRAFT_153662 MSRIAMEQTQLSSIDSVGLLLDQAKAYNSSNNRDFSKKIHCHSS ISPLSPCPDD PHYBLDRAFT_153663 MFSGIESTTGRIIHSDIYGRWVNVVLCSQISCHHGTSVHHQYGT IMDCECEGDRDLAFLKGLGFCKCNLLPLLNNLLCFNVIWISGAFTTFNCMAKDAARFA KRNAFFDVKQDSRRIDGTSPCSECKIHDYILVKFVSSESMQRFVLLMWCCGLVLSVIL ISVKIFFTLWITVLSDAKTNVPAISVGTLKQPEAENISAGNQTTVEACMANLANSECE AEAAQVSRTLSEDSGIPRI PHYBLDRAFT_184184 MTFVLTLLLDQEKAYDRVYPGYLCLVMARTRFPTMLVDSGLRQG DPLSPLLVNSAFKPLLRSILASLSIRNFQFLIPPSLSSSCPSLSLSLLRFLAYSDFYP DWGTVLDVADIHSLHDRHSTTKISYLGFSLGSYISQIEAFGAALLVQLGDRCTLLSQR CLSVRGKGIVTNSLFLSSVFHVLYATPAPLSFFGAIRTNICSLLRLGFGSSGWPFLCL PRKYGGLDLLDFDYQQRALRL PHYBLDRAFT_176312 MALIASIALLFLCSAATFPTSLLPPHRANTSLLSALLPSNLRLQ PPVVQHFPLAFLSLEIVSLSSLLARRNQCLVAESVIHSIVMFIPQVRRIAKKHSCGST AWRTQQEKALQSKRNHLMRKFTIENIRNT PHYBLDRAFT_102412 RKGTSPVLKNWWPIALINTNAKVFTRILNAQIIHTSNSFNHYKT GFVKGQFIVDSEIMTRMAIEYTQNTSDTSIGLMVDQEISYDRVRPEY PHYBLDRAFT_153667 MSSYCREHVDSLLCRFLRRNMLSMAILSSMFSETAKRLRRVGLA DSTEILWGPSPSFVGCNTANHIFKDKEWCTFHSHLLDGVTYTASSAPNTSGWKRINAS GAFMFD PHYBLDRAFT_160866 MEMMLVKGVIRPSNSLWSSPLILVPKPGNTHIDDLLHKLIYAKY FLTLDLKSGYWQIPMSPGDICNTTSSTEKSLFEFHLH PHYBLDRAFT_189596 MFYQSQPEQRSNKQPDYKQEYDTRAANVLSGDQTSPNFQGKLIL LEKGRVRWVITAALPRFDSNKIAHVLTLKVVLLYVVLKRFLTDNGTDFVSEAMRIGTT VIQEQLYPIEKKGLSPTYNLNAAIPPLVPRPRPSMIAIDVRPTLNTKPSNRKRQLGLD MFTIQKVTHLSEASPKFSPNYITLLQHLFRHTSSILTRHCTVIYPTPDIQLSDEFVMV DRRYTVREQAIPTFHRSDTRYTIDYSFGHVSFRPRLANTGIMHLSPCCTCRSFLTVDL AIQDHAPSYGTWRFNYFLSLKFRISTSSTYYI PHYBLDRAFT_153670 MGSHIRAHNQQTIRAVDMPLCPIIISDTIYLASALKNQEESSDF TIGSPLENCHVIAGDCTKGSPSTSVPLVYLRHAMGCFPRLKVVIN PHYBLDRAFT_176315 MRFGYERWPEFLDFMSYEVGLLVISAGKIQDPATSLEHLVDYGY WSKKKMNAKLMPIFCTDCSGTFLVIFYCESLFNKIKTTEKDKLSGKKMNTGGKTILLH HHFKVDFNERYQKKSRRAIKIHLVYLTKEHKYNKTALKYKFKIKIKETKHNDNIKVK PHYBLDRAFT_153671 MQAPSAHQIKGKPAHKKGQSMCQKKWHAGPQERPKHVPEEKGKP PHKKGQSMCQKKRANRPTRKAKVCARRKGQTGPQERPKHVPEEKGKPPHKKGHSMCQQ KGPTSPQERPQYVPAKMANYPTREAKVCARANINAAHKKG PHYBLDRAFT_153672 MPSTASVASASGTFLPVGLLLPTAASYRLPVASCQLPAAHFPST GGSIYLTGRSHLSHWSDICFLLLPVASAGELDGSISAAKVSAMSCPQDTSSKADKAHQ KPNKGA PHYBLDRAFT_153673 MHPSIHPPPPISPNLLIDLEKNFRVFWFSFFPFFFVLAVSTGGD DGELLPRVNHGLSSKSTSARVACEPVVRIPVYYWLLSVVSSGGRGWYHLFWSVASASG TFLPVGLLLPTVAGCRLPVASCQLPAAHFPSTGGSIYLTGRSHLSHWSDICFLLLPVA SAGELDGSISAAKVSAMSCPQDTSSKADKAHQKPNKGA PHYBLDRAFT_153674 MRQSKDQSGLQDRLMPKHVPEEKGKPLHKKGQSMCQKKRANRPT RKATVCASKKGQPAHKKDHSMCQQKWLTILQERPKYAPEQTSMRPTRKANAPNAHQRK KGFSETLKVTVKIQSQIKIDIKPRSIAMSKSKSKSNSKSCPSPSPNVQKLSKYCSSTS PSTQTYTNK PHYBLDRAFT_160867 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYPLYTLGIIHGDYNGSKNKTQYS PHYBLDRAFT_189598 MYFGVLSLPTLNSCFDNDYRVFPYCETIKRFAWLKQGGSTTLKL FQYFLVAYEKDTDPYVTVDVSKIMSGFDLMTKPSSSTPSVSNVMSYTYQGIINPTVPP RSTFRSIYLCQ PHYBLDRAFT_160868 MFQQVVNSLWYNVIGTKTSPPTEYFSFWGVGSISLGENVLVNIC IQKMKNISLLGQEFFSEQKKYRSSIVRVMFFFVG PHYBLDRAFT_184188 MTATPLNIDEGTFSISNRPIASMVQSYIHMQPEVEYVLSSVVEE KARRHISYKIHRAKSMSEKLAGRNRFSRCRTRKIRECHFFI PHYBLDRAFT_160870 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_189600 MSKPVHSKNEILRSNTGLTINPSKAYSRSETRDKLTGLTIIQGG LFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLVPSVQVKHQGSNQSPRLSVSIPSR SSFKVQVKVHGYQYPFHPGKASMFRSPSYGHSFYLLLVSAIQVKCQGPDFPAMGIAID VRRQGCDLPAKCSVIQVNQQDSDLPSMIIAIDVRRQGCDLPAMCSVIQVNQQDSDLPS MVIAIHVKRPGSDLPTMFTAIRVKRQGPDCPSMVIAIRVKRQGPDCPSMVIAIQVKHQ GSTRPSMVCSVIQVKHQGPYRPPMSPAIQVKHQDSDRPSKIIAIQVKHHGSDRPSMVI NLIYLQSPAIQIKHQDSDLPAMVIVIHVKHQGSDHPSMVIAIQIKRQGPDHPSMVISI KQHYGHILTSCNILLVFSRPDLIYLQSPAIQVKHQDSDLPAMGIVLNPPRSCFTDPDS VRGYRPNSKDQNSNLGYSLNIKDLISNQVCLRSGYTMKNLFSFQCDRYIHLVRPLVTV GFISLLASQSKPAIMDPISVQCYSVLAVKVPLLSMPMIPNPSPIQSDRATIKDSISIQ YDSQGQSIQVYCPLGQTELPKFYYLPSARAEANDQSFDILLGSQQAFNAQLYIKGRRY TRIFKQFTKN PHYBLDRAFT_176321 MKAKRQSTATEPNVRAIIQYVKPSAGACYYIGIYQNIFLVGLFD SINLLISSTLAWTLLILRVIVTIVVIQDILYSPCLQCRYHKRNFTISIYIYVIASSDF DNEPDPARQYNVYLNGKKLICLAATIYGSANPLRRYSVCSLD PHYBLDRAFT_89896 YTTSSTEKLLSACTGALLTSLLVTPMDVVKLRLQTQNYDSSRKP TACCSPFSSKNGLKICKLTSGIQHYSKIFSKKGTNQDGFHTIVKNEGLPGLWKGLSPA LLMSVPANVIYFVGYDYLKVIIQPYTTTQHSDYSPLVAGGLARTVAVALISPIELFRT RLQAATGVNDFRNVLYGVQSMVKKDGVRALWRGLPPTLWRDVPFSAMYWMTYEKTKQA LIAYNNNNNNNNNNISISIVQGDLYMSFLAGAFSGMCAAAVTTPFDVAKTRRQVDAGK GNKDTYSILRQIYSQDGVRGLFRGLTPRVAKVAPSCAIMISSYEIGKTFF PHYBLDRAFT_176323 MSSSEILSESNQLNTPKGRTQQTADGDKKANRNKSVSFNVSHTL VAAVSSPLPLLTPDYSHGVDIIQVSSKDKEYIIWQAEAALNILKEAKSHRGWNKLPQS GHPVEAYSKYAHPGDKVVMVKGQKIIQNFSHHAIFRIVTTRRLWDSKFVEGNLVEKIN QTTSLTYEVLSDTVQVERTEYVGENMVLFVTVSVQSQYVPSFQERHRKHTSLRGWIIE RTGLSRTKPSTEVTYVTNGNFKGLASSLSNWSLRKRVKDLCDVESYFERNSKQLKTCL SAVNGPQLTVSRPPAATQMETLAADKGHYTLEAYREDKSGSSTKTDKFSAADTPTNSR AQKNQLSGPILSYSDSEPSRDEESANSYASKSGSDHDKRTTDSSEEKFEASASITCIY PPNRHAEKKNKALKSLAELTSSMDGWVIHSEKQGVTSRVYFHDTNNLKGLQMIRCDGV INGSWTAAQLCNVVRCFVSRSQWDERFEAGRVIERFSESDALEHWVLKSLYPIQRHDA CVVTGVSTNTSTGTVFVASTSVVDNKIQERAACYLRSKVILHGWVFRPIFQELGSKSN QWCAPQTGIKVTFVSKIVYESKLSLETRRVLEMEALEYISRIADYTRSWRFQPFAIHV KGRLLEEEYEPKTGTYHLIYVARHDALDEMAILSSQNKKTTIQIVSSSGFDIFVTPRD IVVEETRDGRFLVVTTSCEMNNKTICIDLVYHNQYTAVSHRNSGKLQSTSPAF PHYBLDRAFT_153684 MHKTQAFSLSGSSLPDWNTFLRAYNVTDWHDRTSPTSLRYLGFP LFSSITQRDSYGTTLLSKIETFCSIHGSRSLSFRGWATIMNSLVLSQLWHVLRVVSFP SAFLDRVRSIVCRFFHVNSFPPIAFDTLCLPRLQGGLGILDPGIQQCALQLCWLKPLI RNPLLPHSLVPQWFSTLLRSDVPTVDPLLLLLFPDCRPRNLRYSGLFMVPEIVNLPGS LARRNQCLVAGSVLHSIVVCIPHNHRTLDSLLHLVLKAMDTLPRNFDRVVLNLSSCLI LPLSSMISSMPSHPPYRPAWRDLRVHHLYQIESNLDILTPITLSRPLPRSVTLNCILN RIRDHTMVLHPILFRACIPSFVLAFQQPDLPIRDGSSIDLQPLLSAQLPGQTWSRLTT RSYRSACSHQLSDARPIHPPLIPRQLRSFWSFALPHQAQNVWFRGLHNKLSCRALLYR IMPSTVSSPLCTICQVSIETQEHFLLACPLKSAVWTGIWLEFFGTVPLPSALSNAFQS FVFPPRLTLRSLLPLSLA PHYBLDRAFT_176325 MIKIGTMNCRGLPKVGHPESCSFFIRHLRLQGIDILALQETHAS SSMLQSTFDQQFRSSSSLWSPHCGVICLSPHIIFTDPLFSPCGRCITTTITHVDNNFS PFRIGIIYAPASQTPCYCFLASLLSTPDLIPPNPSNFILLGDFNYAIHSHYALGRCAP ADWLQFIDTNMTDCITPRGQHPQLTFH PHYBLDRAFT_153686 MFKRHSDWFPKIRKRQQQKQTKSRRLQDLNLRGQSPSLSTNTPK PPGVKNPSTTGSSSPLPTLFTPISPSSTPLYSQVATQNALPLLEKQPHVIFSSMNNTT PHTWHVESSKFSVFFTIPPKSSPKFDPFWRALLSAYPCEVNMGITLGSRSSPDICELH LPTSADCEQACSQPLVVGDSSFPAQPAVPIGTIVRHVFLTKLPCVPYHNLATQLAKCM SPFGKVREIAIHESYGFFDGSGYVVLANTPTNDVSSDSLTYQIAYDNMQKILGKWPSM GSHCTYCKEMGHDVAKCTKRPAKTRTCFGGNKTSHLQANCLYITDPSKTSKTSNKRSC HPNRNSKLDCPIIAPKPLIPTELSLIYGGLKASKHNPCQPALRELSKLSLTKTTFTLL TPTETPMSPGPRPQSRSVDTPTRGWDKERDDRMITNLMDRDETWALRL PHYBLDRAFT_176327 MQILSIQKRIFSKCQRRQSSTTNTSSRVSTFTSSMGQCIKGLAS VKKAFSCNKVQNTVAPSLISSSSTISNDSYLSDLSDDEHFSKSCPVSEKSMALDSLIF DHPSVTVRISPAAYRSS PHYBLDRAFT_137778 MPFSIEKVIRPNILALAPYRCARDDYSEGVLLDANENAYGPALP TKREGELNRYPDPYHARIKERVIKLRNVPSVRHVFLGVGSDEVIDLLIRVACVPGKDK ILITPPTYGMYSVCAQINDVGVVRSNLDVEGGAYQLRLDDIAACLKANPEIKIVFLCS PGNPTGTALTHKSIRAVLESGYEGIVVVDEAYVDFVDHDDGTVSTWVDKYPNLIVMQT LSKSFGLAGIRLGIALGNPDLIQILNNTKAPYNIGTPSATIAYEALSDEGLATMNSYR SALINQRNILIKRFTSFPVQGLGNILGTNDANFILIEVLDKNNKPCNVRAEKVYSLLA ESLGVVVRFRGKEHGCFGCLRITVGTDQDNKILLEQLEKALKTV PHYBLDRAFT_184193 MSRPALSLLTQRSLRSNFGGVLRAPAVLVRQIGSVPTPVSEITH PDNDVPQQTLDQVKQFNKYTVTTYNRPDIVISRGKGSYLYDTSDRAYLDFTAGIAVTA LGHSDEEVAKVLYEQAQKLVHTSNLYHNEHAGALAEKLVTATQKSGGSWADKLFLANS GTEANEGALKFARKWGKDVAGPEKMEIVCFTNAFHGRSMGALSATFNPKYQKPFAPLV PGFVTAPFNDTQKAVEAITERTCGVIIEPIQGEGGVHAAKDEFIEAIREKCTKVNALL IFDEIQCGLGRSGKLWGHQHFSSKCIPDILTMAKPLANGVPIGAILTNEKVGKLIQAG DHGTTFGGNPLASAVALNVVGRISKDEFIKAVNEKGAALKEDLEALQAAYPGVIKAVR GKGLLLGVEFTKDPSPIVKMARERGLLVVTAGCNTVRVIPALTISKEEAREGVARFAG AVEQFSKSS PHYBLDRAFT_80607 MVSTDEINLHRLLISCEKKIKQENVDLWTGSEKIKFATYVKYLQ TLIARSGLAQSRRYNERINSLAEAVSLHTMQVDVEKGIAEARATKKVLLDSLQSQEAP EPDWFLNLKEEMAREKEQDEKAARLEDEAEEEEMMEDMLSEKVPTPQVPIEKPDIRQR HGPNITREEETSNIEHVLQHHRQMHDELTTDLGRMAKQLKMNTVRFGDTLAKDDMVLR DAQEAVETNLERMQREGKRLEIHNSKSWGTSFMTMGIVLFVCIAFILVFLTIKLLPKA K PHYBLDRAFT_94000 RVLNAEDLIDMNTFDQLLDMDDEDDHDFSYSIVLNYFEQAEATF DDMDAALDKKDLSELSRLGHFLKGSSAAIGLRKVKATCEKIQNIGNRQNEEGEKLEEA EALAKITPLLPQVKAEYSEAEEYLKNFY PHYBLDRAFT_80605 MPKSSRPRRQTAVQQRGRSRAYNAGNSSSRIYGSAQNGRGGGGV RGRRRGGREGGGGGGGRSNIRGFLDSDENNMQTGRSRYQSRIIEDDSDLGHTDEDDDI DKDEYGFEDDEEEYMTQKYGNQHMVSRDSYENKSNYANSGHVEDVFRIPGYAESTALD QDSANISPFHSKSVLSCLGFVSDPSILEAHHNQDNVGEAVLEEDMSQSSMLDFVFDSA PSAINDTGLSSIYLDNMNTNTNTNTNTNTKKTMDININEDTNMDLNITNDRAFFRAPT KDSTQTQAKRQDNKPQNSSQQTTPQKQRRDRYRKRAESRIIPGDDIYISSSEEQRSTK GNNNKRNKRGASANTAAAQGKEKAEEERLEDYIYNCEVLSPQDLEALLKFSSSRDPAE YQNHAEEEMDDLDAEFEAREKEPAQHLFNGSKVSPKDFERILRYANSLTPAQYQKTKG YSGVDIIDVDAIERTYSKETMEDYYDYPSDEDGSVYYDAQDCINLTLSDNEVDEQGTS PDNNNSYNSYHGVAPSWLSRKKSQPSRVPVTKLWQINRTLKGFAQNAEDPELILPQNF SPYIKQFSLLGNFYEIALRTTGSPSSGNIVLVRTNKTNVPSSQEHIELFIRKVLNVLP EGKGDEGLVSSMSQNNKIQTRKQENRERQKSKAPAPKRPKRSNRRGEYDGERQLPMGG VVGSGAAPIDETNKGHRMLASLGWSQGDSIGATNEGIKAPVQAFFRHNRTGLGH PHYBLDRAFT_176334 MNAICKAFKNKGSSRINFEEKSIIVQSTVQSIVINILNNNWYQN RLKELKKGDQKTKDTDRRRSCLLKMHATSVSTPGACYEIALIGGASQCLAEACTKIDP LSSVPDSNHVCGDPVLPENSTINDDIIRQKFVGLCQLLRDILDNRVILKTLSEAPGRF THLQPCLFSLIESKHNSHCEYDVKRLPLPQIFSVFPTLSLHRRSLTLSVNTLSVSLLV PGIENSNNKCLETWSGWTSSVSIFLIYKRRSDQKEMATKINQIDLKLDDFGSREVEES HLPISVDPPRKKPRFFCAVADLDRDGR PHYBLDRAFT_176335 MNDSLVNPPLLHINHNNQQYHQQDQDLSFTNTEFQETTNVVGPF GITDDQVFSLLSQCQDIISELENRVLELETDLHTIHERHNHNRSTWESIEHPKDRSDI QLKSRVDRSRVYNELPSSASYNYSTYYQYRHQSKRGSLFKQSTKVCFAKKSILKSCEI FTSAQKGVGSSKGLTHSTKLSFDGDIRLPDLSYSPSSLILLFHKRKEDLYSAATIVPS ILESKVPTETWTPLQNVHTSTKDNTEHCLPEQEQRVSLDEIYKLSSGQPQSPSQPQTI CPESGMPGAWKLHVPESPSRSFKNLCEEPMKNNILGWPLLLLFLLTKDKERPRNPYLM NQTTTRTRRNFDRAISKGKGCYMQRAHDHEHENELELELSSHTQSQHLLTWPHVGSGL EHNNPGHPFSFDEPATFDSRSSENTKDDRVSRMEFPPNGFYLNELIPKKPNHRPLHNY LLGLCLFDTKRLWLS PHYBLDRAFT_153696 MSLLKSLLIPFSEQYANKQRLRAVPVCQILPFGSYSLGGHLANA DIDVVCLAPSTVKRREFFYIFANLLRKSHLVKGIEVVERTAVPIIKCSIDSIMTDISF VSLKQPSIPPNIKLLDDGYLEGLDSVCLASMDGPRVNQFILSKVDLQHKQVFQQAMQA IKHWATQRCMYGKPMGYLNGGTWTLLLLKTYTQASPESLTTHGLLQAFFCMWAEWPWP VPVMVTDGIPDKRGQTIPYKSLPESETAVMPIITPCYPVSFSAPFTTLSTLKVMTKEF KRASLILDSYFDQSESMLDKLFSEFDIIRPYKHFLKVVVSCETQKSHETWIRKMAVAI PRFVTMLEQVDDIITIHPLTRPVKRIQNYKSIYEKNALRAGEDSDVAREQSFATALSP GVLLMTWYIIGLEVKIPKNEDRVLDICSEVDDFIRTIDEKRNSKDIDMTVSVVSMKR PHYBLDRAFT_153697 MTALDDSEFDLFGEITAEEKSALQERTQKFQHDQQCMIASLDHD PRKRTMAENRLIFHHKRHSQFTVPNRLRRLFSLEECNRILEGCKEMSCSDWNTARHSA FATTDIPIRNSRFDYLIDQVRDRLIPVMANHYGFHPTRDLEFRDIFVVKYSADAQAGL KLHTDGCLLSFNVLINPKDEFDGGGTYFQPTDQTMASEQGDCVFHGAHIMHRGVDITR GQRYLLVGFVDTVDTIEKDGTGSIKRI PHYBLDRAFT_189609 MRERRSSVVESLDPLIELTSHDPQPTRTYSLDYIFVKRFFRLVR LLFRPPGNTYRFWSTHREAQQKSIFWLYCTFIFGSCGKEVLVYFVGLMPSRFYSLLTS KDLTGFIAYMFPCFFLVFGIAISLSILNFMGGLLALKVRRLLTEHLQDRYVKPKAMYT LVLNHESVDNPDQRITQDIDKLSESLREIVSTLIIAPLLVAYYTWKCWDVSGFIGPAM IYVYFILGTIISRRLIKPIVNSVFYKELHEGNFRFLHVRLRQYVESIAFSSGEIEENA RAHNSLSTLLTYQRSIINKSFPLNCNSFAYIGSILSYMIVAIPIFTGVFDDKDPGELS SIISKNSFVSMYLIYQFTVIIEQSGKLSDLAGYTARVVELLEAMDNVDNEIENIEIDY PYREDDQSETIEFENVSLLSPRSKVVVLGFNLKINKGDHIVLTGPNGCGKTSILRALA GLWPCTKGRVHVPKMKHGKDIIFLPQVPYLVHGSLRDQISYPSIASTIYISDQEIRSL LQQVHLSHLEGLIESFDHAYAQEWNKMLSPGEQQRLMFARIFYWKPRFAVLDEATSTM DTATEDHLYSLTKEFGITLISVSHHPSVYRFHKRHVALDGHGNYTIGDIPDLPA PHYBLDRAFT_120204 MSTKVQKIMVQPINLIFRYLQNKSRVQIWLFDKSDMRIEGQIIA NGFDEFMNLVLDDAEEVMTKEKTRRSVGRVMLKGDNITLIQSVNN PHYBLDRAFT_176340 MVMNRGVNLVHKRKTSVDINFWVIYARKCVGQNGISLTETSQDT LKTMIAIDMSQYSRLVCRQAEKIEYRDMSVVGYEMRRYAEKDISYRKKVDCYAEKRDE IIS PHYBLDRAFT_153700 MKYRGPTLGLFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLV PSVQVKHQGSDQSPWLSVSIPSRSSFKVQVKVHGFQYPIHPGQASMFRSPSYVSAIQV KCQGPDFPAMVIDVRRQGCDLPAKCSLIQVNQQDSDLPSMVIAIHVKRPGSDLPTMFT AIRVKRQGPDRPSMVIAIRVKRQEPDPPSMVTVINVKRQGPDRPSMVIVSSHPGQASR FRSTSYGYSTSVQPSRSSVKDPIARPWCSANHVKRPGSHLPAMVIDCSVIQVKHLGSD RQSMSPAIQVKHQGTTRPSMCWAIQVEHQGSDLPTMFTAIQVKCQGSDFPAMVKGSNL PAKVIVIQNKHHGSDSPSMVIVVQVKHQGSDLPAMSPAIQIKNQDSDLPAMSPAIQVE RQGADLPFYGYSVESTKIMLHGSGFMSTHPRQLSGSDFHELL PHYBLDRAFT_160880 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGR PHYBLDRAFT_160881 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLWQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_176343 MKDKENWVNMYVYKYPHFGNRTSNRAESAHASLKHSLGTSSDKL MTVTLKVKKWYQELVDDRKCRLMTECLGESTEVVFDKVNGVRLNDIRQKISRFAMDKI KLELSKSIIPEKLTKECKCLLHYNYLLPCYYTLATFNTIPISLIPRRWRKDYLEGEDH LTINNAEPVPANIAKITTISPQFDYDLELVHEGFHSTHSKQEQIDIHNLVKNILEKTT KQKLEDLNGPTIVEDIKGRPKNTKREMIALQHCIEAEKEKDTKKLK PHYBLDRAFT_176344 MIELSLRPYLLTMALWKGFIFGQVKFLIGLRFAKRKWLMSKMMI IGSTFSGVVVNGTKRQSPVSLGVFQYTATALLNASATSCGWSIVPNQFCCGRGDLLSL RLIMSGFVDAGMLPFSILPWPFLLVLIYLHCYCSVSTVAICFGRKDFGYMFRSNTKIG GILQGFLLLS PHYBLDRAFT_176345 MPDKMINVLSFNTTQMETENITFPLQDKNELLERKIIKKLIELH KVQKLKWWYLALYILKAISLLKIFRKSESKAKCVTIFVCRACYNFKQWKFVNILLGKI LSLRTRRRDKISRTIFSSLTSEMISVTIPLFLGGQIRVFRKSFKKY PHYBLDRAFT_153703 MYKGAFRAMSPCRSRKKWGPIWELNAQRDKGICWFYLLTPVFPR RQNLLNSDKGSVEKDNQCSGSAARLEKNARHLGTKRPELIFLHAKGSVESLYQPSCSA AQHKVPFAIARIASVTVTKTVDTIQKNATIFLHVESGVESKFKPEGSAARQPTPSSKL HSLDSEPLKTQYERIRAIPLVGLAWKLLYDGNETSV PHYBLDRAFT_176347 MERMWGITEKTLACTVNQFSVLLFDIIKHGFEFDSRKFSEETCE SFLCCRVCKKRYIPKCNWTYRRHNAEGLSHNYKRGAETHPLSEIPGDCHPRWNHNNDA KIFDQSKTLQRPIAHVDHMQPENDPDLKYALYGDHAYKKLLKMTAERNGILRNPVRNI IVDDLLEYCLAVNKEHGILPIVIAFGIHPTKENVTNDLVESSQIIYERISFKERADET VQLLYSIAKQISVNEVTLEERTIDVLLNMCFHKIRKALELEDVEEPRKRTREYTRRWV CIFGNTRDKVSTYIFVWTFRSTRRSKEKEKGKLLNKH PHYBLDRAFT_176348 MAVVLRKWKHVTLFSAVLYPPRTIRPSMSGNHIEEHGKVRQIAS LGSQNMRPRRYMSNTQKRVSVMEKGDFRLAGCTSGKKIGVEERPEMRESKIYTSSYNC ICDMCRRFITMEYNPIAEQVNFIIVKYDTDQRNNNNEISSMLYYLAIVYRTLLTVGKS YKELNIGQWPLPPREGGTKAPKLFFPGYTYDSYSSSSYDSNSNSSYHSTSNSSYDSNS NFIYDSTSNSSYDSNSNSSYDSNSNFIYDSTSNSSYDSNFNSSYDSNSNSSYDSTSNS SYDSNFNSSYDSNSNSSYDSTSNSSYHSTSNFI PHYBLDRAFT_160882 MKLAGIPAPEESNYIIALKERTAMELYIPRGVKRQLQEEEYEGQ PANVDGSWPALEHLIKRKKLWFEGKAFVKAREQDIARAERFQTPLSELKPTAMIPEVE AFCKRKALAMAYTQAVQEGVAEEEEEEEEEEVAEVVAEVAEVVSEVVAAEEAIQVQKQ AETLAPRRAVKAMTLAARKRAAEALAVKRREAEAAATTTTTKPEPEPKTEAKAESEPE PETEPETEAKTKTMTMTKTKTGTEAKAEPRLAKSPYGGCHFRV PHYBLDRAFT_176350 MQRVLHVTIAHIKFFDKLGSIMRQFLHQKILPMASLASLCFPWT QDELGILNPQFQIPTKGLYHAMVKALYISTSVLLLFHSTDTTQSSSCPNTRRYSTTFV VSTSATPSFFVLSTMKPIPRNFSFVTVGYFIFVILLDFLCYMPQPIQSSNLLLCHSPH DNGAPFGHFLSLTHLIIYGIELSSIPSHSKSPSTTMPLHYLTLYRTIISKSQLYTLYR LKSKLLISLREWNKNFYKWFMCMHLEP PHYBLDRAFT_72712 MSCSNRLVWRRVCDLVDEAPDNEKACILRRYVNREEKFGNTVDN DTRKAKSFQSSLEILRMYTIVRFCLVKQQTNGVNGGELCLFHSYAAHKPIIVWYCLTS DLEAGVLRKFSKRAKALISVLINEIGFQFFKVERSAFIGSRDKQVELQDGGNIPCKRA RL PHYBLDRAFT_153708 MFSGIESTTGRIIHSDIYGRWVNVVLCSQISCRHDTSVHHQYGT IMDCECEGDRDLAFLKGLGFCKCNLLPLLNNLLCFNVIWISGAFTTFNCMAKDAARFA KRNAFFNVKQDSRRIDGTSPCSECKIHDHILVKFVSSESMQRFVLLMWCCGLVLSVIL ISVKILFTLWITVLSDAKTNVPAISVGTLEQPEAENISAGNQTTVEACMANLANSECE AEASQVSRTLSEDSGIPRI PHYBLDRAFT_153709 MASTNRYFLITNIESLFLSPLFGLSPKAQPYTLTWIPENRALVV KAKHTDHHLDPFVIVVIYRPNISSHRRTFFSSLVRTLSTVILSFPVSRLGDFNCDLSH YCSHTPDWKGYIQVINPSSTDPGLPAVSIPFSRPSGPGRRLKNQERHLRRARNGFEIY TCSSQLNIENLLANIQLSRASTLATTSGAKWCEADAAKSISSLVDPVTGVTYTPSADL FGHTRSFYQSLYSSEPIDYSATHTLIDNFPYSTRFPAD PHYBLDRAFT_160883 MLTFHLCYGIGPLSIPFQTGFMAEHLIADNGLLTRLAMSHTQLT NAFCVDPSFGSGEGL PHYBLDRAFT_72704 MLMFYTFSLYLFFQILIFSQIHQVHFDGILKYAPRACQKPSELS RYMSATGGLMTDSLMMETLKMVPSNSQVFSIETFLMEALLVGKLLMDALPMEVLEMSG FVMFALTFQSLLLEVAGIMVFIWGVLMMEAFELEPFAIGVMFNLLVIFLKKIQFTVKN EVFEIVYELVSQYWER PHYBLDRAFT_176353 MISLNFQSVSASLGVLFPGPAASCPSSLIPLHRACSQIWPAVMP HGMSFPSSLVLHFPLGAIFHTTDTTDEAPVLKGVKVLDAFKLSQDNVILRRPYHDLLK ARNRIQHFFQAIDSRHLQLHPWFQDCMISLPSSPASHDLTSFLHMVHIDNKSLAQVTT GAFRRHMAPPVKTTNLTPACWKSFWRQTIPHHCRNLCSAPVEDPIHFFFSCPPKSRVC NIMLARHAPEWNLQAANDILFLGKLPKRSDTSALLVVLVAVTAHAIWRAHWNFIFDES PFLAGVVAEKASSAVARNLAMRTPHVA PHYBLDRAFT_176354 MQRVLHVTIAHIKFFDKLGSIMRQFLHQKILPMASLASLCFPWT QDELGILNPQFQIPTKGLYHAMVKALYISTSVLLLFHSTDTTQSSSCPNTRRYSTTFV VSTSATPSFFVLSTMKPIPRNFSFVTVGYFIFVILLDSHPYPPFNIPLSTCPQNHWLS PVLYLLLLLIQNMPYLVLLVPIVFVLYAATHSKLYLLLCHSPHDNGAPFGHFLSLTHL IIYGIELSSIPSHSKSPSTTMPLHYLTLYRTIISKSQLYTLYRLKSKLLISLREWNKN FYKWFMCMHLEP PHYBLDRAFT_176355 ICGRVTFATIRYIHYHFGSIKIAVIYAPATVSRPRAFSTSILQL TQFGQPAPSSRFLFLKHFNYTYSSTSSYPCHTSLPWLHHVHETLIDCVTDEGLAGLKY EPSVDYDNPIRADYFLTTITLSFGTAHTERGLWCADSRLKIPSYFQERFSVSLQGIFP SLTQLPYP PHYBLDRAFT_176356 MLLGKSESIQKELLGSDIFFKRQKICRKCQYKKTVAYGHGESVS EKNCVSSLFVVTFPTKFLTLKSAVFQFNSRGREILRLESTSLMASQGRSRREGRKFCT KSPIITIDPKKKAGEKEPVEDQPVEEYDWELLD PHYBLDRAFT_153719 MYSEDLGEYVLVVAPLLLFMGDNPHQSQFAMHKETSSKCLFRRC IFPSPCLGRKHITGVLRFSSVDHSSWPHRLKNFLSTFASSDSQLDVYKCGHSFGYIKN GSEEFLRLKAFDQTKDMPIKIFYMIPLGLIKYLVIFLWKQPVLTAVQKDSLQCERVSY QSCESYDETFRNQLCHSGSFVGRDFKQLIQILSGVMSKLFGRESNLNLIISAFHAVAR LLSLVYMRRIHVGFDYYLMQIQSAVDKVTKVFHALDLFILTTKDKLKQQDFSFKPKLH LLHHTCHLTEDMLRFGSVLQYETENSEQFNKFICEHLFKTNRQATSQDVAKKFAKQFM LRYVPGKFIKRAPIDFPAFNFHFFGSRANSDNSGSLIPNLRDILASVFQANC PHYBLDRAFT_129027 MEDNSFSDFILANPHLYKLEVSCKNGCNEHNTESIFPTEQNHNQ QKRKGSTDSIVVATSVDEPCQVCQKNKRLSLVRKNLVEDLAYIDQKYYPDVVHYDTHE DITENEAVHMDDDDQQVEEWSKLTIGDITPKGRRPRRLTGSRMSVNLSGKSLVKLSPS IGFLDNLTKLNLSHNHMTSLPLEIGYLKNLRVLNAAHNQLQALPDTIAYLSRLKAINV GYNELALIPSFIGRLSKIVAIIVNNNLLTEIPKELGNLNDLISLNVSHNPLKSIPAEI AALKSLRKLHAEGCSFVTEFVHNLASNPPSLLETCARIAVRNGLPVP PHYBLDRAFT_104075 ISENEKQDIIKAMNDETRTGIHNIVGGRWFVCKNQHPYFIGDCG GATEVSTCPQCGETIGGLNHKVVDSNRFYGEFD PHYBLDRAFT_77429 MATTTLQLYWDLASFDPTVRQTAAQSLILALTEFQQAHQKSLDD STSTPTTSLADTEEKLDLVCASDVSYAVRRLLRGLPSSRQGARQGFSLALTELLSTID LVTAKVVLDLLFRYTERVGSMSGEEVRDMLFGRLFGLMSIVASGMVARESTTAEDANR IIESLAEMAKTKSYLSEVCHHVVINMLPYLKTVDYKDSAVEKILELFLNGSVSTVDQL NLALAIQKQLPETDLTAQFAGWKNTNVLDPANLRHLALLVKEVPIEDQDAQADWKPQL HSVWDRLLSVYLDGPSDAEAEEAKRPKKKQKTAKVEQKTASFQEFWNVVVDETMFANT SSHGRKFWGFQLVEKVLPRLAPEQMPLIFTENFMRTFINNLSSDVRFLNKAAKHTATV LQTVAQDNKQVGFALVTQLIGKHGHQNFDNITKTKLVENLLATMDTAGVKSYLEFLAE TFVKQDEMKSDDDSKRLNSRREWALTQMTLMLTNTKVPKDESWIVYLVRFLMVHSFFE VKITKERKSFLEGLHKPSPALSDSTREFCKARFQAALVALAKLPQIQKVKDLSGAAVK SRRLIGITNDGELWVHRVYQIKQELEKDTKQLKSLVTLSKEGIAINKEVTDVVEKLRS KIAKDDMKSFDSIERGFEILFLNLQLHFLVDEEEAQSVLGELLDCYEKIFAKKAVKPT KAKKSKKAAAAEEEEGQPEPIEVIVDILVGFLTNPSHVLKNLADQIFEIFSPMMTKQA LQTLLNILATSESKTGAEELFGDNEDEEDDDVEEMDMDSDVEMIEEDDDEEMDEDLKR KVEEAMKAQGILGTEDDDEADLDDEAMAAYDEKIAEVFKQKKIAKTEQKDLQHNITHF KNNVMSFITIYVHKNPTNPLVLEIIVPLLNIIRSTPGKSVTSQFVDKMVAFLKNKFSK MTECPTNYDEETIFNVLQAVHDFARQASSKELSETANNLSLYLRRCILGGADVEVADK DKKKKKEQTRFLAIYSDSLKEYMTKKSSHFHPSMITNLLQRFPLSSWPLLDTLIVYIS PSESANAYRQNMACQWVMQLLQRIVGKKHESANAKFVKLAPELINKIKEAQAALKEGS NSDVKRTLVKLDTLVTRLSTKLSTEN PHYBLDRAFT_160884 MGAQQSKSEPVIFYNQNVPLQFSQGLVDSLETRTKVRSAPAASS QQVRPEEVEERVRERVAEELKRVQEKQEEINQRVYSDMAKKNIDNDQNSVVLGADINN MIEKIKRTPAKAIPAEIAERQEALVVCYKNNESRPLDCWKEVEAFKASVAKAQKTFVA AYQ PHYBLDRAFT_160885 MTAAHAAKKSFWNIWYKTEIIPIYITVGGACGLAGWYLTRLARG PEVVWDRKSNPYPWQNIDQDTQVKLMTVNQKFEKTYSRDRF PHYBLDRAFT_153725 MEDKASCSLVCKAWRKPFQKSMYSDFIVKDNSYLIKWCSKFMDP ECTIQKTGHLLRSLHLNYCPYVKSGEIYALQKTFQNIKYLSLEKICLNPSYVGGATNW SLWKTLTDLRINLGGRAPTRADNILLEIVSNLPLLKQLKLTGRYYFHAQPTFTLSDSE LIHERLLHLNHLELSSAELTFSKTDLERMTKVLPAKKIKAFGIESKNIDHRWLCYFVR KYPSLESLRLSISNERVEPEEHRNDIICQPRDLPHSFQHLKKLSFGDISYHYKEYLLF LDMFTYCKLPLEQLSICSQEIIDDSNTPQDLHTFIKVMELCSTQFSETLKVFTFEYYD KNPISIDMIIEGKDAFRNLVHLHVHCALVNFKLDVLFDTKVCLEFLHLSFTNICINPS PCSGSKKHVLRSFKVYRATITSDVLRYLSFHCRKMDTLYLHKTKISGPFNSTTGCQYI DMSYTRFKILHIHNTEFIISNNPISKNNHNITLITRPVNDTHPKSYEITEDAQKVIEE LAINMHYHWFYILDDIPIKGLSKSQSNAAKRFFDDFKNKKKNAIKTSFDGDRTREPRL SWKMSCAYGAWKTPFQESMHHVLFVEDSQKLRTWCETLIDLENTRQGTGRILRSLHLG HCVHLTSEQLYTIQKTFPNIKHLYLQNLYINTSYVGTGTNWLIWKTLTELRIDFYGIL RTKPDKAFIDTVSNLPLLRRLDFGGDPCNLVDQTLALSDFELLHTRLIHLSHLELSTN LLVTSGTEVRRMTEVLPAKKIKIFRMLSKQTDHRWLYYFARKYPNLEKLTFSTFNDKV ESDKQKNDIIALLRNLTRPFQHLKTLHLGSVNYPHKEYLLFWDIITCCKVSLECIHVY SCNAANDRIFSRDLRNLPKVLIEICSTKFSETIKDLSFMCDKHMNIPKSLIKQKDVFC NLVHLKIRYPLEPFKLDDLLDKKIFLQSLTLFKVVIDINPDALTSTKRHALRLFEVHY SNLTSDILRYLSTHCGNLNSLHLIKTIVNGSINSTPGCQFIDLTYTRFKSLRLLGTCF ILKDNPKSEHNLNIMLVTRPVEDTPPKNLDQIEALPIVIGGLTVKAHYHWLYADGYGF LKTLPKNQHSKAEKFFMQFEKNKEKALKTIFYEDKTRKPKINWKKNYVYGYTKIKCGY IANCDIDAWKAPFQESMYFDLFVDTIQNLEKWCRTLDDPGYTKQDTGRILRSLHLGYC IRLTSEQLYTIQKTFPSIKSLYLQNLYLKPAFVGTKTDWSLWKSLTELRIDFYETHGT EPDNAFINTVSNLPLLRRLDLGGNAFNCVHQTFTMSDFELLHTRLIHLKDLRLSSYSL DLSESDFELMTEVLPAKKITFFSIISNNTDHRWLYYLARKYLNLEMLKFSSGNKNDES EEQRNDIISLLRSLAHPFQHLVGLDVGDPNYPFKEFLLFWELVVFLKIPLRHLGVYAC EVLSDRMTSEVLQDLTKVAMKMCSSRFSETINELTFNYPLDPFQLDDLLDEKIFLQSL YFSGANIGTNPKSFSISKRYRLRSVEANGSKLTSYVLRYLSFYCRNFDTVNLRFTKLF GSITSIRGCPPKSEDSPNITLVIRPVSDIPPKEDDMIAKQKMLHEYVAGREGG PHYBLDRAFT_184209 MNLNKLSKVGRCFWNEFHAADVTRDDEAGVVPVSELYALLSRTC NRTLDLGFDFPDEQDDYDIDDETFPPPSQSVDKNPTNQLSSDAPASISRTDNSTVTQH KEPIDEKERDNKMTKPTQDKHSESLLQAMAQDGPDASLEDRMANEPMSPMERICMLEK SHEEIHRLLLAKELAETLDEITVEQAVCDVLPMIHRVGTDPDETVREQLASELDKMIM YYYKNAPPLAPAPAPVSKMKQSPESESPLSPSQDVEMTTVSLNFNPLDNPTSNQPNPT PAPTTTHTPTTTTTTTTTTITKKKPTQPEFHIQSQVFAPLLINFLLDQNTALTNLGQQ CIMSVANFLVSKDTDFYRSLLQSDIIDGVLMGLVAISEGKSHHGQDDDENDGGEDDHN NNNSSGGNNSDSMDIEHNQARRASANRRGSVPGFEGSGYMSSFQSSEEPEEGDMNMAK MTCLTLISAIAHILGPDLCIKHCVPIVEKLAKDNMFFVRKEAGVTIGSLASVMSQQDV IERLLPIYHSFSKDNIWHVRQACVISLPPLCGALPEDQKVQLAVEGIVLFETDTSRNV RSTLAETVGELIAKFLPNDWETTRRPGKVPEVLLEFFLSLANTATSGQMFKLETDRAI ICAYNFPVAVLTAGHEYWDSHLRETYLNLTKNYQIKVRCTFAYSLHEIARIIGPENTE RDLVQIFAMYLMDLDDVKQGVLEHLADFLGTLAVTSRNEYIPILAEVWDGVMTNWRLR AILTAQLRDIALLFDASRVVEHILPLAIRACHDEYAAVRETGVRVFPVILEVVKQAVV EENESDTQSFDEDEDLAGNKIALLSHVMERLDEFAKAEMYRSRLVFANICKMLLEVGI SAADFGAFFIPRLGPLAYDPIVNVRIAAARTIRVAYKDDRFCKALYAIHPLEGIDHDE VPPGQAIDHMLYRFALDSDKDVRSFAEDLVDPIQLEERRCEMKAAEEVAIDVPVRTYV SGDYYGSSSVDGIGNGDTKAEELRASTHMQEIDRTSSSSSSVTTDSSGVNSMEDEESS AHRQHQEENTHMEEDEPMGDLNRPTKSIESDETDKEYVYLSKSPPLRDSSPTTSVVIK R PHYBLDRAFT_189618 MKFIASSALLVLASIVLPSTLAQSSEWLSNDAVSYAAKKTTTPA KNSTSHPLVKLVSETQFCFFLPPGPKLDVATHEDIGVAHCTKSNVIPGVKTFPTGFIT VAHFQQTSTYVQVTGYMNSKLFGLIPTDEGGQYDNHGNGKPVGAQCSGYNYFVNVVEP ADNRYCIRCCQNKVDCNTGRSAYGCMRVVPGDYSGNTHVNNVLSEINQTPEGSGESTA DSSAEEDSEVKEILTETIQYAKDHPDVSEIQAEWDRMTKSLVHSYPDLESQLNDVKST TDSYTTVEEWNTFFTALESKLSSSTEHNDAVDDTTTTTTTPTAAASAESDYVTNEQLE QEISDIREEFNERIEELQN PHYBLDRAFT_63572 MKTTLVSFLLLIISFSINGAPIDLPESEVLPIAVLTAPLPNTSW KVGTSQTVSWSFSQNGISTFNVNLMNPGNPPTLNRILALNTESSIGYVGIVVPLDVQL GSGYSVDITRDFVVLSTVYNLTITDQDPPIIPYYI PHYBLDRAFT_63571 MKFNFLLPLLLFIAICANAAPSSRRYRFKPLHITSPARGSTLIA GSAVTMAWTTPILPTPNYKVNLLKGTRLSYSHVATLYTDFHFPYVSATVYLPQGIAPG SDYMLSIGTGIGRTTFYGPLRIAGSDPTQTPV PHYBLDRAFT_153732 MTPAGPICASCKQLGHSRRSNFSCPLNPRHKTLLIPQKRTSDNL SAQEEYQAETGASRPRVEAVQNSVVLTVAEINALSRAAQYPAESSRDLTAAFEALQVS DVERVLDLTTTTATVISRCFSCNGIGHQRSNSLQCPNNQRNCNFVPGQLTTTHNMARR TTASAMSTIVTGSSAGKVVLIPRIKLNPTGSTMSIEFKRCQFPVRLAFAMTINKFQGQ ILDKVGLYLPDHVFGHGQLYVALSWVRTPNSVKIMVDMDSISTEATSNV PHYBLDRAFT_120213 KTKATIFAERIGVKDFNASQGWMEKFGKRHCIKMNRIHGEAGST DIESLQIDKAAIKEKIEGYSARDIYNFDETALFYAAPPRTTISHQKFSGWKDNKKRLT VGLLCNADGTDKWSDVLMIGHARRPNCFNKNNKKQEASDHGFSMYHYNSNAWMTRSIF HVFLRRFDHAMKAQKRKVLLILDNFSGHIVDYTPTNVELLFLPPNTTSHLQPLDGGII RAFKAYFKRKQYAKAYQYIGMIQNGNQDKIGPIDKIFEIDQLWAMKWIREAWESVSAK TIENCWNATIFRFIEDEDSEGSSKIIYWS PHYBLDRAFT_176373 MSSNSILDSYQCNQCKERHTNLKKAKSCRAQCFKNCHRRHNDIQ TSQTTPVPGQVSAVLNTVSNDTIDRERADAIEDQIMDTLNSKDNDDPIMNIFSNDDND ESMYDAELGNYMDIIENEISPLVFDFSQPAPTPDKDDAKNLEFLKIIKDFGISRNAYE MIVKHFNSILETSTCITYRACTPHLGKKLLKRFSGVEETVHDICQRGCMLFTSPSQTE CSNCGQSRTLPSTNIRTLESFQNFSQASASSRKGLNGQSPLATLKVFSGPLFFALDEM HELCHGISKQIWGLVSGTYGTDHCFVLSSGVQKEIGTAMYKTRNTIPTSFHGDWRDVY KNPGSFKAIDWADFLLFVVPTLVAERIGDATARNALLGLVQACNLLMSWKLSAEEQTS IKSKLEIWNMYLESLLTSGKIKINIFTINQHLLQHYPLMIDAYGPPRAYSARSVERAI GEYSRAIKSNSAINVNTGNIMLGLAQIRQAEAGATVMITEARTARHLQYEDSTAGWPL TDEGERVGAGSDIEFWGPLRNRTIRDSFEGISCLSKLLEDFYESKGEECSMIEAAIQT SRKAFVNGCVIDSALDQNCVREAHNIRLQIQVDENCNINSAYSPVYKDFFGKVVVFFE HKLNKKRWPLALVEIAAVRLVNGIPVVNNGQMKPKVVHLADVKELVGLVKSDATINTT TTTTTYIVWPELNCGPKLLLGSLADL PHYBLDRAFT_176374 MNNTDNNSISLLHAMYNEILSLKAGQEKAKLEMKAQIEELKLEM KTSIEDLNLEITALQSQLENRNISNQHTSPSVSAISSANTIRKPVSIFREITLKHIFK MISEDLGIEVTSNEKATLNMCTKLICDDMAAHPSVITLGPNPSWGSIPVALKKEMCAR HANIMKDSGIDFTRCLGNWASTARVAHLWRDRHKRLQSYFFML PHYBLDRAFT_63568 MSHLAEVLLFRENLERPIVLILLKSYQSKNSDISSKVKYLRLLY YPSVLLSNKQLCNHKRIHKQVNAPVAKENLQEPIMQSASAQTNLEDEHVYNDNIFTNN IFTTSELFSICLNDFVIDFEVSTDLHSLLVDLMNMNTTQKILHAGPVNTLIKSKTDLK SYEYDICENVCSLFDITKNEEECSICKAHRYKEIDGDSSLVPVNTMKMMSLGDQLARL LGNNKTRLKLQYRANRQSISNDMSDYFDSEEYKTLKEQQLFDSPDDIAIALFLDGFFN GKQIKAKVHMVMTSGDIPQVTQFCHHTGHMSNKGCWICEVEGVSPPHSKEKYYQNHCA TLRTKNDFVKGKKATGIKEANIFARLPTFSGSYFYRLDEMHLIGHGIGKLIYKLVVLS NTESLKAYWPKSEDESHFLKERYTFSLKESDVKLAGIRAINYIDFLLYVVPTLLVPLF TRQTTRKALLALVKDCSICLQWNLNENMIVEMENNLNIWHQFLDSEITKKNLSVRVFS PVNHYITHISLITKKMGNLRVYSTRSMEQTIGRYSKLIKNFDELVNLVISTRTSLDNF LELSSLSPYNYDHQLWSLFETIPYIQEFTNIPTFTKELQTFYTQSNSQQTDPQYTMNT IQIAARALIGSHVYSSEMYRRKRSEFRQGNHYIKFHAIYQNKTHWFIGSVVFYFSHNI SPHDENCRQFLMLLSVMNDHSATDYDNSIPVVTLDATSIYQRLVVISLNDIQNQVGLV QTAMDSNKYKVVASYYIFNEYIKSTAGKLSHIKL PHYBLDRAFT_176376 MSHLPGVLFFWKDPERPIDMILLQSDQSKSFDVVEQSEIERRMA YLVSHPDDRDVRHIPATMNEARMGFIPQGTNKTPSATARINALINYLWKKQKGTDVNI SALEQKLIRLQFHMYTRQCWCIMKDDGVVKVNWGKLNSQKKLYYSLRLEELIFNNYNF PLYEC PHYBLDRAFT_189619 MNPSAENYPAAIIPAHDEDAMSVMTEEDLIASLSDNISFASSTP GQFVAPSPLRPLVANAATTVLSLSEKLRLELQQHVEAVSAAHAMNDEQAAELALNKVR RVKEMIDIEIACSQYLSPSVKVVEKSSRTGGLTLNRRDLPKFQLADDVIRSFPNEEVF HSVDHFLRTFQKVIESSLQDIELVWKRFLPLCLPHSDDGWVEM PHYBLDRAFT_95402 IYCEHHSCHHDGHPLMLPSIYAYELHYEAKHLNTCSVCEKVFPS SHWLQLHLDEFHDVLKKIQKERGEKIYACYVEGCQKRFIDPRLRRLHLIDKHHYPKYF PFDIVLTG PHYBLDRAFT_176379 MSSLTRFVQSIGIRRNFSTGVPETSRLIYASNNSGLVKLIKLFS VSTLGISSAATPAVMYFWDSPAVQATELSGYMFLGALAASTCSTGALHFMLSPYVHTI QLHSPPRKTVTAADTITPNSVITLETLDMFARKRETTLALRDLVPNPGMFSTWKVSKK VLNRQFELERVKGIPPTIHQDKFWLDQRDARGDGPVMANLIRVVEEHDRRQRLI PHYBLDRAFT_153741 MTQTLLQQWNDFKRETPKVCYGIALIGEASQCLAHAFTEVATSY QNEIVEKFANKLICYLWYHIHTMFVSMNPNDVHNIAPLRDILNTRVKLKTLSEFPAKF IPLLTRLLSSIESEHNSHCEYDMMRIPLPQRFIKKSKNRISQYHMTSSTRYSSELNKL KKDNSTNIIESAIPTDKTSGPEKYLQHVAYIRQNLSTLFKFYSAVTEKKPLFLVPRKA KGTRVDGEHAA PHYBLDRAFT_69074 MEGLKARKIYAEKVGSGLSQDLKERSESDEELQIILIKYSQEAK SRQRTKEEAEKEVDDLNRKLVKKDDLSSLILSITRLEVSDEENSACIRDRINLIKLFD EEFNLEASTPLEPDEDTSTLTRMFDMNNA PHYBLDRAFT_69075 MNMKKRLTLRKRKLKGMNHSDRLLTRRMVVFFSQPVVIDTRNQQ KLLADQPWEIYCPLKNRTSLNEDEGYSRPLYQHSTFYRIVLPCPHQATAEIEKWHRIR LELVNEFGLSLFGAQATECALELRCELLSQNIDSKNWQHDTEHSIHIRPIQADRWDIL NNQTIKWPGFFGGGHGGFEYIIRNVSGKQRHLTKTKYVLHCIPTNNTKQTVDALSLAV PISLSNNSLTGYNWQESGHEYSKVDMGRAFMLDPRLTRAKKDIFCMIREVWDAGTPGK LWDSALVIADLIANRLKQQPRCLEKCHVVDLSAGTGCVGLLIKAMCRSMGNRGPKMTL TDLPEALGLIRRNYRLNQSGIDDQVQIEQLRWGVEADARRVTAKAQVDIVLASDVLYV PHAFESLIATLYHLSTSHRTVVYLAYKRRELRDCDEARFFNRCSQYFHINLVQDLSQM SPPVYWEQRFGPLLSLSPLEIWFSRHLTHANQQGHVQVYRLVKKPALFDSPKFRQT PHYBLDRAFT_95645 YVCMFCGKKFSRPSSLRIHTYSHTGEKPFVCTEENCGRRFSVQS NMRRHMRVH PHYBLDRAFT_189621 MNEPQHPLAIATPSLRPIVESPAPTETAETQSSLRAHRDSVSMA TLLEQAPDKVPRGRSKSLAVGTFGWSHMPRLPVDHVMPAPSSNVPYRARSWEPTLEKA IRAIVSIKASHVRSFDTETSGAYTATGFIVDAQQGIVLTNRHVVSSAPIVAQAVLTNY EEVDLKPVYRDPVHDFGFMQACRWIISLHTIIIKLTKILKYLQFDTSKIKFMELEEIE LSPDRAKVGLDIRVVGNDAGEKLSILAGTLARLDRRAPEYGVGEYNDFNTFYLQAASG TSGGSSGSPVLDIDGHAVALNAGGASRASSSYYLPLDRVKRALLFIQRGRQVPRGTLQ TEFEYQPYNEVRRLGLKAHIEEKVRSRFPDETGMLVVRSVLPKGPADKVLVPGDIIIG CNGHMVPHFISLFSVLDDSVDKNVTLTISRGKKVQDVTVAVQNLHSITPDTFVEIGGG VVHDLSYQLAKSYSQPVEGVYVATSGHMLASASAWRKSIIVSVNNIPTPDLKAFVNAM QTLPDGSRVPIRFYSLQKAYKDKIMIMHVDRHWHKFRVAVRNDLTGLWDFTEMPPPPK KMSYLPSSATFPPLDPSLALAQRLMPSFVAIDFYLPYLVDGMKGTQFYGSGYVVSTNP PLIVCDRDTIPISIGDIFITFANSIIIPGKIVFLHPFYNYVLVSYDPALIGETPIKPI DFSPVELNQGDELNFVGVGNDHSIILRKTTVSSISNVGTRECSPPRWRAMNVEGIKID DSINAQGGLLVDGEGRTQALWVSYSTQSEKGKDTSFMSGLSVGLIKSTLDKCRLNQPI TFKGLDVELWTMRIAAARTLGLSDEWVRKIEQSGSTRHTLLYVLNILDDRSPCARVLH VGDIILSMNGRLVTRMSSIMQVYEQDTVTMIVLRDGAEIEVKVPMSEFSGFETTRVIG WQGALIQRPYKAVLEQVRDVPQGVYVSCTLYGSPASHVLRPGVWIVEVQGKPVKDLDS FLAVIHKHEEEMCRRRRPSYIPPVRRSAEQRANITFSDEEALKTDDVEDDDDTNDEGY VRIKTVSRNGTVRVVAVKLDLHYWDTWQLVLDPNSICGWICKDA PHYBLDRAFT_153746 MLTIWSTFRVLYSSASYAERLPKGACQLLASAFNMHPKDVAYNT EECCIEGDNIGHSSMLKMEDIYDPHRKARL PHYBLDRAFT_120230 SVVKEIQRINLREINNGEWSDTASWHAQYSDTAWVFGGNIPFDL TEGDIICIFSQYGEIINVELIRDQKTGKSRGFAFIQYADQRSTILAVDNLNGGTVLDR TLRVDHSYGPKRHKKEGEEEDPELYQKMNAAPPMIEGKSYKTTLLCTH PHYBLDRAFT_189622 MGANTSRESGKSTTQSMHHTHQKIEDLVDLGSILPNGLYPSTEQ DFDSRSVRNLIMTRKLAPFYKGLSDAPEPVADSLSVPVPQTSLSLVDSTTRPRSVSNS SRTRSGGPTTTRPRSASSSKRELANVAKERQKLTMERMKQRERMLYNDAVECPICFLY YPSNTNYSRCCDQPICTECFVQIKRPVDTPSTPATCPFCMEENYGVTYEPPAWSDRQS AQQRPLQNNPSTGTRHTTSGGGEGARPRRQCISHTRPEVVLVDHVRPDWQQKLVVPAK TARTVSRRNSASAGPSSRNFLRTAAALTRPGRSASSAASTEHNQYLSNMRDLNMDLEE WMVVEAIRLSLLEETDRAAKAAAEAEAEATAAEATATTTTTTATATATEGLPAGRGEE SGTEAVRAPPTTTSESSTSTNPPPVPPSVTIQAHDDDDDDDKPLVQCTQAPVVIVTED RHERDERQSCDPDSRAIY PHYBLDRAFT_160889 MALQPCSAGFWDPVPLDSTPKTPAPPARLDYKLSFKDPFYYNGS IPFWKASDNVIKSDDFLRLAPSVPNTRGWVWSERPNPYPEWEAELVFRVTGTQIHGGR GLAFWYTKDSMEDGPVFGSKDKWDGLSIWLDSANPKTHTPTTMVLLNDGTVAMASGGV DPTKHMLGSCSINYRNTPGPAFLRVTYKDKTLTVSIDSLGNGQDFRLCLQKSGIKLPT DYYFGVSAASHSPADDHDVISFELKQLNPPAKTQTLKRPMEDAKIKQGEEFKEIDKEQ KKKIEAAEFHIKQMKSAAEGDEVKGETTATLAAIFDTQRRTMENLVLVQMQIEALGAP TPEMLIKGQVPPKDTTESLKDSKNNVDNSAVLDEIKRVASEIQKESEKNIARIEAISQ EHERKFKSVTDSLSGLERVLQSLDSRMIVQVNTMQTKMSEMTRESAETKGTMSSIGKY IVYALLIQGFLGVGVYFYWKLRVERNEKKFL PHYBLDRAFT_189624 MSLPLGNTLSKVSVARSPLRLASLVKAPSARLVQHVQRPLALAM YSTEVRASETTPPKKYSETTKKIVYGLAKVMGYYSEGSTAIKSSHELYNLCARQMELN KDFFVTSCNLPDNFQTWFSVTQLHVWMLMVRLRAEGNGKLYTQELVNRFFDDAEDKIR EYGISQQRVIKSYIKDLLAQFHGGVVAYDEGMCKDDPVLAAALWRNLFAPSANNAADL ANMVKYIRRELKALEEIDGEKLNAGIAAFGKPEVVV PHYBLDRAFT_153752 MESSYKERLKYFQRTLSVEPESEDEEAWTPVIDMKAFQEACLYG IPDEVGVRPIAWKVLLGYLPPDKRMWQSTLSNQRLCYYNLVRDLLEEPGGEPPSRDHP LCGDPSSKWATYFHDNTFLDQIDKDIRRTLPDFAFFQLHVPQNPQNPLSAPPRPEKED DFQKTELEIGDLLGPDRPMSAHRFSFGLMGRPRSSSAASKKSPKTTTVDTRARSNSKS SLRSFSSSNFGDMNADKLSAPRSIVRKLSSAFKSGSNQMTQKSKKLEPPPKPTLCPYI TNRRTLFKRVVHLNNEFGIQDHSETVKQMTLIGDIYTPDFHWEAIERLLFIYAKLNPG VGYVQGMNELLAPIYYVFSKDPNIDEQAHAEADAFFVFTNLMSDVRDHFVRSLDHDSN TGINATMMRMSQRFKWVDQALWRDLNRKDVKEQYYAFRWITVLCSQEWDLPDVIRLWD SILADRNRQDSNLDDSRFEFLLDFSVAMLT PHYBLDRAFT_153753 MRLWNAHQKELQNYPLDDIQVVLKTAYSIRDMRLQAIANGQVVP GVNEQRGSGFFSSDWSDTSSISSNSSGNRLQRLRDSTGIARASFESFRRDSKESIDEL FKKGMAITRENPTDIWKRASTGDVTRSLSQRLKFNGLASKVKRSGSVNTTKSANYSSP DLKQAGLANEFDASTSNRLGRKSADRLSWLRNPDRATSPSPPPLPTYTPPARSSSLLN RFSQIMVSNTSIPPYEHNSDDQGRRQEYERPQSATSSHSANETEDEKRIFAKAVSVRD EILGRNSQQSYQGFV PHYBLDRAFT_69084 MIKRVYECVSFNEYNVYIEFFLCHNINYNSDKKNLKDIVVTYGE KCEELLNIFRESVHYFPKSCKTHYIVFKQAILLNPFIQILTHFFNSIECKVLVHYMCF VISDKLSPMNIISILTLWITRSACSLRVS PHYBLDRAFT_176393 MVSKRMDIIRKKQEIDGLDNEIIDFLSQSTRSSTQRIYDSGWKR WVEWCAHQTPEVIPEEYQPMQVVRYLLSIKHQSPQTLNVARGAQITEAIFVPSTENST TSVVVTESTPIVLARLGEPIPHLSSSLRQLPHFIQAAVDVTHTAVDALRSPETAVLGP LLMILGIIC PHYBLDRAFT_153755 MEVIEAEEEAVEATSLVEDSPLTHKNLFLGEENRIQQDSMLTRA HQRTTILSTSNRERSSSITARLSNEYARNGSEHISISLTKPVKLNLGYTTTLQHTNRW NSSRRTIEQISIRLEECHQPTMANNGSGTRLPNTMDIAPHCLENQNTVITTRRSERSR FGGREIQDLRCDRNITDTERGLPIPILYCQGSNQMQTHPGLQEDQPVYPVLSLQDGRC TCPEGHNRARRLDDQDRSKRCIHCRTNTQGIQTIPHVQAQEYSLPVQISTVWNECGPE SILKAHAICDGTSSEGGNTPGILLGRHLYFSKNQVGDGEALISSTPASNISRISDQLA EECINTFVQTGIPRLSIQHQGYGHQSSKEENDKLERPSQTGIHTSEIVPMDCQLDREN DGHDSGNRGVTAARPVHEERFIKSTSSESNKMGSKLPFIFGSTKGVELVGRKRRSHKR IANQKTNTTTTNTHNTRRRIRLGLGSLIPDDPNSWILDKRRKRRFDQCQGAEDNLDCT TTARKKIRKLQHNDILGQHNSTCEVL PHYBLDRAFT_153756 MNINELSPKQILELIKLGQQAQQRQRDYDGDNLPEEILKDLDEP SAKGLKSNIIRFTKDTLQFEGGKWTKSGAINQIFVPDLKKYTVDAHQIVQGKYKDGDK LRIAGRAASEVFNDLKYIKSQQSSNKDAADFDELIEKVRRLAVYAFASGKTLDEDAKE LSIRAIKLPTRARYFEDEDDNDKDMAFDQEWVEKIQQARYEESVLQSAVSNKRG PHYBLDRAFT_153757 MGICTELLTSQSNPVKAIDSQANSPSKDFTSMFDNGLAEMIPVE MTWTPCLTMITMAVINTLINTRFLRSKLKSLTMKGQIVLIGFIYHVLKTYSRHFQPPA RLSGFQKMPPFFLAIAKGIQRFVEDFLHSSTSVNKRELIYQSNTNALNVFFIHPDLEV KISVNIVSFKPSGGITYLFGATYLANNNLSYSKRLNPKNLILAGLIARPKKPKFSAIN SHSNPFADGL PHYBLDRAFT_176396 MSKPVHSKNEILRSNTGLTINPSKAYSRSETRYKLTGLTIFQGG LFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLVPSVQVKHQGSDQSPRLSGISKLD EQLLICFCIFVVSIPSRSSFKVQVKVHGFQYPFHPGQASRFTSRSTVFRSRSTVSVFI PSRSSFTVNVKVHGFQVKVHGFRSSFTVNVKVHGFQIKVHGFQYPFHPVSIPSRSSFK VQVKVHGFQYPFHPVSIPSRSSFKVQVKVHGFQYPFHPGQASRFRSRSAGSGRGPRFQ YPFHPVSIPSRSSFKVQVKVHGFQVKLQGSGQGPRFSDQGPRLSVSIPSRSSFKVHVK VHGFQIEVHGFSIHSVQVKHQGSDQSPRLSVSIPSRSSFKVQVKVHGFQVKLQGSGQG PRFSGISKLDEQLLTCFCIFLVSIPSRSSFKVQVKVNGFQIKVHGFSNISKETGIPVT LDRD PHYBLDRAFT_160890 MFLLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLRQKPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_160891 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKDRRYTRIFKQFTKN PHYBLDRAFT_153760 MNSTTKTYTVMCTCSSCTKNAIGGILQNAQTFKCHNNADKLLDI GPKNRVNTEVVEEETDVEMVDVSETSIDYEDNYSIVSAETTVQSVPFLREDEIFQFEE SDVETTSLASDNDDPDSSDESEDESEVEVAGVEDFEDMVASVASLKIHEMSQTSQFMA LFGVIFQAFYLVQAGGTAMLKFFRHLLVAFDKDTDLPLTIDALKTMTGFNFMTKSIVK YTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTEADRAVPLMVFPYNSLK NALAQHFAKPGFEHQIVQWRSRET PHYBLDRAFT_160892 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYPLHTLGIIHGDYNGSKNKTQYS PHYBLDRAFT_189627 MYFGVLSLPTLNSCFNNDYRVFPYCETIKRFAWLKQGGSTTLKL FQYFLVTYEKDTDPYVTVDVSKIMSGFDLMTKPSSSTPSVSNVMSYTYQGIINPTVPP RSTFRSIYLCQ PHYBLDRAFT_160893 MFQQVVNSLWYNVIGTKTSPPTEYFSFCGVGSISLGENVLVNIC IQKMKNISLLGQEFFSEQKKYRSSIVRVMFFLVG PHYBLDRAFT_184220 MTATPLNIDEGTFSISNHPIASMVQSYIHMQPEVEYVLSSVVEE KARRHLSYKIHSAKSMSEKLAGRNRFSCCRTRKIRKCHFFYLEFLIVDIITQIKEYFS KLKGRRNDQKE PHYBLDRAFT_160895 MFSLSVLIFLVISQFIPTIRRPISIQGYRHTSLLHHLLTACFIC PESSQLMSTVTVLTYISNHSHRHTSLFEPLVTLSCIFPYFLQQRPAIKVSLSIKILSY LDIVKTND PHYBLDRAFT_189629 MSKPVHSKNEILRSNTGLTINPSKAYSRSETRDKLTGLTIFQGG LFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLVPSVQVKHQGSDQSPQLSVSIPSK SSFKVQVKVNGFRSRSTVFSIHSVQVKLLGSRQGPRFSDQGPRFQEKHQCSDLPAMVI VSAIQVKCQGPDFPAMGIAINVRRQGCDLPAKCSLIQVNQQDSDLPSMVIVIDVRRQG CDLPAKCSLIQVNQQDSDLPSMVIAIDVRRQGSDLPAMVLVIQVNQQDSDLPSMVIAI HVKRPGSDLPTMFTAIRVKRQGPDRLSMVIAIRVKHQEPDPPSMVIVINVKRQGPDRP LMVIVIQDKHHGFDLPAMFKSPAIQDKHQGSDLPAMAIVIQVKHLGSDRQSMVKGIKQ HYGCILTSFNLVLVSSHPGQASRFYSPVHGVFQIKHQGSDLPAIVIDLIYLQSPAIQI KHQDSDLPAMVIVFQIKHQDSDLPAMVIDLIYLQSPAIQVKHQDSHLPAMGIVLNPPR SCFTDPDSVRGYRPNSKDQNSNLGYSSNIKDLISNQGYGYTLFSLSLHAFFRIGLVCL RSGYTMKNFFSFQCDRYIHLVRPLVTVGFISLLASQSKPAIMDPISVQCYSVLAVKVK FNTQGSGFHQVLQAKELGLDIHPDLQIYIFRWAVDYWLFLSVYCFYCQGQPSRIRSPS SMTVFSPPRWKNKDFFFIHGYSQGQSIQVYCSLGQTELPKFYYLPSARAEANDQSFDI LLGSQQAFNAQLYIKGRRYTRIFKQFTKN PHYBLDRAFT_153765 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTVYGTPHPKRPLFFHTSTTYTNVPRLHT HTLNISTSTTHTSTTHTSTTHASTTHT PHYBLDRAFT_153766 MHDHRIRTTDALLESHPHYGCVIRIASALRMRKLYLYLITLEKP LDYQMHYLKTINDYSFCDLLENKLFLSHMTTVYGTPHPKRPLFFHTSTTYTNVPRLHT HTLNISTSTTHTSTTHTSTTHASTTHT PHYBLDRAFT_176404 MSCLNRDGLNDFQFAPLILSVSQDISSPLTKTYTLGGFTKCHWS LSRSSFFDYTPTLILYRQTNIHLFSCLTLFKQTGQEGVPQQAMEYSRGRLHQAWTNGC SKGIT PHYBLDRAFT_176405 MSSNTQQSKKTKKTTIKKSVQQTARTAASTRQREILPSLTVSAE LDCTVLSTLSTMSTRLNESHSLLEKVYHNMGATNGQNNNSNYSPIGQALTTGEYIKYR LPTVSNIAKLLTLYFAEIDPFSNTTVLATMSLTVNEGAFSTSNRPIADVVQSYTHQQA EVKSVSSAVVEEKTRRHISYMLQRAKALPEKIARQNRISRRRSKKRNILADYKAIHLA DKANLESKFGETVVDLLDYDMLSDIESDEEKNKTRYTPRNRHPLVDEYFTVLKKQRLA NKGPDVIGNSVYPIILRNTELSNKKKARVAAWIHTHQQ PHYBLDRAFT_176406 MNSTTKIYTVMCTCSSCTKNAIGGILQNAQTFKRHNNADKLLDI GPKNREVVEEETNVEMVDVSETSIDYEDNYSIVSAKTTVQSVPFLREDEIFQFEESDV KTTSLASDNDNPDSSDESEDESEVEVAGVEDFEDMVASEILAFVVASLKIHEMSQTSQ FMALFGLIFQAFYLVQAGGTAMLKFFCHLLVAFDKDTDLPLIIDALKTMTGFNFMTKS IVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTEADRAVPLMVFPYN SLKNALAQHFAKPGFKHQIENATEAEMWFCAESDAERAVLEKQHGTRFSELHRLHYFD PVRCTIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLVRMQRLADSILVPPGYAVLSTK IESGFPYMKADEWWSWCLIYSLVVLKDALPEDDYKNWTLFVKACRKLTGPSVTYSEID SAHQLLGEFGKECETLYGESSITPNMHLHMHLRESMLNFGPVYAFWLYSFERYNGKLK NIKTNRRNGLEVTIMRVFLEKVFISSFLRAYSTNLSSPLIEFLEGVAQVKSNSNSSSP LNLDAGHPPALPFSLAMFQQAAINSWYNVTGSEALPPTTLPIKLQPLTMMKDDHYQWL FEFYVKAYRSTSVSFCVVERIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKRGSFV RVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRVSMFAFIKWFPAYHSSSHQPLADQ GLQLWDKGFMEEDASCIIPVHRLHLCFALTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_73796 MGNLTGIRGIGSKISQDTWVDHSCPSRHVTGSTHHQCQHPQVWY CTSRLTTGLNHQRNSPFSINHQKLAKAGLAHNTYDTNRTEDGYSKKQQTALVDSRQDF KLIIEV PHYBLDRAFT_73795 MPNYFQPKDMSITPDFEQIHKITESKKTSVIYLKENNILYEHMS YCNEWPMTLQCHNSREKFYCKCNSRNCCNRSEMSIGKDTIFSFKKRTLNIMIYLIWCF ILNYLLNKTEEATKLSKKTIVIFMAGIQKVICQDISSNDILIGGERITVEIDESKFEN IELKVFGFWGVEQTSERKIFLVAVSNRTADNFLNIIQHHVLSGSIIHTDYFKLYNQLE TLKYRHSTVNHSVEYKISEGVHTNIIEVLQMIISIVRQIKDNNISLEQQLLLDLDPDY ETYFTENESSSSENKNAISSDSNNTSDSTDDNILYTDSILASN PHYBLDRAFT_176408 MLDSYTAMEMVEKLSDTVFTCRSFTVDLVIYNIELQNGFLQNCT CPDTSKLCKHIFLINRMLDIPYSLCQSLSSSSSAVHVSNTDTKAVVDTSLLSDEIEAD IINYYQLYSVELDSKIAAYMEISEDVSKFLNTLKFAYNKLTKYGFPSQSRPPQQR PHYBLDRAFT_153771 MRFFLFAEKFSSMTRHNKLPDKPAEQTDLLVLDITTWSKARPGY NTRDTV PHYBLDRAFT_176409 MNSTTKIYTVMCTCSSCTKNAIGGILQNAQTFKRHNNADKLLDI GPKNREVVEEETNVEMVDVSETSIDYEDNYSIVSAETTVQSVPFLREDEIFQFEESDI ETTSLASDNDDPDSSDESEDESEVEVAGVEDFEDMVASEILAFVVASLKIHEMSQTSQ FMALFGLIFQAFYLVQAGGTAMLKFFCHLLVAFDKDTDLPLTIDALKTMTGFNFMTKS IVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGNPLFSDTEADRAVPLMVFPYN LLKNALAQHFAKPGFEHQIENATKAEMWFCAESDAERAVLEKQHGTRFSELHRLHYFD PVRCTIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLVCMQRLADSILVPPGYAVLSTK IESGFPYMKADEWWLWCLIYSLVVLKDALPEDDYKNWTLFVKACRKLTGPSVTYSEID SAHQLLGEFGKECETLYGESSITPNMHLHMHLRESMLNFGPVYAFWLYSFERYNGKLK NIKTNRRNGLEVTIMRVFLEKVFIGSFLRAYSTNLSSPLIEFLEGVAQVKSNSNSSSP LNLDAGHPPALPFSLAMFQQAAINSWYNVTGSEALPPTTLPIKLQPLTMMKDDHYQWL FEFYIKAYRSTSVSFCVVERIPIGEDVFVNNRIQKVKKISLLGQEYCSGEKKKRGSFV RVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRVSMFAFIKWFPAYHSSSHQPLADQ GLQLWDKGFMEEDASCIIPVHRLHLCFALTTHKMQSGTQKHLVIPLPRKVVT PHYBLDRAFT_153773 MVNLAAQHSFLLGYCWSPSKCAIVYPSFRSPHQQQLSIYGELLP AVQEFIYLGVPFRNKGICGPSVVSHHHSGTLATMSNLNSVGACRSGFSLLLSSRLYKT FVRPIFEYGLAITILRKKDYTEIERIQDKCLRMIVGGHATSSTAVLKHICNLPSMQFC SDILISNFCICAQSLLSGCLLSLLHLHHPQSSSLPALSKNSLFVSIPITLNFHSNTKL KRFFETFHQFKFDQMRLTNTKVLLQACHLLWWLKLKKFLRGRIEDLRLGMKIRENLCR FCLLRKIWYQSLVRFLH PHYBLDRAFT_153774 MAIQRRQLALRPVEITNEQLMEILSTLKTNMTAMGTNMAADMSI VQEQIGTVEDTLLNMNSRIGVLATSSTETITAIDSLSMAPLVSSTNTMANVSQPAFNA PSEFSKKASNDVYVHIRNLMWDPKLKTRNQVNILANESKPRWNTNVFFYKSPNKELAM RLLENLKRKFTHEGFREADLRARLHKNFTSRVSKARKAEEEIKATNTCLRRAGCARDN HTRHLLAYTDNKEAIDLQMKRDCDFTMQMAAMSDRESADEDFENRTKSIVKIFNTLIK LIDEYVIEAMGSSASQMKERVFTSVSNTAVPDDITSKFPQWALRDGY PHYBLDRAFT_176412 MFPSTQTHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEVA MRNMDVDTEIIPISRSDSVEAMDGQTNSPFLDATSMFDNDRDNNDFDDNVEDEVNEIE IEDFNSQDQYPFAAPNMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYD QDFQLPTSLANLQKMTGFSAITKGIKKFVVCQDCHTVYQDIVSAPSRCVSSKLGARSA CNCNLTKSVSSSALVAKREYVYQSIKNTLSAFFCHPSFKAKILCFDGENCRDNGTAQR LHHTDNQNWKGLQLHESRRVEIIGSGLFSCLVACITFDEITTAHSLLEKFCNACNVDY TATILTCNMHLHLHLHECIRDFGPNFKTNDKDGFEATYMKNFVQNAYKGDYVNAVLKS SSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDPYNPPKGNEPLPPSTF PLKYKKPSAKFVSSNGNIILGFAGQIQYLFTHSFQLPPTHNLHLTRIVHDHQHVFAFI KWFRTSSDRSHEDDGVEFCLSTFSSDSYHSIIPVHRILLEVATATIATSRNVSKMLVI PLPKKLYA PHYBLDRAFT_153778 MVSLLPCNLDDSNTIHLSLARRMRYIKDYIRGNLSPAKVWRAAL FLQSTTLYQEYNISLSDNWVQEVANNDTENVEEVDRVEDFEGNEESSTEHESINSSEQ ETAIVSDHEALRL PHYBLDRAFT_153779 MVDEMKQLYVGMRVPTYECPSGANVRAALLMIACDIPAARKTSG FTAHNSTCACYKCTTQFPRLPNTNQVDFSGFDYSLWNIRSGVENRLHAEEWKSASTPS ERHQLELQRLGYFDLVRGTIIDPMHNLFLGTPKRMMDRWVDKKTIGAEEFAAMEKIAE TMVLPRDYTKLTSKIGKGFPYMKADDWKSWVLVYSPVLLHGVLPFEMYNNWMNFVRAC RYLIKPSITFDEVNSAHDYLEMFCKKATELYTPTILTCNMHLHLHLCETIRDFGPVYG YWLFGFERYNGLLKHIKTNGKDSFEATYMRSFVQNAFKGDYANAVLKSSSHVPFFNIL SKLSPKFTPTTTVITLSSHPFRLQSFLLASSNPHLPPKGNEPLPPSTFPLQLKKSSLM DETDYAHLLQHYKTSYDLPDLVSYQYATLTNSFVDNEITKLKFIDLLGQQYRGKNGSA SCGSLVHVMFVGSDGRNTLAYAGQVQYLFTHSFTHPSNSNLHLTRMVHDHRHVFAYIK WFNTSSDRSREDDGLEFCLPTFSPDSRHCIVSVHRIFLEIATARITTSRNVSKMLVIA LPKKLYA PHYBLDRAFT_176414 MICISTIDDIVPLLKMIYHKNCDDIGSIIYIFGTFDPDEITLFF QWHLLSSPTMSLPAHIHNLMWKPKLSLRTPENILANNLKPRWDTNVAFNKSPNREIAE RLLSNLERRFGSSSMRWSDLQKRLHTNFTSRTRRERMSDDEIAETNALTRRAARADDN ECRRVLAYKDNKKAIDLVMLRDCANTLQKAVMSDGESADEMDEDGIKHVIHIVQPGWR SDECNRFIALVDTYAVQAMGSSANQRIRRITTSVSNSAVPDNISPNFPRWALRDGL PHYBLDRAFT_176415 MRHKVVFQDDGGHLLLEYYFLQPAFCQAQYQICVSLFGYTLSDI SRMYLYSKTTGIVLIILKNMFISIVCQVFSAFISAIKRARSISDYNSYSIKTVAKLGF LSRKDFPIK PHYBLDRAFT_153781 MTKRIPTAPRRPNLRMNAVLNSTIAGVVAPIDTPTPEVAVDTAP EVQVAVTPMDHVLTLLAANNVSMQSLQENAKGVTDAITHLKNGLDLSNKTNEFLKNSV LQLMTENAEIKKAVTSQNSVMPSAVPADSFSSMDDDLDLGAKHYPLISQLINSYIKKP NFVSTDPLKVAENNNRSAWSMTGTYGNKYNKTLALALFKYLRPQRCCTNVSKSVIMNI IKNHYQNQVRVFRTSAEKIMARNKAGRRRNRKKTLLDRCIITYQTYTEAIHEGMNRYD CGNILSIDVMSDGESDGDNKVRAYRPSWRTDELQTFISTIDELTVIRLKKNSESLKKR IPYEKEVSIPENLAVTLPDWCFSK PHYBLDRAFT_176417 MSNNNNNSECKCSKCSSNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEDNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSMSGVNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFERLSE LHDLVYFNLVECTVIDPMHNLYLGTAKRIMEKWRSSGLITDAHLAEMQLDADKLVLPE DYTPLGTKIGRGFPFMKADEWKSWCLVYSPVLLRDRLPEAHLGNWTTFVNACQYLSMP SIFMAHLDEAHQSLEAFCRECKKLYKAPFLSPNMHLHLHLRETVLNFGPVYGYWLFSF ERCNGILKNYATNRKDGFEGTYMKKYLEEAYQGDLIRQTLPIIRPEHSAIILELTAST ANSITTSTSTANSITTSTSTATSIQFDINAFLDSPEINFDIVKGNEPLPPSALPLALK GEISMDESEYEHLLEYYRETYDDQTLVHYRQAGHSDNFVNNRIQKFESINLLGQIYKS KTKNQRGSFMQALFETSDGRSTKPYAGQIQYLFVNTAVNSFAGHASQHVFAYVQWYKE VLLQPRAGEGVEVNEVGFEDDSMNSILPVHRICYPVAVGEHLGLEGEVQMCVVPLPRK IYI PHYBLDRAFT_176418 MTNTRRERAIALRPVEITNQQLMEILSTVRADMSTVKGQIGNVE QTLTNMNGRIGVLATTSTDTISAIDSLARTPLAAPVRAELTVAAHWKNRPCRRVNSCR KLKPNDIF PHYBLDRAFT_176419 MKETHSLSHSDAPSSQQSSGLARVNEHPSYERAIPQELPSHATV IAMIISWSAKKSFAFVKEIIIPCFTVNVLFLCLFVLGTSNKIFHPKYNKLAEQEVAEN IE PHYBLDRAFT_176420 MAMGANSVGNGCKFNFYGNQSDVNRQSTYTVCLKNYNNIIFVDV SYQQPIEINSISSDVEIIQEAAISIFKQLVNKIPSLDCCLNEKTIISLRIASLKKLLD FVTNHNISDCQATNFHKNIRAFKSAFAFASIKTDMDERLASKTDDVFTFHINNIIYHN IVAFQSQYSRAAGFSQIYFCNTNKRLTRRTTLF PHYBLDRAFT_153784 MLHEKLEEYNSAFEKIMEELEEPEMPEDPKSSALSTTDETPKKS RGQYQKPMDKDIKKLVYLYFIRGLTIEKASKIVNMKQTTAGGYILKWRKSPVVFFIKN NKEATSDEKMNLLFETYSAIKKAYK PHYBLDRAFT_160898 MHYISRTYQRQLLSKKDFEIIQPYLVDQHFELSYKSLLRFPDFD LFQEHHNPRNAL PHYBLDRAFT_153786 MPRFTALTNLSFAAPKRAKACRYQPYPAQSVRCALRASAKSPLG AIGVSSTGGFVGTQVTSPEVFGGSGETQAVPRGTKRPCPEDFTSTAHMAKRLEVVTIR ALRRPAGRGPRGFLLSTSPARRVALKRSLRLIRHVVFELQIRGVISMTGQINDPLPSH CNMPTTSVLAPVPVPAPVSASASASAPAPASVTPPVRSLTCSSSRIVTSSSSGFFSPS PSPSPSPSPPSSRSPSPEPNSSRSPSPELETSLSLFQKMSSSETSEPSSAITPGSTYT KVMNIAADTTQTITSSVVSVVGAIKKFFSWE PHYBLDRAFT_72592 MPFGHVVKSRYGSPEAQQGAQEKRPNRPTVLAQDARQVRGQSGP PQAQQGAPEKRPNRPTAKAQDARQVKSQSGPPQAQQGAPEKRPNRSTAKAQDARQVKS QSGPPQAQQGAPEKRPNRPTAKAQDARQVRGQSGLPQAQQGAPKKRPNRSTAQDQDAR QVKSQSGPPQAQQGAPEKRPNRPTAKAQDARQAKSQSDPPQAQQGAPEKRPNRPTAKA QDARQVRGQSGLPQAQQGAPKKRPNRSTAQDQDVVKIRDKICLDETDIINRSLAREIG EYDIEYAQTLCKEFMSNCISAYNTVNKKLYRKCCGDCGYLEDSSISEDLSYYAGTKLY SHFGTRRLQRLLIKSSWKLYYTGIDQYAVD PHYBLDRAFT_153788 MHILLDTVCERASFNLSKKALPIQQTKPEVNITSTLTFIASIAS ATTTPLADMQKKTVFLLAMTAFFCPSDLSRLQLSSAQIHPHTETLTFDGKSPKERRKR RRIIKIIRVQRHSTHSLCPVLAFAHYVTIQKR PHYBLDRAFT_80623 MSAHSREGKCHSRLRITPHLHQEPMEIVTASLPMDRTALGVTFH RSLHRPHHTSATILRLMAARPTRHRQKRFLCTMNRMEELLLKPAVESQISLSPQDLAG RRHSNDCSTDLTKCNLVPTAPPDNDRTANSPVQPRDHTGIPQDIVATQEFHVEAIHMQ DIKRCFTETELNF PHYBLDRAFT_73800 MSQSNGRSLLLQQNKHILFVDARNTGWSCVFSAQVAYGYRSHKE TKQSINWRAMKAVHLALQTSSGLKNTSILVRTDNVTTTNILQRASYFFAGFPSIPLHK AYPCAFYFIIGTALIKLYNKVA PHYBLDRAFT_176424 MPLTIIHKNSNSKDQTTQEPADQTVFLVFLTNPIYDMKLQFLNL SNPDFSVDSNRIHSFTIRETSGWMPSELQVKTTALTPGNFTFQMDVEDSMIKDIRSLL VDGMANVNNSRKNLAFQSVNPTFQSADLDSSKHFIMELKLNGHTGVRMQGHARLNKQV DAPSTATERLFNWVSATDKTNSTITGTPTAEATAGTIIHSSNDPAYNSHSQIGSQLDH PNTSDHYRFASSKVIHTALYVVKEPLRQAEQVLGRPTAISYNMPT PHYBLDRAFT_120256 MVNLPDLFARRNQCLVVESVLHSIVVLTSHLQFKSNQLKSNQIN SNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQN PHYBLDRAFT_176425 MGEYEKLLKRRPAMFDGSSSSASTATATTTATTNLDSNNEPAPM EFIIENPQDTYGHEISDKDEYSDDHILSDSSDDYDETTDNEDTDTRVEYDSRDHITRV AAEMRTFQSLSHAMNAYSNEDSSRQTLYQPNDFADIFTGPTRPFKSKVEFILHALFYG NEDLASERSIKKIMFVIKIVLDVREESGVALDFLTPNAVINYHNQKKNQIPVFLTATF DVVNQDNERHVLWINKPSNYINLALPDFTENQRLNLNQGKKWKENPLLQHPMITSNGM DYWVRSPNQYLLEKFLTKDGSILANAFQVYGGHNPQLNHPDDTHFLRFGNSTNFAVST LKYTIEVDRIMSTVEKDSDLFLGRGFSVSYCPAEIVTYALTGVQSDLWLNKSRVEEFK RRFLGSGLMKVAVCPLNLYSDDTSGNSTKQYNKYDSYLMYFAALPLETRNKRENAIFI CTSNHTLNAIEMLLPIINDLVRLKKGIEIYSEDHGEVVLVVAPLLLFMGDNPRQSQLA MHKRTSAKKFCQKCLISLPCIEQGSIPDAPLYSPVDHRGSEERTRDFLCAFANADSQS ELYLNGCELSYIKNGSEEFLRLEAFDPIKDMPVAILHIIPLGLTKYLMTFLWKQKMLT TSEKGRLQEALNYYKSCKSYSRTFRNKLCHTGSFIGRNFKELIQVLLGIMSKLFSDKP LASVFIKALHALGRLSSLVYMRGVDQCFDYYIAQIKHAVTDVTDLLFQLDVQILQKGF SKQDFTFKPKVHLLHYITDDIVRFGSVLQYKTENDVATRFGKQFICWHLCNGGSYVVE KPAGNGTRSVRSSISDFVKLAPVNFPGFNLHFFGSRVNSDNSRLLTPTLCDTLAGVFQ SNGQLFFGQVKIVQVRDSADRIRKVFFMQKYQIVSNSNVNCIYIPAVVMNNYNNIVVL PLEGLVEVNKDDINIVQAVDFHLSVGSSNNQKFLNVAKFGMFWWMLMNIAKIY PHYBLDRAFT_176426 MFPSIQIHTLDCHCIKCHNSHQKSSYAAKRTETRRNKRARVEAA MRNMDVDTEVIPTSHSDSVEAMDGQANSPFLDAASMFDNDRDDNDFDDNVEDEGNEIE IEDFNSEDPFAAPDMPENEVHQFIAIFTVLFASRHVVDKGAAVLIEFINNLLRIYDQD FQLPTSLAGLQKMTGFSAITKGIKKFVVYQDCHTVYQDIISAPPRCVSSKLGARSAYN CNLTKSISSSALVAKREYVYQSIKNTLSVFFRRPSFEAKILRGTIIDPMHNLFLGTSK RLMDRWIDEKTIGPEEFASMEKIAETMVLPRDYTTLTTKIGKGFSYMKADEWKSWVLV YSPVLLHGILPPLQFKNWMYFVDACRYYVKPSITFDEITTAHSLLEKFCNACNVDYTA TILTCNMHLHLHLHECIRDFGPVYGYWLFGFERYNGILKNFKTNGKDGFEATYMKNFV QNAYKGDYVNAVLKSSSQIPFIHTLSKLVTTSIPAATVTTLSSRPFRLQAFVQGYTDP YNPPKGNEPLPPSTFPLKYKKLSVMDDSDYLHLLEYYQVAYNLPDLASYQDTSYNRPA LDNQIIKLKSIDILGQHYRGTNNSTISRGSLVQAKFVGSNGNIILGFAGQIQYLFTHS FQLPPTHNLHLTRMVHDHQHVFAFIKWFRTSSDRSREDDGVEFCLPTFSPDSYHSIIS VHRILLEVATATIATSRNVSKMLVIPLPKKPYA PHYBLDRAFT_160900 MWDPKLKTRNQADILANESKPRWNTNVFFYKSPNKELVVRLLEN LKRKFTHEGFREADLRARLHKNFTSRVSKARKTEEEIKATNTRSRRAGRARDVSVISF TCIHRQQRSN PHYBLDRAFT_176428 MQILSIQKRIISKCQRRQSSTTNTTSRVSTFTSSMDQCMKGLAS VKKAFSCNKVQNTVAPSMISSSSTISDDSFVSALSDDENFSKSCPVSEKSMALDSIIF DHPSVTVRIRPAAYRSS PHYBLDRAFT_73784 MTQDQDNSNRQSSNESSSLYEAAHNLVNAFNQYVWPQRAESNQL NISSSSSSNNNNSQAFVGTSFRNRYLVGNRQYSLVQDKGVQTDPIDMIIRDLKREDNK NEKNTLKLVEADHQRVISLENEITRMKKQLVLQGVSFHTPSSLGNQKVTKPRQPILAH SLAGARRVMPESGFVRSLYSPQANKTDDRHKITMQSIVKEIPKPFLI PHYBLDRAFT_129040 MTSCCSSVSRWYVLVTNLLFICLGLAFLVFGLLGYKEHFKGSTL FPDSYFYLVAILGGIIIVSAILGITQAFVRSKALLLIYILVVLVALVYQIVIGIKVYK KAADPVGYLAPLWTSSPESYRLHVQDEFNCCGFNNNMDHPAITSECNPQAGKVLHLAP CYNSLVDYIKSYFSKLYLVLFAALAIELLALSNAITILCTQRSLGDAYDRHQRRRSGI KLDEISVVDSPTTIVGSHNHLTEEEHKQYIYSSKPHEPYANYNSNSNSNSNSNLNDSH HYNLYGDPDRSDSHQRLNAHDERVNHYY PHYBLDRAFT_80622 MAMRSFIQRYQRQVAENPMRTFGLQAALMFSIGDVLAQQVVEEK GFRNHDPVRTLRMGVYGCFVAGPVTGKWHQFLNRTVTIKNRAGSIATRLAIDQLFFGP TLLFLFMSGISILEGQTLAGIRSKFENNYVDTMKRSYQFWPFFNLISFTFFPYQYRPL ASSGASVVWNAYLSFVNQKACQVAANTPVVHVMAERIP PHYBLDRAFT_176432 MEYFSLTRLSFLRLNKRYDDIVGLFINLGPIEYLITSNEVLQKT GSKRRSRKRLNLEDLSDAECKKGFCFTFPEIKRMSAFINLDKILSFRRSETYYIKFRC EFAFALVLYRYAFPRRYCSMERMWGINEKNLACTVNQFSVLLFDIFKHGFEFDSRKFS EENCESFLCCHVCKKRYIPKCNWTYRRHNAEGLSHNYKRGAETHPLSEIPGDCHPRWN HNNDAKIFDQSKTLQRPIAHVDHMQPENDPDLKYALYGDHAYKKLLKMTAERNGILRN PVRNIIVDDLLEYCLAVNKEHGILPIVIAFGIHPTKENVTNDLVESSQIIYERISFKE RADETVQLLYSIAKQISVNEVTLEERTIDVLLNMCFHKIRKALELEDVEEPRKRTREY TRRWVCIFGNTRDKVSTYIFVWTFRSTRRSKEKEKGKLLNKH PHYBLDRAFT_160902 MLMSLFGILKAETSFSNFLVYMFLHSLLQSIPVYVPAPNSLPMS DTHVPVDHADHADHADHADPGAMNIPELIVTFTTALHDSQLPSLYAAPISAHINISLF MSVRI PHYBLDRAFT_160903 MAPARCPDRIATTRQLDERCAKAQGCNSLSFHTTELKGNQLCED QDSHSIF PHYBLDRAFT_153801 MEQFKGGTWTSSAAVDYTTSWTIACVVPVASAQIVAAFIYEEIV MRFGCPAEILRDRGANFTRKRMDAYLIRTKSNHRPTFAFTHAQMVNLSA PHYBLDRAFT_153802 MLWTCHIFTHPTIGYSLFFLTYGRHSVLPEDPCVVADQTVDQLE NVGRARKAAELRMSDMSSKCKGKWDAVIKKATFEVGDRVNLTHEGHSGLEPRLKGAFI VMNKKGQVCYVSAQKHGRQTTRILDTWYNPAASHLAWRVVMRLLDWEIIDDVGACGRS QNQKGGVQKNKFKDKGLISVLRSNKSHSNTYNTPSVTRCLHCMSNTYSMVSSISSGLW LFSHFPTNGGFTAFTVKPNPILWLKSNKSSGFSLAPKLPLICTPYVFLPSQAQQGQEE NRDHSSPFRYGAVANTDKVPVSIRSNGSTPSRSTKERYQVVGYPLKTPLIHRDETEAV GHTTRAGFGCIILGHIVLDGSYFL PHYBLDRAFT_153803 MSCGIRLHGQYREDPHEGETVANAPECIILSLGWREKVMGIALQ GLSSPVVVITLTTACSERFSSRWIKGVFRGYPTTWYLSLVERLGVEPFDRMDTGTLSV LATAQICYQFYAEPDPHEGEAVANAPECIILSLGWRDKVMDIALPGLSSPVVVITLTT ACSERCI PHYBLDRAFT_153804 MRALGFTPASRLYTVREKAIPTFHRSDTRYTIDYSFGHVSFRPR LANTGIMHLSPCCTCRSFLTVDLAIQDHAPSYGTWRFNSFLSLKFRISTSSTVIKLTA QEYDKQASRTHQRTTNSHQTQRVTVPRLSHSEDRRIESENIDYETVPAIEERHRYLFL WSNTPRLENDEQRKKYFFKVFCQRLISDNGWTIRILMTYLKALAFSSSTLGAQFEQER AYNRMHPT PHYBLDRAFT_153806 MQAPSAHQIKGKPAHKKGQSMCQKKWHAGPQERPKHVPEEKGKP PHKKGQSMCQKKRANRPTRKAKVCARRKGQTGPQERPKHVPEEKGKPPHKKGHSMCQQ KGPTSPQERPQYVPAKMANYPTREAKVCARANINAAHKKG PHYBLDRAFT_153807 MHPSIHPPPPISPNLLIDLEKNFRVFWFSFFPFFFVLAVSTGGD DGELLPRVNHGLSSKSTSARVACEPVVRIPVYYWLLSVVSSGGRGWYHLFWSVASASG TFLPVGLLLPTAASYRLPVASCQLPAAHFPSTGGSIYLTGRSHLSHWSDICFLLLPVA SAGELDGSISAAKVSAMSCPQDTSSKADKAHQKPNKGA PHYBLDRAFT_153808 PPIALFQDLVYPPTTTLTSPHHHQSNTWLMRMTLSYFPSHLLTL ALYTDIWIL PHYBLDRAFT_176436 MAEHGRQVVGGQGFPERRLWDEYQMSMGTVQIIIIFRVASHTVH SCGHWPLNLRLGGDSLEALIKHKCTRSINALPTRGVRCRRSCVGYTIQQLQRKTSGAA QILSVESASPTRLSLFAVSENIEDRIAIESIFRRRKRPKMEQSLSKNLLRTVFIQRAC QTQERINVFTTVARHMTERNHYRRMLQDDLTVFGSE PHYBLDRAFT_160904 MEMMLVKGVIRPSNSLWSSPLILVPKPGNTHIDDLLHKLIYAKY FLTLDLKSGYWQIPMSPGDICNTTSSTEKSLFEFHLH PHYBLDRAFT_189632 MFYQSQPEQRSNKQPDYKQEYDTRAANVLSGDQTSPNFQGKLIL LEKGRVRWVITAALPRFDSNKIAHVLTLKVVLLYVVLKRFLTDNGTDFVSEAMRILLF VTFAINTAEQTLTGKLPFEIMFARRANLPATGDFNLS PHYBLDRAFT_153811 MQAPSAHQIKGKPAHKKGQRHAGPQERPKHVPEEKGKPPHKKGH SMCQQKGPTSPQERPQYVPAKMANYPTREAKVCARANVNAAHKKG PHYBLDRAFT_176438 MSQTSQFMTLFNVIFQAFYLVQAGGTAMLKFFRHLLVAFDKDTN LPLTIDALKTMTGFNFMTKSIVKYTVCNKCFAIYLPGNRQPNCTFEKYTTTPPTYCGN PLFSDTEADRAVPLMVFPYNSLKNALAQHFAKPGFEHQIENATEAEMWFCAESDAERA VLEKQHGTRFSELHRLHYFDPVRCTIVDPMHNLFLGTAKRMISVWKDLRYLPTAVLVR MQRLADGILVPPGYAVLSTKIESGFPYMKADKWQSWCLIYLLVVLKDALPEDDYKNWT LFVKACRKLTSPSVTYSEIDSAHQLLGEFGKECETLYGESSIMPNMHLHMHLHESMLN FGPVYTFWLYSFERYNGKLKNIKTNHRNGLEVTFIRVFLEKAFIGSFLRAYSTNLSSP LIEFLEGVAQVKSNSDSSSPLNLDAGHPPALPFSLAMFQQAATNPWYNVTGSEALPPT TLPIKLQPLTMMKDDHYQWLFEFYVKAYQSTSVSFCAVGRIPIGEDVFVNNRIQKVKK ISLLGQEYCSGKKKKRGSFVRVLFLERTNDDVSEFPGQIEYLFTHTIKIGGVKRVSMF AFIKWFPAYHSSSHQPLADQGLQLWDKGFMEEDALCIVPVHRLHSCFALTTHKMQSGT QKHLVVSLPRKVVT PHYBLDRAFT_176439 MAPIRKPTVRKECRCSICKSKTLGFDCVSVKTFKRHQEKDNHDI THVQTPHEDTCDTISSAVSEPVNQEEDGFEFEQEDVEMNSELRNLNDTNDILDIRTRN QPFSETDCVFGPEDNVQYTSDTYKEEYEDESDVEMDNDEDSSLESISELNLIHQFIVI SVALFVSLYVVDEGAVILIAIINKILQFLFDPFRLPVSVAGLKRLAGFEALTSGVKKY VACSKCHAIYDNEAAPLCCTSPNFVAHGVRWSELHRLQYFDIVRCTIIDPMHNLFLGT AKRMLERWVADGLIDDKKLVAMQKAVEKVVLPPDYTSLGTKIAKGFPYMKADEWKSWC LVYSPVVLRDVLPLPEFKNWIEFVNACRYFTKPSVSEEDIEKGHKCLEEFCKGCETLY DLDLLSPNMHLHLHLRQTMIDFGPVYGYWLFSFERYNSVLKNIKTNRRNGFESTFMRQ FIEESWKGDFVRRLLKPMHALACFEIFDKFTTNNNNTNTNTNTNTNTNTYLSHSFSIS EYLEASQNLSMIIRGNEPLPPSALPLKTRPLSFMPKHEYDCLVGYYQAAYKNPQISGC KDVIDDSPFVNDWIEMVKSVDLLGQSYKGCIGTNGRGSYIQAYFTERTGSEHAYVGEI QYLFVHNFRPTVSSLTYRNPHSSQHVFAFVKWFKSTLDKTRELEGVELLQDEFYKQDF QSILPVHRILLTVAIVDYKTTKNVNKKLAIPLPKKIYY PHYBLDRAFT_153815 MFNKVNNNINGVKDDIAAVNSNMAAFKNRMGVVVDTSGKTHTAF ADFATAYANNQTRMASLGPSLMPSYVPQTFLSDAKVSVIISEIFAEKLWDWKFESDDP ALVAENESKKKWNLNKKINHRDNVAVINYLKSYISAQTRLADTHPWVISNKIKNRYKH SHRTFHESPEQKAKKNSKGRANSRTLQMSIRRKSTYMDNWVAIDAAMGYKTGNPVEKA YLKLFQKDAMSDGESDIEIVDNLPRRCLHVARPTWRSEEFNRLLTMVDDIDRTHHVLN AGVGTKPRMNRYPATLLPCSVPATLSQSLPRWAINDE PHYBLDRAFT_189633 MAVVLRKWKHVTLFSAVLYPPRTIRPSMSGNHIEEHGKVRQIAS LGSQNMRPRRYMSNTQKRVSVMEKGDFRLAGCTSGKKIGVEERPEMRESKIYTSSYNC ICDMCRRFITMEYNPIAEQKNKQYNIIASPAVAFISTFIPYLDF PHYBLDRAFT_153817 MQFVESACHIYSQSSLLLLGRSTFLITLSLSHMRRVLHVTIAHI KFFDKLGSIMRQFLHQKILPMASLASLCFPWTQDELGILNPQFQIPTKSLYQAMVKAL YISTSVLLLFHSTDTTQSSSCPNTRRYSTTFVVSTSATPSFFVLSTMKPIPRNFSFVT VGYFIFVILLDLEAVRPRSPNERNSSPYLISKLTLGTHSFCAICRNPFKALLASLPLT PRQWCTFWSFPIPHSSDNIWYRTILNTLSFQITLYHHASALFDTVVYTPQLSEVHTRR PNTGYLLLMNLTS PHYBLDRAFT_153819 MFSGIESTTGRIIHSDIYGRWVNVVLCSQISCHHGTSVHHQYGT IMDCECEGDRDLAFLKGLGFCKCNLLPLLNNLLCFNVIWISGAFTTFNCMAKDAARFA KRNAFFDVKQDSRRIDGTSPCSECKIHDYILVKFVSSESMQRFVLLMWCCGLVLSVIL ISVKIFFTLWITVLSDAKTNVPAISVGTLKQPEAENISAGNQTTVEACVANLANSECE AEAAQVSRTLSEDSGIPRI PHYBLDRAFT_160906 MAIVESICTKEKLPFHVKSSNDKGALHTRNESQFIGGASFCLQI KGFYTLYTLGIIHGDYNGSKNKTEYS PHYBLDRAFT_73838 MLGAADETFVNHAHSLMLTLNVYWFKLFEGRTYTGSALYLLNSN FPKEDQMKPENIILVDVMSDCYGGKAVKTIKFPNGTTVYTAIMYVASEIPAARNTAGF TEHGM PHYBLDRAFT_176445 MHLCELMLDFGPVFTFWMYNLKIYKYKLKKNIKTNYRNGLECID NDYPPALPFDLQMFQQVVNSLWYNVIGTKTSPPTTMPLKLQNLNAMNISLGENVLVNI CIQKMKNISLLGQEFFSEQKKYRSSIVRVMFFCMKDKIPVYLIPNAHHFLSFYQNKLT ESDITISNEKNRG PHYBLDRAFT_73840 MCFQLVNMETYPTFSIVIKQLCAFVIRSQTQAVMTATPLNIDEG TFSISNCPIVSMVQSYIHMQPEVEYVLSSVVEEKARRHLSYKIHRAKSLSEKLAGRNR FSRCQTRKIRIKEYFSKLKGRRNDQKE PHYBLDRAFT_153822 MFSTPQPYNKKCYCAGCSQNELVYSFVAGRTAQRHNKRARLDAI RCESESSAHRNSMEVDVERTPVSDDENVPVTNEEIHNSKDNGDENKENNNEDVVKVEV EEFVNEGWCCAMEERKI PHYBLDRAFT_156837 MLKQACSLEYQLVDYVSVARVRPRTSKGITDLLLPNFILLSAGS FSKKTEKPKLNPTKKLVCLFSKIRSRSLSELTRQITPRTKNGHAPPPIKSRKSFQSVN PSYVWTW PHYBLDRAFT_153824 MLIAWPCAGDGSFDFLPYQVKIGSIPEREPEKRLPHPRKAAGAQ ITQSQFGEDLLEGKSGASSRGNSSSKSFALVMALTFGTVWLASVETIVMMNRNGWGDL YLVARGEILGLTEDKLLRRHFTQDVFIDQGRKLGDRRRLDTVVVLTANYAD PHYBLDRAFT_176448 MSQKCHTDKKRATMHGTRVIVGHCCQLVTIYGTNLIMLLIVMHI IQAQKKKFYAWGILPEVYLVPLLLGLVKVFFTRNFCWSPDAYFEPYTFASANFWEHLL GGSLCAANNLQIQFIGFGPGKYCVLLAFGYKYICTMMSGESVRRKSDFLFDGLKIITS IYLLSGKSLLLPDSSRKTVELAIWILFTEVLASKEARAERNNFTTLETTYSGGLLWVL MLVIFDRLYVHCGLFG PHYBLDRAFT_156838 MIGRADIEESKSNVAMNAWLPQASYPCGSKGSIGHTFMVCIHTE NQNQGDFYPFILREISVLAESPLGHLRYFLTDVPPQPNSPLDNVNNVGHAIKALKTRR WAVKPNPT PHYBLDRAFT_120272 MPRHLISDAHEWINEIPTVPIYYLAKPQPRERAWQNQRGKKTLL SLTLV PHYBLDRAFT_153826 MLVDVNFDSATMLVLCGKASAGTGFKKENDTVVIGFNFDSAAKL LLCGEASVGTGFKKENDTVVMIVDFESSVKRLLVQV PHYBLDRAFT_74113 MSSTQRRCAACHMLGHSRSTHKQCLMNPKNISLHIPQKRTNVDE YPAESSQTAALRIRSEPVQDQNLDIETSTSISVSELTEFPLANETITEVLEAVMEEEI EETSSDEEVTGREEEVEVTSSDEEVTGREEEVEEISTVNRG PHYBLDRAFT_74184 MCCNKGKHVLPQIEPTPTGIAELLNYRTCDRKKFLENIRSYNST MSFTSLGAKIDTSVGNNINGAYNFRIHGTICHRIGSILPVTESDIAHPKFAQIDIYDS AAQIDQRQYHSPQLERSVLEKIQSILMETNPFVHLFRTMDQISREKGQSIDLTLRLVA EGPQDQRRYNAPTASEIAVLIMNNEEGTSRDIVLHTRANFQQNINEYHRSYDALHYVL LFPHGEDGWTIDASSLSGEHVTVMQ PHYBLDRAFT_176453 MSDINTTLLNSIQKIEVDLAEIKQALRELQRQFSNQFAPAVSAE DLTTMQQSIIEQSSLERIAESVKRAQLTEYPDQLGKRVINTGGEFKGKNEAQKYNLLL QILHEQDWKARCKEVPQGQPLPPLVPLSDHDLTVKRLHLKTLGRTVKHDIIDKDYPAA SKEWKNIPEKNREYYMMHLERLAKNGGLHIHQCKRMWCARSLLRESFKSNNQTHKRRM AEKNKTQRDISDSSLSSPDMSETGDVESPIMADVLSPPPTASVEPARKRSRRSVNAYF TEQVSILYKEIDHSVKAAKEKQEVVLELKAIEQKKECNRGKEGRLIFF PHYBLDRAFT_176454 MSNMSFLTASKIMIKRFFWPVNKDIYLGRVYKMVCCISINVHLQ DTTFTGLYLGPLFLIIHRLLFSTDRQIIFYRQCHCILCLSTLLFSELSDIHLFSCLTL FKQTGQEGVPQQAMEYSRGRLHQAWTNGCSKGIT PHYBLDRAFT_176455 MFSLFSLFPTFIIFTDFLDTRVLLPSDASPSQCPSGLAKAISPK LLSTIKHGYEHDEPPSHEHIANQELSFHTSVIDMTILASPMYSLGLQINPFASGRTTI YKQATHNWAFLWEILFGEYLFYQNIGHFKLYEG PHYBLDRAFT_176456 MVNNRQSIAPAPSPEYTELLRRLTAMEESLKTMDSNIGIVIKGN KDSLEILDSVADASGELLAVIAPTTIPASASVPFAASSVGSTLDWYTTPSEAFFGISS AAPSVAPSVGPSVAPSVGPVVLTGANAGELSKQDRTRVLALIRGELKKHNFKSNKPEL VAANDSKRSWDVNVDYRLPPNRQLMHDLHAYLAPKVVGTSVRQADISDCIYTNFCGTR RRVKESYEARKKTNFRSRKAGRETDHFDRRELTYHTFKAEIDMKVGKSCDGLLQKEAM SEGESEDDMPGVSSNRAIRTVRPSWRSDEYNHFLAVVDDFMRNRMDFNSRQMLKRSFG RDAVLAVPPRLTSLLPHWAFRDEFQ PHYBLDRAFT_176457 MSTIPELYNEKCHCAGCSQNDLGYSFVARRTAQRHNKRARLNAI RCERDMSTQRNMMEVDDEPILTHQPGALEESYTQTNSPVWEGASMSDTEDVSVTNDAI SNGDNDDSGSNSNEISEDESEDDVIELDDNELNSEDPFATPDMPQNPVHRFIATFVVM FASRYVVDKGAVVLIEFINKLLTIYEQDFQLPLSLPGLQRMTGFSAMTKGIKKFVVCQ DCHKVYEESASVPSHCDFVKLGVRSSCNCQLTKTSASVRGTIIDPMHNLFLGTPKRLM DRWIKDEDIQDGDFAAMQKTAETMIVPGGYTSLNSKIGKQFSYMKADEWKSWVLVYSP VLLKDVLAKDRFENWINFVDACRLLIKPTITFDEVNTAHQFLQTFCTRCDELYNAEIL TCNMHLHLHLRDTIRDFGPVYGYWLFGFERFNGLLKNLKTNRKIGFEETFMKKFIEDV HKDDLVNSFLQSTRQTSAFPLLTKLTSSFTPATIPSIRQRTFRIQSFVEASEDPNVLV KGNEPLPPSAFPLSLKSATTMSDIHYVHLLQYYKVAYNNEQLVHFQQASESPYFVDNT ITLLKYINILGQVYKGKGESGSRGSLVQAKFIGSTGEHIIAYTGQIQYIFTHSFTPPP TSSSLTPLLRTHRRPTQLLHNSQHTFAFIKWYTPENDKSREYKHVETCFPTFSPDDFQ CVLPVHRIMLEVATAEHTTRRKVKKMLVIPLPKKQYI PHYBLDRAFT_184230 MTFVLTLLLDQEKAYDRVYPGYLCLVMARTRFPTMLVDSGLRQG DPLSPLLVNSAFKPLLRSILASLSIRNFQFLIPPSLSSSCPSLSLSLLRFLAYSDFYP DWGTVLDVADIHSLHDRHSTTKISYLGFSLGSYISQIEAFGAALLVQLGDRCTLLSQR CLSVRGKGIVTNSLFLSSVFHVLYATPAPLSFFGAIRTNICSLLRLGFGSSGWPFLCL PRKYGGLDLLDFDYQQRALRL PHYBLDRAFT_103409 RKGTSPVLKNWWPIALINTNAKVFTRILNAQIIHTSNSFNHYKT GFVKGQFIVDSEIMTRMAIEYTQNTSDTSIGLMVDQEISYDRVRPEY PHYBLDRAFT_153836 MTRSYLSRFSHVLRVSIDIICHTKRSILYLTSEYSQIVLWHAPV VITLGHMSSYCREHVDSLLCRFLRRNMLSMAILSSMFSETAKRLRRVGLADSTEILWG PSPSFVGCNTANHIFKDKEWCTFHSHLLDGVTYTASSAPNTSGWKRINASGAFMFD PHYBLDRAFT_160911 MEMTLVKGVIRPSNSLWSSPLILVPKPGNTHIDDLLHKLIYAKY FLTLDLKSGYWQIPMSPGDICNTTSSTEKSLFEFHLH PHYBLDRAFT_160912 MSCLSGGDRYTNLPKNLLTIYLHNVFTAEANDQSFDILLGSQQA FNAQLYIKGRRYTRIFKQFTKKLDFPSVYIVKAK PHYBLDRAFT_73792 MATFNIAAKYDNRIIPDYIEAVNFFDLSSEDEFTNYHEKNTVFV WKPLENPLKVKTVVYLCDHTGKPQVKKTFQPAQKCVRTTKSVKTGFFASIYKHTMTDD TACIKYNWQHPNHDLFKIEEISLSRLPDELKQ PHYBLDRAFT_176461 MKVSNFHKNGAFLVLWVSEWQKEFLENSEEWCIDSTHKTSKSFN TVAGKKPEDCFLFTIGVRNAITNKGLPAKRIMIDCRPIEIGAMEEVFGNSINILSCYW HINRAWEVNVKKHIKVQNSTHASNIACNIIHAVLSNMIHATTSVAYDTLYNKFLMKFG EYEDFILYFN PHYBLDRAFT_176462 MLDSYNAMEMVEKLSDTVFTCRSFTVDLVIYNIELHNGFLQNCT CPDTSKLCKHIFLINRMLDIPYSLCQSLSSSSSAVHVSNTDTKAVVDTSLLSDEIEAD IINYYQVYSVELDSKIAAYMEISEDVSKFLNTLKFAYNKLKKYGFPSQSRPPQQR PHYBLDRAFT_176463 MPNYFQPKDMSITPDFEQIHKITESKKTSVIYLKENNILYEHMS CCNEWPITLQCHISREKFYCKCNSRNCCNRSEMSIGKDTIFSFKKMTLNIMIYLIWCF ILNYVLNKTEEATKLSKKTIGIFMAGIQKVICQDISSNDSLIELLLKLMSLILKSESI IKSIELKVFGFWGVEQTSERKIFLVAVSNRTADNFLNIIQHHILSGSIIHTDYFKLYN QLETLGYRHSTVNHSVEYKISEGIHTNTIEVLQMIISIVRQIKDNNISLEQQLLLDLD PDYKTYFTENESSSFENKNAISSDSNNTSDSTDDNILYTDSILASN PHYBLDRAFT_153841 MDRQTHWEGTIADSDFQFSVGSFKSKNSSPSSYMPPPIVSIRNT RDAKSAEDLWFHQFPNVTMSSMGIPHSKANEETNDPIKTEWSVDRCKSSRSHDEIVDD RAKRMGAVALYPNDLDNAASLASFLGRLPVKMLKLCSPLVSFAGSGEPSWRAARTRRE DHHGGKGFELQHIVGGW PHYBLDRAFT_176464 MPLTIIHKNSNSKDQTTQEPADQTVFLVFLTNPIYDMKLQFLNL SNPDFSVDSNRIHSFTIRETSGWMPSELQVKTTALTPGNFTFQMDVEDSMIKDIRSLL VDGMANVNNSRKNLAFQSVNPTFQSADLDSSKHFIMELKLNGHTGVRMQGHVQAI PHYBLDRAFT_120285 NQLKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIKSNQIK SKLKEHCIGTWLWIEATLWIGPRLSITISCKILFTYILAKRMKYFSKKNRTGLGWTGL SWT PHYBLDRAFT_153844 MKSGFLDCPLPRPRPSMIAIDVRPTLNTKPSNRKRQLGLDMFTI QKVTHLSEASPKFSPNYITLLQHLFRHSSSILTRHCTVIYPTPDIQLSDEFVMVDRRY TVREQAIPTFHRSDTRYTIDYSFGHVSFRPRLANTGIMHLSPCCTCRSFLTVDLAIQD HAPSYGTWRFNSFLSLKFRISTSSTVIKLTAQEYDKQASRTHQRTTNSHQTQRVTVPR LSHSEDRRIESENIDYETVPAIEERHRYLFLWSNTPRLENDEQRKKYFFKVFCQRLIS DNGWTIRILMTYLKALAFSSSTLGAQFEQERAYNRMHPT PHYBLDRAFT_153845 MVPFLPQRCRLCRVLKTPRQKPIRLTRSPTRAPRKRLHQPIREA KAPSAHQIKGKPAHKKGQSMCQQKGQTGPQERPKYVPEKMARRPTRKAKACARRKGQT APQERPKHVPEEKGKPPHKKGHSMCQQKGPTSPQERPQYVPAKMANYPTREAKVCARA NVNAAHKKG PHYBLDRAFT_160913 MFTCPYRNNRNVIVHSWLVGTVQFYFQHVDFYGFPHFLAFVEVM KEHDAAGHDSSVPIVKQRSQSTRTLGHQTQPTYAVISVNDICHQVGLVQYPPNGNQFY VIAPYYIFNNNMRITKGNLSIL PHYBLDRAFT_160915 MLTLNIDWFQPFDGRTHSSGAIYLSINNLPRSERLKSENVILVG MMPGPKEASTDSMNHYLKPLVDELLEMYIGVEMTDS PHYBLDRAFT_153848 MQNDDDDNHQKLMMEINMDAKESLFLEYLEYTNNYINAIHSAPS LNIQRGIAVFPFIYPERSNAQTKCQLSKADY PHYBLDRAFT_153849 MPSNATRKSGRKGKQNARGTLSRVAAGRIEQREIAPRVSPLAAG PSGAEAPGMTVESLTQVMAAINMMYDRTVEANTGIRFLVDAHNQAIAQQALVASSVTQ GVTAANVSTNRHTKGEMCAIVLNLINGRMWARNFRSNDPELVAENESRRRWNTDERID HPDNVEVINYLRQYIVAQPRTAGFWEDMIVQKIKNNYKTCFRAVNATPEQASSKRRNN RINSHRIEIHLRRVDTYINNWLAIDTKMGYKPGNPDEMAYLHLLEKSVMSDGESEDED VTPIIRVRVLQVARPSWRSAELNRLIQFIDFLAAENDKKIATPQSKQRMPRYLKAIAV TPVPSHLTAILPVWTIQNQ PHYBLDRAFT_153850 MSNNNNNSECKCSKCSPNSMGFVLVSTQTLRRHAQQDIVRQYQS GSSSSVIEVMSNDNDMEIDFEYNVDAEDQVEAEDLPLFGIDSLFDSESEDEGVIEATI LDISDDESDDVREHFSSSNMPVDPTHAFIASFAAFFISKYVVNSGGAVLLKFLNEVLA HFGQSFRLPLSISGVNSMTGLSDVTRGVQRFVACGDCNKVYEESDVVPECCNFERLSG RECGNALFFATSRALTIPKKIYMYNSIIKTLSILFCRPGFEDTINHWRIRVQVPGM PHYBLDRAFT_153851 MTTHDTLTVLSSIPLTNDTIIYGDLNSRLGSLTDDYATNTQGFA LCQWLEECALTVVNGQLSPCIPTFISFCQNVEISSIIDLFLTNMSLTNTILNIHTNLS LNSDHCLLSLSFTYAINSTSHAPLLSCKTWNLSHLKEPDVLKLYAHTFVTNFANLKST LQSTFKHSPFSRPPIYTLTDEFNSLIYNSLSSSIDNFLPVLPTGKNSGIQHSKQLQST TISATRNGAMHVAYTESIGEINILKHRQISNINNLNDDINLSMCFNTVMNQQQQQQLC RPPPPHLHSISLPFASANLPFVSFVVEECMQFMPNQNF PHYBLDRAFT_176472 SIPSRPSFKVQVKVHGFQIKVHGFSIYSIQGKLQGSGQGQRVQV KVSGFRSRSTVSGISKLDEQLLTCFCIFLVSIPSRSSFKVQVKIHGFSIHSIQVKLQG PGQGPRFSVSIPSRSSFKVQVKVHGFQIKVHGFSIHSVQVKLQGSGQGQRVQVEVHGF RSSFKVQVKVHGFQYPIHPGKASMFRSTSYGYSFYLLLVSAIQVKCQGPDFLAMGIAI DVRRQGCDLPAKCSLIQVNQQDSDLPSMVIAIDVRRQGCDLPAKCSVIQVNQQDSDLP SMVIAIDVRRQGSDLPAMVLVFCHPAIHVKRPGSDLPTMFTAIRVKRQGPDRPSMVIA IRVKHQEPDPPSMVIVINVKRQGPDRPSMVIAFIYLKSPVIQDKHHGFDLPAMVIAIQ VKCQGPDRPAMGIAIDIRRQGCDLPAKCSVIQVNQQDSDLPSMCSANHVKRPGSHLPA MVIDCSVIQVKHLGSDRQSMVKAIQVKHQGSTRPSMCWAIQVEHQGSDRPSMVIAIQV KHQDSDRPCMVIAIQVKHHGSDRPSMVINLIYLQSPAIQIKHQDSDLPAMVIVSSYPG QASRFPSPRHGYSSSLRPSRSSFKVLISRYMVIDITKIDEQSHSALFISSVESTKIML HGSGFSPWL PHYBLDRAFT_176473 MSKPVHSKNEILRSNTGLTINPSKAYSRSETRYKLTGLTIFQGG LFRQSQAIKNRFPSRATGTPRLEEHMLIVFCMLLVPSVQVKHQGSDQSPRLSVSIPSR SSFKVHVKVHGFQIEVHGFSIHSVQVKHQGSDQSPRLSVSIPSRSSFKVQVKVHGFQI KFHGFRYIKTRWAVTHLFLYISSVHSIQVKLQGSSQGPPYQGKLQGSGQGQRVQVEVH GFRSSFKVQVKVHGYQVKLQGSRQGQRVQVEVHGFSIHSIQVKLQGPGQGPRFSGISK LDEQLLTCFCIFLVSIPSRSSFKVQVKVHGFRYIKTRWAVTHLFLYISSVHSIQVKLQ GSGQGPRFSDQVPRFQYPFRPGQASRFRSRSTVFRSSSTVSVSIPSRSSFKVQVKVHG FQIKFHGFRYIKTRWAVTHLFLYISSVHSIQVKLQGSGQGPRFSDQGPRFSVSIPSRS SFKVQVKVHGFQIKVHGFQVKLQGSGQGPRFSGISKLDEQLLTCFCIFLVSIPSRSSF KVQVKVHRF PHYBLDRAFT_176474 LNSLILSKLWHVLRVVTVPLSFFRRLRSIMSKFIQYRSFPPISL GTFCQPIRLGGLGVLDPQVQQAALQLRWLRPLVRSPLSPSGLVPPWFSYVLRLDSSSA DPLVPLIFPSLRSSHQRDFDSPLATLLAAIDLLPHNFSDVVVNLPTCLSLPLSYLTTA QPDHPPFPSAWRDLRVSDAYEVDPSFGVLAQRPLHRILRRPIVLHRFFERLYTRSLVL HPVLYRATIPPAICAIQFPSLDMPSGTAVDVRPFLTALVPGIPWHRLSTQSFRLLCNF HSKSARPISPTLVPRQLRRFWSFPLPHGARNVWFRALHKNIPCRSRLNSRIPTAFPDP SCALCSHPLDNQTHFLFQCPVKLSVWSSIWTLYFAQTATPTVLLSGLQSFTFPPCTDS SLFAASIFGCTLLAIWRHHWLFIFDHVPFVSSAAFSTASSLLDRLKSELALDFPPL